IEEE MTT-V055-I12 (2007-12B) [55, 12B ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 04400212......Page 1
020 - 04400209......Page 2
030 - 04400208......Page 3
040 - 04384461......Page 5
050 - [email protected] 6
060 - [email protected] 16
070 - [email protected] 25
080 - [email protected] 37
090 - [email protected] 46
100 - [email protected] 55
110 - [email protected] 65
120 - [email protected] 73
130 - [email protected] 80
140 - [email protected] 86
150 - [email protected] 93
160 - [email protected] 103
170 - [email protected] 112
180 - [email protected] 119
190 - [email protected] 128
200 - [email protected] 138
210 - [email protected] 148
220 - [email protected] 159
230 - [email protected] 168
240 - [email protected] 178
250 - [email protected] 185
260 - [email protected] 191
270 - [email protected] 202
280 - [email protected] 211
290 - [email protected] 220
300 - [email protected] 233
310 - [email protected] 240
320 - [email protected] 248
330 - [email protected] 257
340 - [email protected] 265
350 - [email protected] 276
360 - [email protected] 288
370 - [email protected] 297
380 - [email protected] 303
390 - 04400214......Page 312
400 - 04400215......Page 313
410 - 04400216......Page 314
420 - 04400213......Page 315
430 - 04400210......Page 365
440 - 04400211......Page 366

Citation preview

DECEMBER 2007

VOLUME 55

NUMBER 12

IETMAB

PART II OF TWO PARTS SPECIAL ISSUE ON 2007 INTERNATIONAL MICROWAVE SYMPOSIUM

2007 Symposium Issue

2007 IEEE International Microwave Symposium, Honolulu, HI

(ISSN 0018–9480)

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $20.00 per year for electronic media only or $40.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE J. S. KENNEY, President L. BOGLIONI D. HARVEY S. M. EL-GHAZALY J. HAUSNER M. HARRIS K. ITOH

J. MODELSKI, President Elect L. KATEHI T. LEE B. KIM J. LIN N. KOLIAS

K. G. GARD, Secretary A. MORTAZAWI B. PERLMAN V. J. NAIR A. ROSEN

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

W. SHIROMA R. SNYDER

N. KOLIAS, Treasurer K. VARIAN K. WU R. WEIGEL R. YORK

Distinguished Lecturers K. TOMIYASU L. YOUNG

G. BOECK W. HOEFER T. ITOH

B. KIM J. LASKAR V. LUBECKE

J. C. RAUTIO D. ROOT D. RYTTING

Past Presidents M. SHUR P. SIEGEL A. SUAREZ

K. VARIAN (2006) K. C. GUPTA (2005) R. J. TREW (2004)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: A. D. BROWN Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: C. SEABURY Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: K. ALAVI Central & South Italy: S. MACI Central No. Carolina: T. IVANOV Chicago: Z. LUBIN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. EYE Dayton: A. TERZOUOLI, JR. Denver: M. JANEZIC Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: K. O’CONNOR

Foothill: C. ANTONIAK France: P. EUDELINE Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: C. MADSEN Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: J. KRALOVEC Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: D. REYNOLDS New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: S. E. WHEATLEY Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: P. WAHID Ottawa: Q. YE Philadelphia: J. NACHAMKIN Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: S. M. CICCARELLLI/J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorod: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: J. J. SOWERS Seattle: K. POULSON Seoul Council: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH Singapore: O. B. LEONG

Editors-In-Chief DYLAN WILLIAMS NIST Boulder, CO 80305 USA Phone: +1 303 497 3138 Fax: +1 303 497 3970 email: [email protected] AMIR MORTAZAWI Univ. of Michigan Ann Arbor, MI 48109-2122 USA Phone: +1 734 936 2597 Fax: +1 734 647 2106 email: [email protected]

South Africa: P. W. VAN DER WALT South Australia: H. HANSEN South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: S. BOUMAIZA Spain: L. FE HARO Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West: I. ISAYEV Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKHMATOVSKI Yugoslavia: B. MILOVANOVIC

Associate Editors

DANIEL DE ZUTTER ZOYA POPOVIC YOSHIO NIKAWA Universiteit Gent Kokushikan Univ. Univ. of Colorado, Boulder Belgium Japan USA email: [email protected] email: [email protected] email: [email protected] KENJI ITOH JOSÉ PEDRO SANJAY RAMAN Mitsubishi Electronics Univ. of Aveiro Virginia Polytech. Inst. and State Univ. Japan Portugal USA email: [email protected] email: jcp.mtted.av.it.pt email: [email protected] JENSHAN LIN Univ. of Florida USA email: [email protected] M. GOLIO, Editor-in-Chief, IEEE Microwave Magazine G. E. PONCHAK, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

RICHARD SNYDER RS Microwave Company USA email: [email protected] RUEY-BEEI WU National Taiwan Univ. Taiwan, R.O.C. email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers JOHN BAILLIEUL, Vice President, Publication Services and Products LEAH H. JAMIESON, President and CEO LEWIS TERMAN, President-Elect PEDRO RAY, Vice President, Regional Activities CELIA L. DESMOND, Secretary DONALD N. HEIRMAN, President, IEEE Standards Association DAVID GREEN, Treasurer PETER STAECKER, Vice President, Technical Activities MICHAEL R. LIGHTNER, Past President JOHN MEREDITH, President, IEEE-USA MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer MATTHEW LOEB, Corporate Strategy & Communications BETSY DAVIS, SPHR, Human Resources RICHARD D. SCHWARTZ, Business Administration ANTHONY DURNIAK, Publications Activities CHRIS BRANTLEY, IEEE-USA JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities CECELIA JANKOWSKI, Regional Activities SALLY A. WASELIK, Information Technology IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $85.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2007 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. Cover photo by Grant Shiroma, used with permission.

Digital Object Identifier 10.1109/TMTT.2007.913526

DECEMBER 2007

VOLUME 55

NUMBER 12

IETMAB

(ISSN 0018-9480)

PART II OF TWO PARTS

SPECIAL ISSUE ON 2007 INTERNATIONAL MICROWAVE SYMPOSIUM

2007 Symposium Issue

Guest Editorial .... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ...... W. Shiroma

2659

MICROWAVE SYMPOSIUM PAPERS

An Electrothermal Model for AlGaN/GaN Power HEMTs Including Trapping Effects to Improve Large-Signal Simulation Results on High VSWR ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... .. .. ..... O. Jardel, F. De Groote, T. Reveyrand, J.-C. Jacquet, C. Charbonniaud, J.-P. Teyssier, D. Floriot, and R. Quéré Design of Bandpass Transversal Filters Employing a Novel Hybrid Structure .. ......... ......... ........ ......... ......... .. .. ..... M. Martínez-Mendoza, J. S. Gómez-Díaz, D. Cañete-Rebenaque, J. L. Gómez-Tornero, and A. Alvarez-Melcon A -Digitized Polar RF Transmitter ... ......... J. Choi, J. Yim, J. Yang, J. Kim, J. Cha, D. Kang, D. Kim, and B. Kim 40-GHz MMIC SPDT and Multiple-Port Bandpass Filter-Integrated Switches . ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ..... S.-F. Chao, C.-C. Kuo, Z.-M. Tsai, K.-Y. Lin, and H. Wang A Ten-Beam -Band Radiometric Receiver Using Custom Designed InP MMICs at Cryogenic Temperatures ....... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... D. Kettle and N. Roddis A 20-W Chireix Outphasing Transmitter for WCDMA Base Stations ... ........ . ........ ... A. Huttunen and R. Kaunisto Development of Sub-Millimeter-Wave Power Amplifiers ........ ......... ........ ..... ..... ......... ........ ...... W. R. Deal, X. B. Mei, V. Radisic, M. D. Lange, W. Yoshida, P. Liu, J. Uyeda, M. E. Barsky, A. Fung, T. Gaier, and R. Lai Human Presence Detection Using Millimeter-Wave Radiometry ......... ........ ......... .. J. A. Nanzer and R. L. Rogers Integrated Heterojunction Bipolar Transistor Optically Injection-Locked Self-Oscillating Opto-Electronic Mixers for Bi-Directional Fiber-Fed Wireless Applications ...... J.-Y. Kim, W.-Y. Choi, H. Kamitsuna, M. Ida, and K. Kurishima

2660 2670 2679 2691 2700 2709 2719 2727 2734

(Contents Continued on Page 2658)

(Contents Continued from Page 2657) 10-Gb/s Optical Fiber Transmission Using a Fully Analog Electronic Dispersion Compensator (EDC) With Unclocked Decision-Feedback Equalization ....... ....... S. Chandramouli, F. Bien, H. Kim, C. Scholz, E. Gebara, and J. Laskar Design of a Power Amplifier Feed-Forward RF Model With Physical Knowledge Considerations ..... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ..... T. R. Cunha, J. C. Pedro, and P. M. Cabral Nonlinear Distortion Analysis of Polar Transmitters ..... ......... ......... .... J. C. Pedro, J. A. Garcia, and P. M. Cabral -Band LTCC Star Mixer With Broadband IF Output Network ......... ........ .... T. Baras, J. Mueller, and A. F. Jacob Spherical Perfectly Matched Absorber for Finite-Volume 3-D Domain Truncation ...... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ... C. Fumeaux, K. Sankaran, and R. Vahldieck Live Electrooptic Imaging System Based on Ultraparallel Photonic Heterodyne for Microwave Near-Fields ... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ....... K. Sasagawa, A. Kanno, T. Kawanishi, and M. Tsuchiya A New Planar Artificial Transmission Line and Its Applications to a Miniaturized Butler Matrix ...... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ .. C.-W. Wang, T.-G. Ma, and C.-F. Yang Design and Analysis of Stacked Power Amplifier in Series-Input and Series-Output Configuration .... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .. M.-F. Lei, Z.-M. Tsai, K.-Y. Lin, and H. Wang A Multigigabit Millimeter-Wave Communication System With Improved Spectral Efficiency .. ........ ......... ......... .. .. ........ ......... ..... V. Dyadyuk, J. D. Bunton, J. Pathikulangara, R. Kendall, O. Sevimli, L. Stokes, and D. A. Abbott A 2.4-GHz Fully Integrated ESD-Protected Low-Noise Amplifier in 130-nm PD SOI CMOS Technology ..... ......... .. .. ........ .. M. El Kaamouchi, M. Si Moussa, P. Delatte, G. Wybo, A. Bens, J.-P. Raskin, and D. Vanhoenacker-Janvier Frequency and Phase Difference Control Using Fractional- PLL Synthesizers by Composition of Control Data ..... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ..... K. Tajima, R. Hayashi, and Y. Isota Substrate Integrated Waveguide-to-Microstrip Transition in Multilayer Substrate ....... ......... ...... Y. Ding and K. Wu Design of H-Bridge Class-D Power Amplifiers for Digital Pulse Modulation Transmitters ...... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... .... T.-P. Hung, J. Rode, L. E. Larson, and P. M. Asbeck A 2-D Phase-Detecting/Heterodyne-Scanning Retrodirective Array ..... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ... M. K. Watanabe, R. N. Pang, B. O. Takase, J. M. Akagi, G. S. Shiroma, and W. A. Shiroma Equivalent-Circuit Models for the Design of Metamaterials Based on Artificial Magnetic Inclusions .. ......... ......... .. .. ........ ......... ......... ........ ......... ......... ... F. Bilotti, A. Toscano, L. Vegni, K. Aydin, K. B. Alici, and E. Ozbay Broadband and Compact Coupled Coplanar Stripline Filters With Impedance Steps .... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ...... N. Yang, C. Caloz, K. Wu, and Z. N. Chen Broadband Dielectric Characterization of Tumorous and Nontumorous Breast Tissues . ......... ........ ......... ......... .. .. ........ ......... ......... ........ ... U. A. Khan, N. Al-Moayed, N. Nguyen, K. A. Korolev, M. N. Afsar, and S. P. Naber RFID Tag and RF Structures on a Paper Substrate Using Inkjet-Printing Technology ... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... L. Yang, A. Rida, R. Vyas, and M. M. Tentzeris Design and Characterization of a -Band Micromachined Cavity Filter Including a Novel Integrated Transition From CPW Feeding Lines .... ........ ......... ......... ........ .... Y. Li, B. Pan, C. Lugo, M. Tentzeris, and J. Papapolymerou Superposition Model for Dielectric Charging of RF MEMS Capacitive Switches Under Bipolar Control-Voltage Waveforms ..... ......... ........ ......... ....... Z. Peng, X. Yuan, J. C. M. Hwang, D. I. Forehand, and C. L. Goldsmith Liquid RF MEMS Wideband Reflective and Absorptive Switches ....... ........ ......... ..... C.-H. Chen and D. Peroulis A 3-D Isotropic Left-Handed Metamaterial Based on the Rotated Transmission-Line Matrix (TLM) Scheme . ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ...... M. Zedler, C. Caloz, and P. Russer An Electronic Dispersion Compensator (EDC) With an Analog Eye-Opening Monitor (EOM) for 1.25-Gb/s Gigabit Passive Optical Network (GPON) Upstream Links ... ......... ......... ........ ......... ......... ........ ......... ......... .. .. ........ .. H. Kim, J. de Ginestous, F. Bien, K.-H. Lee, S. Chandramouli, Y. Hur, C. Scholz, E. Gebara, and J. Laskar Active Integrated Antenna Based on Planar Dielectric Resonator With Tuning Ferroelectric Varactor . ..... O. Y. Buslov, A. A. Golovkov, V. N. Keis, A. B. Kozyrev, S. V. Krasilnikov, T. B. Samoilova, A. Y. Shimko, D. S. Ginley, and T. Kaydanova Coupled Transmission Lines as Impedance Transformer ......... ..... T. Jensen, V. Zhurbenko, V. Krozer, and P. Meincke Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

2740 2747 2757 2766 2773 2782 2792 2802 2813 2822 2832 2839 2845 2856 2865 2874 2887 2894 2902 2911 2919 2930 2942 2951 2957 2966

2007 INDEX OF MTT TRANSACTIONS ...... ......... ........ ......... ......... ........ ..... Available online at http://ieeexplore.ieee.org

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2659

Guest Editorial

T

HIS TRANSACTIONS’ Special Issue contains expanded papers from the 2007 IEEE Microwave Theory and Techniques (IEEE MTT-S) International Microwave Symposium (IMS) held June 3–8, 2007, in Honolulu, HI. A total of 1069 manuscripts from 44 countries were submitted to the IEEE MTT-S IMS Technical Program Committee in December 2006, representing the second highest number of submissions in the 50-year history of the IEEE MTT-S IMS. Of those manuscripts, 523 (a 49% acceptance rate) were accepted for presentation at the IEEE MTT-S IMS 2007 in podium and interactive forum settings. These papers were also published in the IEEE MTT-S 2007 Symposium Digest CD ROM and are available on IEEE Xplore. Each author was then invited to significantly expand their IEEE MTT-S IMS 2007 papers for consideration in this TRANSACTIONS’ Special Issue. After undergoing the same re-

view process as regular papers submitted to this TRANSACTIONS, 34 papers were accepted for publication. The production of this TRANSACTIONS’ Special Issue would not have been possible without the dedication of many volunteers, including 96 members of the IEEE MTT-S IMS 2007 Steering Committee, 232 members of the IEEE MTT-S IMS 2007 Technical Program Committee (chaired by Tatsuo Itoh), numerous reviewers, associate editors, and editors of this TRANSACTIONS who participated in the review and coordination process. And, of course, thanks are also due to all of the authors who continue to advance the state of the art in microwave theory and techniques.

Digital Object Identifier 10.1109/TMTT.2007.910010

0018-9480/$25.00 © 2007 IEEE

WAYNE SHIROMA, IMS 2007 General Chair Department of Electrical Engineering University of Hawaii at Manoa Honolulu, HI 96822 USA

2660

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

An Electrothermal Model for AlGaN/GaN Power HEMTs Including Trapping Effects to Improve Large-Signal Simulation Results on High VSWR Olivier Jardel, Fabien De Groote, Tibault Reveyrand, Jean-Claude Jacquet, Christophe Charbonniaud, Jean-Pierre Teyssier, Didier Floriot, and Raymond Quéré, Senior Member, IEEE

Abstract—A large-signal electrothermal model for AlGAN/GaN HEMTs including gate and drain related trapping effects is proposed here. This nonlinear model is well formulated to preserve convergence capabilities and simulation times. Extensive measurements have demonstrated the impact of trapping effects on the shapes of I(V) characteristics, as well as load cycles. It is shown that accurate modeling of gate- and drain-lag effects dramatically improves the large-signal simulation results. This is particularly true when the output loads deviate from the optimum matching conditions corresponding to real-world simulations. This new model and its modeling approach are presented here. Large-signal simulation results are then reported and compared to load–pull and large-signal network analyzer measurements for several load impedances at high voltage standing wave ratio and at two frequencies.

Index Terms—AlGaN/GaN HEMTs modeling, drain lag, gate lag, large-signal network analyzer, trapping effects.

I. INTRODUCTION

T

HANKS TO their very high breakdown voltages and their high sheet carrier densities, AlGaN/GaN HEMTs are a very promising solution for high-power and high-frequency applications. The progress made on their technology over the last few years now make them usable in systems, and hence, there is a need for electrical models. Many topologies and solutions to extract models are reported in the literature [1]–[4]. Here we propose a complete nonlinear model, including the thermal effects and taking into account the electrical anomalies due to the trapping effects. Indeed, the latter have a strong impact on

the electrical performances of these components, and it is hard today to imagine that they will be completely removed soon, even if solutions exist to reduce them. We will show that modeling the trapping effects dramatically improves the large-signal simulation results, and that this is particularly true when the output loads deviate from the optimum matching conditions. Thus, such designed models can prove interesting for wideband application designs in which devices cannot be optimally loaded on the whole bandwidth, or also to have an idea of the shapes of the load cycles when the devices are submitted to electrical perturbations (in order, for example, to check if destructive operating modes are reached or not). Considering this last point, this model was also designed to be able to reach high compression levels, i.e., the equations used are well formulated to preserve good convergence capabilities. This paper is organized as follows. In Section II, the nonlinear model used is described with particular emphasis on the way trapping effects are taken into account. In Section III, the extraction procedure based on pulsed I(V) and pulsed -parameters is described [5]. It is shown how the time constants of the traps are evaluated, and the results are evaluated for a variety of dc and pulsed I(V) characteristics. In Section IV, classical load–pull measurements, as well as time-domain large-signal measurements, are extensively used to validate the proposed model. Those measurements were performed at two different frequencies, namely, 5 and 10 GHz, and for several load impedances at high voltage standing-wave ratio (VSWR).

II. DESCRIPTION OF THE NONLINEAR MODEL Manuscript received April 10, 2007; revised July 2, 2007. This work was supported in part by the European Defence Agency under Korrigan Workpackage 3.3 (RTP 102.052) and by the Commissariat à l’Énergie Atomique/Direction des Applications Militaires–Île de France under Contract SL-DAM-O4-010. O. Jardel, F. De Groote, T. Reveyrand, J.-P. Teyssier, and R. Quéré are with the XLIM C S –Unité Mixte de Recherche, Centre National de la Recherche Scientifique, Université de Limoges, 19100 Brive, France (e-mail: olivier. [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). J.-C. Jacquet and D. Floriot are with the Alcatel/Thalès III–V Laboratory, 91460 Marcoussis, France (e-mail: [email protected]; [email protected]). C. Charbonniaud is with AMCAD Engineering, ESTER Technopole, 87069 Limoges, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.907141

Fig. 1 shows the topology of the complete equivalent circuit we use to model the devices. All the parts of this schematic are described in the following.

A. Current Source The main current source of the model is described by modand ified Tajima’s equations [3], [4]. Both diodes have classical forms.

0018-9480/$25.00 © 2007 IEEE

JARDEL et al.: ELECTROTHERMAL MODEL FOR AlGaN/GaN POWER HEMTs

2661

Fig. 2. Schematic of the drain-lag model.

Fig. 1. Structure of the nonlinear model used. I ds equation is given in [5] and charge equations are given in [6]. The extrinsic parameters are assumed constant. I ds, I gs, I gd, Rs, and Rd depend on the temperature.

transients to . These transients are related to the capture or the emission of charges by the traps. The drain-lag subcircuit topology, considering only one trap level, is shown at Fig. 2. The gate-lag subcircuit is based on the , and the diode same architecture, but the input voltage is direction and the sign of are changed. The capture time constant is given by as

The equations of the main current source are

The emission time constant is given by

In a mathematical form, the circuit gives

with

and . The equations of the diodes are

B. Trapping Effects The circuits used here to model the gate- and drain-lag effects and the methods to extract their associated parameters have been described in [7]. Such circuits modeling trapping effects are necessary in advanced modeling of HEMTs, and different solutions have been studied, particularly in [8]–[10]. Here, the effect of the traps is presented as a contribution . Indeed, the trapping effects to the command voltage induce that , whereas in the ideal case. In the model presented, is unchanged, but the command voltage is modified to take into account the trapping effects. We then have here and . Thus, these subcircuits, mounted in series between the gate resistance and the current source (cf. Fig. 1), modify the com) by adding mand voltage of the current source (called

where is a fitting factor in Amp . The amplification factor is linked to the amplitude of the trap and we assume that it is linearly dependent on the output , is an estimate of the total current. This current, noted drain current, which is calculated in a very simple fashion, de(linearly or with a tanh-based law), to prepending only on serve the convergence properties of the model. It is then provided by a different source from the one describing the full nonlinear channel current. We will show that this simple description gives, however, accurate results. , Thus, four parameters have to be extracted, i.e., , , and . It should be noted that, by examining the circuit of Fig. 2 and taking into account the dissymmetry between the two time constants, the level of the control voltage is mainly determined by the high level of the drain voltage in pulsed conditions. C. Nonlinear Capacitances Both capacitances and are 1-D [6]. In order to keep for them depending on their own terminal voltages (i.e., and for ), they are extracted along an estimated load line corresponding approximately to the optimum load cycle locus. Modeling them that way is very practical in terms of simplicity of modeling. The impact of such simplification will be quantified in Section III-C.

2662

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

D. Breakdown Model The breakdown is simply described by exponential increases of the gate and drain currents when the breakdown voltage is reached. A soft quasi-exponential function is used to keep an expression well suited for convergence [5] as follows:

with if else

then

Fig. 3. Measurement of a drain-lag related current transient. V gs is kept at a fixed value equal to 6 V, whereas V ds is pulsed from 30 to 20 V. Self-heating effects are negligible in this case. The fit of the transient and the parameters extracted are also displayed.

0

E. Thermal Dependence The self-heating effects have an exponential behavior versus time when the power changes abruptly and, hence, can be reproduced by RC cells in an electrical simulator. The input of the circuit is the dissipated power calculated in the following way:

The output of the thermal circuit is a voltage corresponding to the temperature elevation. By adding the room temperature to this voltage, we obtain the device temperature. The measurements show that the calculated temperature has and [5], the an impact on the current source parameters and , the ideality factors values of the access resistances and ) of the diodes and linearly, and on the ( saturation currents ( and ) of the diodes exponentially. Thus, we have

where

represents

,

where

represents

or

,

,

,

, or

.

III. PULSED I–V AND PULSED -PARAMETERS CHARACTERIZATIONS: EXTRACTION OF THE PARAMETERS The measurements were performed on a 600- m 8 75 0.25 m Al Ga N/GaN HEMT from Thalès–Tiger grown by MOCVD on an SiC substrate.

exponential terms due to self-heating during the measurements. That means that the thermal state of the device needs to remain: 1) the same before and during the pulse and 2) close to the one of the bias point of the spotted application because of the thermal dependence of the emission time constants. In order to obtain the drain-lag related emission time conwas pulsed from 30 to 20 V and fixed close stants, to pinchoff in order to obtain a very low drain current to avoid thermal effects (the dissipated power variation is less than 0.05 W), and the temperature of the chuck was set to 110 C in order to make the device work approximately in the same thermal state as for the foreseen application in class AB. The current transient, and also the curve modeling it by a sum of two exponential terms, are shown at Fig. 3. The main extracted parameters are also displayed on this figure. Gate-lag related emission time constants are less precisely obtained, thermal transients being harder to avoid during measurements. We pulse the gate–source voltage in a short range with equal to . This implies that near of the temperature is close to that of the application. The capture time constants are not extracted from measurements, as they are too fast to be measured in this case, and generally in most devices. However, it is worth noting that the key point to obtain good results in continuous wave (CW) largesignal applications is the asymmetry between short capture time constants and large emission time constants. Thus, the values are fixed to a few nanoseconds.

A. Trapping Circuits Parameters

B. Pulsed I–V Measurements and Current Sources Parameters Extraction

The parameter extraction is obtained by measuring the current transients during voltage pulses for which traps emit their charges. The form of these transients can be fitted by a few exponential terms. In the current case, two terms (i.e., two trapping levels) were chosen for both gate- and drain-lag circuits. This choice represents a tradeoff between the accuracy of the fit and the complexity of the circuit. However, it is not worth obtaining an excellent fit, as the trapping time constants strongly depend on the temperature, which is not taken into account in the model. On the other hand, it is important to avoid “parasitic”

In order to obtain the static characteristics of the device and to extract the current source parameters, pulsed I–V measurements are performed at the room temperature. The current source parameters are extracted from pulsed I–V measurements at a quiV and V (case escent bias point of 1). This quiescent bias point is chosen in order to: 1) obtain a quasi-isothermal measurement as pulses are very short (400 ns) and 2) to avoid trapping effects. Indeed, for this quiescent bias point configuration, the classical I–V curves measurement imis pulsed down and is pulsed up. Hence, the plies that

JARDEL et al.: ELECTROTHERMAL MODEL FOR AlGaN/GaN POWER HEMTs

gs 0 gs0 = 0 ds0 = 0 gs0 = 08 gs0 = 08 ds0 = 0 gs0 = 08 ds0 = 20

Fig. 4. 400-ns pulsed-IV measurements (with V from 8 to 1 V) on the 8 75 m transistor at three different quiescent bias points. (left) V V and V V and V V (case 1): continuous lines; V V (case 2): dashed lines. (right) V V and V V (case 2): V (case 3): dashed lines. continuous lines; V V and V The differences on the left are attributed to gate-lag effects, whereas they are attributed to drain-lag effects on the right.

2

ds0 = 0

gate- and drain-lag related traps are filling. Considering that this filling phenomenon is very fast compared to pulse duration, the traps state is given by the voltage levels during the pulses. The parameters of the diodes and the breakdown generator are also extracted from this measurement configuration. Other quiescent bias point choices allow us to observe the trapping effects and even to separate gate- and drain-lag effects. Such types of measurements will also be useful to validate the trapping circuit parameters extraction. We can focus on the two following configurations [11]. V 1) If the quiescent bias point is, for example, V (case (when the device is pinched off) and 2), the gate– and drain–source voltages are pulsed up during the measurement. Hence, the traps commanded by drain–source voltage are filling (fast), and the traps commanded by gate–source voltage are emptying (slowly). We can conclude that inside the pulse, the traps commanded by gate–source voltage remain in the state they had at quiescent bias point, and the state of the traps commanded by the drain–source voltage has changed and is now given by the drain–source voltage level inside the pulse. V 2) If the quiescent bias point is, for example, V (case 3), the drain–source (pinched off) and voltage is pulsed down for the measurement points where V and pulsed up for V. Considering that the traps’ discharge is very slow compared to the duration of the pulses, the state of the traps inside a pulse V, and that of is that of the quiescent bias point for the drain–source voltage for V. Superimposed on Fig. 4 are three pulsed I(V) networks corresponding to the three cases of quiescent biases described earlier. The current is approximately 1.25 A/mm. We note that the current dispersion, for this device, is mainly due to the gate-lag effects. The drain-lag effects induce an increase of the knee voltage, especially manifest at low current. This device presents an unusual behavior of the drain lag, as V than the saturation current is higher when V. However, the difference is weak and when was not observed on the other measured devices.

2663

gs0 = 0 ds0 = 0 gs from

Fig. 5. Measured and simulated pulsed I–V networks at V ,V and at V V, V V on the 8 75 m transistor for V 8 to 1 V (continuous lines: measurements, squares: simulations).

0

gs0 = 08 +

ds0 = 20

gs

2

gd

Fig. 6. 1-D nonlinear forms of C and C with equations based on hyperbolic tangents. The points correspond to values extracted along the estimated load cycle, the lines correspond to the model.

It has to be noted that we took care to maintain the output current equal to 0 A at the quiescent bias points and that we chose the length of the pulses as short as possible to avoid thermal effects and their influence on output current. The simulated pulsed I–V characteristics are shown and compared to measurements at Fig. 5. We note that the proposed model can take into account the value of the quiescent bias, thus dramatically increasing the range of validity of the model. Howvoltages (around ever, small discrepancies remain at high V). This can be due to: 1) the relative simplicity of the trapping circuits and/or 2) to a slight difference between several measured devices. C. Pulsed -Parameters Measurements and Frequency-Dependent Parameters Extraction The pulsed -parameters are measured for each point of the I(V) networks [5]. The extrinsic parameters are extracted from these -parameters and then the intrinsic elements are calculated for each measured point. This multibias -parameters exand for the traction gives, in particular, the values of points measured along the estimated load line. Very precise fits are obtained along the latter with tanh-based equations [6], as is shown at Fig. 6. The errors made by using such 1-D forms are shown on Fig. 7 on a whole I–V network. These errors remain weak on a large , while they rapidly increase for low values of area for for . However, those capacitance models ensure a very robust behavior of the model even at high compression levels. , , , and , are kept Other intrinsic parameters, i.e., constant (18.5 , 0.65 , 139 fF, and 1.88 ps , respectively).

2664

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

gs

Fig. 7. Error made on capacitance values by using 1-D equations. (left) C . (right) C . From black to light gray points, error is respectively inferior to 2.5%, between 2.5%–5%, between 5%–10%, between 10%–15%, and superior to 15%. The dashed lines represent the estimated load lines.

gd

Fig. 8. Measured and modeled pulsed S -parameters at a quiescent bias point V, V V and a pulse level at V of V V, V V on the 8 75 m transistor (measurements: points, model: continuous lines).

24

gs0 = 04 ds0 = 25 2

gs0 = 04

ds0 =

Fig. 9. Extraction of the thermal impedance versus time of the modeled device. Simulations are performed with a 3-D model of the transistor in the software ANSYS. The points correspond to the simulated self-heating for a dissipated power of 7 W/mm, the continuous lines corresponds to the values given by the electrical model with five RC cells.

Fig. 10. Thermal dependence of parameters of the model: the access resis, and the tances Rs and Rd, the parameter of the Tajima’s current source I ideality factors of the diodes N and N , linearly dependent on the temperature; the saturation currents of the diodes, exponentially dependent on the temperature (points: extracted values, continuous lines: model, excepted for N (dashed line).

gs

dss

gd

gd

Fig. 8 shows a comparison between measured and modeled -parameters at the bias point for a characterization at a quiescent bias point equal to the bias point of the spotted application. D. Thermal Circuit Parameters and Thermal Dependence The thermal circuit is obtained by fitting the hot spot temperature transient obtained by 3-D finite-element simulations. Fig. 9 shows the self-heating obtained by applying a dissipated power of 7 W/mm, and also the fit obtained by modeling it with five RC cells. Measurements have been performed on these devices to confirm the values obtained from the 3-D simulation and a relatively good agreement has been found for the thermal resistance. However, time constants of trapping and thermal effects mix together and are very difficult to separate. Thus, we relied on the 3-D simulation (validated in [12]) to derive the dynamical thermal subcircuit, as shown at Fig. 9. Note: Fig. 9 shows that the self-heating is not negligible after 400 ns, which corresponds to the typical pulse duration during pulsed I(V) measurements. However, it is difficult to obtain shorter pulses considering the voltage and current ranges applied. Moreover, the temperature modeled is that of the point located at the center of the channel, having the fastest heating.

gs

0

+

Fig. 11. Measured and modeled dc I(V) curves for V from 8 to 1 V. The modeled breakdown locus is also showed (measurements: crosses, model: continuous lines).

The thermal dependence of the current source parameters is obtained by fitting quasi-isothermal pulsed I(V) networks measured at several chuck temperatures (typically from 60 C to 200 C): the values of most parameters remain the same, but they have to be changed for some of them (cf Section II-E). The variations obtained in this case are shown at Fig. 10. A comparison between dc I(V) measurements and simulations is given at Fig. 11 (the modeled breakdown locus is also

JARDEL et al.: ELECTROTHERMAL MODEL FOR AlGaN/GaN POWER HEMTs

Fig. 12. Measured and modeled load impedances at 10 GHz on the 8 transistor. The VSWR values are calculated with respect to Z opt.

2665

2 75 m

Fig. 13. Measured (crosses) and modeled average output current in different conditions: by taking into account any trapping effect, by modeling only gate lag, by modeling only drain lag, and by modeling gate and drain lag, at the optimum load impedance.

shown). The quite good correlation validates the method of thermal modeling, despite the approximations made: the “hot spot” temperature is not fully representative of the temperature of the transistor, but extracting it from the simulator in order to model the self-heating is the most practical way in terms of easiness and time. Moreover, and for example, we remarked that it is not worth modeling the thermal impedance of several representative points in the device structure [13] (in the access and , in the active area for the other paramareas for eters), as the gain in precision on the electrical simulations is negligible, even if it allows knowing more precisely the temperature at each of these points. IV. VALIDATION OF THE MODEL AGAINST LARGE-SIGNAL MEASUREMENTS: SIMULATIONS ON SEVERAL LOAD IMPEDANCES Load–pull measurements were performed at a drain bias of 25 V (dc) in class AB and at 10 GHz (CW) for several load impedances, shown at Fig. 12. These load impedances correspond to a VSWR of 2.5, except for a point at a VSWR of 1.6 (load 4). A. Load–Pull Measurements/Simulations on the Optimum Load Impedance First, large-signal simulations were performed on the optimum load impedance (load 1 in Fig. 12). A maximum power of 2.5 W (4 W/mm) was measured with a small-signal gain of 15.5 dB and a maximum power-added efficiency (PAE) of 39%. The comparison between measurements and simulations is given at Figs. 13 and 14, and demonstrates the improvement brought by the new model. The impact of trapping effects on the

Fig. 14. Measured and modeled power characteristics (gain, output power, PAE, magnitude and phase of the input reflection coefficient, versus input power (measurements: points, simulations: continuous lines) at the optimum load impedance at 10 GHz.

average current is particularly obvious, and both effects have an influence on it. The current decrease with increasing power is a significant consequence of the asymmetric nature of the traps capture and emission processes and cannot be attributed to the thermal effects, which are also modeled. Indeed, the traps and , which state is determined by the peak values of increase when the input power increases. B. Load–Pull Measurements/Simulations on Output Impedance Mismatches The large-signal simulation results on other load impedances are shown in Fig. 15 in the numbering order given in Fig. 12. These results of simulations with trapping effects included are better for all the impedances contouring the optimum load, and especially the mean output current and PAE. They validate the fact that the model is able to reproduce the large-signal characteristics on a wider range of load impedances when the trapping effects are taken into account. We can note that the influence of the trapping effects on the output current depends on the load impedance and, therefore, on the forms of the load cycles and voltages excursions. On and , the trapping effects are significant, leading to bad behavior of the model without trapping subcircuits. On and particularly on , the trapping effects have less influence (the current do not decrease strongly before the compression), and the characteristics could be fairly well reproduced without modeling the trapping effects. However, these cases are isolated, and the characteristics are all better reproduced when the trapping effects are taken into account, even if they are quite simply modeled.

2666

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 15. Comparison between measurements and simulations for the load impedances 2–6 with the model including trapping effects and the model not including them (crosses: measurements, continuous lines: model with trapping effects, dashed gray lines: model without trapping effects).

It has to be noted that the input reflection coefficient ( ) is also better modeled when the trapping effects are taken into account. This is important to predict instabilities or to adapt the devices inputs. This proves that modeling the traps is very important for these types of devices, as the models which do not include the trapping effects show their limitations when the load impedance is modified. This is all the more true as the transistors mounted in

amplifiers cannot be loaded on the optimum impedance in many cases. An example is the case of wideband amplifiers, for which the matching is often chosen for the most critical frequency in terms of power, and represents a compromise in order to meet the specifications on the entire bandwidth. Another example is the case of systems terminated by antennas, which can receive RF power and then transmit it to the outputs of the transistors, thus modifying their load impedances. Such behavior deserves a

JARDEL et al.: ELECTROTHERMAL MODEL FOR AlGaN/GaN POWER HEMTs

Fig. 16. Measured and modeled load impedances at 5 GHz on the 8 transistor. The line delimits the stability area at 5 GHz.

2667

2 75 m

Fig. 18. Comparison between measurements and simulations (with and without trapping effects included) of the extrinsic input and output load cycles at load impedance 2 (Z = 130 + j:125) at 4.6-dB compression for the top graphs, and at load impedance 3 (Z = 27 + j 84) at 7-dB compression for the bottom graphs (crosses: measurements, continuous lines: simulations with trapping effects, dashed lines: simulations without trapping effects).

Fig. 17. Comparison between measurements and simulations (with and without trapping effects included) at the optimum load impedance (Z = 47:7 + j:43:5). Waveforms are shown at 5-dB compression (crosses: measurements, continuous lines: simulations with trapping effects, dashed lines: simulations without trapping effects).

more detailed analysis, which can be performed through simulations and time domain load–pull measurements, as presented in Section V. V. LARGE-SIGNAL NETWORK ANALYZER (LSNA) MEASUREMENTS AND SIMULATIONS ON SEVERAL LOAD IMPEDANCES Load–pull measurements with an LSNA [14], [15] were performed at 5 GHz on a transistor of the same process and the same development, but fabricated on another wafer. The model was, however, unchanged and simulations were performed in the same conditions as for measurements. Our LSNA load–pull setup allows reconstructing the time-domain waveforms with a cutoff frequency of 20 GHz. Thus, we are able to measure the four first harmonics at 5 GHz, which allows a good precision on the waveforms even at high compression levels. Measurements have been performed on several load impedances, located on a Smith chart in Fig. 16 and numbered from 1 to 4. Optimum load could not be encircled, as the device became unstable (the simulated stability circle at 5 GHz is also shown). From Figs. 17–19, results of simulations are compared to these measurements and will be commented upon. On the optimum load impedance (Fig. 17), we note that both models (with and without trapping effects) give good power results, except for the dc output current form, where the model with traps gives better results. However, the waveforms (here at 5-dB compression) are better described when trapping effects are activated.

Fig. 19. Comparison between measurements and simulations (with and without trapping effects included) of the dc output current and the extrinsic output load cycle at load impedance 4 (Z = 48:1+ j:9:6) at 8-dB compression (crosses: measurements, continuous lines: simulations with trapping effects, dashed lines: simulations without trapping effects).

In Fig. 18, the measured and simulated input and output extrinsic load cycles are shown for load impedances 2 and 3 (at 4.6- and 7-dB compression, respectively). We remark that the input load cycles are better described when trapping effects are activated. It shows particularly well the importance of the caand on the extrinsic waveforms at the input: pacitances these are swept differently, as the output current is better modeled when trapping circuits are activated. The output load cycles are also better described, but we can note that the maximum is never reached by the models, which may be due value of to the relative imprecision of the current source at low current, and the strong impact of the trap levels on the buffer conduction at pinchoff. In Fig. 19, the measured and simulated (with and without trapping effects) dc output current and output load cycle for load impedance 4 are shown. Measurements were driven at a very high level of compression (8 dB). It is interesting to note that the model with activated trapping effects is able to simulate quite well the output load cycle (and particularly the increase of the knee voltage due to the trapping effects), and the abrupt collapse of the dc current when the input power reaches 20 dBm. VI. CONCLUSION A nonlinear model for AlGaN/GaN HEMTs was proposed especially for high power amplifier design, and then the most im-

2668

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

portant nonlinearities, i.e., the nonlinear capacitances and , thermal effects, and trapping effects were taken into account. They have been described in a simple fashion in order to keep a good tradeoff between the accuracy of the simulation results and the convergence, simulation times, and extraction procedure duration. We have demonstrated here that including trapping effects in a nonlinear model has a significant impact on large-signal results, especially the output loads that deviate from the optimum matching conditions. The design of the lag subcircuits has two main advantages: only one parameter has to be changed to reproduce the variations of the lag amplitudes, as it is often the case among several transistors of a wafer, and adding them do not require modifying the topology of the main current source. Hence, they can be separately implemented in other nonlinear models. Many simplifications were done in the description of the trapping effects, and the most interesting way of improvement seems to take into account the thermal dependence of the trapping time constants, and to describe the amplitude of the traps as not only depending on the current. However, these improvements should be reserved for specific studies, as they will undoubtedly have a strong impact on the convergence of the model. The simulations performed with this model are fast, and we did not notice convergence problems. Activating/deactivating the lag subcircuits multiplies the simulation times in harmonic balance by an approximate factor of 1.5. ACKNOWLEDGMENT The authors wish to acknowledge the European Defense Agency (EDA), Brussels, Belgium, and the Commissariat à l’Énergie Atomique/Direction des Applications Militaires (CEA/DAM)–Île de France, Bruyère-le-Châtel, France, and Dr. D. E. Root, Agilent Technologies, Santa Rosa, CA, for his valuable advice and comments. REFERENCES [1] I. Angelov, V. Desmaris, K. Dynefors, P. Å. Nilsson, N. Rorsman, and H. Zirath, “On the large-signal modelling of AlGaN/GaN HEMTs and SiC MESFETs",” in Gallium Arsenide and Other Semiconduct. Applicat. Symp., Oct. 3–4, 2005, pp. 309–312. [2] T. J. Brazil, “A universal large-signal equivalent circuit for GaAs MESFET,” in Proc. 21st Eur. Microw. Conf., Stuttgart, Germany, 1991, pp. 921–926. [3] Y. Tajima and P. D. Miller, “Design of broad band power GaAs FET amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 3, pp. 261–267, Mar. 1984. [4] J. P. Teyssier, J. P. Viaud, and R. Quéré, “A new nonlinear I(V) model for FET devices including breakdown effects,” IEEE Microw. Guided Wave Lett., vol. 4, pp. 104–106, Apr. 1994. [5] J. P. Teyssier, P. Bouysse, Z. Ouarch, D. Barataud, T. Peyretaillade, and R. Quéré, “40-GHz/150-ns versatile pulsed measurement system for microwave transistor isothermal characterization,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2043–2052, Dec. 1998. [6] S. Forestier, T. Gasseling, P. Bouysse, R. Quéré, and J. M. Nebus, “A new nonlinear capacitance model of millimeter wave power PHEMT for accurate AM/AM–AM/PM simulations,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 1, pp. 43–45, Jan. 2004. [7] O. Jardel, F. De Groote, C. Charbonniaud, T. Reveyrand, J. P. Teyssier, R. Quéré, and D. Floriot, “A drain-lag model for AlGaN/GaN power HEMTs,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, pp. 601–604.

[8] M. S. Shirokov, R. E. Leoni, III, J. Bao, and J. C. M. Hwang, “A transient SPICE model for digitally modulated RF characteristics of ion-implanted GaAs MESFETs,” IEEE Trans. Electron Devices, vol. 47, no. 8, pp. 1680–1681, Aug. 2000. [9] K. Kunihiro and Y. Ohno, “A large-signal equivalent circuit model for substrate-induced drain–lag phenomena in HJFET’s,” IEEE Trans. Electron Devices, vol. 43, no. 9, pp. 1336–1342, Sep. 1996. [10] W. R. Curtice, J. H. Benett, D. Suda, and B. A. Syrett, “Modeling of current lag in GaAs IC’s,” in IEEE MTT-S Int. Microw. Symp. Dig., 1998, vol. 2, pp. 603–606. [11] C. Charbonniaud, S. De Meyer, R. Quéré, and J. P. Teyssier, “Electrothermal and trapping effects characterization of AlGaN/GaN HEMTs,” in 11th GAAS Symp., Munich, Germany, Oct. 6–7, 2003, pp. 201–204. [12] R. Aubry, J. C. Jacquet, J. Weaver, O. Durand, P. Dobson, G. Mills, M. A. Di Forte Poisson, S. Cassette, and S. L. Delage, “SThM temperature mapping and non-linear thermal resistance evolution with bias on AlGaN/GaN HEMT devices,” IEEE Trans. Electron Devices, vol. 54, no. 3, pp. 385–390, Mar. 2007. [13] J. C. Jacquet, R. Aubry, H. Gérard, E. Delos, N. Rolland, Y. Cordier, A. Bussutil, M. Rousseau, and S. L. Delage, “Analytical transport model of AlGaN/GaN HEMT based on electrical and thermal measurement,” in Proc. 12th GaAs Symp., Amsterdam, The Netherlands, Oct. 11–15, 2004, pp. 235–238. [14] F. De Groote, O. Jardel, J. Verspecht, D. Barataud, J. P. Teyssier, and R. Quéré, “Time domain harmonic load–pull of an AlGaN/GaN HEMT,” presented at the 66th ARFTG, Washington, DC, Dec. 2005. [15] D. Barataud, C. Arnaud, B. Thibaud, M. Campovecchio, J. M. Nebus, and J. P. Villotte, “Measurements of time-domain voltage/current waveforms at RF and microwave frequencies based on the use of a vector network analyzer for the characterization of nonlinear devices-application to high efficiency power amplifiers and frequency-multipliers optimization,” IEEE Trans. Instrum. Meas., vol. 47, no. 5, pp. 1259–1264, Oct. 1998.

Olivier Jardel was born in Poitiers, France, in November 1981. He received the Engineer degree from the Aix-Marseille I University, Marseille, France, in 2004, and is currently working toward the Ph.D. degree in electrical engineering at the common laboratory between the XLIM Laboratory, Université de Limoges and Alcatel/Thalès III–V Laboratory, France. His main research interests include the characterization and modeling of semiconductor microwave power devices and their related electrical defects.

Fabien De Groote was born in Limoges, France, in 1981. He received the Master Research degree and Engineer degree from the Université de Limoges, Limoges, France, both in 2004, and is currently working toward the Ph.D. degree at Université de Limoges. He is currently with the XLIM Laboratory, High Frequency Components Circuits Signals and Systems Department, Université de Limoges. His main interests are nonlinear time-domain analysis and pulsed power measurements of microwave active devices.

Tibault Reveyrand was born in Paris, France, in 1974. He received the Ph.D. degree from Université de Limoges, Limoges, France, in 2002. From 2002 to 2004, he was Post-Doctoral Scientist with the Centre National d’Etudes Spatiales (CNES) (French Space Agency). In 2005, he became a Contractual Engineer with the Centre National de la Recherche Scientifique (CNRS), XLIM [formerly the Institut de Recherche en Communications Optiques et Microondes (IRCOM)], Limoges, France. His main research interests include the characterization and modeling of RF and microwave nonlinear components.

JARDEL et al.: ELECTROTHERMAL MODEL FOR AlGaN/GaN POWER HEMTs

Jean-Claude Jacquet received the Engineer degree from the Ecole Supérieure d’Optique, Orsay, France, in 1990. He subsequently joined the Central Research Laboratory of Thalès, as a Research Staff Member, where he was involved with spintronic devices. He focused his efforts on the giant magnetoresistive effect and found a new physical effect called the magnetorefractive effect. Since 1999, he has been involved with GaInP HBT and GaN HEMT microwave power devices. He is in charge of the optimization of thermal and breakdown aspects with the Alcatel/Thalès III–V Laboratory, Marcoussis, France. He is also involved in vacuum cold electrons emission projects.

Christophe Charbonniaud was born in Limoges, France, in 1972. He received the Master Research degree from Université de Limoges, Limoges, France, in 2001, and the Ph.D. degree from the Research Institute on Microwave and Optical Communications XLIM [formerly the Institut de Recherche en Communications Optiques et Microondes (IRCOM)], Université de Limoges, in 2005. He is a cofounder of AMCAD Engineering, ESTER Technopole, Limoges, France, where he is involved with power devices measurements and modeling.

Jean-Pierre Teyssier was born in Brive, France, in 1963. He received the Master and Ph.D. degrees from the Université de Limoges, Limoges, France, in 1990 and 1994, respectively. Since 1995, he has been a Researcher with the XLIM [formerly the Institut de Recherche en Communications Optiques et Microondes (IRCOM)] Laboratory and Teacher with Université de Limoges, Limoges, France. His research topics include computer science, programming (C ), database (SQL), embedded systems, real time systems, and data networks. His main research interests include RF nonlinear measurements, designs of new time-domain and pulsed systems, embedded software for bench equipments, bench control, and modeling software.

++

2669

Didier Floriot was born in Paris, France, in 1967. He received the Electrical Engineering degree from Supelec, Gif-sur-Yvette, France, in 1992, and the M.S. and Ph.D. degrees from Paris VI University, Paris, France, in 1993 and 1995, respectively. He then joined the Thalès Research Center, where he was involved with the development of the power InGaP/GaAs HBT technology for radar and space applications and on the integration of this technology inside demonstrators. He has also been involved with the electrical robustness, reliability aspects, and thermal management of this technology for high-power applications. He is currently Team Leader with the Alcatel/Thalès III–V Laboratory, Marcoussis, France, a joint research group involved with III–V optomicrowave devices and power semiconductors. His fields of interest cover modeling, characterization, and design of power devices including III–V and III–N semiconductors. He is Scientific Advisor for Thalès on the integration of new technologies inside radar programs. He is also Co-Director of the common laboratory between Alcatel/Thalès III–V Laboratory and the XLIM [formerly the Institut de Recherche en Communications Optiques et Microondes (IRCOM)] Laboratory, Centre National de la Recherche Scientifique (CNRS), where his research is focused on the modeling and design of high nonlinear devices.

Raymond Quéré (M’88–SM’99) received the Electrical Engineering degree and French agrégation degree in physics from ENSEEIHT-Toulouse, Toulouse, France, in 1976 and 1978, respectively, and the Ph.D. degree in electrical engineering from the University of Limoges, Brive, France, in 1989. In 1992, he became a Full Professor with the University of Limoges, where he currently heads the research group on high-frequency nonlinear circuits and systems with the Institut de Recherche en Communications Optiques et Microondes (IRCOM), Centre National de la Recherche Scientifique (CNRS), Toulouse, France. He is mainly involved in nonlinear modeling and design of microwave devices and circuits. He is involved in a number of Technical Program Committees and serves as a reviewer for several journals. Dr. Quéré was appointed general chairman of European Microwave Week, Paris, France, in 2005.

2670

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Design of Bandpass Transversal Filters Employing a Novel Hybrid Structure Mónica Martínez-Mendoza, Juan Sebastián Gómez-Díaz, David Cañete-Rebenaque, Student Member, IEEE, Jose Luis Gómez-Tornero, Member, IEEE, and Alejandro Alvarez-Melcon, Senior Member, IEEE

Abstract—A novel structure for the implementation of compact transversal bandpass filters is proposed in this paper. This new proposal consists of a hybrid structure, based on the combination of two different technologies: the waveguide and microstrip. It is shown that the novel hybrid microwave filter is able to implement both a second- and third-order filtering function with up to two or three transmission zeros, respectively. In this way, a practical implementation of a fully canonical transversal filter with a third degree response is for the first time directly achieved. In addition, the way to control the positions of transmission zeros with the new technology is treated in this paper. It is shown that symmetric or asymmetric responses and also filtering functions with a complex pair of transmission zeros can be easily obtained. To demonstrate the validity of this novel structure, several implementation examples are presented. A prototype has been designed, manufactured, and successfully tested, showing the practical validity of the new structure. Index Terms—Bandpass filters, coupling matrices, resonator filters, synthesis techniques, transmission zeros, transversal filters.

I. INTRODUCTION HE INTEREST in the implementation of transmission zeros at precise frequencies in microwave filters arose as a direct consequence of the need to reject unwanted signals. For this purpose, several techniques and different filter topologies have been developed in the last few decades. The introduction of cross-couplings between nonadjacent resonators in the coupling scheme of the filter has been the design method traditionally used to achieve this goal [1]. Nevertheless, in more recent contributions, alternative schemes for microwave resonator filters have also been proposed [2]. One of the most outstanding proposed topology was the transversal filter structure, whose coupling matrix can be directly synthesized using the technique presented in [3]. This structure differs from traditional ones in the fact that multiple input/output couplings are allowed. In addition, no coupling between resonators is introduced. Furthermore, fully canonical filtering functions may be synthesized if a direct coupling between the source and load is introduced. With this fully transmission zeros can canonical transversal configuration,

T

Manuscript received April 18, 2007; revised July 19, 2007 and August 8, 2007. This work was supported by the Centro de Investigación Científica y Tecnológica under Spanish National Project TEC2004-04313-C02-02/TCM and under Regional Seneca Project 02972/PI/05. The authors are with the Telecommunications and Electromagnetics Group, Technical University of Cartagena, Cartagena E-30202, Spain (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909613

be implemented with an th-degree filtering function for maximum selectivity. Several practical implementations of transversal filters have been proposed in the last years. Different examples in printed and waveguide technology can be found in [4] and [5]. However, the practical implementation of fully transversal topologies is difficult when the order of the filter is high. This is because of the special routing scheme of transversal filters, where couplings from all the resonators to the input/output ports must be implemented, while at the same time inter-resonator couplings must be avoided. This difficulty has limited the practical implementation of transversal topologies to filters of second order [4]–[6]. When higher order filters are needed, rotations of the transversal coupling matrix are applied to elimoriginal inate undesired couplings or to create new couplings between resonators [3], [7]. When the use of rotations is not possible to achieve a given desired topology, one can still resort to optimization techniques applied to the coupling matrix entries [8]. Higher order filters can also be designed by cascading several sections of second-order transversal filters [5], [9]. Following the fast development of transversal filters, a new filtering structure has been recently proposed in [10]. The special feature of the novel proposal is that a waveguide resonance is combined with a microstrip resonance to implement a second-order transversal filter; therefore, the given name of hybrid waveguide–microstrip technology. It was shown that the structure is able to implement a second-order transversal filter with direct coupling whose topology is known as the modified doublet [6]. This hybrid microwave filter combines, for the first time, the waveguide and microstrip technologies in a unique filter. The main advantage of the novel topology is that it exploits the benefits of both technologies, thus obtaining a very compact design. This paper is focused on the further development of transversal microwave filters in hybrid waveguide-printed technology. New examples using the second-order structure are presented, showing the capability of the structure to control the position of the two transmission zeros. Besides, measured results of a second-order manufactured prototype are presented for validation. Finally, using the hybrid technology concept, a novel implementation of a third-order fully canonical transversal filter is proposed. For the first time, it is shown that, using the hybrid technology, a very compact structure can be used for the implementation of third-order transversal filters. The third-order filter, which can be directly implemented with the new structure, follows the coupling scheme shown in Fig. 1. Note that since this corresponds to a fully transversal topology, the direct synthesis technique presented in [3] can be used. Therefore, similarity transformations or optimization

0018-9480/$25.00 © 2007 IEEE

MARTÍNEZ-MENDOZA et al.: DESIGN OF BANDPASS TRANSVERSAL FILTERS EMPLOYING NOVEL HYBRID STRUCTURE

Fig. 1. Coupling scheme of a third-order fully canonical transversal filter. J –J represent the couplings between source S , load L, and the resonators. M represents direct coupling from source to load.

TABLE I COUPLING MATRIX OF THE MODIFIED DOUBLET. FROM [10]

techniques are not needed. To the authors’ knowledge, this is the first time that a practical implementation of a fully transversal filter of order 3 is proposed. In addition, this paper describes how the transmission zeros of the filter can be controlled using the different parts of the hybrid structure. In this way, both symmetric and asymmetric responses for maximum selectivity above and/or below the passband can be easily synthesized. We also show how pairs of transmission zeros can be placed in the complex plane to achieve a tradeoff between selectivity and group delay equalization. The behavior of the structures is illustrated with several implementation examples, highlighting the usefulness of the novel topology. II. DESIGN AND STRUCTURE DESCRIPTION The hybrid structure under study is able to implement either a second- or third-order filter. The necessary theory to understand the behavior of the second-order filter, which implements the so-called modified doublet, was detailed in [10]. In that study, the basic hybrid structure that implements the modified douof the second-order blet was described. The coupling matrix scheme is known to be of the form shown in Table I. In the hybrid structure, the open line microstrip resonator in of the modified douthe printed circuit behaves as resonator blet, whereas an longitudinal section magnetic (LSM) mode excited in the partially filled waveguide behaves as resonator (see [10]). This mode is TM with respect to the direction normal to the dielectric ( -axis), and is also known as a hybrid LSM mode with respect to the -axis when studying partially filled waveguides [11]. On the other hand, it is an established fact that for the modified doublet one of the four couplings must be negative. The change in sign of the electric field associated to the LSM mode, from the input to the output port, is responsible for this negative coupling, as recognized in [10]. However, it is possible to design the printed resonator to act as a simple half-wavelength open microstrip resonator since no change in sign is re. quired for The design procedure to implement a fixed filtering function using the hybrid structure can be carried out by following the

2671

idea of separating the design task into several simpler tasks, which was first introduced in [12]. In this way, the value of each dimension of the structure to implement the desired coupling transversal terms can be obtained. First, we compute the matrix associated with a fixed second-order filter. Next, using coupling matrix, i.e., the coupling terms of the above , the prototype de-normalization the impedance inverters process (see, e.g., [13]) is applied. This process allows us to obtain the values of the required resonant frequencies of each . The resonator in asynchronously tuned filters de-normalization process also leads to the values of the required of each resonator. Once these external quality factors values are known, the different resonators in the structure can be isolated to synthesize the required coupling elements. Specifically, we first look for the required frequency response of the resonant LSM mode. To do this, we eliminate the printed line microstrip resonator, and then we adjust the port lengths and , and the waveguide width in order to achieve the required external quality factor and resonant frequency, respectively. Once the LSM resonance has been synthesized, the next step is to look for the required frequency response of the printed line microstrip resonator. Thereby we add the central microstrip line again and eliminate the presence of the other resonance. To do so, we detune it by setting the waveguide width to a larger value, while we adjust the microstrip line resonator. Now we and the coupling gaps and can modify the line length in order to obtain the required resonant frequency and external quality factor, respectively. Once the resonators have been individually synthesized, they are put together to verify that we obtain the desired filtering function inside the passband. However, the transmission zeros will probably not be located at the specistill needs fied frequencies since the direct coupling term to be adjusted. To synthesize it, several iterations of the algorithm just described must be carried out, varying the dimensions and (the dielectric thickness and height of the cavity), until the transmission zeros are placed at the right locations. For the examples synthesized in this paper, we have observed that two or three iterations are usually enough to adjust the positions of the transmission zeros. In the following, we propose to apply similar concepts for the synthesis of third-order fully canonical transversal filters using this hybrid waveguide–microstrip structure. The coupling routing of a third-order fully canonical transversal filter is sketched in Fig. 1. It consists of the input and output lines, which are coupled in a shunted configuration to three resonators at the same time. The hybrid structure used to implement this topology is shown in Figs. 2 (lateral view) and 3 (top view). It can be seen that the basic waveguide structure is the same as in the modified doublet, and only the printed circuit is modified to introduce an additional line resonator. In the hybrid structure, the LSM mode of the partially filled waveguide behaves as resof the fully canonical transversal filter. In addition, onator the two microstrip resonators in the printed circuit behave as and (see Fig. 3). Note that only two printed resonators resonators are needed since the third additional resonance is due . to the LSM mode excited in the partially filled cavity by coupling matrix of a third-order The fully canonical transversal filter, calculated with the technique extensively presented in [3], is known to be of the form shown in Table II. In Table II, , , and represent the three input courepresent the output couplings. plings, whereas , , and

2672

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 2. Proposed hybrid waveguide–microstrip structure for a third-order transversal filter. Lateral view.

Fig. 4. Electric field x-component of the LSM mode inside the cavity, at the resonant frequency, for a third-order hybrid filter.

Fig. 3. Proposed hybrid waveguide–microstrip structure for the implementation of a third-order transversal filter. Top view. The width of the microstrip resonators. Input/output ports in the examples of this paper are 2 mm.

TABLE II COUPLING MATRIX OF A THIRD FULLY CANONICAL TRANSVERSAL FILTER

Furthermore, represents direct source–load coupling. This term is important since it allows the implementation of a fully canonical filtering function. When this coupling exists, it is possible to implement transmission zeros for an th-order filter. Finally, the nonzero diagonal elements represent the self-couplings, i.e., the differences in the resonant frequencies of the three resonators, with respect to the center frequency of the filter. The diagonal elements are different from zero for asynchronously tuned filters, and this is a typical characteristic of transversal configurations. As was discussed in [10], the -component of the electric field of the excited LSM mode in the cavity couples to the input/ output ports. This component of the electric field is maximum at the input port, and it is zero at the center of the waveguide cavity. The field then changes sign at the output port. This change in sign makes it possible to synthesize the negative coupling required by the modified doublet implementation. In the thirdorder fully canonical transversal filter, the behavior is similar. At least one of the couplings in the matrix shown in Table II

must be negative. The change in sign of the -component of the electric field, associated with the excited LSM mode, is responsible for this negative coupling. The other two resonators are formed with half-wavelength printed lines so no further negative couplings are implemented. With this proposed configuration, therefore, only one negative coupling can be implemented . in the matrix of Table II On the other hand, if the hybrid structure implements a transversal configuration, we must assure that the cross-couand ) must be null. pling between both resonators ( To explain why the coupling from the LSM mode and the printed line resonator can be neglected, we present in Fig. 4 the -component of the field at the resonant frequency of the LSM mode. Due to the orientation of the printed lines, the -component of the electric field will be the responsible for the coupling. We can observe in this figure that the printed resonator is placed at the center of the cavity, where the field has a zero. Consequently, the coupling from the LSM mode and the printed resonator will be small. On the contrary, the input/output printed lines are placed where the electric field is maximum and, therefore, stronger couplings can be obtained, as required by the transversal topology. In addition, the different elements of this coupling matrix can be adjusted with the different geometrical parameters shown in is controlled Figs. 2 and 3. Specifically, the self-coupling by the lateral dimensions of the cavity ( and in Fig. 3) since they primarily control the resonant frequency of the excited LSM mode. The other two resonators are formed with printed and microstrip lines. Therefore, the self-couplings are controlled by their respective line lengths ( and in Fig. 3). With regards to the values of the coupling elements out of the and of Fig. 3) will control diagonal, the port lengths ( the coupling between the input/output ports and the LSM mode and elements (resonator ). Therefore, they control the and (see Fig. 2) will of the coupling matrix. The air gaps further control the couplings between the input/output ports and and ). Therefore, they can be the printed lines (resonators and used to appropriately adjust the elements of the coupling matrix, respectively.

MARTÍNEZ-MENDOZA et al.: DESIGN OF BANDPASS TRANSVERSAL FILTERS EMPLOYING NOVEL HYBRID STRUCTURE

As was established in [10], with the hybrid structure proposed, we will always have a direct coupling from the input to in Table II). This indeed makes it the output port (entry possible to obtain fully canonical responses with a maximum of transmission zeros. This coupling is related to the excitation of the LSM mode, whose propagation is stopped by the presence of the lateral cavity walls. Accordingly, this paper will show can be controlled with the thickthat the direct coupling ness of the dielectric substrate supporting the propagation of this LSM mode in the partially filled waveguide ( in Fig. 2). Using this concept, we will be able to show that there is full control in the position of the transmission zeros. III. RESULTS AND THEORETICAL DISCUSSION Here, several implementation examples are presented of second- and third-order transversal filters using the novel hybrid waveguide–microstrip technology. All the filters that will be discussed follow the coupling scheme of the modified doublet (see [10]) or the third-order coupling scheme shown in Fig. 1. The results predicted by the coupling matrix theory [3] will be compared with the results obtained from the electromagnetic analysis of the hybrid structures using the integral-equation technique computer-aided design (CAD) tool presented in [14]. For validation purposes, results obtained with a commercial CAD software tool called ADS will also be presented. Finally, measured results on a manufactured prototype are presented to show the practical validity of the new structure. A. Modified Doublet Implementation An asymmetric bandpass transversal filter with a secondorder response was designed in [10], showing excellent agreement between theoretical and full-wave simulations. The filter response exhibited two transmission zeros, one at each side of the passband, but they were placed asymmetrically with respect to the center frequency of the filter. Four new designs of a second-order transversal filter are included here to demonstrate the versatility of the new hybrid waveguide–microstrip structure. The first example consist of a second-order bandpass filter with 14 dB of return loss, and with all transmission zeros placed at infinity. The center frequency of the filter is 4.812 GHz and the bandwidth is 90 MHz. Using the synthesis technique presented in [3], the coupling matrix for this filter is found to be (1)

Note that the synthesis procedure leads to a matrix with no . This direct coupling between the source and load result is a direct consequence of the fundamental assumption made in [3] that a not fully canonical filter will always have zero direct coupling. However, in our hybrid structure, we will always have a direct coupling between input and output ports dif. Therefore, the matrix cannot ferent from zero be directly implemented with our technology in the form shown above. Nevertheless, by using the hybrid technology, we can implement a similar filter placing the transmission zeros in the complex plane. Since this filter corresponds to a fully canon-

2673

ical case, it will have a direct coupling different from zero. This can be verified by synthesizing a similar filter as before, but with a pair of transmission zeros located in the complex . With the specified bandplane at width and center frequency, the normalized transmission zeros . The by coupling are matrix obtained for this design is found to be (2)

, this Since the direct coupling is not zero transfer function can be directly implemented with the hybrid technology proposed. , the resonator changing Note that, in the original matrix sign from input to output is tuned below the center frequency is positive and ). Moreover, the of the filter ( resonator that does not change sign is tuned above the center is negative and ). The frequency of the filter ( . This maopposite situation is applicable for the matrix trix corresponds to a filter with a pair of transmission zeros in . For this the complex plane placed at filter, the resonator changing sign from input to output is tuned is negative and above the center frequency of the filter ( ). In the hybrid structure, the resonator changing sign is the LSM mode. Therefore, to implement a transfer function with two complex zeros, we must take the precaution of tuning the LSM mode above the center frequency of the filter. Finally, the line resonator must be tuned below the center frequency. , as compared to the original The response of the matrix , is shown in Fig. 5. It is interesting to observe that matrix no transmission zeros at real frequencies are present in the re. However, the response can be synthesponse of the matrix sized with the novel hybrid waveguide–microstrip technology proposed in this paper since it has the direct coupling different . The final dimensions of the structure from zero after the design procedure (according to [10, Figs. 2 and 3]) are shown in Table III. The response of the designed hybrid structure agrees with coupling matrix, as shown in the predicted behavior of the Fig. 6. The results obtained with the ADS software tool are also included for validation. In Fig. 5, we observe that the main effect when the transmission zeros are placed in the complex plane is a reduction in the far out-of-band rejection of the filter. It is known that this reduction in the rejection comes with an improvement in the group-delay flatness. This fact can be used to make designs with a tradeoff between selectivity and group-delay equalization. To demonstrate this last point, Fig. 7 shows the maximum variation of the group delay within the passband as a function of the real part of the complex pair of transmission zeros. We observe that the maximum variation of the group delay is reduced by approximately 0.1 ns with respect to the initial Chebyshev . However, with this improvement in the group filter delay comes a reduction in the out-of-band rejection levels of the filter, as shown in Fig. 5. Furthermore, it is interesting to point out that the achieved group-delay equalization in this example is practically the maximum equalization possible using this configuration. In the example, the complex pair of transmission zeros have a real part

2674

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 5. Response of the matrix M , as compared to the original matrix M .

TABLE III DIMENSIONS OF THE SECOND-DEGREE FILTER WITH A COMPLEX PAIR OF TRANSMISSION ZEROS PLACED AT s = 610:7 + j 1 0:8411

Fig 7. Maximum group delay variation within the passband versus the real part of the complex pair of transmission zeros (blue line in online version). The maximum variation of the group delay for the Chebyshev filter is also shown for reference (red line in online version).

imaginary axis by reducing this direct coupling with the adjustand (see Fig. 2). ment of the heights On the other hand, two transmission zeros placed on both sides of the passband can be implemented with the hybrid technology if the LSM mode resonance and the printed line resonator are interchanged with respect to the previous design. For this new design, the LSM mode resonance will be tuned below the center frequency of the filter and the printed line resonator will be tuned above. Since the filter is fully canonical in this case, the synthesis technique presented in [3] will automatically required to improvide the direct input/output coupling plement a desired transfer function. Following this strategy, in the second example we designed a filter with two finite transmission zeros, one on each side of the GHz and GHz). The filter passband ( has 19 dB of return loss, a center frequency of 4.7 GHz, and 69 MHz of bandwidth. Thereby, the normalized transmission and . The zeros are by coupling matrix obtained with the theory presented in [3] results in (3)

Fig. 6. Ideal model (M ) and simulated results for the bandpass transversal filter with a complex pair of transmission zeros placed at s = 610:7 + j 1 0:8411.

requiring a direct coupling term . We have verified that the maximum direct coupling that can be . implemented with this topology is approximately However, the complex zeros can be placed farther from the

We observe from the matrix that the resonator changing the sign of the coupling is tuned below the center frequency of the is positive and ). In the hybrid structure, filter ( this resonator is implemented with the LSM mode. The matrix also indicates that the other resonator is tuned above the is negative and ). In center frequency of the filter ( the hybrid structure, this is implemented with the printed line resonator. Since the degree of the filter is equal to the number of finite transmission zeros (fully canonical), the direct input/ is not zero. output coupling The response of this filter is similar to the one presented in [10]. The main difference is that the transmission zeros are placed almost symmetrically with respect to the passband of the

MARTÍNEZ-MENDOZA et al.: DESIGN OF BANDPASS TRANSVERSAL FILTERS EMPLOYING NOVEL HYBRID STRUCTURE

2675

TABLE IV DIMENSIONS OF THE SECOND-DEGREE FILTER WITH SYMMETRIC CHARACTERISTICS

TABLE V DIMENSIONS OF THE SECOND-DEGREE FILTER WITH TWO TRANSMISSION ZEROS BELOW THE PASSBAND

Fig. 8. Ideal model and simulated results for the bandpass transversal filter with symmetric response.

filter. This is shown in Fig. 8, where we show the response of , together with the results of the designed hybrid the matrix structure. By adjusting the different couplings, the hybrid structure is able to control the positions of the transmission zeros. In particular, this filter has a direct input/output coupling smaller than in the example presented in [10]. To reduce this coupling, the mm thickness of the dielectric has been reduced from mm for the symmetric in the design of [10] to only design. All the dimensions of the designed filter are collected in Table IV. In Fig. 8, we see very good agreement between the response of the designed hybrid structure and the results precoupling matrix. Results obtained with dicted by the the commercial software ADS are also included for validation. The next challenge is the synthesis of a filter with two transmission zeros on one side of the passband. This can be easily accomplished with the novel hybrid structure proposed by virtue of the zero shifting property [2]. For the third design example, GHz and the transmission zeros are located at GHz. The filter is centered at 4.643 GHz with a bandwidth of 57 MHz, thereby the normalized transmission and . The return zeros are loss of the filter is 18.5 dB. The direct synthesis procedure of [3] leads to the following coupling matrix: (4)

Note that the direct coupling is also not zero in this case since this is a fully canonical design. The zero shifting property has also once again interchanged the two resonators, as . Therefore, the resonance that changes compared to matrix sign must be tuned above the center frequency of the filter (the LSM mode), while the other resonance must be tuned below (the printed line resonator). This is the same situation as in the first above). The coupling matrix can example (see matrix be easily synthesized with the novel hybrid topology by tuning the LSM mode above the center frequency of the filter and the printed line resonator below.

Fig. 9. Ideal model and simulated results for the bandpass transversal filter with two transmission zeros below the passband.

The dimensions of the structure are collected in Table V. The response of this structure is presented in Fig. 9, showing the two transmission zeros below the passband. We can observe that the electrical response of the designed hybrid structure agrees very coupling matrix. well with the predicted behavior of the Again, results obtained with the ADS software tool are included for validation. Finally, a design with two transmission zeros on both sides of the passband has been manufactured and tested in order to provide experimental verification of the new structure. The substrate selected for manufacturing is an RT Duroid with relative and thickness 1.57 mm. The prototype impermittivity plements a transfer function with two transmission zeros placed GHz and GHz. The filter is cenat tered at 4.5 GHz with a bandwidth of 136 MHz. With these data, the normalized transmission zeros are and . The return loss of the filter is 15 dB. The

2676

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

TABLE VI DIMENSIONS OF THE MANUFACTURED SECOND-DEGREE FILTER WITH TWO TRANSMISSION ZEROS ON BOTH SIDES OF THE PASSBAND

Fig. 11. Comparison between simulation results with losses =m) and measured results. 1

0:004;  = 3 10

Fig. 10. Comparison of ideal model (M

by presented in [3] is

(tan  =

) and lossless simulation results.

coupling matrix obtained with the theory Fig. 12. Fabricated prototype showing all the pieces of the filter.

(5)

The dimensions of the designed and manufactured filter are shown in Table VI. We can observe that the dielectric thickmm. In the manufactured prototype, this ness is is achieved by piling up two RT Duroid substrates of 1.57-mm thickness. In Fig. 10, we present the results obtained from the analysis of the hybrid structure, and we compare them with the matrix. Once more, good agreepredicted response of the ment is observed. In addition, we present in Fig. 11 the measured results of the manufactured prototype, and we compare them with simulated results. In the simulations, losses are included in the dielectric and in the printed metallizations substrate m . A photograph of the manufactured breadboard is shown in Fig. 12. Results reveal that the minimum insertion loss of the filter inside the passband is 1.15 dB. We can also observe a slope in the insertion loss response of the filter. The insertion losses take a maximum value within the passband of 2.48 dB at the frequency of 4.46 GHz. At the frequency of 4.59 GHz, the insertion loss is minimum ( 1.15 dB). The slope in the insertion loss response of the filter reveals that a resonator with a higher quality factor has been combined with a resonator of

lower quality factor. In Fig. 11, we observe good agreement between measured and full-wave simulations. B. Third-Order Fully Canonical Transversal Filter Implementation Here we demonstrate how a third degree filtering function can be directly implemented with the compact hybrid waveguide–microstrip technology proposed. Being fully canonical, the third-order transversal structure will be able to generate up to three transmission zeros in the transfer function of the filter. This is indeed the case, as there will be a direct coupling dif. ferent from zero Nevertheless, not all the combinations in the positions of the transmission zeros can be implemented with the structure proposed. The limitation comes in the number of negative couplings that the hybrid structure, proposed in Figs. 2 and 3, can implement. As already discussed in Section II, this structure can only implement one negative coupling. This negative coupling is due to the sign change of the -component of the electric field associated to the LSM mode excited in the structure. The other two resonances are formed by two half-wavelength printed line resonators and, therefore, no sign change can be implemented. Thereby the limitation is that only matrices of the form shown in Table II, which, in addition only require one negative coupling, can be implemented with the proposed topology. If two

MARTÍNEZ-MENDOZA et al.: DESIGN OF BANDPASS TRANSVERSAL FILTERS EMPLOYING NOVEL HYBRID STRUCTURE

2677

TABLE VII DIMENSIONS OF THE THIRD-DEGREE FILTER WITH THREE TRANSMISSION ZEROS IN THE FREQUENCY AXIS

negative couplings are required, then one of the printed line resonators must be modified in order to allow for the second sign change. In this case, alternative printed resonators, as described in [15], could be employed. The first prototype that we can implement with the proposed hybrid structure is a transfer function with three transmission zeros at finite frequencies, two below the passband and one above the passband. In this example, we have placed the transGHz, GHz, and mission zeros at GHz. The return loss of the filter is 18 dB and the center frequency is 4.7 GHz with a bandwidth of 70 MHz. Thereby, the normalized transmission zeros result to be and . Following the technique by coupling presented in [3], the following matrix is obtained:

(6) We see that only one sign change is required in the couplings of the three resonators . The direct input/output coupling is also different from zero, as it corresponds to a fully canonical configuration. Therefore, this coupling matrix can be directly implemented with the proposed also indicates that hybrid structure. The coupling matrix the resonator changing sign must be tuned between the other . Consequently, in the two resonators hybrid structure, we have to tune the LSM mode in between the resonances of the two printed line resonators. Taking this basic design criterion, the final dimensions of the structure, according to Figs. 2 and 3, are collected in Table VII. coupling matrix is shown The response obtained from the in Fig. 13, where it is clear that all the specifications are met. Using the geometrical values shown in Table VII for the designed hybrid structure, the electrical behavior of the filter is obtained. This is also presented in Fig. 13, again showing very good agreement. It is interesting to note that a filter with symmetric characteristics to the previous one (two transmission zeros above and one below the passband) cannot be obtained with the proposed hybrid structure. This is due to the fact that two sign changes must be implemented, as it can be easily verified from the coupling matrix of this new structure. However, since the design

Fig. 13. Ideal model and simulated results for the bandpass transversal filter with three transmission zeros.

shown in Fig. 13 has two transmission zeros on one side of the passband, it would be relatively easy to combine them into the complex plane. Thus, that design would produce a pair of complex transmission zeros, together with one transmission zero in the frequency axis (above the passband). IV. CONCLUSION In this paper, a novel hybrid structure has been proposed for the implementation of both second- and third-order filtering functions using transversal topologies. This novel structure is based on the combination of two well-known technologies: the microstrip and waveguide. In this way, couplings from the input and output ports to several resonators can be implemented in a very compact form. This paper has shown the capability of the new structure to implement a wide class of second-order fully canonical transfer functions. Furthermore, a filter with a pair of transmission zeros placed in the complex plane has been designed. This filter can improve group-delay equalization by sacrificing selectivity. In addition, a fully canonical transversal filter of order 3 is for the first time implemented using the new hybrid structure. The validity of the new proposal has been confirmed by means of several useful implementation examples, and a prototype has also been manufactured and tested with good results. REFERENCES [1] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 433–433, Apr. 1999. [2] U. Rosenberg and S. Amari, “Novel coupling schemes for microwave resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2896–2902, Dec. 2003. [3] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [4] D. C. Rebenaque, A. A. Melcon, and M. Guglielmi, “A new simple microstrip open-loop resonators filter for high selectivity applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, vol. 8, no. 13, pp. 1603–1606, Paper TH-1B-3. [5] M. Guglielmi, P. Jarry, E. Kerherve, O. Roquebrun, and D. Schmitt, “A new family of all-inductive dual-mode filters,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1764–1769, Oct. 2001.

2678

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

[6] S. Amari and U. Rosenberg, “A universal building block for advanced modular design of microwave filters,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 541–543, Dec. 2003. [7] C.-K. Liao and C.-Y. Chang, “Microstrip realization of generalized Chebyshev filters with box like coupling schemes,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 1, pp. 147–153, Jan. 2007. [8] S. Amari, U. Rosenberg, and J. Borneman, “Adaptive synthesis and design of resonator filters with source/load-multiresonator coupling,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1969–1978, Aug. 2002. [9] D. C. Rebenaque, F. Q. Pereira, J. L. G. Tornero, A. A. Melcon, and M. Guglielmi, “A new family of microstrip open-loop resonator filters for high selectivity applications,” Microw. Opt. Technol. Lett., vol. 43, no. 5, pp. 450–455, Dec. 2004. [10] M. Martínez-Mendoza, J. S. Gómez-Díaz, D. C. Rebenaque, J. L. Gómez-Tornero, and A. Alvarez-Melcon, “Design of a bandpass transversal filter employing a novel hybrid printed structure,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, vol. 6, pp. 1281–1284. [11] R. E. Collin, Field Theory of Guided Waves. Piscataway, NJ: IEEE Press, 1991. [12] M. Guglielmi, “A simple CAD procedure for microwave filters and multiplexers,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1347–1352, Jul. 1994. [13] D. Swanson and G. Macchiarella, “Microwave filter design by synthesis and optimization,” IEEE Micro, pp. 55–69, Apr. 2007. [14] A. A. Melcon, J. R. Mosig, and M. Guglielmi, “Efficient CAD of boxed microwave circuits based on arbitrary rectangular elements,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 1045–1058, Jul. 1999. [15] D. C. Rebenaque, F. Q. Pereira, J. P. Garcia, A. A. Melcon, and M. Guglielmi, “Two compact configurations for implementing transmission zeros in microstrip filters,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 10, pp. 475–477, Oct. 2004.

Jose Luis Gómez-Tornero (M’06) was born in Murcia, Spain, in 1977. He received the Telecommunications Engineer degree from the Universidad Politécnica de Valencia (UPV), Valencia, Spain, in 2001, and the Ph.D. degree (laurea cum laude) in telecommunication engineering from the Technical University of Cartagena (UPCT), Cartagena, Spain, in 2005. In 1999, he joined the Radiocommunications Department, UPV, as a Research Student, where he was involved in the development of analytical and numerical tools for the study and automated design of microwave filters in waveguide technology for space applications. In 2000, he joined the Radio Frequency Division, Industry Alcatel Espacio, Madrid, Spain, where he was involved with the development of microwave active circuits for telemetry, tracking, and control (TTC) transponders implicated in many different spatial missions for the European Space Agency (ESA), National Aeronautics Space Administration (NASA), and other space agencies. In 2001, he joined the UPCT, as an Assistant Professor, where he currently develops his teaching activities. Since October 2005, he has been Vice Dean for students and lecture affairs with the Telecommunication Engineering Faculty, UPCT. His scientific research is focused on the analysis and design of leaky-wave antennas for millimeter-waveband applications and the development of numerical methods for the analysis of novel passive radiating structures in planar and waveguide technologies. His scientific interests also include the study of active devices for microwave and millimeter wavebands such as oscillators and active antennas. Dr. Gómez-Tornero was the recipient of the 2004 Second National Award presented by the EPSON-Ibérica Foundation for the best doctoral project in the field of technology of information and communications (TIC). He was also the recipient of the 2006 Vodafone Foundation Colegio Oficial de Ingenieros de Telecomunicación (COIT/AEIT) Award presented to the best Spanish doctoral thesis in the area of advanced mobile communications technologies.

Mónica Mart´inez-Mendoza was born in Cartagena, Murcia, Spain, in 1983. She received the Telecommunications Engineer degree from the Technical University of Cartagena (UPCT), Cartagena, Spain, in 2006, and is currently working toward the Ph.D. degree at UPCT. In 2007, she joined the Telecommunications and Electromagnetic Group, UPCT, as a Research Assistant, where she is involved in the development of novel transversal filtering structures for satellite systems. Her current scientific interests include the analysis and design of microwave circuits.

Alejandro Alvarez-Melcon (M’99–SM’07) was born in Madrid, Spain, in 1965. He received the Telecommunications Engineer degree from the Technical University of Madrid (UPM), Madrid, Spain, in 1991, and the Ph.D. degree in electrical engineering from the Swiss Federal Institute of Technology, Lausanne, Switzerland, in 1998. In 1988, he joined the Signal, Systems and Radiocommunications Department, UPM, as a Research Student, where he was involved in the design, testing, and measurement of broadband spiral antennas for electromagnetic measurements support (EMS) equipment. From 1991 to 1993, he was with the Radio Frequency Systems Division, European Space Agency (ESA)/European Space Research and Technology Centre (ESTEC), Noordwijk, The Netherlands, where he was involved in the development of analytical and numerical tools for the study of waveguide discontinuities, planar transmission lines, and microwave filters. From 1993 to 1995, he was with the Space Division, Industry Alcatel Espacio, Madrid, Spain, and also with the ESA, where he collaborated on several ESA/ESTEC contracts. From 1995 to 1999, he was with the Swiss Federal Institute of Technology, École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, where he was involved in the field of microstrip antennas and printed circuits for space applications. In 2000, he joined the Technical University of Cartagena (UPCT), Cartagena, Spain, where he currently develops his teaching and research activities. Dr. Alvarez-Melcón was the recipient of the Journée Internationales de Nice Sur les Antennes (JINA) Best Paper Award for the best contribution to the JINA’98 International Symposium on Antennas, and the Colegio Oficial de Ingenieros de Telecomunicación (COIT/AEIT) Award for the best doctoral thesis in basic information and communication technologies.

Juan Sebastián Gómez-Díaz was born in Albacete, Spain, in 1983. He received the Telecommunications Engineer degree (with honors) from the Technical University of Cartagena (UPCT), Cartagena, Spain, in 2006, and is currently working toward the Ph.D. degree at UPCT. In 2007 he has joined the Telecommunication and Electromagnetic Group, UPCT, as a Research Assistant. His current scientific interests include numerical methods and their application in the analysis and design of microwave circuits and antennas.

David Cañete-Rebenaque (S’04) was born in Valencia, Spain, in 1976. He received the Telecommunications Engineer degree from the Technical University of Valencia, Valencia, Spain, in 2000, and is currently working toward the Ph.D. degree at the University of Cartagena, Cartagena, Spain. During 2001, he was an RF Engineer with a mobile communication company. In 2002, he joined the Communications and Information Technologies Department, Technical University of Cartagena (UPCT). His research interests include analysis and design of microwave circuits and active antennas.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

A

2679

16-Digitized Polar RF Transmitter

Jinsung Choi, Student Member, IEEE, Jounghyun Yim, Jinho Yang, Jingook Kim, Jeonghyun Cha, Daehyun Kang, Dongsu Kim, and Bumman Kim, Fellow, IEEE

Abstract—This paper demonstrates a new polar transmitter architecture, which uses the digitized envelope signal to control the drain voltage of a switching mode power amplifier (PA). It is based on a novel polar modulation using the constant envelope modulated signal. Among the various constant envelope modulators, the modulator is chosen for its noise-shaping characteristic. It enables the use of a highly efficient switching amplifier with high linearity. For demonstration, the overall transmitter is implemented and tested with a code-division multiple-access IS-95A signal. The class-D and class-F amplifiers are designed and compared for the optimum operation. The experimental results show that the amplifier with small device size is suitable for this application because of the fast switching requirement. For the class-F amplifier, the measured power-added efficiency is 51.7% at 22.1 dBm and the overall efficiency (considering the amplified quantization noise) is 31%. The adjacent channel power ratios at 885 kHz and 1.98 MHz are lower than 44.9 and 55.6 dBc at the output power range from 10.8 to 22.1 dBm without any pre-distortion techniques. The overall efficiency is improved to 48.6% with a three-level quantized modulator. The results clearly show that the highly efficient switching mode PA can be controlled efficiently using a digital signal from the envelope modulation technique.

16

16

16

Index Terms—Code division multiple access (CDMA), constant envelope modulator, efficiency, IS-95A, linearity, multibit, polar modulator, power amplifier (PA), RF transmitter, sigma–delta, . DS -to-phase modulation DS

(

PM)

I. INTRODUCTION LEXIBLE transmitters handling multimode and multiband are inevitable for the evolution of the wireless communication system. The flexibility of the digital signal processing (DSP)-based system has enabled the multimode operation of the

F

Manuscript received April 26, 2007; revised July 28, 2007. This work was supported in part by the Korean Ministry of Education under the BK21 Project and by the Center for Broadband Orthogonal Frequency Division Multiplex Mobile Access, Pohang University of Science and Technology, under the Information Technology Research Center Program of the Korean Ministry of Information Technology, supervised by the Institute for Information Technology Advancement (IITA-2006-C1090-0603-0037). J. Choi, D. Kang, D. Kim, and B. Kim are with the Department of Electrical Engineering, Pohang University of Science and Technology, Pohang, Gyungbuk 790-784, Korea (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). J. Yim is with the RF Team, System Large Scale Integration Division, Semiconductor Business, Samsung Electronics Company Ltd., Yongin, Gyeonggi 431-836, Korea (e-mail: [email protected]). J. Yang is with the Access Network Development Team, SK Telecom, Seoul 100-999, Korea (e-mail: [email protected]). J. Kim is with the Department of Information Technology Engineering, Handong Global University, Pohang, Gyeongbuk 791-708, Korea (e-mail: [email protected]). J. Cha is with the XRONet Corporation, Seongnam, Gyeonggi 463-020, Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.907137

Fig. 1. Next-generation transmitter architecture.

baseband modem chips, but the multimode and multiband operation in the analog and RF area is not familiar yet. Recently, as the CMOS-based DSP technology evolves, there are many efforts to migrate the radio function into the DSP and to control the radio function using the DSP [1]–[6]. Digital radio provides many benefits including robustness to variations from component inaccuracies and aging. Moreover, a smaller circuit area from a higher integration level of Si CMOS technology and lower fabrication cost are allowed. As the technology advances toward higher speed of operation, the transmitter, employing a direct-digital synthesizer (DDS), as shown in Fig. 1, will arrive at the terminal. It directly synthesizes the microwave signal from the digital processor and the only analog component in the transmitter chain is the power amplifier (PA). The PA should be highly linear for the increased bandwidth and peak-to-average power ratio (PAPR) of the signals as the wireless services move to fourth generation (4G) for higher data rate. It also requires high efficiency for long battery lifetime, but the linear operation necessitates the tradeoff with the efficiency, i.e., the approach for the next-generation transmitter should be accompanied by the highly efficient and linear PA. The switching mode PA is so efficient that it is suitable for the portable handset applications requiring long battery time. However, the nonlinear characteristic of the switching amplifier makes it hard to deal with the time-varying envelope signals, and the direct adoption of switching amplifier is inappropriate. The linear amplification using nonlinear components (LINCs) [10], modulation BP M [7]–[9], and polar modubandpass lator/envelope elimination and restoration (EER) [11]–[16] enables the linear amplification with the switching amplifier by modulating the input signals or power supply. The LINC system generates two constant envelope signals by adding the error signals, then amplifies and combines two signals. Since the error signal is dissipated as heat in the combining process, the efficiency of the system is not high.

0018-9480/$25.00 © 2007 IEEE

2680

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

baseband processors to the switching mode RF PAs. It presents the optimum design of the PA with switching action. Section IV shows the experimental results of the designed transmitter for the CDMA IS-95A signal. In Section V, we extend our research to the multibit operation for better performances. No digital predistortion technique is applied for this system to purely demonstrate the effect of the constant envelope modulation. II. VARIOUS CONSTANT ENVELOPE MODULATORS

Fig. 2. Operation principle of constant envelope supply-modulated PA.

The RF transmitter employing a BP M, seemingly the closest solution for the digital radio, converts a modulated signal into a pulse train in the time domain by adding noise in out-of-band, while preserving the signal. The pulse train can be amplified using a highly efficient switching mode PA. However, the added noise degrades the transmitter efficiency. M requires unrealistically high sampling frequency, The BP usually four times the RF carrier frequency. Such a high-speed digital circuit consumes significant power so that the efficiency of the system gets worse. The polar modulator/EER system ideally delivers 100% efficiency even for the high PAPR signals. The switching PA is modulated by the envelope signal through power supply so the high efficiency is maintained with assumption of a 100% amplitude modulator [17], [18]. However, the nonlinear characteristic of the switching amplifier induces some distortion /PM. It is so serious a at the output, mainly due to the problem in designing the polar modulator/EER system that the pre-distortion technique is required to minimize the distortion effects. Recently, there are some good results to solve the problem [11]–[16], but they require complex circuitries for implementation. The constant envelope modulator solves the problem by converting the time-varying envelope signal into a just 1-bit information assisted by added noise [19], [20]. Instead of continuously modulating the power supply, it turns the amplifier on and off. The discrete switching action linearly combines the phase signal with the constant envelope modulated signal. The concept of the constant envelope supply-modulated PA is described in Fig. 2. The number of quantization levels can be increased to reduce the quantization noise using the multibit quantizer. can be In this case, the nonlinearity from the multilevel easily corrected for its discrete characteristic. In this architecture, any modulated signal is converted to a pulse train and the signal can control a highly efficient switch amplifier in the RF domain. Therefore, the transmitter is easily reconfigured in the digital domain for SDR application. In this paper, we have designed and demonstrated the transmitter employing the constant envelope modulator. Although the basic idea has been discussed in [21], this study presents a more detailed description and further experimental results. After reviewing various constant envelope modulators and their characteristics with a CDMA IS-95A signal in Section II, Section III discusses the design of the overall transmitter from the digital

In the proposed transmitter architecture, the PA roles as the signal multiplier in the time domain. The multiplication in the time domain is mathematically the convolution in the frequency domain. Therefore, the constant envelope modulators, which can provide the previously mentioned advantages, produce the convolution output spectrum at the amplifier output. It means the quantization noise in the modulator’s output influences to the output spectrum of the PA. It should be carefully decided which one is the optimum for the transmitter applications among the various constant envelope modulators. A. Design Considerations of Constant Envelope Modulators The square-shape pulse of the modulator’s output signal contains the quantization noise and the PA amplifies not only the signal, but also the quantization noise. For exact estimation of the efficiency, the portion of the quantization noise should be subtracted from the PA’s efficiency. The efficiency of the 1-bit modulator is defined as (1) (2) where is the efficiency of the PA. represents the input signal portion contained in the output pulse of the constant envelope modulator. The peak-to-quantization power ratio (PQPR) and PAPR are defined as permissible peak input signal power output quantization level power peak input signal power average input signal power.

(3) (4)

The permissible signal peak power is restricted by the stability of the modulator. Usually, for the modulator employing the loworder loop filter, the permissible signal peak power is the same to the quantization level power. As the order of the loop filter increases, however, the permissible signal peak power decreases. The quantization level power is determined by the quantization level, as the word says. For the envelope signal, which is always positive, the quantization level can be set to 1 and 0 for the two-level quantization. The criteria level can be optimized to minimize the amount of the quantization noise. The 1 quantization can be used for ease of combining the envelope and phase signals, which enables just flipping the up-converted phase signal. However, the signal efficiency is lower than the 1/0 quantization since the negative quantization value generates more quantization noise than the 1/0 quantization. The quantization noise can be reduced by the multibit quantization, resulting in the efficiency enhancement over the predicted value

CHOI et al.:

-DIGITIZED POLAR RF TRANSMITTER

Fig. 3. Spectra of the envelope PWM and RPWM for CDMA IS-95A signal.

from (1)–(4). In the case, the PQPR term increases as the output quantization level power decreases. The constant envelope modulator is inherently nonlinear due to the existence of the quantizer. It adds the quantization noise to the input signal to make the square shape. The quantization noise influencing the efficiency of the modulator is also related to the spectral linearity. As the quantization noise reduces, the spectral linearity of the output signal gets better. With multibit quantization, the higher oversampling of the signal allows the reduced quantization noise. A more specific mechanism determining the linearity depends on the transfer function of the modulators.

2681

RPWM compared with PWM is presented in Fig. 3. The noise level is too high to be useful for the transmitter. and Modulator: The modulator is a kind of 3) predictive encoder, whose output is based on the difference between a sample of the input and a predicted value of that sample. The advantage of this structure is that larger input signals are allowed because the difference is smaller than the input signal itself for the oversampled signal. However, the order of the loop filter in the feedback path is limited for the stability issue so that the high-order circuitry for an accurate prediction is difmodulator shown in Fig. 4 employs ficult to design. The oversampling and noise-shaping techniques to lower the quantization noise [22], [23]. The oversampling occurs whenever a signal is sampled at a frequency larger than twice its bandwidth. It widens the spectrum unnecessarily. Regardless of increased sample rate, however, the quantization noise power remains unchanged. Thus, the oversampling makes the noise power density go down as the spectrum widens. The benefit obtained from the oversampling is supplemented by a filtering operation that shifts part of the noise to a high frequency, leaving less in the baseband. The simple equivalent model described in Fig. 4 shows that the signal and quantization noise have different transfer functions; low-pass filter for the signal and high-pass filter for the quantization noise as (5) (6) where (7)

B. Various Constant Envelope Modulators There are many types of modulators, which convert the timevarying envelope signal into the constant envelope signal. Here, pulsewidth modulation (PWM), random pulsewidth modulation (RPWM), modulation, and modulation are reviewed in the aspect of the modulation efficiency and linearity. 1) Pulsewidth Modulator: PWM uses a square wave whose duty cycle is modulated according to the variation of the waveform’s average value. The absence of a feedback loop enables a high-speed operation of the modulator. Moreover, the amount of quantization noise is not large so that the signal efficiency of the modulator is high. For the CDMA IS-95A signal, the signal efficiency is 75%. However, the PWM waveforms are composed of a component at the desired fundamental frequency and a number of undesired harmonics centered at each integer multiple of the switching frequency, as shown in Fig. 3. Thus, the strict post filtering is required to alleviate the harmonics. 2) Random Pulsewidth Modulator: RPWM has evolved to solve the harmonic problem of the PWM. Instead of a sawtooth or a triangle waveform based on a fixed switching frequency, it employs the random number generator and voltage-controlled oscillator. The control voltage of the oscillator varies randomly so that there is no specific switching frequency. It has an effect to remove the discrete harmonics, but the continuous noise level increases. Thus, it has higher quantization noise than the PWM so the signal efficiency gets lower to 62%. The spectra of

(8) Thus, the oversampling effect can be expressed as follows: (9) and the noise within the signal boundary is given by

(10) where is the order of the loop filter. The above equations clearly show that the high over-sampling ratio (OSR) and loop filter order reduces the quantization noise at the signal boundary so that high signal-to-noise ratio (SNR) is acquired. Note that , but the SNR, as observed from the does not increase the simulation results with the CDMA IS-95A signal in Fig. 5. , as the OSR increases from 8 to 100, the amount For

2682

Fig. 4. Operation principle of

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

16-modulator.

16

Fig. 5. Simulated output spectra of 1-bit (two-level) second-order -digitized transmitter versus OSRs for CDMA IS-95A signal. The noise (closely related to the spectral linearity) reduces as the OSR increases. The ACPR of the signal source is approximately 61 dBc at 885-kHz offset.

0

of quantization noise near the signal boundary effectively de, the modulator operates at a creases. With 250-MHz sample rate, and it satisfies the regulation for the spectrum emission mask over the transmit band of CDMA IS-95A. modulation also imThe multibit quantization in the proves the SNR. For the -bit quantizer, the SNR is defined as follows:

(11)

In the decibel scale, (12) (13) This expression links the number of bits to the SNR. The simulation result in Fig. 6(a) demonstrates that the above description

is pretty accurate. For 1-bit increment (from two- to four level), there is approximately 6-dB improvement in the noise power spectrum density. In Fig. 6(b), we have shown the noise density at the receive band of the CDMA IS-95A system. One zero at modthe receive band is included in the loop filter of the ulator to reduce the noise. In this case, the noise is suppressed approximately 7.5 dB/bit. Combining with the filtering effect of the duplexer positioned behind the PA, the 250-MHz fourthmodulator employing the three-level quantizer satisorder fies the noise-level requirement at the receive band, as shown in Fig. 7. Compared to the other constant envelope modulation modulator provides the techniques mentioned above, the most suitable performance due to the accurate noise-shaping modcharacteristic. The signal efficiency of the 1-bit ulator is 60% at an 80-MHz sample rate for the CDMA IS-95A signal. -DIGITIZED RF TRANSMITTER III. DESIGN OF -digitized transmitter architecture we have studied The is shown in Fig. 8. It takes advantages of relatively low OSR modulaand low-speed digital circuitry by the envelope tion (EDSM) [19], [20]. Even though the sample rate of the -digitized envelope signal is higher than the bandwidth of the complex signal, it is still lower than the RF carrier frequency. Compared to the transmitter architecture employing a bandpass modulator (BPDSM) [7]–[9], the sampling frequency decreases from a few gigahertz to dozens of megahertz range. modulator in this case is as a Moreover, the role of the modulation is performed DAC and the main function of the in the digital domain, which can provide efficient and precise -digitized envelope signal turns the signal processing. The switching mode PA on and off and is combined with an RF up-converted phase signal through the PA. The phase modulated input power level and dc bias of the PA can be easily controlled by a field-programmable fate array (FPGA) for linear amplification [10]. A. Digital Baseband Processor -digitized transmitter architecture, the majority of In the the functions can be done digitally, except the frequency up-con-

CHOI et al.:

-DIGITIZED POLAR RF TRANSMITTER

2683

21

OSR = 32

Fig. 6. (a) Simulated transmitter (Tx) band output spectra with versus quantization levels. (b) Simulated receiver (Rx) band output spectra -digitized transmitter with versus quantization levels for of CDMA IS-95A signal; The noise at Rx band is reduced as the quantization level increases. The order of the loop filter is increased to four by inserting the zero.

16

OSR = 100

version, amplification, and filtering. The high-performance DSP engine is the elementary unit for this architecture. The DSP engine, shown in Fig. 9, creates two types of signals: the envelope and constant envelope I/Q signals. It consists of the intermodulator, and polation filter, vector translation, modulator. The purpose of the interpolation filter is to take advantage of the increased clock frequency, and to suppress all unnecessary replicas of the signal spectrum occurring between . The cascade of the root-raised cothe baseband and sine (RRC) and comb filter are used as the interpolation filter to up-sample the baseband in-phase/quadrature (I/Q) signal. The vector translation from the I/Q to the polar is conducted by a coordinate rotation digital calculation (CORDIC) processor. It generates the envelope and phase signals, of which the latter is to . The phase signal from the CORDIC is in the range of block to generate the normalized I/Q then applied to

Fig. 7. (a) Measured S of the duplexer from CTS Wireless, Elkhart, IN. (b) Spectra of 250-MHz fourth-order three-level -digitized transmitter simulated with the measured duplexer’s S -parameters.

16

Fig. 8. Proposed transmitter architecture.

Fig. 9. Digital part of the proposed transmitter implemented in FPGA.

signal and for up-conversion, and the envelope signal is up-sampled again and modulated by the digital modulator.

2684

Fig. 10. Single-bit second-order CRFF

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

16-modulator. Fig. 12. Pulse driving to switch.

the PA can be controlled by the FPGA through dc-to-dc con-digitized envelope verters [10]. For the envelope path, the pulse stream is amplified to the level suitable for turning on and off the PA. An inverter can act as the pulse amplifier with an appropriate driving current for a high slew rate switching action, as shown in Fig. 12. From the relation between the voltage and current with the capacitive charging, the slew rate is (14)

Fig. 11. CDMA IS-95A phase signal with various information bits.

In the noise-shaping loop, all signals are digital, and hence, no internal data conversion is required. For the same reason, the signal processing in the loop is highly accurate, and we do not need to take any analog imperfections into account when predicting the actual behavior of the loop, compared with the modulator conventional switched-capacitor discrete-time SC M or the continuous time modulator CT M. Moreover, for its simple 1-bit characteristic of the output pulse stream, the simple zero-order sample and hold (ZOH) circuit can replace the high-resolution DAC. Even for the multibit modulator, what is needed are the multiple simple ZOH circuits, which are also very simple structures. From the simulation, we found that 80-MHz single-bit second-order cascade-of-resonator with a distributed feed-forward (CRFF) low-pass modulator satisfies the minimum linearity requirements for the CDMA IS-95A signal. The block diagram of the modulator is shown in Fig. 10, whose coefficients are generated using MATLAB [23]. B. Analog and RF Processors The analog/RF parts of the transmitter consist of two DACs, pulse amplifier, quadrature modulator, variable gain amplifier, and switching mode PA, as shown in Fig. 8. For the phase path, two DACs operate with a 20-MHz update rate. From the simulation, we have found that more than 10 bits are needed to represent the phase information for CDMA IS-95A. The spectra with various information bits are presented in Fig. 11. The quadrature modulator upconverts the normalized I/Q signals and drives the variable gain amplifier. The input power levels and dc bias of

is the gate–source capacitance of the switching where is the drain–source capacitance of the main device and amplifier device. When is fixed for certain devices, the available switching speed is determined by the driving current. Similarly, when there is a limitation to the driving should be small. However, the on resistance current, of the switch is inversely proportional to the size of the device, , and there should be a compromise which is proportional to between the switching speed and device size. -digitized transmitter The switching mode PA for the should be turned on and off at a high sample rate. The key design factor is to deliver the pulse signal to the PA, while isolating the amplified RF signal from the bias line. The ratio of the pulse to the carrier frequency is more than 10%, and the usage of the choke inductor on the bias line prevents the pulse signal from being delivered to the drain node. Moreover, the capacitances on the bias line can cause slewing of the pulse signal. The minimum pulsewidth should be larger than the sum of rising time and falling time of the pulse (15) If the capacitance is large enough to overrule the above condition, there is a power loss and, hence, the efficiency is degraded. The MOS switch with low on resistance is positioned at the drain to turn on and off the PA. The on resistance causes the voltage drop through the switch device, which results in efficiency degradation. The class-D PA employs the transformer whose center tap can be used for drain biasing. It basically has a push–pull architecture so the drain bias node forms a virtual ground. It means that the fundamental frequency component does not exist at this point, while the second harmonic is shorted by the small capacitor [18]. The pulse signal is now delivered to the drain without any interferences, and turns the PA on and off. The schematic

CHOI et al.:

-DIGITIZED POLAR RF TRANSMITTER

2685

Fig. 15. DC power consumption of the implemented PA and FPGA. Fig. 13. Class-D PA with control switch.

IV. IMPLEMENTATION AND MEASUREMENT RESULTS

Fig. 14. Class-F PA with control switch.

of the class-D PA with a control switch is shown in Fig. 13. However, the push–pull architecture inherently has three capacand second itances on the bias line; two device capacitances harmonic short capacitance. The high speed switching is difficult to achieve for the limited slew rate by these capacitances, and . The class-F PA is very similar i.e., the increase of with the class-D PA, class-B bias, and harmonic tuning network, except that it has just one device for amplification [17]. In fact, the class-F amplifier is not a switching amplifier, but a saturation amplifier. By overdriving the amplifier, it generates harmonics and controls them using the harmonic tuning network. The harmonic tuning network consists of the harmonic trap circuits and the tuning line for compensating the detuning effect of the device parasitic components [24]. The drain bias voltage is supplied through the quarter-wavelength line. Fig. 14 shows the schematic of the class-F PA with the MOS switch. Compared to the class-D PA, it has the reduced device capacitance so that the decreases by one-half. In Section IV, the slewing effect by implementation and measurement results are shown and compared for each amplifier.

The overall functions in Fig. 9 are realized with a high-performance FPGA board employing Xilinx Virtex-4. The implemented FPGA, manufactured in 1.2-V 90-nm triple-oxide technology, consumes approximately 18 mW at the 80-MHz sample rate. The power consumption is already low, but can be further reduced by optimizing the circuit. For the lack of a high-speed pMOS device, it is hard to design the inverter for pulse amplification, so we have replaced it with the broadband amplifier using the device GALI 84 InGaP HBT from Mini-Circuits, Brooklyn, NY, to verify the concept of the proposed architecture. A Sirenza SHF-0289 MESFET is used to implement the PAs. The designed class-D and class-F PAs have 51.7% and 69% continuous wave (CW) PAE at 29 and 27 dBm, respectively. It is valuable to compare the power consumption of the digital baseband processor and PA. The dc power consumption of the FPGA is relatively small compared to that of the PA, as shown in Fig. 15, thus the effect on the overall efficiency is not significant. The efficiency and output power degrade from the above value due to the on resistance of the switch device on the drain switching effect, the output node. Moreover, due to the power is also degraded. Since the average duty ratio of the -digitized CDMA IS-95A envelope signal is approximately 50%, one-half of the full current of the device flows under the switching operation so that the output power is reduced by 3 dB. Fig. 16 shows the time-domain output signal of the class-D amplifier. Since the response time of the designed class-D amplifier for the pulse is 10 ns, it shows the limitation in following the pulse stream when the width of minimum pulse period is less than 20 ns, according to (15). As a result, it is hard for the PA to exactly respond to the pulse stream as the sample rate increases more than 50 MHz. Compared to the class-D PA, the class-F PA has the reduced device capacitance, which allows the higher sample rate. The rising and falling times decrease to nearly half so that it can follow the pulse stream up to 100 MHz. Fig. 17 shows the time-domain output signal of the class-F PA. The detailed view of the time-domain output signals are presented in

2686

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 17. Time-domain output signal of the class-F PA at: (a) sample rate =

Fig. 16. Time-domain output signal of the class-D PA at: (a) sample rate = 20 MHz and (b) sample rate = 80 MHz.

0

0

Fig. 18. They explain the decrease of the output power and efficiency caused by the imperfect switching (slew effect depending ) at the high sample rate. The variation in the pulsewidth on is not so significant that the linearity degradation by slewing is not serious. -digitized transmitter is The maximum efficiency of the obtained by optimizing the input power with the proper dc-bias voltage, whose control is conducted by the FPGA. The dc-bias conditions with appropriate input powers for various average output power levels are presented in Tables I and II, and Fig. 19 shows the efficiency versus output power. For the average output power range of 10.8–22.1 dBm, the measured power-added efficiency (PAE) of the class-F PA are 48.6%–51.7%. The of the -digitized PA calculated using the value obtained in Section II-B is 31%, which can be improved using the better amplifier. Fig. 19 also shows that the class-F PA has a relatively low drop in PAE versus sampling frequency than the class-D PA. sample rate As mentioned above, the 80-MHz satisfies the minimum linearity requirements for the CDMA

20 0 MHz and (b) sample rate = 80 0 MHz.

IS-95A signal. The lower sample rate (lower OSR) of the modulator generates more quantization noise, as presented in (10) and Fig. 5. The measured results in Fig. 20 match quite well with the simulation results. It also verifies that the sample rate of 80 MHz satisfies the specification, while the sample rates of 20 and 40 MHz are not enough. At the maximal average output power, the measured adjacent channel power ratios (ACPRs) are 46.6 and 57.9 dBc at 885-kHz and 1.98-MHz offsets, respectively. Figs. 21 and 22 show that the -digitized transmitter achieves high linearity over the broad range of average output power levels. The out-of-band noise is the critical issue in this transmitter. For the 80-MHz sample rate, the implemented transmitter requires an additional bandpass filter to reduce the noise in the Rx band. If the sample rate can be increased higher than 250 MHz, with the help of a duplexer positioned behind the PA, the fourth-modulator enables the transmitter without the addiorder tional bandpass filter, as shown in Fig. 7(b). Another possible method is to utilize the sinc filtering mechanism occurred in the discrete to analog conversion process. For 20- and 40-MHz sample rates, the zero of the sinc filter is located at the Rx band

CHOI et al.:

-DIGITIZED POLAR RF TRANSMITTER

2687

DC BIAS AND P

TABLE II

in CONDITIONS OF CLASS-F PA AT OSR = 32

16

Fig. 19. Measured efficiency of class-D and class-F PAs with the -digitized envelope signal for CDMA IS-95A signal. The sampling frequency varies from 20 to 80 MHz. For the class-D PA, the efficiency suddenly drops as the sampling frequency is higher than 50 MHz. (The efficiency of the dc/dc converter is not considered here.)

Fig. 18. Measured time-domain output signals of: (a) the class-D amplifier and (b) the class-F amplifier at 80-MHz sample rate: The degradation of the output power and efficiency comes from the limited slew rate of the PA. The pulsewidth does not significantly change.

DC BIAS AND P

TABLE I

in CONDITIONS OF CLASS-D PA AT OSR = 32

16

so that the out-of-band noise reduces. In that case, however, the noise in the Tx band is not low enough that the multibit approach is required. V. MULTIBIT APPROACH The multibit quantizer reduces the amount of the quantization noise at the overall band. This means the enhancement of the efficiency and linearity. As the number of quantization bits increases, however, it gets harder to control the PA. Moreover,

Fig. 20. Measured output spectra of 1-bit -digitized transmitter with various OSRs. They show good match with the simulation results.

to amplify the envelope signal efficiently, 1-bit characteristic is preferred. It can be done by using the cell array of the unit PAs. Each unit PA is turned on and off according to the control signal. This is a conceptually good candidate for the multibit PA, but there is a problem in combining the power signals from the multiple PA cells, which can be solved using the uneven power-combining concept of the Doherty amplifier [25].

2688

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 24. Time-domain output signal of three-level quantizer.

Fig. 21. Measured ACPRs of class-D and class-F PAs with 80-MHz itized envelope signal for CDMA IS-95A signal.

16-digitized transmitter employing

16-dig-

16

Fig. 25. Output spectra of 40-MHz second-order digitized transmitter em(40 MHz). ploying two-level (1 bit) and three-level quantizers with

Fig. 22. Power spectrum densities of the output signal at 12 and 22 dBm.

Fig. 23.

16-digitized transmitter employing three-level quantizer.

OSR = 16

To remove the power-combining loss, we utilize just one PA while the multibit information is delivered through the multiple power supply array. They consist of switches and multiple voltage sources having different dc voltages. The array of switches are orthogonally turned on, thus there is no powercombining problem in this structure. To verify the concept of the modulator employing a three-level quanmultibit PA, the tizer is designed. The output signal from the three-level quantizer is encoded to two-way 1-bit signals in the FPGA and delivered to the switch in the same way as described in Section IV. Fig. 23 describes how the three-level digitized PA works. The three-level system is implemented with the 40-MHz sample rate due to the speed limitation of the FPGA. The experimental results in Figs. 24 and 25 show that the output signal of the PA has a three-level digitized envelope with reduced quantization noise. It also reduces the Rx band noise quite well with one zero by sinc filtering, as shown in Fig. 26. The modulation efficiency of the modulator is improved to 89% and the PAE of the PA is 54.6% at 20 dBm of the average output power with the CDMA IS-95A signal. The consequent overall efficiency is 48.6%, which is already very good.

CHOI et al.:

-DIGITIZED POLAR RF TRANSMITTER

2689

ACKNOWLEDGMENT The authors would like to thank Prof. A. Khandani and S. Chaudhuri, both with the University of Waterloo, Waterloo, ON, Canada, for their great support. The authors also thank to J. Moon, Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, for his help with printed circuit board (PCB) fabrication. REFERENCES

16

Fig. 26. Measured Tx and Rx band spectra for -modulator employing three-level quantizer. The zero induced by sinc filtering lowers the amount of the quantization noise at Rx band, but the mismatch by the “dirty”clock deteriorates the filtering effect.

VI. CONCLUSIONS The RF transmitter employing the constant envelope modulator has been designed and implemented. Among the varmodulator has been chosen for its ious modulators, the noise-shaping characteristic. The linearity and efficiency of the transmitter highly depends on the quantization noise, and the appropriate quantization level and OSR have been determined modulator to reduce the quantization noise. The 80-MHz quantization satisfies the minimum linearity speciwith fication of the CDMA IS-95A signal. To realize the circuit, we have heavily utilized the digital environment (FPGA) and combined the modulated envelope signal with the up-converted phase signal through the PA. The class-D and class-F PAs have been fabricated and compared for the optimum operation with the digitized envelope. The measurement results have verified that the most important factor in the digitized operation of the PA is to alleviate the slewing effect by the device capacitances, and the amplifier with small device capacitance is suitable for this application. For the experiment using the CDMA IS-95A signal, the measured overall efficiency is 31% at 22.1-dBm average output power, while the linearity requirements have been satisfied. This transmitter has provided high efficiency and linearity over all usable output power levels. The noise power at the receiver band can be suppressed successfully by introducing modulator and with the help of the duplexer. To zeros in the enhance the performance further, a multibit quantizer has been employed with a new combining method. It eliminates the efficiency degradation from the power-combining loss by using the multiple switch array. The implemented 40-MHz three-level -digitized RF transmitter has presented 48.6% of the overall efficiency at 20-dBm average output power. The performance can be further enhanced by developing the higher multibit digital PA with better efficiency. For the full utilization of the transmitter architecture, we should solve the switching speed limitation of the PA and the out-of-band noise problem in the modulator, hopefully without employing the output filter. However, this architecture can be easily applied to the modulator application as it is.

[1] P. M. Asbeck, L. E. Larson, and I. G. Galton, “Synergistic design of DSP and power amplifiers for wireless communication,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 11, pp. 2163–2169, Nov. 2001. [2] V. W. Leung, L. E. Larson, and P. S. Gudem, “Digital-IF WCDMA handset transmitter IC in 0.25 m SiGe BiCMOS,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2215–2225, Dec. 2004. [3] P. Nagle, R. M. Husseini, A. Grebennikov, W. K. M. Ahmed, and F. McGrath, “A novel wideband digital power amplifier and transmitter architecture for multimode handsets,” in IEEE Radio Wireless Conf. Dig., Sep. 2004, pp. 171–174. [4] R. Hitt, W. Littlefield, and A. Gerner, “Digital-RF linearizer for improved broadband multi-carrier power amplifiers,” in IEEE Military Commun. Conf. Dig., Oct. 2005, vol. 4, pp. 2602–2609. [5] L. Larson, P. Asbeck, and D. Kimball, “Digital control of RF power amplifiers for next-generation wireless communications,” in Proc. 35th Eur. Solid-State Device Res. Conf., Sep. 2005, pp. 39–44. [6] R. B. Staszewski, R. Staszewski, J. L. Wallberg, T. Jung, C.-M. Hung, J. Koh, D. Leipold, K. Maggio, and P. T. Balsara, “SoC with an integrated DSP and a 2.4-GHz RF transmitter,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 13, no. 11, pp. 1253–1265, Nov. 2005. [7] A. Jayaraman, P. F. Chen, G. Hanington, L. Larson, and P. Asbeck, “Linear high-efficiency microwave power amplifiers using bandpass delta–sigma modulators,” IEEE Microw. Guided Wave Lett., vol. 8, no. 3, pp. 121–123, Mar. 1998. [8] J. Keyzer, J. Hinrichs, A. Metzger, M. Iwamoto, I. Galton, and P. Asbeck, “Digital generation of RF signals for wireless communications with bandpass delta–sigma modulation,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, vol. 3, pp. 2127–2130. [9] P. Asbeck, J. Rode, I. Galton, and L. Larson, “Algorithm and amplifiers for digital generation of microwave signals with time-varying envelope,” presented at the IEEE MTT-S Int. Microw. Symp. Workshop, Aug. 2005. [10] Y. Y. Woo, J. Yi, Y. Yang, and B. Kim, “SDR transmitter based on LINC amplifier with bias control,” in IEEE MTT-S Int. Microw. Symp. Dig., Aug. 2003, vol. 3, pp. 1703–1706. [11] T. Sowlati, D. Rozenblit, R. Pullela, M. Damgaard, E. McCarthy, D. Koh, D. Ripley, F. Balteanu, and I. Gheorghe, “Quad-band GSM/GPRS/EDGE polar loop transmitter,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2179–2189, Dec. 2004. [12] G. Norris, R. Alford, J. Gehman, B. Gilsdorf, S. Hoggarth, G. Kurtzman, R. Meador, D. Newman, D. Peckham, R. Sherman, J. Staudinger, G. Sadowniczak, and K. Traylor, “Optimized closed loop polar GSM/GPRS/EDGE transmitter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, vol. 2, pp. 893–896. [13] F. Wang, D. F. Kimball, J. D. Popp, A. H. Yang, D. Y. Lie, P. M. Asbeck, and L. E. Larson, “An improved power-added efficiency 19-dBm hybrid envelope elimination and restoration power amplifier for 802.11g WLAN applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4086–4099, Dec. 2006. [14] P. Raynaert and S. Steyaert, “A 1.75-GHz polar modulated CMOS RF power amplifier for GSM-EDGE,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2598–2608, Dec. 2005. [15] D. K. Su and W. J. McFarland, “An IC for linearizing RF power amplifiers using envelope elimination and restoration,” IEEE J. Solid-State Circuits, vol. 33, no. 12, pp. 2252–2258, Dec. 1998. [16] J. N. Kitchen, I. Deligoz, S. Kiaei, and B. Bakkaloglu, “Polar SiGe class E and F amplifiers using switch-mode supply modulation,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 845–856, May 2007. [17] S. C. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Norwood, MA: Artech House, 2006. [18] P. B. Kenington, High-Linearity RF Amplifier Design. Norwood, MA: Artech House, 2000. [19] Y. E. Wang, “An improved Kahn transmitter architecture based on delta–sigma modulation,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 2, pp. 1327–1330.

2690

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

[20] C. Berland, I. Hibon, J. F. Bercher, M. Villegas, D. Belot, D. Pache, and V. Le Goascoz, “A transmitter architecture for nonconstant envelope modulation,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 53, no. 1, pp. 13–17, Jan. 2006. [21] J. Choi, J. Yim, Y. Yang, J. Kim, J. Cha, and B. Kim, “A -digitized RF transmitter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, vol. 1, pp. 81–84. [22] P. G. A. Jespers, Integrated Converters. Oxford, U.K.: Oxford Univ. Press, 2001. [23] R. Schreier and G. C. Temes, Understanding Delta–Sigma Data Converters. Piscataway, NJ: IEEE Press, 2005. [24] Y. Y. Woo, Y. Yang, and B. Kim, “Analysis and experiments for highefficiency class-F and inverse class-F power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 1969–1974, May 2006. [25] Y. Yang, J. Cha, B. Shin, and B. Kim, “A fully matched -way Doherty amplifier with optimized linearity,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 986–993, Mar. 2003.

Jeonghyun Cha was born in Gimje, Korea, in 1975. He received the B.S. degree in electronics and information engineering from Chon-buk National University, Chonju, Korea, in 2001, and the Ph.D. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, in 2007. In 2005, he joined the XRONet Corporation, Seongnam, Gyeonggi, Korea. His current research interests include phase-locked loop (PLL) frequency synthesizers, RF transceivers, and PAs for wireless

16

communications.

N

Jinsung Choi (S’07) received the B.S. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, in 2004, and is currently working toward the Ph.D. degree at POSTECH. His main interests are CMOS RF circuits for wireless communications, mixed-mode signal-processing integrated-circuit design, and highly efficient and linear RF transmitter architectures.

Jounghyun Yim received the B.S. degree in electronics engineering from Yonsei University, Seoul, Korea, in 2000, and the Ph.D. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, in 2007. In 2007, he joined the Samsung Electronics Company Ltd., Yongin, Gyeonggi, Korea, where he is currently a Senior Engineer involved with the design of RF CMOS transceiver for mobile communications. His research interests include RF PA design and CMOS RF circuits for wireless communications.

Jinho Yang received the B.S. and M.S. degrees in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, in 2005 and 2007, respectively. In 2007, he joined the SK Telecom, Seoul, Korea, where he is currently a Manager of the Access Network Development Team. His research interests include the Universal Mobile Telecommunications System (UMTS) access network, optimization of wireless network and next-generation communication system (LTE, HSPA ).

+

Jingook Kim received the B.S. degree in mechanical and control system engineering from Handong Global University, Pohang, Gyungbuk, Korea, in 2003, and is currently working toward the Master degree in information technology engineering at Handong Global University, Pohang, Gyeongbuk, Korea. His current interests include CMOS RF circuits for wireless communications and sensor network system design.

Daehyun Kang received the B.S. degree in electronic and electrical engineering from Kyungpook National University, Daegu, Korea, in 2006, and is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea. His research interests include the design of PAs and highly efficient transmitters.

Dongsu Kim received the B.S. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, in 2007, and is currently working toward the Ph.D. degree at POSTECH. His research interests are CMOS RF circuits for wireless communications with a focus on highly efficient and linear RF transmitter design.

Bumman Kim (M’78–SM’97–F’07) received the Ph.D. degree in electrical engineering from Carnegie–Mellon University, Pittsburgh, PA, in 1979. From 1978 to 1981, he was engaged in fiber-optic network component research with GTE Laboratories Inc. In 1981, he joined the Central Research Laboratories, Texas Instruments Incorporated, where he was involved in development of GaAs power field-effect transistors (FETs) and monolithic microwave integrated circuits (MMICs). He has developed a large-signal model of a power FET, dual-gate FETs for gain control, high-power distributed amplifiers, and various millimeter-wave MMICs. In 1989, he joined the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, where he is a Namko Professor with the Department of Electrical Engineering, and Director of the Microwave Application Research Center, where he is involved in device and circuit technology for RF integrated circuits (RFICs). He was a Visiting Professor of electrical engineering with the California Institute of Technology, Pasadena, in 2001. He has authored over 200 technical papers. Dr. Kim is a member of the Korean Academy of Science and Technology and the Academy of Engineering of Korea. He was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and a Distinguished Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2691

40-GHz MMIC SPDT and Multiple-Port Bandpass Filter-Integrated Switches Shih-Fong Chao, Student Member, IEEE, Che-Chung Kuo, Zou-Min Tsai, Student Member, IEEE, Kun-You Lin, Member, IEEE, and Huei Wang, Fellow, IEEE

Abstract—40-GHz monolithic microwave integrated circuit (MMIC) single-pole–double-throw and multiple-port bandpass filter-integrated switches based on electronically switchable resonators are proposed. The proposed multifunction chip integrates a multiple-port switch with bandpass filter functions in a single chip. The switchable resonators are formed by quarter-wavelength stepped-impedance resonators with passive HEMT loading at one end. By properly allocating the resonant frequencies of the resonators in their on and off modes, a filter-integrated switch can perform a bandpass response with spurious suppression in the on state and achieve wideband isolation in the off state. The technique of using shared resonators is also introduced in the circuit design to reduce the overall circuit size. The results show the proposed circuits successfully integrate a MMIC switch with bandpass filter functions into a single circuit component. Index Terms—Bandpass filter, monolithic microwave integrated circuit (MMIC), multiple port, single-pole double-throw (SPDT), stepped-impedance resonator (SIR), switch.

I. INTRODUCTION

T

HE MICROWAVE multifunction integration has always been a popular subject [1]–[4]. As the commercial and military systems become more complex, more functions are desired in a smaller chip area. A microwave switch is an essential building block at the RF front end for time-division duplexing (TDD) communication systems. Recently, several passive switches using field-effect transistors (FETs) or p-i-n diodes have been reported [5]–[12]. However, most of these switches target for wideband design, implying that their operating bandwidths are usually over 50% and cannot provide sharp band rejections. Therefore, a preselected bandpass filter will be needed to cascade with such a switch to provide band selectivity and reject out-of-band signals for system applications. The concept of filter-integrated switch circuits were reported in [13]–[16]. In [13], a ring resonator loaded with a p-i-n diode was developed as a switchable filter. However, it occupied a large layout size, and a high-order implementation is difficult. In

Manuscript received April 23, 2007; revised September 6, 2007. This work was supported in part by the National Science Council under Grant NSC 95-2218-E-002-057, Grant 95R0062-AE00-01, and Grant NSC 94-2213-E-002-008-PAE. The authors are with the Graduate Institute of Communication Engineering and the Department of Electrical Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909142

[14], switchable filters were reported based on stub filter structures, the switching device is used to change the boundary condition of each periodically loaded stub in the on and off states. The filter-integrated switch concept is also applied to monolithic microwave integrated circuit (MMIC) circuit designs in [15] and [16]. In [15], the image-filter synthesis method is used to design a wideband switch. In [16], a filter-integrated switch based on stub filter structures are proposed for wideband applications. However, the above-mentioned designs only focus on the passband, which means that only the on-state filter response and off-state isolation in the vicinity of the center frequency were considered. Therefore, they would suffer from unwanted spurious responses and narrow band isolation in the on and off states, respectively. In [17], filter-integrated switches based on diode-loaded stepped-impedance resonators (SIRs) were proposed to achieve a bandpass response with a wide stopband rejection in the on state and a wideband isolation in the off state. To integrate switches and bandpass filters at the same chip level can not only save the additional losses caused by the off-chip interface, but also make high-level system-on-chip (SOC) integrations possible. In this paper, the concept of the filter-integrated switch in [17] is extended to design a compact MMIC single-pole–double-throw (SPDT) [18] and a compact multiple-port filter-integrated switches. The hybrid filter-integrated switches in [17] are designed based on half-wavelength loaded SIRs. In order to reduce the circuit size, switchable quarter-wavelength SIRs are chosen to implement a 40-GHz MMIC SPDT and a multiple-port filter-integrated switches. The switchable quarter-wavelength resonator is formed by loading a passive HEMT device at the open end of a quarter-wavelength SIR. By applying different control voltage, the resonant conditions of the loaded resonator can be changed. The advantages of a loaded quarter-wavelength SIR over a half-wavelength SIR is its compact size. Moreover, the span between adjacent resonant frequencies is twice wider than that of a half-wavelength resonator. Therefore, it is more flexible to allocate the resonant frequencies of each resonator in both on and off states. To further reduce the overall circuit size, the shared resonator technique is also used to save the number of resonators and replace the conventional matching T-junction in a millimeter-wave switch design. II. MMIC PROCESS The process used in this design is WIN Semiconductors’ 0.15- m high-linearity AlGaAs/InGaAs/GaAs pseudomorphic HEMT (pHEMT) MMIC process. The thickness of the GaAs substrate is 4 mil with a dielectric constant of 12.9. In this passive HEMT switch design, the total gatewidth of the device

0018-9480/$25.00 © 2007 IEEE

2692

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

, where is the input impedance of the SIR looking into the short end. The resonant condition could be derived as

Fig. 1. Equivalent-circuit models for a passive HEMT. (a) (b) V = 0 V.

V =

03 V.

(1) and are the characteristic impedances of the two where line sections, and and are the electrical lengths of the two line sections. If the length ratio of the SIR is defined as (2) applying (2) into (1) yields

(3) could be either a capacitive or an inIt is known that the V, the ductive load in different bias conditions. When loaded resonator is terminated by a capacitive load. The capacitive load can be treated as a part of the open end, and thus, the resonator acts as a quarter-wavelength resonator. The resonant into condition can then be determined by applying (3), which yields Fig. 2. Circuit configuration of the proposed HEMT loaded SIR and its equivalent on- and off-mode resonators.

(4) is 60 m. The schematic and its equivalent-circuit model of the passive HEMT are shown in Fig. 1. The gate terminal is biased V, via a large resistor to prevent RF signal leakage. At is 19 fF with a parasitic resistor the off-state capacitor of 5 ), as shown in Fig. 1(a). At V, the on-state is 16 with a parasitic inductor of 20 pH, resistor as shown in Fig. 1(b).

When V, the passive HEMT becomes a inductive load. The inductive load can be considered as a part of the short end, and the resonant condition of the loaded resonator will behave as a half-wavelength resonator (both ends are short). The resonant into (3), condition can be obtained by substituting and it can be simplified as

(5) III. ANALYSIS OF QUARTER-WAVELENGTH LOADED SIR SIRs have the advantages of miniature size and the control of higher order resonant frequencies [23], [24]. Fig. 2 shows the proposed circuit structure of a loaded quarter-wavelength SIR and its simplified equivalent circuits in different bias conis loaded at the open end of the ditions. The passive HEMT quarter-wavelength SIR. By applying different bias conditions could be either to the gate terminal of the HEMT device, the a capacitive or an inductive load. For simplicity of the analysis, the parasitic resistors of the passive HEMT model are neglected. This assumption has been verified in a high-frequency switch design [11]. It is noted that, different from the half-wavelength structure in [17], the quarter-wavelength structure is short circuited at the unloaded end. Therefore, the resonant conditions of the loaded quarter-wavelength SIR in Fig. 2 can be obtained by setting

For convenience in later discussions, we define the resonator loaded with a capacitive load as the on-mode resonator V and the resonator loaded with an inductive load as the V . The length ration versus off-mode resonator to the fundamental the ratio of second resonant frequency resonant frequency of the on-mode resonator are shown in versus the ratio of first resoFig. 3(a), and length ration to the fundamental nant frequency of off-mode resonator of the on-mode resonator are shown in resonant frequency Fig. 3(b). The capacitive and inductive values in this figure are based on the device parameters given in Section II, and the fundamental frequency is the designed center frequency of 40 GHz. swing around From Fig. 3, it is observed that the ratios of the center at approximately 3, and the ratios of swing around the center at approximately 2.2 with respect to different impedance and length ratios.

CHAO et al.: 40-GHz MMIC SPDT AND MULTIPLE-PORT BANDPASS FILTER-INTEGRATED SWITCHES

2693

(a)

(b)

(c) Fig. 4. (a) Circuit schematic, (b) equivalent circuit, and (c) coupling structure of the third-order SPDT filter integrated switch.

IV. 40-GHz MMIC SPDT FILTER-INTEGRATED SWITCH Fig. 3. Length ratio ( ) versus resonant frequency ratio in different steppedimpedance conditions. (a) f =f , (b) f =f .

Compared with the half-wavelength loaded SIRs in [17], the frequency span between the on and off mode of a quarter-wavelength resonators is approximately twice that of a half-wavelength resonator since a quarter-wavelength resonator only resonates at odd modes. Moreover, taking advantage of the stepped-impedance characteristics, the frequency span of each mode can be further apart. This feature facilitates the goal of wide separation of the undesired resonant frequencies in this design. In addition to the unwanted resonant frequency separations, the quarter-wavelength resonators also effectively reduces the overall circuit size. Theoretically, the occupied area of a quarter-wavelength resonator is only one-quarter of a half-wavelength resonator. This is attractive for size reduction in MMIC designs.

The SPDT filter-integrated switch is designed to have the function of an SPDT switch and a third-order bandpass filter. In the on state, the loaded passive HEMTs are turned off; the loaded SIRs behave as quarter-wavelength resonators. The loaded and unloaded resonators resonate at the same fundamental frequency, but at different higher order resonant frequencies to form a coupled-resonator bandpass filter with spurious suppression. In the off state, the loaded passive HEMTs are turned on; the loaded SIRs are switched to act as half-wavelength resonators. With the selection of proper impedance and length ratio of each SIR, the resonant frequencies of the loaded SIRs and unloaded SIRs between input and output ports would be staggered over the band of interest to achieve a good wideband isolation. Therefore, the proposed filter-integrated switch can perform a bandpass function with wide stopband rejection and a wideband isolation in its on and off states. Fig. 4 shows the circuit schematic and equivalent circuit of the proposed third-order SPDT bandpass filter integrated switch. In

2694

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 4(b), the admittance inverter is used to represent the coupling between resonators, where denotes the characteristic admittance [25]. In Fig. 4(a), there is one unloaded resonator and two loaded resonators between each input and output port. Using (4), (5), and their corresponding figure (Fig. 3), the unloaded resonator R1 and the on-mode resonators are designed to have the same fundamental frequency, while with different higher order resonant frequencies, and the resonant frequencies of the off-mode resonators and R1 are misaligned over the band of interest. Fig. 4(c) shows the proposed coupling structure of the SPDT circuit, where the solid dot represents a unloaded resonator, each hollow circle represents an HEMT loaded resonator, and the solid lines are the main path couplings. In the proposed coupling structure, the first resonator R1 plays the role as a shared resonator, which is used to combine two SPST filter integrated circuits into a SPDT filter integrated switch. The use of shared resonator can not only save the area for a matching T-junction needed in a conventional switch design [8]–[12], but also reduces the total number of resonators. As a consequence, the total circuit size could be significantly reduced. In this SPDT circuit [see Fig. 4(a)], the loaded resonators R2, R , R3, and R are used to control the RF signal from port 1 to ports 2 or 3. Considering the condition in Fig. 4(a), R2–R3 are V and R R switched to on-mode resonators are switched to off-mode resonators V . With the specific coupling coefficient between each resonator and external quality factor of input/out resonator, a third-order bandpass filter composed by R1, R2, and R3 is formed. Since resonators R1, R2, and R3 have different higher order resonant frequency, the spurious response of the synthesized filter can be suppressed. At the same time, the first resonant frequencies of the off-mode resonator R and R are distributed over approximately twice of the center frequency. The resonant frequencies of R1, R and R , are misaligned over a wide frequency range, therefore, no passband response will appear at port 3. As a result, a wideband isolation state can be obtained at port 3. It is noted that the passband will not be affected in the presence of resonators R and R because the resonant frequencies of the off-state resonators are not in the vicinity of the center frequency. In this case, the RF signal will pass from ports 1 to 2 at a center frequency of 40 GHz with port 3 in the isolation state. If the bias condition is reversed, the passband signal will pass through port 3 with port 2 in the isolation state. In this SPDT filter-integrated switch, the two SPST filter-integrated switches can be designed independently, and the two SPST circuits are combined via the common resonator R1 to form the SPDT bandpass filter-integrated switch. The resonant frequencies of the loaded and unloaded SIRs in Fig. 4(a) are listed in Table I. It can be observed that, in the on-state, each resonator has the same fundamental resonant frequency of 40 GHz and different higher order resonant frequencies. In the off state, the resonant frequencies of the resonators in the isolated path are misaligned. The third-order filter integrated switch was designed to have the specifications of a Butterworth response at the center freof quency of 40 GHz, and 3-dB fractional bandwidth 8%. The lumped circuit element values of the low-pass proto, , , , and . type filter are

TABLE I RESONANT FREQUENCIES OF EACH RESONATOR AT ON AND OFF STATES

Fig. 5. Coupling structures and design curves for: (a)

M

and (b)

M

.

Thus, the coupling coefficients and the external quality factor can be obtained as

(6) represents the coupling coefficient between reswhere onators and , and and are the external quality factors associated with the input and output couplings, respectively [25].

CHAO et al.: 40-GHz MMIC SPDT AND MULTIPLE-PORT BANDPASS FILTER-INTEGRATED SWITCHES

2695

Fig. 6. Design curves of input and output external quality factors.

Fig. 8. S -parameters measurement results of the filter integrated switch. (a) Port 2 is on and port 3 is off. (b) Port 3 is on and port 2 is off. Fig. 7. Chip photograph of the SPDT filter integrated switch, and the chip size is 2 1.5 mm .

2

Fig. 5(a) shows the design curves for determining the distance between R1 and R2 R , and the curve for determining the distance between R2 and R3 is shown in Fig. 5(b). Fig. 6 shows the simulated external quality factors versus the tapped line position of resonators 1 and 3, which are used to decide the tapped position of the I/O ports. These results are extracted by using the electromagnetic (EM) software Sonnet [26]. With the parameters given by (6), the physical distances can be obtained from Figs. 5 and 6. Geometric parameters of m, m, m, m, and m were used. Fig. 7 shows a photograph of the SPDT filter integrated 1.5 mm , which is to switch. The total chip size is 2 comply with the dicing requirement in the multiproject wafer 760 m (MPW). The effective circuit area is only 950 , as indicated via the dotted lines, where is the guided wavelength of microstrip line on this substrate at the center frequency. The circuit was tested via on-wafer probing. Fig. 8 shows the , , and in the on and off states of the circuit. measured During testing, only one port was set at the thru state, while the other output port was at the isolation state. In Fig. 8(a), at the thru port (port 2), a measured bandpass response with a passband insertion loss of 3.1 dB with an input return loss of 15 dB

at a center frequency of 39 GHz were plotted against simulations, and the measured 3-dB fractional bandwidth is 8%. At the isolation port (port 3), the circuit shows a measured isolation greater than 30 dB at the center frequency, and a wideband isolation better than 30 dB from dc to 69 GHz. The measured results agree well with the simulations. Fig. 8(b) shows the simulated and measured results when port 3 is turned on and port 2 is turned off. The measured passband insertion loss is 3.2 dB with an input return loss of 11 dB at 39 GHz. The isolation at port 2 is approximately 38 dB at the center frequency, and a wideband isolation of better than 35 dB from dc to 64 GHz was also observed. Fig. 9 shows the measured results of the output power versus input power at the thru port, and the input is approximately 15 dBm. V. 40-GHz MMIC MULTIPLE-PORT FILTER-INTEGRATED SWITCH Multiple-port switches have been published and realized in many processes such as GaAs, CMOS, and microelectromechanical systems (MEMS) [19]–[22]. In designing a millimeterwave multiple-ports switch, matching cross-junctions are usually needed to connect I/O arms. However, these cross-junctions occupy large chip areas and increase the difficulty in the layout. Since the cross-junctions are usually formed by quarter-wavelength transmission lines, the loading effect of the I/O arms

2696

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 9. Measured output power versus input power at the center frequency of the SPDT filter-integrated switch.

has always been an issue in the millimeter-wave multiple-port switch design. In this multiple-port filter-integrated switch design, the shared resonator is used to replace the cross-junctions, which are used to combine the I/O arms in conventional switch designs [8]–[12]. Consequently, there will be no loading effects from the matching cross-junctions in this multiple-port filter-integrated switch. Therefore, this circuit provides another solution in designing a millimeter-wave multiple-port switch. Moreover, the shared resonator technique can lower the total number of resonators. Hence, a significant chip size reduction could be achieved. Fig. 10 shows the circuit schematic and proposed coupling structure of a fourth-order six-port bandpass filter-integrated switch. In Fig. 10(a), the loaded SIRs are designed to have the same fundamental frequency of 40 GHz with different higher order spurious frequencies in the on mode and staggered resonant frequencies in the off mode. Unlike an SPDT filter-integrated switch, the difficulty of realizing this filter-integrated switch is that there are six ports (two for input ports and four for output ports) in this circuit. In order to increase coupling periphery of the shared resonator R2, a half-wavelength resonator structure is chosen. The fundamental frequency of R2 is also designed at 40 GHz. Fig. 10(b) shows the coupling structure of this multiple-port filter-integrated switch. The shared resonator R2 plays the role as a bridge to pass the RF signal from input ports to output ports. For example, when the switchable resonators R1, R3, and R4 are switched to the on mode, the others are switched to the off mode. According to the coupled-resonator filter theory, the RF signal of the passband frequency will pass from input port 1 via resonator R1–R2–R3–R4, and then to the output port 3. Similarly, the RF signal flow can be controlled from one of the two input ports to one of the four output ports. In this six-port filter-integrated switch, by taking advantage of the shared resonator R2, there is no need for the matching junction. As a consequence, the total circuit size is significantly reduced. Each SPST filter-integrated switch could be designed independently, and the six-port filter-integrated switch is then formed by integrating the SPST ones via the common resonator R2. The fourth-order filter integrated switch was designed to have the specifications of a Chebyshev response at the center

Fig. 10. (a) Circuit schematic and (b) equivalent circuit of the fourth-order sixport filter-integrated switch.

frequency of 40 GHz with 3-dB fractional bandwidth of 13%. From (6), the required coupling matrix and external quality factor are listed as follows:

(7) With the given design parameters, following the same design procedure of the SPDT filter-integrated switch discussed in Section IV, the geometry parameters can be determined. Fig. 11 shows the photograph of the six-port filter integrated switch. The total chip size is 2 2 mm . The effective circuit area is 1215 1500 m , as indicated by the dotted lines, where is the guided wavelength of the microstrip line on this substrate at the center frequency. It is noted that for testing purposes, there are two output ports terminated with on-chip 50 thin-film resistors. During testing, when the resonators between ports 1 and 3 V , (R1, R2, R3, and R4) are switched to the on mode V . The while the others are switched to the off mode

CHAO et al.: 40-GHz MMIC SPDT AND MULTIPLE-PORT BANDPASS FILTER-INTEGRATED SWITCHES

2697

Fig. 11. Chip photograph of the 40-GHz six-port filter-integrated switch, and the chip size is 2 2 mm .

2

Fig. 13. Measured results of the six-port filter-integrated switch when ports 1 and 5 are ON. (a) Results at the thru ports. (b) Results at the isolation ports.

Fig. 14. Measured output power versus input power at 40 GHz of the six-port filter-integrated switch in the on state (S ). Fig. 12. Measured results of the six-port filter-integrated switch when ports 1 and 3 are ON. (a) Results at the thru ports. (b) Results at the isolation ports.

RF signal will pass from ports 1 to 3. Fig. 12 shows the measured results when ports 1 and 3 are ON, and the other ports are OFF. Fig. 12(a) shows the simulated and measured results of the thru ports, a bandpass response with a passband insertion loss of 3.9 dB was measured at the center frequency of 40 GHz. At the other isolation ports, the measured isolations are all greater

than 27 dB at the center frequency, as shown is Fig. 12(b). Considering another testing condition, ports 1 and 5 are ON, and the other ports are OFF. Fig. 13(a) shows the measured and simulated results at the thru ports. A bandpass response with a passband insertion loss of 3.7 dB was measured at a center frequency of 40 GHz. At the other isolation ports, the measured isolations are all greater than 25 dB at the center frequency, as shown is Fig. 13(b). Fig. 14 shows the measured results of the output

2698

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

power versus input power at the thru port is approximately 17 dBm.

, and the input

VI. CONCLUSION In this paper, compact 40-GHz MMIC SPDT and multiple-port bandpass filter-integrated switches have been proposed. The bandpass filter-integrated switches are designed based on the switchable resonators to have bandpass response in the on state and wideband isolation in the off state. The HEMT loaded at the open end of the quarter-wavelength SIR is developed as a switchable resonator, which is used to switch the resonant frequency and control the RF signal flow. Moreover, in order to compact the overall chip size, the concept of shared resonator is also used to lower the number of resonators. The proposed circuits can indeed increase the level of RF transceiver integration.

ACKNOWLEDGMENT The authors would like to thank Prof. G. Vendelin, National Central University, JhongLi, Taiwan, R.O.C., for his valuable suggestions. The chip was fabricated by WIN Semiconductors through the Chip Implementation Center (CIC), Taiwan, R.O.C.

REFERENCES [1] M. J. Roberts, S. Iezekiel, and C. M. Snowden, “A W -band self-oscillating subharmonic MMIC mixer,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2104–2018, Dec. 1998. [2] J. R. Long, “A low-voltage 5.1–5.8-GHz image-reject downconverter RF IC,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1320–1328, Sep. 2003. [3] I.-J. Chen, H. Wang, and P. Hsu, “A V -band quasi-optical GaAs HEMT monolithic integrated antenna and receiver front end,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2461–2468, Dec. 2003. [4] T. P. Wang, C.-C. Chang, R.-C. Liu, M.-D. Tsai, K.-J. Sun, Y.-T. Chang, L.-H. Lu, and H. Wang, “A low-power oscillator mixer in 0.18m CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 88–95, Jan. 2006. [5] F. J. Huang and K. O, “A 0.5-m CMOS T/R switch for 900-MHz wireless applications,” IEEE J. Solid-State Circuits, vol. 36, no. 3, pp. 486–492, Mar. 2001. [6] C. Tinella, J. M. Fournier, D. Belot, and V. Knopik, “A high-performance CMOS-SOI antenna switch for the 2.5–5-GHz band,” IEEE J. Solid-State Circuits, vol. 38, no. 7, pp. 1279–1283, Jul. 2003. [7] Z. Li and K. K. O, “15-GHz fully integrated nMOS switches in a 0.13-m CMOS process,” IEEE J. Solid-State Circuits, vol. 40, no. 11, pp. 2323–2328, Nov. 2005. [8] J. Kim, W. Ko, S. H. Kim, J. Jeong, and Y. Kwon, “A high-performance 40–85 GHz MMIC SPDT switch using FET-integrated transmission line structure,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 505–507, Dec. 2003. [9] K. Y. Lin, W. H. Tu, P. Y. Chen, H. Chen, H. Wang, and R. B. Wu, “Millimeter-wave MMIC passive HEMT switches using traveling-wave concept,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1798–1808, Aug. 2004. [10] M. C. Yeh, Z. M. Tsai, H. Wang, C. Y. Su, and C. P. Chao, “A millimeter-wave wideband SPDT switch with traveling-wave concept using 0.13-m CMOS process,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, [CR ROM]. [11] Z. M. Tsai, M. C. Yeh, M. F. Lei, H. Y. Chang, C. S. Lin, and H. Wang, “FET-integrated CPW and the application in filter synthesis design method on traveling-wave switch above 100 GHz,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2090–2097, May 2006.

[12] S.-F. Chao, H. Wang, C.-Y. Su, and J. G. J. Chern, “A 50–94 GHz CMOS SPDT switch using traveling-wave concept,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 2, pp. 130–132, Feb. 2007. [13] T. S. Martin, F. Wang, and K. Chang, “Theoretical and experimental investigation of novel varactor-tuned switchable microstrip ring resonator circuits,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1733–1739, Dec. 1988. [14] Y. H. Shu, J. A. Navarro, and K. Chang, “Electronically switchable and tunable coplanar waveguide-slotline bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 3, pp. 548–554, Mar. 1991. [15] S. F. Chang, W.-L. Chen, J.-L. Chen, H.-W. Kung, and H.-Z. Hsu, “New millimeter-wave MMIC switch design using the image-filter synthesis method,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 103–105, Mar. 2004. [16] Z.-M. Tsai, Y.-S. Jiang, J. Lee, K.-Y. Lin, and H. Wang, “Bandpass single-pole–double-throw FET quarter-wavelength bandpass filter-integrated-switch,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 8, pp. 1601–1610, Aug. 2007. [17] S.-F. Chao, C.-H. Wu, Z.-M. Tsai, H. Wang, and C.-H. Chen, “Electronically switchable bandpass filters using loaded stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4193–4201, Dec. 2006. [18] S.-F. Chao, C.-C. Kuo, Z.-M. Tsai, and H. Wang, “A 40-GHz MMIC SPDT bandpass filter integrated switch,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 483–486. [19] G.-L. Tan, R. E. Mihailovich, J. B. Hacker, J. F. DeNatale, and G. M. Rebeiz, “Low-loss 2- and 4-bit TTD MEMS phase shifters based on SP4T switches,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 297–304, Jan. 2003. [20] J. Lee, C. H. Je, S. Kang, and C.-A. Choi, “A low-loss single-pole six-throw switch based on compact RF MEMS switches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3335–3344, Nov. 2005. [21] S.-F. Chao, Z.-M. Tsai, K.-Y. Lin, and H. Wang, “Compact W -band SPQT switch using traveling wave concept,” in Proc. Eur. GAAS Conf., Paris, France, Oct. 2005, pp. 357–360. [22] K. Jung and K. K. O, “A CMOS single-pole–four-throw switch,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 3, pp. 128–130, Mar. 2006. [23] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [24] C.-W. Tang and S.-F. You, “Miniaturized wide stopband rejected microstrip filter with coupled spur-lines,” Electron. Lett., vol. 42, no. 5, pp. 286–288, Mar. 2006. [25] J. S. Hong and M. J. Lancaster, Microstrip Filter for RF/Microwave Applications. New York: Wiley, 2001, ch. 8. [26] “Sonnet User’s Manual, Release 10.0.,” Sonnet Software Inc., North Syracuse, NY, 2004.

Shih-Fong Chao (S’05) was born in ChangHua, Taiwan, R.O.C., in 1979. He received the B.S. degree in electrical engineering from National Cheng-Kung University, Tainan, Taiwan, R.O.C., in 2002, and the Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2007. His research interests include microwave and millimeter-wave circuit designs.

Che-Chung Kuo was born in Taipei, Taiwan, R.O.C., in 1980. He received the M.S. degrees in electrical engineering from the National Center University, Chung-Li, Taiwan, R.O.C., in 2005, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University, Taipei, Taiwan, R.O.C. His research interests include microwave circuit designs and microwave circuit system-on-package integrations.

CHAO et al.: 40-GHz MMIC SPDT AND MULTIPLE-PORT BANDPASS FILTER-INTEGRATED SWITCHES

Zou-Min Tsai (S’00) was born in Mailo, Taiwan, R.O.C., in 1979. He received the B.S. degree in electronic engineering and Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2001 and 2006, respectively. He is currently a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests are the theory of microwave or millimeterwave circuits.

Kun-You Lin (S’00–M’04) was born in Taipei, Taiwan, R.O.C., in 1975. He received the B.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1998, and the Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2003. From August 2003 to March 2005, he was a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. From May 2005 to July 2006, he was an Advanced Engineer with the Sunplus Technology Company Ltd., Hsin-Chu, Taiwan, R.O.C. In July 2006, he joined the faculty of the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, as an Assistant Professor. His research interests include the design and analysis of microwave/RF circuits. Dr. Lin is a member of Phi Tau Phi.

2699

Huei Wang (S’83–M’87–SM’95–F’06) was born in Tainan, Taiwan, R.O.C., on March 9, 1958. He received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1980, and the M.S. and Ph.D. degrees in electrical engineering from Michigan State University, East Lansing, in 1984 and 1987, respectively. During his graduate study, he was engaged in research on theoretical and numerical analysis of EM radiation and scattering problems. He was also involved in the development of microwave remote detecting/sensing systems. In 1987, he joined the Electronic Systems and Technology Division, TRW Inc. He has been an MTS and Staff Engineer responsible for MMIC modeling of computer-aided design (CAD) tools, MMIC testing evaluation, and design and became the Senior Section Manager of the Millimeter-Wave (MMW) Sensor Product Section, RF Product Center. In 1993, he visited the Institute of Electronics, National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., to teach MMIC related topics. In 1994, he returned to TRW Inc. In February 1998, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, as a Professor. He is currently the Director of the Graduate Institute of Communication Engineering, National Taiwan University. Dr. Wang is a member of Phi Kappa Phi and Tau Beta Pi. He was the recipient of the Distinguished Research Award of National Science Council, R.O.C. (2003–2006). In 2005, he was elected as the first Richard M. Hong Endowed Chair Professor of National Taiwan University. He has been appointed an IEEE Distinguished Microwave Lecturer for the 2007–2009 term.

2700

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Ka

A Ten-Beam -Band Radiometric Receiver Using Custom Designed InP MMICs at Cryogenic Temperatures Danielle Kettle and Neil Roddis

Abstract—Large ground-based radio telescopes are increasingly being equipped with multibeam feed systems to enable simultaneous observation of a larger part of the sky. The aim of the One Centimetre Receiver Array-f project, part of the European Commission funded FARADAY Programme, was to develop a high-quality science instrument to survey the sky at 1-cm wavelength, while developing technologies that would be applicable to future development of systems with 100 beams or more. A com-band radiometer system is described, together plete ten-beam with measured results at cryogenic temperatures. Performance compares well with that obtained with similar (non monolithic microwave integrated circuit) instruments produced for the Wilkinson Microwave Anisotropy Probe and Planck satellites. -band, monolithic microwave Index Terms—Cryogenic, InP, integrated circuit (MMIC), radio astronomy, radiometry.

I. INTRODUCTION ARGE ground-based radio telescopes around the world are increasingly being re-equipped with multibeam feed systems to increase the efficiency of their observations. Multiple beam receivers are used to enable simultaneous observation of several points in the sky; this is particularly useful for sky survey observations, which aim to produce radio contour maps of the sky and discover “hot spots” or point sources. Such surveys are vital to deembed the results of the many cosmic microwave background (CMB) experiments that seek to increase our understanding of the early evolution of the universe. The aim of the One Centimetre Receiver Array (OCRA)-f project, part of the European Commission (EC) funded FARADAY Programme, was to develop a high-quality science instrument to survey the sky at 1-cm wavelength, while developing technologies that would be applicable to future development -band of systems with 100 beams or more. A ten-beam nonheterodyne radiometer system has been developed for installation on a 32-m Cassegrain radio telescope operated by Nicolaus Copernicus University, Torun, Poland, based on the radiometer schemes used in two of the CMB experiments mentioned above, the European Space Agency (ESA) Planck

L

Manuscript received April 26, 2007; revised July 24, 2007. D. Kettle is with the School of Electrical and Electronic Engineering, The University of Manchester, Manchester M60 1QD, U.K. (e-mail: [email protected]). N. Roddis is with the School of Physics and Astronomy, The University of Manchester, Manchester M60 1QD, U.K. (e-mail: [email protected]. uk). Digital Object Identifier 10.1109/TMTT.2007.907142

Fig. 1. Radiometer front-end schematic.

Mission [1], [2] and the National Aeronautics and Space Administration (NASA)’s Wilkinson Microwave Anisotropy Probe (WMAP) [3], [4]. An extremely sensitive radiometer has been developed using indium phosphide monolithic microwave integrated circuits (MMICs) designed by the authors. A chip set comprising low-noise amplifiers (LNAs), phase switch, and hybrid couplers has been designed and fabricated using the Northrop Grumman Space Technology (NGST) lattice matched indium phosphide foundry process. Their 0.1- m gate-length HEMT process is widely believed to produce the current state-of-the-art in LNAs for radio astronomy [5]. This paper describes the design and manufacture of the ten-beam radiometer and presents measured performance results obtained from cryogenic tests carried out in the laboratory. These results are compared with those obtained in the development of the WMAP and Planck radiometers of similar type. Production of all the major RF components in a single wafer run is believed to be an original approach, and one that could be very useful in future development of highly integrated receivers with 100 beams or more (recently proposals have been made for radiometer front ends with as many as 10 000 beams). Results of tests on the OCRA-f radiometers clearly demonstrate that the MMIC-based approach can produce good quality radio astronomy instrumentation. II. RADIOMETER PRINCIPLES AND RECEIVER ARCHITECTURE Fig. 1 shows the basic layout of the differential radiometer used in the OCRA-f project. It is based on, and similar to, the configuration used in the WMAP [4] and Planck [1] satellite experiments to measure the CMB radiation. The main difference is in the use of 90 hybrid couplers; together with the two 180 phase switches, one of which is maintained at constant phase, while the other is repeated switched, they alternately route the two inputs to the two outputs in antiphase. With some simplifiand in Fig. 1, can be cation, the output signal voltages, related to the input voltages in the following way:

0018-9480/$25.00 © 2007 IEEE

KETTLE AND RODDIS: TEN-BEAM

-BAND RADIOMETRIC RECEIVER

2701

(1)

(2) and are the signals from the two feed horns, where and are the hybrids’ insertion losses, , , , and are the gain and noise of the LNAs with phase shifts and , and are the phase switch insertion losses, and and are the phases of the phase switches. In practice, there are small additional noise contributions from the cold input hybrid and components following the LNAs. Further simplification, making all of the gains and losses equal to 1 and the LNA phase shifts both equal to 0, allows us to see the output voltages for a perfect differential radiometer (3) (4) , , we get For the phase switch condition , , and for , , we get , . Hence, if the output voltages and are applied to a square law detector, then each detected voltage is alternately and for this ideal proportional to the input signal levels case. In practice, nonideal components degrade the function of the radiometer, introducing noise and causing leakage across the will leak into when it is outputs, e.g., some fraction of because of phase and amplisupposed to be connected to tude mismatch in the hybrids, LNAs, and phase switches. Naturally, great trouble is taken to ensure that the two branches of each radiometer are well matched and introduce as little noise as possible. A good quality radiometer needs well-matched components in the front end section; this was one of the motivations to use MMIC components in this system. The LNAs should as much as possible have equal gain and amplitude responses over the radiometer frequency band; the same applies to the phase switches, but with the additional requirements that the phase change should be close to 180 , and that the amplitude response should be equal in the two phase switch states. Hybrid couplers with accurate 90 or 180 coupling can be used; in either case, the amplitude coupling must be as equal as possible, and the input hybrid loss must be minimized in order to avoid the introduction of significant thermal noise to the system. and described above are proAlthough the voltages portional to the input signal voltages (including some leakage and noise), in practice, some additional gain is needed in order to drive a Schottky diode detector at its optimum level. Fig. 2 shows the radiometer back-end that is used to amplify, filter, and detect these voltages. The detected output voltages are proportional to the total power in the frequency band defined by the filters in combination with the amplitude responses of the front

Fig. 2. Radiometer back-end schematic.

and back ends; these can be expressed in the form shown in (5) and (6) as follows:

(5)

(6)

where and are the dc amplifier gains, and are the sensitivity of the detectors, , , , and are the gain and noise of the LNAs in the back-end with phase and , and and are the insertion shifts and . loss of the bandpass filters and their phases and are the front-end output expressions given in (1) and (2). Note that the dc amplifiers need to have a frequency response wide enough to respond to the switch rate of the phase switches. These important effects are described to show how each subsystem is related to the overall radiometer performance. The receiver will be installed at the secondary focus of the 32-m antenna. This is ideal for high-frequency multibeam work, as it has very accurate reflector panels and has paraboloid/hyperboloid (nonshaped) optics [6]. The radiometer front-end is cooled to 15 K by a closed-cycle helium refrigerator in order to minimize its noise temperature and, hence, maximize its sensitivity to weak astronomical radio sources. A waveguide-to-coaxial transition is required to make the conversion from waveguide into the front-end module (FEM). This has been previously described [7] and is based upon a design used at the National Radio Astronomy Observatory (NRAO), Green Bank, WV [8]. The radiometer front-end, from the feeds to the second coupler, is mounted inside the cryostat. The back-end radiometer architecture has been previously described by the authors [7]. III. FEM DESIGN Fig. 3 shows the prototype FEM complete with dimensions. Four MMIC designs integrated into the radiometer front-end have been described in detail by the authors [7] and Kettle et al. [9]. Details of the procedure to fully characterize the FEMs has been previously described by the authors [7]. The measurement of isolation, or leakage, is of particular importance during module characterization [7]. When performing the module characterization tests, there will be a small amount of leakage

2702

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 4. Measured cryogenic gain (in decibels). Both FEM outputs.

Fig. 3. Populated radiometer FEM.

(9)

of signal from one port to the other input port due to imperfections in the phase and amplitude matching of the components in the FEM. This leakage, also known as “isolation,” is required to be less than 10%, as the loss in sensitivity of the receiver roughly scales with the amount of leakage. Therefore, a leakage of more then 10% would mean that approximately 10% of the sensitivity is lost. The leakage is minimized by accurately matching the phase and amplitude responses of the LNAs and phase switches. This makes MMIC technology highly attractive, as MMICs from the same wafer run should have very similar gain characteristics in amplitude and phase. The -factor measurement procedure and equipment setup used for determining the FEM noise temperature and isolation (leakage) is described in [7]. The FEM system noise temperature is determined from

(7) is the noise temperature of the FEM, is the high where temperature of the hot/cold load, is the low temperature of the hot/cold load, and is the ratio of FEM output powers for the hot and cold input cases For the nonideal FEM with finite leakage, the measurement is more difficult, and it is further complicated if the nominally fixed temperature load changes temperature during the test. While in the ideal case above, the output power would only change at one of the FEM outputs, we now need to measure -factors at both of the FEM outputs

where is the FEM system noise temperature, and are the physical temperatures of the hot/cold load, and and are the corresponding physical temperatures of the “fixed” load, and is the leakage (isolation) fraction. Solving (8) and (9) for noise temperature and leakage gives (10) and (11), shown at the bottom of this page. IV. FEM CHARACTERIZATION The FEM was mounted in the cryostat and its scattering parameters were measured at 15 K. The parameter of most in, which is representative of the terest is the magnitude of overall gain of the system, and this was recorded in each phase switch state. For any input/output pair of ports, there should be a high gain and a low gain state corresponding to the two phase switch settings [10]. The FEM system noise temperature was also measured at the cryogenic temperature of 15 K. The procedure for measuring the FEM -parameter and noise temperature has been previously described [7]. Fig. 4 shows a typical plot of gain measured at the FEM output. The leakage of the system, in all combinations of inputs and outputs, was calculated using (8)–(11). Fig. 5 shows the resulting plots as a percentage of leakage. The results in Fig. 5 show that the average leakage across 26–36 GHz from both FEM outputs is less than the required 10%. Using (8) –(10), the noise temperature from both FEM outputs measured at 15 K was calculated. The results are shown in Fig. 6. V. RADIOMETER CHARACTERIZATION

(8)

The following set of basic radiometric “bench” tests were performed both at ambient temperature and at nominal operating

(10) (11)

KETTLE AND RODDIS: TEN-BEAM

-BAND RADIOMETRIC RECEIVER

2703

The results are very consistent, and well within the requirement specification of 40 K. B. Effective Noise Bandwidth

Fig. 5. Calculated cryogenic leakage as a percentage from both FEM outputs.

Fig. 6. Measured cryogenic noise temperature from both FEM outputs.

The receiver system described in this paper is one example of a total power radiometer. In this case, the primary application being detection of galactic and extra galactic astronomical radio sources: radio galaxies, quasars, etc. Radiation from these sources takes the form of broadband noise with a spectral index that is generally practically flat over the nominal 30% bandwidth of the system. One consequence of this is that the level of received “signal” is in direct proportion to the bandwidth of the receiving system, or to put this another way: sensitivity is inversely proportional to the square root of the bandwidth. Of course, this assumes some degree of uniformity in the receiver, over the band, where is antenna gain and i.e., constant is system noise temperature. In order to maximize the sensitivity of the radiometer-based receiver to flat spectral index and maximize radio sources, it is necessary to maximize the effective noise bandwidth of the system. Radio astronomers are familiar with the relationship for minimum detectable temperature [11], which clearly shows the advantage of maximizing the effective bandwidth (13)

TABLE I RADIOMETER NOISE TEMPERATURE USING Y -FACTOR METHOD

temperature to prove the system before completion of cryostat integration: system noise temperature; effective noise bandwidth; knee frequency; output voltage versus input temperature difference. A. System Noise Temperature System noise temperature was measured by means of the well-known hot/cold load method, as described above. Output power levels from the back-end modules (BEMs) and waveguide filters were measured for two separate temperature settings of a temperature-controlled load (WR28 termination) mounted on one input of the FEM. Provided the isolation of the radiometer is low, the system noise temperature is determined similar to (7)

where is the minimum detectable temperature (i.e., noise equivalent temperature in the antenna beam), is the system noise temperature, is the noise equivalent bandwidth in hertz, is the integration time in seconds, and is the radiometer sensitivity constant. A band-defining filter is included in the radiometer design; its purpose is to reject any manmade signals, either from terrestrial or satellite sources, which would be detected and, thus, degrade the sensitivity of the system, and also to restrict the noise signal reaching the detector to the frequency range where the sensitivity of the radiometer front end has been optimized. However, the effective noise bandwidth is not solely determined by the filter passband; any deviation from ideal flat gain response in the radiometer will degrade the effective bandwidth. Using (13), it is possible to estimate the effective bandwidth of the system, given that the sensitivity constant is theoretifor a dual-channel radiometer of this type [12]. The cally can be obtained from analysis of the detected value of output of the radiometer since, provided the system is linear in power, the output voltage is proportional to system noise temis proportional to perature and the rms detector noise level . Hence, (14)

(12) where and are the two load temperatures and is the ratio of the two powers measured. As there are two BEM outputs and two phase switch settings, there are four measurements that can be made. Table I shows the results obtained with load temperature settings of 31 and 50 K.

In practice, the accuracy of this method is quite limited, not least because it is difficult to measure the detector noise accurately. However, the estimates obtained in this way are a useful guide to the relative sensitivity of different radiometer channels, and a low bandwidth value can sometimes give an indication that there is some problem in the passband of the system.

2704

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

the effective noise bandwidth, i.e., 75.4% of the nominal value. Rolloff in gain at the upper end of the band is clearly the main culprit; this has been investigated and found to be mostly due to a slope in the gain of the back-end amplifier. Nevertheless, an effective bandwidth of 7.54 GHz is a respectable figure, and is in excess of the bandwidths available for the WMAP [3] and -band radiometers. Planck [1] When the same radiometer was analyzed using the method described in (14), the results obtained were as follows. in . • Detected white noise level . • Detected dc level in • Effective bandwidth in GHz . Despite the restricted frequency range of the measurement, the integration method is thought to be the more accurate of the two. Uncertainty over the radiometer sensitivity constant and difficulty in measuring the detected white noise level limit the accuracy of the detected output method. However, there is reasonable agreement between the two methods and the detector method provides a good quick approximation of the radiometer bandwidth. C.

Fig. 7. Radiometer gain response used to calculate the effective bandwidth .

A potentially more accurate estimate of effective bandwidth can be made if we have a measure of the gain versus frequency response of the radiometer. If this is represented by the function , then the effective noise bandwidth is given by [11] (15) In principle, the functions and need to be integrated over all frequencies, but in practice, owing to the filter and LNA responses, the gain falls off quite rapidly outside of the normal operating band. Hence, it is sufficient to integrate the gain and the squared gain responses numerically over a band that is a few gigahertz wider than the nominal detection band. A typical OCRA-f gain response versus frequency is shown in Fig. 7(a). Unfortunately test equipment constraints limit the frequency range, which should ideally be a few gigahertz wider than the nominal band, as discussed above. An effective bandwidth calculation based on the plot in Fig. 7 will necessarily have a maximum value of 10 GHz. Inspection of the plots in Fig. 7(b) and (c) suggests that the error in the total effective bandwidth will be small. As mentioned above, the “missing” bandwidth at the lower end of the band may not be of huge value because the noise temperature of the radiometer will be higher outside of the optimized nominal band. Integrating under the curves in Fig. 7(b) and (c) and applying the bandwidth formula in (15), we obtain a value of 7.54 GHz for

Knee Frequency

The knee frequency is the frequency at which the radiometer noise spectral density increases by a factor of above its high-frequency limiting value. In principle, a perfectly balanced pseudocorrelation raeffects. Residual sensitivity to diometer is free from noise is proportional to the input offset . In practice, the system is nonperfect so a key instrument design objective is to . The effects of can be compensated by minimize introducing a “gain modulation factor” . Results from Planck LFI prototypes, as well as analytical calculations [13], show knee frequency and, hence, a more stable that a lower radiometer, can be achieved by accurately selecting a value of [1], [14]. Fig. 8 shows measured radiometer data. The top graph shows 15 min of total noise power data from both of the radiometer S/s with one phase switch outputs. The sample rate was operating at 280 Hz. Each channel actually appear at the output of the detectors as a set of alternating series of and values. The bottom two graphs show the different noise stream, with the appropriate value, in the time and frequency domain. In order to calculate the knee frequency with significant resolution, a data stream of 15 min was taken. For the proposed mode of astronomical observation with the OCRA-f knee frequency lower than 40 mHz is required. system, a Special in-house developed software is used to generate switch waveforms for the phase switch and process the digitized data from the two detectors. Results of this processing are an amplitude signal proportional to the temperature difference between the input terminations on the FEM, and the frequency response at its spectrum of this waveform, which shows the low-frequency end. Measurements were made with and without switching to demonstrate the great improvement in stability brought about when the pseudocorrelation radiometer is used in of the system is estimated to be 8 its switched mode. The mHz, i.e., a factor of 5 better than the requirement specification, as shown in Fig. 9.

KETTLE AND RODDIS: TEN-BEAM

-BAND RADIOMETRIC RECEIVER

2705

Fig. 10. Nonswitched radiometer sensitivity curve.

Fig. 8. Measured radiometer data. Fig. 11. Gain performance comparison between four FEMs.

VI. MULTICHANNEL DATA

Fig. 9. Measured 1=f knee frequency.

D. Output Voltage Versus Input Temperature Difference As a simple measure of the functionality of the radiometer as a temperature difference detector, nonswitched detection curves were plotted for each output for different phase switch settings. One input termination was kept at a nominally constant temperature, while the other termination temperature was varied and the detector output voltage was recorded for each temperature setting. An example curve is shown in Fig. 10, together with a best fit straight line, which can be used to determine the raw sensitivity in volts per kelvin. Note the best fit line does not go through the origin; this is a result of slight imbalance in the radiometer added to input offset voltage in the video amplifier.

At present, four full radiometers have been fully characterized at both room temperature and cryogenic conditions. The fifth FEM required for the ten-beam system is undergoing integration tests. Fig. 11 shows the similarity in gain performance between the four fully characterized modules, two outputs from each. Fig. 12 shows the similarity of noise temperature between the four characterized modules. Corrugated conical feed horns have been designed and manufactured at The University of Manchester, Manchester, U.K., These are based on a well-proven design that has already been tested on the Torun radio telescope in the One Centimetre Radio Array prototype (OCRAp) system [15], and provide optimum illumination of the Torun telescope’s subreflector. Sixteen horns have been fitted into the FARADAY cryostat (see Fig. 13), but funding currently only allows for ten beams to be fully equipped. Four FEMs are mounted in the cryostat and connected via waveguide to the feed horns, as shown in Fig. 13. VII. RADIOMETER IMPROVEMENTS: HYBRID COUPLERS Good quality hybrid couplers, with 90 or 180 phase differential, are essential to produce a good pseudocorrelation radiometer: accurate phase and amplitude matching ensure good isolation (low leakage) between radiometer channels, and a low-

2706

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 12. Noise temperature performance comparison between four FEMs.

Fig. 13. Feed horns and FEMs mounted in the cryostat.

loss input hybrid is necessary to achieve minimum noise temperature. Good impedance matching is also vital to maximize sensitivity, as it affects both the signal to noise ratio and the effective bandwidth of the system. Radiometers for the Planck Low-Frequency Instrument (LFI) [2] used waveguide magic T couplers on the input and output, giving a well-controlled amplitude split and accurate 180 phase difference. Fabricated in gold-plated aluminium and cooled to 20 K, their loss was too small to measure. Some minor problems occurred due to impedance mismatch, in the transition from waveguide to microstrip via coax, giving rise to lumps in the measured noise temperature versus frequency response. Following some tuning of the waveguide probes, this problem was virtually eradicated and the final sensitivity of the radiome-band and three ters was excellent [2], [16]. A total of two -band radiometers plus one spare of each type were produced

Fig. 14. Microphotograph of MMIC hybrid coupler.

for the Planck project, and the choice of a waveguide hybrid coupler for this application was clearly a good one. One of the main aims of the OCRA-f project was to develop technology suitable for multiple beam radio astronomy instru-band mentation. At the start of the program, a 100-beam radiometer was the ultimate design goal, but since then, other proposals have suggested up to 10 000 beams as a possible aim. Even at the relatively modest level of 100 beams there is a clear incentive to seek an alternative to the Planck-type hybrid coupler, whose parts have to be machined out of a solid and then assembled very skillfully in order to produce the necessary performance. The OCRA-f MMIC developments offered the prospect to design mass-producible hybrid couplers on the same wafer run as the LNAs and phase switches. There are many potential benefits in making the hybrids as MMICs, i.e.: 1) individual component cost is significantly reduced; 2) excellent repeatability can be achieved; 3) the components are easily integrated with other components in the system (also MMICs), and in principle; 4) the hybrid coupler could be further integrated with other components at the chip level. It was anticipated that the loss and, hence, the noise contribution of the input hybrid, would be higher than for the waveguide magic T case, but that this would not be too detrimental to the system, as it is cooled to 20 K. OCRA-f hybrid couplers, shown in Fig. 14, took the form of 3-dB Lange couplers fabricated with gold tracks on an indium–phosphide substrate. The interdigitated 3-dB coupler described by Lange [17] consists of three or more parallel striplines with alternate lines tied together. Two features of the design contribute significantly to the loss of the coupler: indium phosphide has a relatively high and the tracks are narrow and, hence, quite resistive. However, the scientific application of OCRA-f was as an Earth-based instrument, as opposed to the space application of Planck. This reduces the importance of achieving the ultimate in low-noise performance, as there will inevitably be some additional noise contributed from the atmosphere and ground radiation. Fig. 15 shows the measured loss and input and output match for the OCRA-f hybrid coupler. The simulated performance is also shown in Fig. 15. Note that the result shown in Fig. 15(a)

KETTLE AND RODDIS: TEN-BEAM

-BAND RADIOMETRIC RECEIVER

2707

TABLE II RADIOMETER PERFORMANCE COMPARISON

dividual LNAs with the hybrid couplers replaced by 50- microstrip lines. Results from these measurements can be compared to measurements of the noise temperature of the complete FEM (including hybrid couplers) to determine the loss of sensitivity due to the hybrid couplers. Typically the hybrid couplers add 3–4 K to the noise temperature. This would be an unacceptably high contribution to the system noise temperature for a space application like Planck, but is quite acceptable for a ground-based application. Further investigations are being carried out into mass-producible hybrid couplers, following the success of the OCRA-f radiometers. Possibilities include the use of micromachining techniques to fabricate waveguide or coaxial couplers, and lithographically defined microstrip couplers to be fabricated on fused quartz substrates. In all cases, the aim is to produce low-loss well-matched devices in a reproducible and low-cost (per coupler) process. VIII. RADIOMETER PERFORMANCE COMPARISON

Fig. 15. MMIC hybrid plot of: (a) loss, (b) input, and (c) output match between input 1 and output 1.

Fig. 16. MMIC hybrid plot of phase difference between inputs and outputs.

includes 3-dB coupling loss, making the room-temperature hybrid coupler loss less than 1 dB. The phase difference between both outputs from both inputs is shown in Fig. 16. Part of the test regime for the OCRA-f radiometer FEMs involves the measurement of noise temperature of the FEM assembly without hybrid couplers, i.e., measurement of the in-

Table II shows the radiometer performance of three -band receivers for WMAP [4], [18], Planck LFI [1], [2], and OCRA-f [7], [9]. The results shown are those taken during radiometer integration and testing—at Princeton University, Princeton, NJ, and the Goddard Space Flight Center (GSFC), Greenbelt, MD, for WMAP [4] and The University of Manchester, for both Planck LFI and OCAR-f [2], [7], [9]. While the architecture of the three systems is very similar, it is important to bear in mind the differences in implementation and application of the three systems when making comparisons. WMAP radiometers use passive cooling and, hence, operate at a significantly higher temperature, 80 K as opposed to 20 K [4], than those of the Planck [2] and OCRA-f instruments; this clearly affects the system noise temperature. Noise temperature of the OCRA-f system is comparable to that of WMAP, even though it operates at a lower temperature; this is due to the higher noise temperature of MMIC LNAs, as compared to handcrafted microwave integrated circuit (MIC) LNAs (used in WMAP and Planck) and the additional noise from the MMIC input hybrid couplers. However, for a ground-based application, the receiver noise is a little less critical, as there is significant contribution to the overall noise of the system from atmospheric losses. The extra bandwidth in the OCRA-f system directly translates to improved sensitivity as the received signals take the form of broad band noise. Of particular note is the low value for knee frequency in the OCRA-f system, which again implies potential for higher sensitivity, by enabling longer integration times.

2708

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

IX. CONCLUSION -band This paper has described a very low-noise 10-beam radio camera to be installed on a 32-m-diameter Cassegrain radio telescope. It is believed to be the first system of its kind to use a custom designed MMIC chip set from a single-wafer process for the major RF functions of the radiometer. Cryogenic measurements made at a physical temperature of 15 K have been described, and the reported results are excellent: noise temperatures of around 20 K have been obtained, with gain in excess of 30 dB across the 26–36-GHz band; inter-channel knee leakage averaged around 6% across the band, and the frequency of 8 mHz is believed to be the lowest yet obtained with this type of radiometer architecture. Overall, the OCRA-f radiometer performs well when compared to the WMAP and -band. These results demonstrate that Planck instruments at the MMIC-based approach has been very successfully applied -band radio to the design of a sensitive radiometer system for astronomy, which paves the way to the development of larger systems with many more beams and even higher levels of integration. The OCRA-f instrument will be used to carry out a sky -band, part of the aim of this is to provide calibrasurvey at tion data to supplement the observations of WMAP, Planck, and other CMB experiments. ACKNOWLEDGMENT The FARADAY project was funded under the EC’s Framework Five Programme. The authors wish to acknowledge the assistance of the staff at Jodrell Bank Observatory (JBO), Manchester, U.K., especially I. Barlow and E. Blackhurst for assembly of the FEM and D. Lawson for FEM testing. The authors also acknowledge Agilent Technologies, Santa Clara, CA, for providing the necessary software to design the MMICs. REFERENCES [1] D. Mennella et al., “Offset balancing in pseudo-correlation radiometers for CMB measurements,” J. Astron. Astrophys., vol. 410, pp. 1089–1100, 2003. [2] B. Aja et al., “Very low noise differential radiometer at 30 GHz for the PLANCK LFI,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2050–2062, Jun. 2005. [3] N. Jarosik et al., “First-year Wilkinson Microwave Anisotropy Probe (WMAP) observations: On-orbit radiometer characterization,” Astrophys. J. Suppl. Series, vol. 148, pp. 29–37, 2003. [4] N. Jarosik et al., “Design implementation and testing of the microwave anisotropy probe radiometers,” Astrophys. J., vol. 145, pp. 413–436, Apr. 2003. [5] M. Pospieszalski, “Millimeter-wave waveguide bandwidth cryogenically coolable InP HEMT amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., 1997, vol. 3, pp. 1285–1288.

[6] A. Kus, “Radio astronomy,” in Proc. 15th Int. Electromagn. Compat. Symp., Warsaw, Poland, 2000, pp. 770–774. [7] D. Kettle and N. Roddis, “Cryogenic performance of a very low noise MMIC Ka-band radiometer front-end,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 2087–2090. [8] G. Watts, R. Norrod, P. Jewell, and A. Shelton, “Preliminary design for a GBT 1 cm receiver,” NRAO, Green Bank, WV, Green Bank Internal Rep., Jan. 2002. [9] D. Kettle, N. Roddis, and R. Sloan, “A lattice matched InP chip-set for a Ka-band radiometer,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, 4 pp. [10] D. Kettle, N. Roddis, and R. Sloan, “A Ka-band InP MMIC 180 phase switch,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 6, pp. 425–427, Jun. 2005. [11] J. D. Kraus, Radio Astronomy, 2nd ed. Powell, OH: Cygnus-Quasar, 1986. [12] G. J. M. Aitken, “A new correlation radiometer,” IEEE Trans. Antennas Propag., vol. AP-16, 3, pp. 224–228, Mar. 1968. [13] M. Seiffert, A. Mannella, C. Burigana, N. Mandolesi, M. Bersanelli, P. Meinhold, and P. Lubin, “1=f noise and other systematic effects in the Planck LFI radiometers,” Astron. Astrophys., vol. 391, pp. 1185–1197, 2002. [14] J. Tuovinen, M. Bersanelli, and N. Mandolesi, “Ultra low-noise and high stability receivers of Planck LFI,” Astron. Astrophys., vol. 19, pp. 551–558, 2000. [15] S. Lowe, “One Centimetre Receiver Array prototype—Evaluation, operation and future steps,” Ph.D. dissertation, School Phys. Astron., The Univ. Manchester, Manchester, U.K., 2006. [16] A. Mennella et al., “Advanced pseudo correlation radiometers for the Planck LFI instrument,” in Proc. 3rd ESA Millimeter Wave Technol. Applica. Workshop, May 2003, pp. 69–72. [17] J. Lange, “Interdigitated stripline quadrature hybrid,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 12, pp. 1150–1151, Dec. 1969. [18] C. L. Bennett et al., “The microwave anisotropy probe mission,” Astrophys. J., vol. 583, pp. 1–23, Jan. 2003. Danielle Kettle received the Ph.D. degree from The University of Manchester, Manchester, U.K., in 2006. She is currently a Lecturer with the School of Electrical and Electronic Engineering, The University of Manchester. She has designed and characterized several MMICs used in radio astronomy projects such as the European-funded FARADAY project. Her current research interests include ultra-low-noise HEMT characterization, extraction of small-signal and noise models at both room and cryogenic temperatures, and highly integrated low-noise front-end receivers.

Neil Roddis is currently the Receivers Group Leader with the Jodrell Bank Observatory, which is part of the Department of Physics and Astronomy, The University of Manchester, Manchester, U.K., where he is involved in all RF aspects of radio astronomy instrumentation, including the current upgrade to the MERLIN/VLBI National Facility and the European PHAROS project to develop cryogenic low-noise phased arrays. His current research interests include high-temperature superconductor applications to radio astronomy and broadband feed design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2709

A 20-W Chireix Outphasing Transmitter for WCDMA Base Stations Anu Huttunen and Risto Kaunisto, Member, IEEE

Abstract—A Chireix outphasing transmitter for Band I wideband code-division multiple-access base stations was implemented using a nonisolating power combiner and two high-efficiency saturated class-B power amplifiers for 20-W single-carrier power levels. Novel adaptive background calibration algorithms were developed and demonstrated for the mismatch calibration and phase predistortion of the two transmitter chains. The complete demonstrator was able to achieve an average efficiency of 30% for a 3GPP TestModel64 signal, measured at a channel output power of 20 W and an adjacent channel power ratio of 45 dB. Index Terms—Chireix outphasing, linear amplification with nonlinear components (LINC), linear transmitters, predistortion, RF power amplifiers (PAs).

I. INTRODUCTION

T

HE spectral-efficient digital modulation methods used in modern wireless communication systems set increasing demands on radio path quality. The concurrent requirements of high data rate, large cell size, and large subscriber capacity result in stringent linearity specifications for base-station transmitters without relief in the transmission power level. This leads to substantial loss of transmitter efficiency when traditional linear power amplifiers (PAs) are used, resulting in heat and reliability problems. Advanced transmitter architectures addressing the concurrent linearity and efficiency demands have been rigorously studied. Polar transmitters have gained increased interest as they ideally detach the system linearity from the PA linearity. Recent advances in this field include envelope-tracking transmitters [1]–[3] and outphasing transmitters [4]–[6]. The Chireix outphasing amplifier, also know as linear amplification with nonlinear components (LINC), was first introduced by Chireix [7] and further elaborated upon by Cox [8]. In the outphasing system, an amplitude- and phase-modulated input signal is decomposed into two constant envelope phasemodulated signals. Highly efficient nonlinear PAs can then be used to amplify the constant envelope signals without traditional AM–AM or AM–PM distortion taking place in the individual branches. An amplified reconstruction of the original signal is obtained by summing the amplified branch signals in a passive power combiner. Power combining is one of the major issues in outphasing systems. Conventional isolating Wilkinson combiners are not

suitable since they lose most of the efficiency benefit offered by the nonlinear high-efficiency PAs. Generally, the power-combining efficiency degrades rapidly as the crest factor of the original input signal grows. This problem can be avoided to a certain extent, although at the expense of linearity [9], [10] by using a nonisolating power-combiner structure. The resulting linearity deterioration can be compensated with careful calibration and predistortion algorithms. In the outphasing amplifier, the signal is divided into two phase-modulated components, which, after amplification, add up to an amplified version of the input signal. Thus, the linearity of the outphasing system is compromised if the paths of the constant envelope signals are not exactly equal. Previously, the imbalance between the branches has been determined by detecting the zeros of the signal versus the phase difference of the phase-modulated components [14], by measuring the power of the signal outside the modulation bandwidth since that part of the signal is due to path imbalances [15]–[17], or using specific calibration signals [18], [19]. A background calibration scheme was also introduced where the signals in the two branches are exchanged providing a way to calculate the path imbalances [20]–[22]. An amplitude-dependent adaptive lookup table for the magnitude scaling and phase rotation of the branch signals with 128 elements has been used [23]. An adaptive method to compensate for a constant path imbalance is introduced in [24] and [25]. This paper investigates the possibilities of implementing a Chireix outphasing transmitter for Band I wideband code-division multiple-access (WCDMA) base stations (2.11–2.17 GHz), targeting a single-carrier average power of 20 W. This paper extends the work in [5] by introducing novel calibration methods for maximizing the linearity performance. The calibration algorithms can be applied in the background. The phase imbalance is determined as a function of the amplitude to improve the linearity as compared to previous background calibration methods such as [20]–[22]. Two high-efficiency saturated class-B PAs and a nonisolating Chireix combiner form the power output stage. Extensive digital and analog testbenches have been developed for assessing the system performance with real 3GPP test signals. The achieved measurement results are presented. II. PAs AND CHIREIX COMBINER A. Theoretical Overview

Manuscript received May 2, 2007; revised August 3, 2007. The authors are with the Nokia Research Center, Helsinki FIN-00045, Finland (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.907140

The basic principle of a Chireix outphasing transmitter is the into two constant-envedecomposition of the input signal and that can lope phase-modulated branch signals be efficiently processed with nonlinear PAs (see Fig. 1). The

0018-9480/$25.00 © 2007 IEEE

2710

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 1. Simplified diagram of the outphasing operation.

Fig. 3. Simulated impedances seen at the combiner inputs (S 11 and S 11 ) and at the transistor output reference planes (S 11 and S 11 ); a symmetric combiner connected to PAs using 50- coaxial transmission lines. The phase offset between the PA input signals was swept from 0 to 90 .

6

Fig. 2. Two phase-modulated input currents applied to a common load.

original waveform is finally restored in the output combiner. The branch signals are defined as

(1) where the quadrature signal is (2) where

is the maximum amplitude of the input signal and is the amplitude of the input signal at the time . The output power combiner has a key role in the overall power efficiency of an outphasing system. Normal isolated combiners dissipate the outphased portion of the output power (i.e., the difference between the output power and the sum of input powers) in their internal load resistors or direct it to a separate output port to be dissipated elsewhere. Thus, nonisolating Chireix combiners have to be used, which reflect the outphased power back to the PAs, i.e., effectively change their input impedance levels according to the input phase shift. The PAs must then be able to scale their dc powers accordingly, and it has been argued that switch-mode/saturated PAs imitating constant voltage/current sources function in this manner [11]. The elementary principle of nonisolating power combining is shown in Fig. 2 where

(3) The combiner input impedances form semicircles on the Smith chart, extending from to infinity (Fig. 3). Furthermore, it is possible to resonate out the imaginary parts at a certain phase shift value with additional reactive components [5], [11], [12]. B. Power Transistor Technology One of the practical bottlenecks in high-power outphasing PA design is the need of unorthodox operation in deep saturation.

Fig. 4. Custom LDMOS device structure.

For achieving good efficiency figures, harmonic matching needs to be applied to the drain waveforms with harmonic frequency content. Usually high-power devices for the 2-GHz frequency range are pre-matched to a certain intermediate impedance level inside the package, which effectively filters out higher harmonic frequencies and handicaps the possibility of off-package waveform engineering. Thus, custom device packaging is required. For this study, a prototype power transistor was developed with the help of Freescale Semiconductors, Toulouse, France. The originating power device is a HV6-generation LDMOS in a standard NI400 package, capable of 50-W output power at 2.14 GHz. Its output pre-matching configuration consists of a series-resonance circuit formed by bond wires and a chip capacitor, connected from the drain terminal to ground (Fig. 4). In the altered version, the value of the capacitor was maximized so that it shunts the bond-wire inductance to ground. The bond-wire inductance value was also tuned to resonate with the device output capacitance at the range of 2.14 GHz. As a result, the device has a parallel shunt resonator at the operating frequency short-circuiting higher harmonic frequencies very close to the intrinsic drain terminal and thus allowing good saturated class-B performance. Input pre-matching for the device gate was left intact. A Motorola Electro-Thermal (MET) model for the prototype device was supplied by the vendor, predicting 50-W output power with close to 75% drain efficiency in overdriven class-B dB . According to load–pull simulaoperation tions, the optimal load impedance at this operation point was .

HUTTUNEN AND KAUNISTO: 20-W CHIREIX OUTPHASING TRANSMITTER FOR WCDMA BASE STATIONS

2711

Fig. 6. Chireix combiner setup.

TABLE I MEASURED PERFORMANCE OF THE STANDALONE CLASS-B PA Fig. 5. Implemented PA.

C. PA Design The traditional single-ended class-B setup was chosen as the PA design. The push–pull topology [1], [5] was considered, but the loss added by the necessary output balun negated the potential efficiency benefit of this approach. Furthermore, finding a well-enough matched pair among the prototype devices would have been difficult. Conventional microstrip stubs were used in the input and output matching circuits implemented on the Rogers RO4003C dielectric material. The amplifier was constructed in an aluminum casing with a heatsink pattern milled on the bottom. The photograph of the implemented PA is shown in Fig. 5. D. Chireix Combiner The Chireix outphasing principle makes use of the constant voltage/current source behavior of a saturated PA. This allows scaling of the amplifier dc powers according to the instantaneous output envelope level via mutual load–pull between the two PAs. To achieve envelope-dependent load–pull, the combiner inputs must not be isolated from each other, and they must present mutually complex-conjugative load impedances to the intrinsic drain of the PA power devices (2). Since the transistor packages and the output matching and biasing networks of the PAs correspond to a certain electrical length, carefully dimensioned transmission lines will be required between the PAs and the combiner. The proven Chireix combiner topology of two quarter-wave microstrip lines [5], [6] was utilized also in this study. In contrast to the previous setup [5], the Chireix tuning capacitance and inductance at the combiner inputs were omitted, as their dimensioning proved to be impossible due to simulation convergence problems. Reasonably good results have been reported with a symmetrical combiner [13], and the tuning for operation is more predictable in this variant. The combiner setup is shown in Fig. 6. According to simulations (Fig. 3), the optimal length of the semirigid coaxial lines between the PAs and the combiner was 45 mm, ensuring a correct load–pull effect and maximized output efficiency at backoff power levels. E. Measured Performance First standalone measurements for the PAs showed somewhat unsatisfactory results, leading to post-tuning efforts for the

Fig. 7. Measured performance comparison of the two PAs.

input and output matching circuits. After analyses of the possible causes of discrepancies and corrective actions, solid performance was attained. The continuous wave (CW) results are shown in Table I. While the output power and gain are slightly larger than anticipated, the efficiency figures are much lower than simulated. This is likely due to insufficient thermal modeling of the power device–heatsink interaction. Two identical PAs were built with as good output power and gain match as possible. This was successfully achieved, as can be seen in Fig. 7. Finally, both PAs and the Chireix combiner were tested in a CW configuration where the input phase shift between the two branches was swept via the output phase adjustments of the signal generators. The results are shown in Table II and Fig. 8.

2712

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

TABLE II MEASURED PERFORMANCE OF THE PA–COMBINER SETUP

Fig. 8. Measured output power and efficiency of the PA–combiner setup. The input phase shift between the amplifiers has been swept, the CW input drive level was 37 dBm.

III. OUTPHASING TESTBENCH A complete outphasing transmitter consisting of a computerdriven digital section and an analog upconversion, amplification, and feedback section was implemented based on the previously reported architecture [5]. This setup was used for assessing system performance with realistic 3GPP downlink signals. A. Digital Testbench The digital testbench illustrated in Fig. 9 consists of a set of MATLAB algorithms that configure and control the digital I/O boards in the PC hardware. Drivers for interfacing with the digital I/O boards were supplied by the board manufacturer. The baseband signals are output in the I/Q format. As its main function, the software serves as a signal generator, capable of providing single- and two-tone signals, quadrature amplitude modulations (QAMs) of varying depth, and a few downlink pseudo-WCDMA signal composites. The test signals are constructed in such a way that they can be run in a cyclic fashion without discontinuities. There is a possibility to adjust the rms power and peak-to-average ratio (PAR) of the signal, either with a simple cutoff clipper or a more developed filtering clipper. The signal component separation is based on a static lookup table, the coefficients of which are updated during the background calibration. It is also possible to use the theoretical function (1). Signal component separation can also be passed altogether. Digital finite impulse response (FIR) filters can be used for equalizing the in-phase (I) and quadrature (Q) branches of the TX chains. This is necessary for matching the static delay difference between the two transmitter chains. The filters can also be

Fig. 9. Digital testbench block diagram.

used for correcting frequency-dependent amplitude and phase imbalances. DC offsets and IQ imbalances in the subsequent digital-to-analog (D/A) converters, as well as mutual gain and phase imbalances between the TX chains are compensated prior to feeding the signal vectors to the I/O boards. The required compensation coefficients can be obtained with specific calibration routines. Routines for sending test data and receiving it with a feedback receiver have been included in the digital testbench. In the feedback RX algorithms, the received low-IF signal is downconverted and synchronized to the sent TX signal. Various figures of merit, such as the error vector magnitude (EVM) and adjacent channel power ratio (ACPR), can also be computed. Auxiliary functions include routines for sending control data to the external control registers for various switches, programmable attenuators, and dc–dc converters in the analog testbench. Interfacing with the general purpose interface bus (GPIB) bus was also implemented. B. Analog Testbench The analog testbench shown in Fig. 10 implements the analog signal processing functions required for obtaining the high-power WCDMA-modulated RF signal at the system output. Its main functions are forward path upconversion and amplification, and reverse feedback path downconversion. The 16-bit I and Q digital buses from the PC I/O cards are taken into interpolate-by-4 D/A converters. The data bus clock

HUTTUNEN AND KAUNISTO: 20-W CHIREIX OUTPHASING TRANSMITTER FOR WCDMA BASE STATIONS

2713

The feedback section of the testbench consists of a programmable attenuator, a downconversion mixer, a low-pass filter/amplifier, and a 14-bit analog-to-digital converter. It is also possible to monitor the absolute power level of the feedback signal. The digital output signal is at a low IF of 15.36 MHz. If direct downconversion had been used, it would have been impossible for the IQ calibration routine to distinguish between the IQ mismatch errors generated by the forward path mixers and those of the feedback mixer. IV. CALIBRATION ALGORITHMS

Fig. 10. Analog testbench block diagram.

of 61.44 MHz has been divided from the master clock of 245.76 MHz also serving as the D/A-converter clock. The half-band interpolating filters in the converters alleviate the requirements for the antialiasing analog filters at the converter outputs: thirdorder Butterworth LC filters with 58-MHz cutoff frequencies were sufficient. Direct-conversion IQ mixers were utilized for upconverting the signals to the desired RF channel. The amplifier chains consist of two driver amplifiers and the Chireix PAs. The nominal gain of each chain is 63 dB, and it can be controlled via dc–dc converters at the supply voltage connections of the driver amplifiers. In this way, the lineup efficiency is not degraded if the driving power level for the PAs is tuned. The PAs require an external dc–dc converter for controlling the output power level. Lowering the PA input drive for power control would drop the PA from saturation and diminish achievable efficiency. PA saturation also attenuates potential AM ripple from the upconverters, which would otherwise directly show as distortion at the output. Isolators at the PA inputs guarantee stability of the driver amplifiers. 20-dB directional couplers provide samples for the calibration routine. An algorithm-driven RF switch is provided for selecting either of the forward branch signals or the output signal, and feeding it to the feedback branch.

The idea behind the outphasing transmitter is the signal component separation of the input signal to two constant-amplitude components (1). The components can then be separately amplified and summed up to provide for an amplified version of the input signal. However, if the paths of the constant envelope signals are not equal, the recovered signal differs from the input signal. Thus, the path imbalance needs to be cancelled using predistortion of the input signal. The dc offsets and imperfections in the quadrature modulator (QM) operation also need to be compensated. The background calibration method introduced here utilizes the desired signal and, thus, can be operated during normal transmission, which eliminates the need for a calibration stage of the system. The calibration is performed by comparing the input signal to a feedback signal. There are three different feedback signals that are used in the calibration: one after each of the QMs (after the preamplifiers) in the two branches and one at the output of the Chireix combiner (see Fig. 10). The feedback signals are downconverted to baseband and synchronized with the input signal. The dc offsets and QM errors are calibrated using the feedback paths after the QMs. The predistortion parameters for the compensation of the path imbalance and nonlinearity caused by the nonisolating power combiner are calculated using the feedback signal acquired after the Chireix combiner. A. DC Offset and QM Errors After the signal component separation, the baseband signals can be represented with real and complex parts and . The QMs induce gain error, phase error, and LO leakage into the signals. Thus, after the QMs, the signals can be represented as

(4) where , , , and are the gains of the and chanand are the phase errors between the and nels, parts, and , , , and are the dc offsets for and chains in the two branches, respectively. DC offsets in the and channels and the LO leakage result in the same effect and can be represented mathematically in the same dc-offset terms. In the outphasing system, QM errors degrade the constant envelope characteristics of the two branch signals. Variation of the signal envelope generates distortion in the nonlinear PAs driven into saturation for maximum efficiency. Both the dc offsets and

2714

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

LO leakage result in a spurious peak appearing at the carrier frequency. The two branches are calibrated separately, i.e., the input signal is divided into the two constant envelope signals and each of them is treated independently. The QM error and dc offsets are found by comparing the constant envelope input signal to the feedback signal from the corresponding branch. The feedback signals are measured after the QMs. The input signal is saved into the computer memory and terms describing the dc offset, and QM error are included in the input signal components, as in (4). The parameters are given arbitrary initial values and are subsequently optimized by minimizing the and the corresponding feedback signal difference between (and similarly for ). The QM and dc-offset terms are modified until the difference between the input and feedback signals is smaller than a predetermined limit. Once the QM errors and dc offsets are determined, the input signals are modified so that the coefficients exactly cancel the physical QM errors and dc offsets when the signal passes through the transmitter

(5) where and tion, the signals after the QM become

firstly, EVM is degraded since the input signal is not recovered. Secondly, ACPR is degraded since the quadrature signal has a large bandwidth and it is not completely cancelled. The differences in the paths of the signals and/or distortion induced by the power combiner must be detected and eliminated through predistortion of the initial signal. After the background calibration scheme, which is explained in the following, the signals are modified according to the path imbalance and combiner nonlinearity

(9) Thus, after the predistortion, the output signal is an amplified replica of the input signal since the predistortion and physical path imbalance and combiner nonlinearity cancel each other as follows:

(10) The amplitude imbalance between the signal branches can be determined straightforwardly by measuring the magnitudes and of the constant-envelope feedback signals after the QMs

. After compensa(11) (6)

The branch signals end up having different amplitudes and , which will be compensated in the calibration of the path imbalance. B. Path Imbalance and Nonlinearity of the Chireix Combiner Ideally the output signal would be an amplified replica of the input signal (1) if the amplifiers and combiner would work ideally as follows:

One of the signals is then multiplied by a coefficient that cancels the difference in the amplitudes, e.g., (12) The phase difference between the branches depends on the amplitude of the input signal (8). In order to determine the nonlinear phase distortion between the branches, the input signal is divided into parts depending on the amplitude

(13) (7) However, due to the path imbalance, the two signals ( and ) experience different gains ( and ) and phase shifts ( and ). The Chireix combiner together with the saturated PAs also between the signals. induces a nonlinear phase shift Thus, the output signal can be represented as

(8) The first term in (8) is proportional to the input signal, but it involves a coefficient that has an amplitude-dependent phase. The , which second term is proportional to the quadrature signal is not completely cancelled due to the path imbalance. Thus,

as demonstrated in Fig. 11. For example, all the parts of the input signal that have amplitude between are collected into one vector and those between are collected into another vector, and so on. The coincidental parts of the feedback signal (from the Chireix combiner output) are collected into corresponding vectors. The phase difference between the branch signals is determined independently for each amplitude-dependent part of the signal. Thus, the phase difference as a function of amplitude is acquired. The division of the signal into the amplitude-dependent parts can be coarse. Here, 5–7 parts were used and demonstrated to be appropriate. Thus, the lengths of the input and feedback signals to be stored into the memory are moderate (of the order of 10 samples of less). The phase difference for one amplitude-dependent part is determined as follows. The input signal part is divided into components using the signal component separation, as in (1), and

HUTTUNEN AND KAUNISTO: 20-W CHIREIX OUTPHASING TRANSMITTER FOR WCDMA BASE STATIONS

2715

Fig. 11. Amplitude of the input signal (solid curve) and the feedback signal (dashed curve). The signals are divided into parts depending on the input signal amplitude and collected into separate vectors. The part where the input signal amplitude is between 0.70–0.8 is shown in this figure as an example.

an initial value for the phase difference signal components

is added between the

(14) and coincidental part of the feedThe difference between back signal from the Chireix combiner output is calculated. If is similar to the feedback signal, then the added phase difference is the one that the system also induces. If the distorted and feedback signals are different, then the added phase difference is not correct. The added phase difference is modified and the difference between the distorted input and feedback signals is recalculated. The process is iterated until the difference between input and feedback signals is smaller than a predetermined value. The iteration of the phase difference is performed for each of the amplitude-dependent parts of the signal independently. After determining the phase difference for all the parts of the input signal with different amplitudes [see Fig. 12(a)], a polynomial is fitted to the data set [see Fig. 12(b)]. As a result, the corrected phase differences as a function of amplitude are acquired. The phase difference as a function of amplitude is used to generate a lookup table to be used in the signal component separation. Using the polynomial approximation, the lookup table can be made as large as needed regardless of the division used earlier in (13). In order to improve the linearity further, the polynomial coefficients are optimized as follows [see Fig. 12(c)]. The input signal is processed using the lookup table achieved from the fitted polynomial, and the feedback signal is measured. The difference between the input and feedback signal from the combiner output is calculated and the polynomial coefficients are iterated until the difference between the input and feedback signals is smaller than a predetermined limit. A frequency-dependent version of the background calibration scheme was also developed and implemented. The input signal is divided into parts regarding the amplitude, as explained earlier. One can calculate the Fourier transformation of each term

Fig. 12. (a) Ideal phase difference between the signals S and S in the two branches is 2 3 arccos(A). Due to the path imbalance and Chireix combiner nonlinearity, the actual phase difference deviates from the ideal phase difference. (b) Polynomial is fitted to the data of difference between the ideal and actual phase curves as a function of amplitude. (c) Polynomial coefficients are further iterated to get a more accurate prediction of the deviation and thus to achieve increase linearity.

of the sum in (13) separately since the order of integration and summation can be exchanged as follows:

(15)

2716

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

where denotes the Fourier transform. The Fourier-transin the following) formed parts of the signal (denoted by can be further divided with respect to the frequency:

(16) These parts of the signal are treated independently and the phase difference between branch signals is determined for each part separately with a similar optimization scheme as above. As a result, the phase difference as a function of amplitude and frequency is acquired. A parameterized plane can be fitted to the 2-D data and the parameters can be further optimized. A lookup table with indices corresponding to amplitude and frequency for the predistortion parameters is established. V. MEASURED RESULTS The performance of the outphasing transmitter was evaluated using WCDMA downlink test signals with varying carrier frequencies and with orthogonal frequency division multiplexing (OFDM) modulated test signals with varying bandwidths. The 64-channel high PAR WCDMA test signal vectors were generated according to the relevant 3GPP test model. The OFDM test signals were imitating the downlink signals of the forthcoming long-term evolution (LTE) extension of WCDMA. Different values of the PAR of the signal and power backoff were examined. The PAR was reduced using a bandwidth-limited clipper, which preserves the ACPR of the signal. The transmitter was calibrated using the amplitude-dependent background calibration scheme explained in Section IV. The frequency-dependent calibration was also tested, but it did not result in any significant improvement in the linearity reflecting that the predistortion parameters vary relatively smoothly as a function of the frequency. The ACPR, efficiency, and output power of the transmitter measured using the WCDMA test signals are shown in Figs. 13–15, respectively. In Fig. 13, it can be seen that the highest linearity (corresponding to 45-dBc ACPR) is achieved with two sets of values for the PAR and power backoff. One of these high-linearity spots corresponds to approximately 30% efficiency and 23-W output power (denoted by a star in Figs. 13–15), and the other corresponds to 23% efficiency and 17-W output power. The best achieved efficiency was 31% at the output power of 27 W. ACPR was only 40 dBc in that case. The spectrum of one of the WCDMA test signals before and after calibration is shown in Fig. 16. The spectra after the calibration are asymmetric, which can be also seen in Fig. 16. The reason for the asymmetry might be a disturbance signal, which is overlapping the lower adjacent channel. This point of view is justified by the fact that when the system was calibrated using signals at other center frequencies, the spectra were symmetric (see Fig. 17). The normal cause of spectral asymmetry, i.e., PA memory effects, should not be present in outphasing

Fig. 13. Absolute values of the measured ACPRs for the WCDMA test signals as a function of PAR and power backoff. ACPR upper and lower refer to the adjacent channels with higher and lower frequencies, respectively, with respect to the signal channel frequency.

Fig. 14. Measured efficiency of the WCDMA test signals as a function of PAR and power backoff.

transmitters. The background calibration was also performed using OFDM test signals with varying bandwidths. The results are shown in Fig. 18. The ACPR measured over the complete channel widths for the OFDM test signal bandwidths 2.5, 5, and

HUTTUNEN AND KAUNISTO: 20-W CHIREIX OUTPHASING TRANSMITTER FOR WCDMA BASE STATIONS

Fig. 15. Measured output power for the WCDMA test signals as a function of PAR and power backoff.

2717

Fig. 18. Spectra of OFDM test signals with varying bandwidths after the background calibration.

Fig. 16. Spectrum of a WCDMA test signal before and after performing the background calibration scheme. Fig. 19. ACPR as a function of the center frequency of the signal. The calibration was performed using a WCDMA signal with a center frequency of 2140 MHz.

Fig. 17. Spectra of the WCDMA test signals with different center frequencies after calibration.

10 MHz were of the order of 44, 42, and 40 dB, respectively. Thus, the linearity is degraded as the signal bandwidth increases.

The predistortion parameters were tested against changes in the signal frequency. The calibration was performed using a WCDMA test with center frequency 2.14 GHz. The ACPR was measured for the WCDMA test signals with center frequencies varying between 2.11–l2.17 GHz. The results are shown in Fig. 19. The ACPR can be seen to deteriorate considerably when the center frequency differs from the one used in the calibration. Thus, a different set of predistortion parameters is needed for each WCDMA channel. The transmitter operation and the background calibration scheme were also tested using WCDMA test signals with varying carrier frequencies. The calibration was then performed for signal center frequencies of 2.14, 2.11, and 2.17 GHz. The spectra are shown in Fig. 17. The ACPR values for the signals with frequencies 2.11 and 2.17 GHz are only slightly worse than for the signal at 2.14 GHz, which shows that the background calibration algorithm is applicable regardless of the carrier frequency of the signal.

2718

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

VI. CONCLUSION A complete Chireix outphasing transmitter was implemented for cellular base-station power levels. The output efficiency of 30% was achieved with an output power of 20 W. The achievable lineup efficiency was mainly limited by the peak efficiencies of the individual saturated PAs, and it can be safely concluded that PA drain efficiencies around 80%, well achievable, for example, with GaN devices, would raise this figure. Linearity of 45 dBc was demonstrated for a WCDMA downlink test model 64 signal using a background calibration scheme. The background calibration algorithms can be applied adaptively and updated using the desired signal. The calibration scheme was tested using WCDMA and OFDM test signals with varying bandwidths and carrier frequencies and was demonstrated to work appropriately. Further refinement of the predistortion accuracy close to the peak output power would allow smaller outphasing angles to be used at high envelope levels, thus improving average power efficiency. ACKNOWLEDGMENT The authors would like to thank L. Gharavi, Nokia Research Center, Helsinki, Finland, Dr. N. Kajakine, Nokia Research Center, Helsinki, Finland, Dr. D. K. Choi, Nokia Research Center, San Diego, CA, Dr. J. Koskela, Nokia Research Center, Helsinki, Finland, and I. Hakala, Nokia Research Center, Helsinki, Finland, for their valuable contributions. The technical support from Freescale Semiconductors, Toulouse, France, and J. Wright, Freescale Semiconductor, Oulu, Finland, are also acknowledged. REFERENCES [1] W. Feipeng et al., “Design of wide-bandwidth envelope-tracking power amplifiers for OFDM applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1244–1255, Apr. 2005. [2] P. Draxler et al., “High efficiency envelope tracking LDMOS power amplifier for W-CDMA,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 11–16, 2006, pp. 1534–1537. [3] D. Kimball et al., “High-efficiency envelope-tracking W-CDMA basestation amplifier using GaN HFETs,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 11, pp. 3848–3856, Nov. 2006. [4] I. Hakala, L. Gharavi, and R. Kaunisto, “Chireix power combining with saturated class-B power amplifiers,” in Proc. 34th Eur. Microw. Conf., Amsterdam, The Netherlands, 2004, pp. 1–4. [5] I. Hakala et al., “A 2.14-GHz Chireix outphasing transmitter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2129–2138, Jun. 2005. [6] A. Huttunen and R. Kaunisto, “A 20-W Chireix outphasing transmitter for WCDMA base stations,” presented at the IEEE MTT-S Int. Microw. Symp., Honolulu, HI, 2007. [7] H. Chireix, “High power outphasing modulation,” Proc. IRE, vol. 23, no. 11, pp. 1370–1392, Nov. 1935. [8] D. Cox, “Linear amplification with nonlinear components,” IEEE Trans. Commun., vol. COM-22, no. 12, pp. 1942–1945, Dec. 1974. [9] A. Birafane and A. Kouki, “On the linearity and efficiency of outphasing microwave amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 7, pp. 1702–1708, Jul. 2004. [10] C. P. Conradi, R. H. Johnston, and J. G. McRory, “Evaluation of a lossless combiner in a LINC transmitter,” in Proc. IEEE Can. Elect. Comput. Eng. Conf., May 1999, vol. 1, pp. 105–110. [11] F. H. Raab, “Efficiency of outphasing RF power-amplifier systems,” IEEE Trans. Commun., vol. COM-33, no. 10, pp. 1094–1099, Oct. 1985.

[12] B. Stengel and W. R. Eisenstadt, “LINC power amplifier combiner method efficiency optimization,” IEEE Trans. Veh. Technol., vol. 49, no. 1, pp. 229–234, Jan. 2000. [13] J. Gründlingh, K. Parker, and G. Rabjohn, “A high efficiency Chireix out-phasing power amplifier for 5 GHz WLAN applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 6–11, 2004, pp. 1535–1538. [14] S. Tomisato, K. Chiba, and K. Murota, “Phase error free LINC modulator,” Electron. Lett., vol. 25, no. 9, pp. 576–577, Apr. 1989. [15] L. Sundstöm, “Automatic adjustment of gain and phase imbalances in LINC transmitters,” Electron. Lett., vol. 31, no. 3, pp. 155–156, Feb. 1995. [16] S. Ampem-Darko and H. S. Al-Raweshidy, “Gain/phase imbalance cancellation technique in LINC transmitters,” Electron. Lett., vol. 34, no. 22, pp. 2093–2094, Oct. 1998. [17] B. Shi and L. Sundström, “A time-continuous optimization method for automatic adjustment of gain and phase imbalances in feedforward and LINC transmitters,” in Proc. Circuits Syst., May 2003, vol. 1, pp. 45–48. [18] A. Azizar and I. D. Robertson, “OFDM LINC transmitter with digital I/Q imbalance compensation,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, vol. 2, pp. 743–746. [19] S. A. Olson and R. E. Stengel, “LINC imbalance correction using baseband preconditioning,” in Proc. IEEE Radio Wireless Conf., Aug. 1–4, 1999, pp. 179–182. [20] X. Zhang and L. E. Larson, “Gain and phase error-free LINC transmitter,” IEEE Trans. Veh. Technol., vol. 49, no. 5, pp. 1986–1994, Sep. 2000. [21] X. Zhang, L. E. Larson, and P. M. Asbeck, “Calibration scheme for LINC transmitter,” Electron. Lett., vol. 37, no. 5, pp. 317–318, Mar. 2001. [22] X. Zhang, L. E. Larson, P. M. Asbeck, and P. Nanawa, “Gain/phase imbalance-minimization techniques for LINC transmitters,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2507–2516, Dec. 2001. [23] C. P. Conradi and J. G. McRory, “Predistorted LINC transmitter,” Electron. Lett., vol. 38, no. 7, pp. 301–302, Mar. 2002. [24] P. Garcia, A. Ortega, J. de Mingo, and A. Valdovinos, “Nonlinear distortion cancellation using LINC transmitters in OFDM systems,” IEEE Trans. Broadcast., vol. 51, no. 1, pp. 84–93, Mar. 2005. [25] P. Garcia, J. de Mingo, A. Valdovinos, and A. Ortega, “An adaptive digital method of imbalances cancellation in LINC transmitters,” IEEE Trans. Veh. Technol., vol. 54, no. 3, pp. 879–888, May 2005. Anu Huttunen was born in Siilinjärvi, Finland, in 1975. She received the M.Sc. in engineering physics and mathematics and Doctor of Science degree in electrical and communications engineering from the Helsinki University of Technology, Helsinki, Finland, in 1999 and 2005, respectively. From 2000 to 2005, she was a Researcher with the Laboratory of Computational Engineering, Helsinki University of Technology. Since 2005, she has been a Research Engineer with the Nokia Research Center, Helsinki, Finland. Her current research interests involve algorithm development for cognitive radio systems.

Risto Kaunisto (S’94–M’01) was born in Nummi, Finland, in 1968. He received the M.Sc. and Doctor of Science degrees in electrical engineering from the Helsinki University of Technology, Helsinki, Finland, in 1994 and 2000, respectively. From 1995 to 2000, he was a Research Engineer with the Electronic Circuit Design Laboratory, Helsinki University of Technology, where he was engaged in research on active RF resonators for filtering applications. In 2000, he joined the Nokia Research Center, Helsinki, Finland, where he is currently a Principal Scientist. His current research interests are transmitter architectures and adaptive front-end concepts for future telecommunication systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2719

Development of Sub-Millimeter-Wave Power Amplifiers William R. Deal, Senior Member, IEEE, X. B. Mei, Vesna Radisic, Senior Member, IEEE, Michael D. Lange, Wayne Yoshida, Po-hsin Liu, Jansen Uyeda, Michael E. Barsky, Andy Fung, Todd Gaier, and Richard Lai, Senior Member, IEEE

Abstract—In this paper, we present the framework for developing the first working power amplifiers at sub-millimeter-wave frequencies. The technology is made possible by an advanced InP HEMT transistor. A three-stage power amplifier is presented, which uses a binary combiner to realize a total output periphery of 80 m and demonstrates 12-dB gain at 335 GHz, making, this the first demonstrated sub-millimeter-wave power amplifier. Measured saturated power of 2 mW at 330 GHz is also presented, which provides a transistor power benchmark of 25 mW/mm at 330 GHz. Finally, single-stage amplifier data with large periphery transistors are presented, which demonstrates 5-dB measured gain at 230 GHz and positive measured 21 gain to 300 GHz, demonstrating that power amplifiers using larger transistors are feasible at these frequencies as well. Index Terms—Coplanar waveguide (CPW), HEMT, millimeter wave, monolithic microwave integrated circuit (MMIC), power amplifier, submillimter-wave monolithic microwave integrated circuit (S-MMIC), sub-millimeter wave.

I. INTRODUCTION

O

VER THE past few years, the operating frequency of HEMT-based monolithic microwave integrated circuit (MMIC) amplifiers has pushed past 200 GHz and is rapidly ap-GHz . Due proaching sub-millimeter-wave frequencies to the demands for millimeter-wave radiometers, the highest frequency MMIC amplifiers to date have been low-noise amplifiers (LNAs), including coplanar-based designs reaching 215 and 220 GHz, respectively [1], [2], and a microstrip MMIC centered at 235 GHz [3]. Although most MMIC development in the short millimeterwave range has focused on LNAs, MMIC power amplifiers operating at these frequencies are also highly desirable. Potential applications for these include local oscillator (LO) drivers and power sources. The highest frequency MMIC power amplifiers reported to date have reached frequencies as high as 220 GHz [4], [5]. Recently, a coplanar waveguide (CPW) amplifier with a center frequency of 270 GHz has been demonManuscript received April 23, 2007; revised September 28, 2007. This work was supported by the Defense Advanced Research Projects Agency under the SWIFT Program and by the Army Research Laboratory under ARL Contract W911QX-06-C-0050 . W. R. Deal, X. B. Mei, V. Radisic, M. D. Lange, W. Yoshida, P. Liu, J. Uyeda, M. E. Barsky, and R. Lai are with the Northrop Grumman Corporation, Redondo Beach, CA 90278 USA (e-mail: [email protected]). A. Fung and T. Gaier are with the Jet Propulsion Laboratory, Pasadena CA, 91109 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.910012

strated [6]. This process has also been used to demonstrate the first sub-millimeter-wave fundamental oscillators [7]. Other researchers have also demonstrated gain amplification at frequencies well above 220 GHz [8]. More recently, the same group that developed [6] and [7] has demonstrated the first true sub-millimeter-wave LNA. The amplifier has demonstrated 16-dB gain measured at 340 GHz on-wafer [9]. These results indicate that solid-state power amplification at sub-millimeter-wave frequencies is a viable possibility with currently available transistor technology. In this paper, we present details for the first power amplifier operating above 300 GHz. The amplifier reported in this paper uses an advanced InP HEMT process, which exhibits the excellent dc–IV characteristics and high-frequency response necessary for a sub-millimeter-wave power amplifier. A three-stage amplifier with 80- m output periphery and a center frequency of 245 GHz using the same MMIC process has been demonstrated in [12]. The amplifier was designed with an “estimated” model, which led to a fair amount of uncertainty in the design. In this paper, we expand on the work in [12]. In particular, we present for the first time measured data for a three-stage MMIC amplifier with 80- m output periphery and excellent gain performance centered above 300 GHz. This amplifier uses the same basic topology reported in [12], but has been redesigned with a more accurate device model, as well as some modifications in its dc-biasing scheme. Additionally, saturated power measurement for this amplifier is presented at 330 GHz. We conclude this paper with measured -parameter data for large-periphery single-stage amplifiers. Achieving a usable amount of gain out of a larger transistor provides a considerably simpler technique for achieving power amplification at short millimeterwave to sub-millimeter-wave frequencies than using on-chip power combining. From the results presented in this paper, including the combination of high gain per stage and output periphery, it is apparent that conventional MMIC power amplifiers will be developed in the near future capable of delivering moderate output power levels at sub-millimeter-wave frequencies. A variety of applications exist for sub-millimeter-wave power amplifiers, including LO drivers, active imaging, and RF sources. A microphotograph of the MMIC amplifier described in this paper is shown in Fig. 1. The amplifier is implemented in CPW. Note that although backside via pads for parallel-plate mode suppression are shown in the microphotograph, the measurements presented in this paper are taken before backside processing. Although not shown here, we have measured the amplifiers demonstrated in [6] and [12] on wafers thinned to 50 m. No appreciable difference in performance resulted from back-

0018-9480/$25.00 © 2007 IEEE

2720

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 1. Microphotograph of three-stage amplifier operating with a peak center frequency as high as 335 GHz. Total die size is 650 425 m .

2

Fig. 2. DC G

and I ds for a 30-m device.

side processing. This is attributed to the compact feature size of the CPW transmission lines (2–4- m typical gaps) relative to substrate thickness and high backside via density for substrate mode suppression. The chip itself is extremely compact with dimensions of only 650 425 m for a total die size of 0.28 mm . The amplifier is a three-stage design with a 1 : 2 periphery split at each stage. All devices are two-finger devices with 10 m per finger for a device periphery of 20 m. Total output periphery is 80 m. Due to the extremely compact nature of the design, the gate and drain bias lines of all seven transistors on the die are tied together, as dc pads for each stage cannot be accommodated. This also helps minimize the -dimension of the chip, which is essential for eventual waveguide fixturing. II. DEVICE TECHNOLOGY FOR SHORT-MILLIMETER-WAVE POWER AMPLIFIERS Fundamental to this demonstration of a short millimeter-wave MMIC amplifier is the development of devices with sufficiently good gain characteristics at the targeted operating frequency. The basic device technology in this study is identical to that in [6]. The InP HEMT epi-wafers were grown by molecular beam epitaxy (MBE) and employ a pseudomorphic In Ga As channel, a silicon delta-doping layer as the electron supply, an In Al As buffer layer, and an InP substrate. Excellent of dc performance has been achieved, including a peak 2300 mS/mm (Fig. 2) and a breakdown voltage of 2.5 V, which is comparatively high for short-gate InP HEMT transistors. This is critical for power amplifiers since it is preferable to increase breakdown voltage rather than increasing current swing by increasing total periphery. The result of combining the high-performance epi profile nm is a transistor with excellent and aggressive gate and RF characteristics. Shown in Fig. 3 are the measured MAG/MSG for a two-finger device with periphery of 30 m. The measurements were taken on a substrate thinned to 50 m with the devices in a coplanar configuration. Measurements were taken with an on-wafer extended reference plane calibration with the reference planes of the measurement placed from the trace is at the device feeds. Extrapolated 450 GHz using a 20-dB slope/decade. This is also consistent with a simple calculation from the device model parameters. Note that the measured devices were from the same lot as the

Fig. 3. Measured 1–110-GHz S -parameters of a 30-m device at a drain bias of 1 V and 300-mA/mm deembedded to reference plane of the device.

Fig. 4. Topology of three-stage amplifier with 80-m output periphery.

335-GHz MMIC presented in this paper. Additionally, note that the measured MAG of the device at 110 GHz is 13.5 dB. Although the device technology behind the circuits demonstrated in this paper has only recently been developed, we have done some initial examination of device reliability. However, no stress testing of the amplifiers has been conducted. This is partly due to the fundamental research nature of the sub-millimeter-wave power amplifier presented in this study, as well as the logistics of on-wafer burn-in of the specialized probing and

DEAL et al.: DEVELOPMENT OF SUB-MILLIMETER-WAVE POWER AMPLIFIERS

2721

Fig. 5. DC schematic of three-stage amplifier. Note that all drain and gate bias lines are tied together.

test system required for RF circuit measurements. Initial data indicates that the Schottky junction degradation (Ti–InAlAs reaction) may be still the dominant degradation mechanism. This is similar to what we have seen in 70-nm [10] and 0.1- m [11] InP HEMT technologies. In order to bring the technology behind this study to a production level, additional reliability work will be conducted in the future. III. DEVELOPMENT OF AN 80- m OUTPUT PERIPHERY THREE-STAGE AMPLIFIER TO 340 GHz The basic topology of the amplifier presented in this study is shown in Fig. 4 and is similar to that demonstrated in [12] with primary differences being newly optimized matching for higher frequency operation and a modified dc distribution to keep bias points of each transistor as symmetric as possible. The topology consists of a 1 : 2 split at each gain stage and a final 4 : 1 combiner. Each device in the amplifier is a two-finger device with 20- m periphery. This topology should work well as long as each of the driver stages achieves at least 3 dB of realized power gain and is, therefore, capable of driving the next stage. In terms of implementation, each of the 1 : 2 splits and the 4 : 1 combiner incorporates the splitter into the biasing and matching network in an attempt to minimize overall conductor losses. Applying bias to all seven transistors is complicated by the compact nature of the chip and requirements for fixturing. In particular, bias is applied only from the top of the chip. This is essential because the chip will eventually be placed in a cavity

which must be kept below cutoff. The dc schematic is shown in Fig. 5. The gate bias for the lower two transistors in the inner) is applied through the – and output-stage ( splitter network. Similarly, the and drain bias is applied through the output stage drain biasing network ( and ). Note that no resistors are used in the drain biasing network. Additionally, the values of the on-chip gate resistors are kept low (200 ) to avoid voltage drops on the gate lines under drive conditions and, therefore, introduce unequal biasing. CPW is used for the MMIC amplifier because of its low inductance access to RF ground compared to microstrip. This is critical for both transistor source grounding and bypass capacitor grounding. Additionally, by not requiring substrate vias at each of the sources of the four output devices, the total width of the chip, set by the four output devices in parallel, is significantly reduced. This is critical for minimizing the chip dimensions and the length of the -direction CPW sections, which are used to combine signals so that minimal losses and optimum matching conditions can be achieved. Referring to the microphotograph in Fig. 1, except for the output stage, each device includes a simple high-pass matching network at the gate consisting of a shunt inductive line to a grounded metal–insulator–metal (MIM) capacitor. The divider networks are kept as electrically short as possible to serve as an optimal inter-stage match between the single drain output of the preceding stage and the two gate inputs to the next stage. The final 4 : 1 combiner consists of an electrically short network to combine two of the four devices followed by a low-

2722

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

TABLE I SMALL-SIGNAL MODEL PARAMETERS FOR A TWO-FINGER TRANSISTOR WITH A TOTAL PERIPHERY OF 30 m AT A BIAS OF 1.5 V, V , AND 450 mA/mm

Fig. 6. Detail from 335-GHz MMIC amplifier showing detail of device and feeding in CPW configuration. Note that gate feed is on the left and 45 airbridge “stitching” ground planes together are visible. Output (drain) is on the right.

impedance section of transmission line, which is approximately in length to provide a match to 50 . Also note that the chip label in Fig. 1 refers to the “-D” version of the chip. Four different versions were processed, each with slightly different matching and stability networks. Our objective was to compensate for errors introduced by the necessity to extrapolate modeling data from 110 GHz, the frequency at which fitting occurred, to 340 GHz. In particular, 5 m of the transmission line was included at the source of two of the versions, as well as a 10- thin film resistor (TFR) on the shunt biasing lines for the gate. Measured impact of the various networks on gain was small, but each version had different measured and values. At this point in our development, we do not have an estimate for optimum load impedances so all matching is to achieve maximum gain. All four of the output drain biases section of are applied at the output of the combiner using a CPW transmission line connected to a MIM capacitor. Table I shows the parameter values for the transistor model used to design the amplifier reported in this paper. Note that and a drain current density the dc-bias point is at 1.5-V of 450 mA/mm. Additionally, this model was estimated from 1–110-GHz on-wafer measurements on the same lot as the amplifier results reported in [12]. Measurements were performed before backside processing on a full thickness (25 mil) wafer. The measurements were performed with on-wafer short-open-load-thru (SOLT) calibration with the reference plane approximately 200 m from the gate and drain feeds and then rolled back to the transistor during the device fitting process. Three different two-finger device sizes were used in the model fitting, including a 20- m periphery device, a 30- m periphery device, and an 80- m periphery device. In general, the device parasitics of this aggressively scaled device

were quite small. Note that the device has been significantly compacted and this, at least partially, accounts for the low parasitics. The model inductances were spot fitted from circuit measurements at 200 GHz. This was done to better assess their impact, which is challenging to determine from lower 110 GHz . From the frequency transistor measurements yields a value of 434 GHz for the model, calculation of parameters shown, consistent with the extrapolation in Fig. 3. A scanning electron microscope (SEM) detail of the output stage of the amplifier shown in [12] is shown in Fig. 6, where the connection of two of the four output-stage devices is visible. Air bridges at a 45 angle are used to connect the coplanar grounds together and form a grounding island between adjacent devices. Gate feeding is from the left side of the SEM and drain feeding is from the right. After the 45 air bridges, the gate and drain feeds split into a Y-configuration for the two adjacent devices. Physical spacing of the devices is extremely close with a total spacing for two devices and a grounding island being roughly comparable to that of one of our standard production microstrip four-finger devices. However, use of a CPW transmission line and CPW grounding islands alleviates the need for a device air bridge, which would add additional parasitic capacitance, as well as potentially causing source phase issues for the center fingers. Their use also eliminates the space that would be required if microstrip source grounding with backside vias was used. Two-finger devices are a natural choice for CPW transmission lines with a well controlled transmission line interface directly at the device. It should be noted that, later in this paper, we present the first measured amplifier results for four-finger devices operating above 200 GHz. We demonstrate that although the feeding may not be quite as ideal as that of the CPW-fed two-finger devices, it does not seem to negatively impact device performance. IV. THREE-STAGE AMPLIFIER -PARAMETER RESULTS -parameters of the amplifier have been measured on-wafer at the Jet Propulsion Laboratory, Pasadena, CA, using the test

DEAL et al.: DEVELOPMENT OF SUB-MILLIMETER-WAVE POWER AMPLIFIERS

Fig. 7. Measured performance of optimized amplifier with peak gain of 12 dB centered at 335 GHz.

setup reported in [13]. The setup consists of an Oleson Microwave Laboratory frequency extender with an Agilent 8510c network analyzer, and GGB Industries WR3 60- m-pitch CPW probes. Line–reflect–line (LRL) calibration is used, placing the measurement reference plane at the probe tips. For this circuit design, we attempted to center the operational frequency at 340 GHz using the device model extracted from on-wafer device measurements shown in Table I. The results are shown in Fig. 7. Peak measured gain is 12 dB. Additionally, input and output return loss is considerably improved compared to the results in [12]. However, the measured frequency response is still shifted somewhat compared to simulations. Two peaks occur in the gain response, one centered at 270 GHz and one centered at 330 GHz. Compared to initial simulations, the lower end gain peak has shifted down in frequency by 30 GHz, or approximately 10%. At this point in development, we do not know if this is due to device modeling, fabrication tolerances, or other challenges in modeling circuits at these high frequencies. However, peak gain is measured at 335 GHz, very close to design center frequency of 340 GHz. It should be noted that passive losses are considerable at these frequencies, and are at least 2 dB for a 50- CPW transmission line of length comparable to the MMIC amplifier [9]. V. AMPLIFIER POWER RESULTS The primary purpose of designing these amplifiers is to demonstrate the feasibility of developing power amplifiers at sub-millimeter-wave frequencies. At these frequencies, precise power measurements generally require careful waveguide fixturing of the amplifier die. If done properly, this minimizes the loss of the transition from CPW to waveguide, as well as presumably fixing the reference impedance and reference plane exactly as it would if used as a component. At this stage in our development, we have not yet fixtured our amplifiers. However, we have performed on-wafer power measurements at these frequencies. The measured back-to-back losses of two WR-3 probes are 10 dB at 330 GHz, which limits the drive power available to the setup. versus for the 245-GHz design reported Measured in [12] and saturated power for the iterated design centered at

2723

Fig. 8. Measured P in versus P out at 330 GHz for original design centered at 245 GHz and saturated power for iterated design peaked at 330 GHz. Note that only saturated power, indicated by the red horizontal line (in online version), is shown for the iterated design.

330 GHz is shown in Fig. 8, where the data for both amplifiers is measured at 330 GHz. Note that only saturated power is taken for the new design reported in this paper. Additionally, the small-signal gain of the 245-GHz design reported in [12] was determined in the versus measurement to be 7 dB and measured saturated power was determined to be 2.1 dBm. The optimized amplifier yielded 3 dBm (2 mW) at a bias of and a current of 67 mA. This provides a benchmark 1.5-V of 25 mW/mm at 330 GHz for transistor output power. VI. OBSERVATIONS ON USING LARGER PERIPHERY TRANSISTORS The amplifier described above uses a three-stage binary scheme to power-combine four 20- m devices. The small device size was chosen based on previous experience with power amplifiers operating at the -band (30- m devices) [4]. In our initial development, our estimate was that it would be necessary to reduce the finger width by 50% in order to increase the operating frequency by 50%, resulting in the chosen transistor size of 20 m. Here, we provide data that shows that our initial estimates were overly conservative and that considerably larger transistors can be used to provide gain at short millimeter-wave frequencies. In addition to eliminating power-combining losses, tailoring device periphery has additional benefits. At lower frequencies, it is common practice in a multistage power amplifier design to use smaller periphery driver stages and larger periphery output stages to minimize the total dc power consumption. Stage by stage device sizing is typically determined by power gain, with drive ratios from 1 : 6 to 2 : 3 being fairly common, depending on device power gain at the operating frequency and desired compression margin for the driver stages. However, by limiting ourselves to only a single 20- m device size in our initial designs, circuit level power combining of devices was essential for achieving higher output power. Here, we show for the first time that both wider fingers and multifinger device amplifiers are realizable at the operating frequencies presented in this paper. In particular, we have investigated using single fingers as wide as 30 m, as well as four-finger devices with sources

2724

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 10. Measured and modeled S -parameters for 60-m periphery, singlestage amplifier using a two-finger device with 30-m fingers. Fig. 9. H 21 (left axis) and MAG/MSG (right axis) for a four-finger transistor with total of 80-m periphery biased at V = 1:0 V and I = 200 mA/mm.

connected using air bridges. Deembedded device performance of a four-finger transistor with total device periphery of 80 m (20- m fingers) is shown in Fig. 9. The transistor demonstrates GHz extrapo15.0-dB MAG/MSG at 110 GHz and lated from a 20-dB/decade slope. However, the true usefulness of a high-frequency transistor is best determined by testing that transistor in an amplifier to determine its gain at the frequency of interest. Figs. 10 and 11 show measured and modeled -parameters for single-stage test cells using a two-finger device with 30- m fingers (total periphery 60 m) and a four-finger device with 20- m fingers (total periphery 80 m). The circuit itself consists simply of a CPW input and output matching implemented with series CPW lines connected to parallel open-circuited CPW stubs. DC bias is injected through the RF probes. The circuit was designed for an output match centered at 270 GHz, where the dynamic range of the -parameter test set is good. The modeled and measured bias conditions are identical: a of 1.5 V and a drain current density of 450 mA/mm. Agreement is generally excellent with a small upward shift in the output match. Peak gain of both amplifiers is 5 dB achieved at 240 GHz, and positive gain is measured at frequencies up to 300 GHz. Note that in the case of the four-finger device, two two-finger transistor models are simply in parallel. Additional feed inductance and air-bridge capacitance are not accounted for. Howof 5 dB meaever, peak gain is still excellent with a peak sured at 230 GHz with the measurement reference plane directly at the probe tips. Additionally, input and output match at this frequency are both worse than 10 dB, indicating that the maximum available gain of the device is clearly better than 5 dB. Finally, it should be noted that the scaled four-finger device model predicts a maximum available gain 7 dB at 330 GHz, suggesting that a properly designed three-stage amplifier should be capable of achieving at least 15-dB gain at this frequency and 2 mW of power from a single device. Additionally, by using a four-way combiner, such as the one presented in this paper, it should be

Fig. 11. Measured and modeled S -parameters for 80-m output periphery single-stage amplifier using a four-finger device with 20-m fingers.

possible to reach an output power of MMIC.

8 mW using a single

VII. CONCLUSION In this paper, a multistage amplifier with a comparatively large output periphery of 80 m, designed for 340-GHz operation, was described. Its first design iteration produced a measured small-signal gain of 12 dB at 330 GHz. The amplifier uses a 1 : 2 binary design to achieve the 80 m of output periphery. For the 330-GHz design, a saturated output power of 2.0 mW was measured. Finally, measured results for large periphery transistors in the frequency range of 220–320 GHz were discussed. In particular, a single transistor with 80- m periphery, matched with open-circuited CPW stubs, demonstrated a peak measured gain of 5 dB at 220 GHz and had positive gain at frequencies up to 300 GHz. Larger periphery devices make it possible to use amplifier topologies with tailored drive ratios. Additionally, use of a single large periphery device results in minimal power combiner losses. ACKNOWLEDGMENT The authors would like to thank members of the Northrop Grumman Laboratories, Redondo Beach, CA, from MMIC layout support with B. Bayuk, J. Coakley, and S. Makishi, MBE material growth lead by P. Chin, MMIC wafer fabrication with

DEAL et al.: DEVELOPMENT OF SUB-MILLIMETER-WAVE POWER AMPLIFIERS

2725

Y.-M. Kim, D. Farkas, J. Lee, D. Li, L. Dang, J. Wang, K. Kho, P. Oliver, J. Kane, and T. Naeole. The authors would also like to thank A. Oki, R. Kagiwada, O. Fordham, and D. Streit for their support. This research was carried out in part at the Jet Propulsion Laboratory, California Institute of Technology, Pasadena, under a contract with the National Aeronautics and Space Administration (NASA).

X. B. (Gerry) Mei received the B.S. degree in physics from the University of Science and Technology of China, Beijing, China, in 1987, and the Ph.D. degree in electrical engineering from the University of California at San Diego, La Jolla, in 1997. He is currently a Senior Staff Engineer with the Micro Electronics Center, Northrop Grumman Corporation, Redondo Beach, CA, where he leads advanced InP HEMT technology development. He was previously a Senior Molecular Beam Epitaxy (MBE) Engineer and later an Integration Engineer with Hewlett-Packard/Agilent Technologies. He was then a Senior Member of Technical Staff with Celeritek, where he lead GaAs pseudomorphic HEMT (pHEMT) development.

REFERENCES [1] S. Weinreb, T. Gaier, M. Barsky, Y. C. Leong, and L. Samoska, “Highgain 150–215-GHz MMIC amplifier with integral waveguide transitions,” IEEE Microw. Guided Wave Lett., vol. 7, no. 7, pp. 282–284, Jul. 1999. [2] A. Tessmann, “220-GHz metamorphic HEMT amplifier MMICs for high-resolution imaging applications,” IEEE J. Solid-State Circuits, vol. 40, no. 10, pp. 2070–2076, Oct. 2005. [3] D. Dawson, L. Samoska, A. K. Fung, K. Lee, R. Lai, R. Grundbacher, P. H. Liu, and R. Raja, “Beyond G-band: A 235 GHz InP MMIC amplifier,” IEEE Microw. Compon. Lett., vol. 15, no. 12, pp. 874–876, Dec. 2005. [4] P. Huang, R. Lai, R. Grundbacher, and B. Gorospe, “A 20-mW G-band monolithic driver amplifier using 0.07-m InP HEMT,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 806–809. [5] V. Paidi et al., “G-band (140–220 GHz) and W -band (75–110 GHz) InP DHBT medium power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 598–605, Feb. 2005. [6] W. R. Deal, X. B. Mei, V. Radisic, W. Yoshida, P. H. Liu, J. Uyeda, M. Barsky, T. Gaier, A. Fung, L. Samoska, and R. Lai, “Demonstration of a 270-GHz MMIC amplifier using 35-nm InP HEMT technology,” IEEE Microw. Compon. Lett., vol. 17, no. 5, pp. 391–393, May 2007. [7] V. Radisic, X. B. Mei, W. R. Deal, W. Yoshida, P. H. Liu, J. Uyeda, M. Barsky, L. Samoska, A. Fung, T. Gaier, and R. Lai, “Demonstration of sub-millimeter wave fundamental oscillators using 35-nm InP HEMT technology,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 3, pp. 223–5, Mar. 2007. [8] A. Tessmann, A. Leuther, H. Massler, W. Bronner, M. Schlechtweg, and G. Weimann, “Metamorphic H -band low-noise amplifier MMICs,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 353–356. [9] W. R. Deal, X. B. Mei, V. Radisic, W. Yoshida, P. H. Liu, J. Uyeda, M. Barsky, T. Gaier, A. Fung, and R. Lai, “Demonstration of a S-MMIC LNA with 16-dB gain at 340-GHz,” presented at the IEEE CSIC Symp., 2007. [10] Y. C. Chou, R. Grundbacher, D. Leung, R. Lai, Q. Kan, D. Eng, P. H. Liu, T. Block, and A. Oki, “Degradation mechanism and reliability improvement of InGaAs/InAlAs/InP HEMTs using new gate metal electrode technology,” in Int. Indium Phosphide and Related Mater. Conf. Dig., May 2005, pp. 223–226. [11] Y. C. Chou, D. Leung, R. Lai, R. Grundbacher, M. Barsky, Q. Kan, R. T. M. Wojtowicz, D. Eng, L. Tran, T. Block, P. H. Liu, M. Nishimoto, and A. Oki, “Reliability investigation of 0.07-m InGaAs–InAlAs–InP Ga =As channel,” IEEE HEMT MMICs with pseudomorphic In Electron Device Lett., vol. 24, no. 6, pp. 378–380, Jun. 2003. [12] W. R. Deal, X. B. Mei, V. Radisic, W. Yoshida, P. H. Liu, J. Uyeda, M. Barsky, T. Gaier, A. Fung, L. Samoska, and R. Lai, “A 245-GHz MMIC amplifier with 80-m output periphery and 12-dB gain,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 329–332. [13] A. K. Fung, D. Dawson, L. Samoska, K. Lee, C. Oleson, and G. Boll, “On-wafer vector network analyzer measurements in the 220–325 GHz frequency band,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1931–1934. William R. Deal (M’96–SM’06) received the B.S. degree in electrical engineering from the Virginia Polytechnic Institute and State University, Blacksburg, in 1996, and the M.S. and Ph.D. degrees from the University of California at Los Angeles, in 1998 and 2000, respectively. He is currently a Senior Staff Engineer with the RF Product Center, Northrop Grumman Corporation, Redondo Beach, CA. He leads several MMIC development efforts, as well as developing his own microwave and millimeter-wave designs. He is actively engaged in developing electronics in GaAs, InP, antimonide-based compound semiconductor (ABCS), and GaN technologies. He has authored or coauthored over 60 journal and conference papers, as well as four book chapters.

Vesna Radisic (M’92–SM’04) received the B.S. degree from the University of Belgrade, Belgrade, Serbia in 1991, the M.S. degree from the University of Colorado at Boulder, in 1993, and the Ph.D. degree from the University of California at Los Angeles (UCLA), in 1998, all in electrical engineering. She is currently a Senior Section Head with the RF Product Center, Northrop Grumman Corporation, Redondo Beach, CA, where she mentors a team of MMIC design engineers, as well as pursues her own development efforts in millimeter-wave MMIC design. Her research interests include high-frequency circuits, wideband amplifiers, and passive components. Dr. Radisic was the recipient of the 2007 Outstanding Young Engineer Award.

Michael D. Lange was born in Houston, TX, in 1961. He received the Ph.D. degree in physics (major in solid-state physics) from The University of Illinois at Chicago, in 1993. While with The University of Illinois at Chicago, his research concerned growth by molecular-beam epitaxy (MBE) of certain compound semiconductor materials. Since commencing his university MBE research in 1986, he has been continuously involved in the field of compound-semiconductor MBE. He is currently a Staff Engineer with Space Technology, Northrop Grumman Corporation, Redondo Beach, CA, where he conducts the MBE portion of development projects on various III–V compound semiconductor materials structures for millimeter-wave transistors. His research focuses chiefly on HEMTs designed with indium arsenide in the channel, pseudomorphically strained either to aluminum gallium antimonide or indium phosphide, and including certain other nearly lattice-matched compound semiconductor materials.

Wayne Yoshida received the B.S. degree in chemical engineering from the California Institute of Technology, Pasadena, in 1996, and the Ph.D. from the University of California at Los Angeles, in 2003. He is currently a Technical Staff Member Senior in electron beam lithography with the Northrop Grumman Corporation, Redondo Beach, CA. His research interests include advanced lithography, surface science, and applications in nanoscale structures.

Po-hsin Liu received the Ph.D. degree from Washington University, St. Louis, MO, in 1975. He possesses 28 years of electron beam lithography experience with 23 of those years involved with III–V MMIC fabrications. He is currently a Section Head for the Electron Beam Lithography (EBL) Group, Microelectronic Center, Northrop Grumman Corporation, Redondo Beach, CA. He has authored or coauthored over 125 journal and conference papers.

2726

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Jansen Uyeda received the B.S.E.E. degree from the University of Hawaii at Manoa, in 1997, and the M.S.E.E. degree from the University of Southern California, Los Angeles, in 1999. He is currently Section Head with the Microelectronics Center, Northrop Grumman Corporation, Redondo Beach, CA, where he leads a team of process engineers in the development of photolithography and the wet etch process for GaAs and InP HBT devices, GaAs, InP, and GaN HEMT devices, RF microelectromechanical systems (MEMS), surface acoustic waves (SAWs), and other advanced processes. The team is also responsible for maintaining flight and commercial production of GaAs and InP MMICs.

Michael E. Barsky received the B.S. degree in chemistry from the University of California at Irvine, in 1992, and the Ph.D. degree in chemistry from the University of California at Los Angeles, in 1998. He is currently an Assistant Department Manager with Space Technology, Northrop Grumman Corporation, Redondo Beach, CA. He has been with the Northrop Grumman Corporation for ten years and in his current position since 2004. He currently manages the Semiconductor Fabrication Laboratory, Northrop Grumman Corporation, and is involved is all aspects of integrated circuit (IC) development and production. He was previously an HEMT Products Engineer responsible for the Northrop Grumman Corporation’s InP HEMT process and product development, as well as GaAs HEMT production.

Andy Fung received the B.E.E., M.S.E.E., and Ph.D. degrees in electrical engineering from the University of Minnesota at Minneapolis–St. Paul, in 1993, 1995 and 1999, respectively. In 1999, he joined the Jet Propulsion Laboratory, California Institute of Technology, Pasadena. His research has involved the development of InP HBTs and GaAs Schottky diodes for millimeter- to submillimeter-wave applications. His current interest is in the development of high-frequency test methods.

Todd Gaier received the Ph.D. degree in physics from the University of California at Santa Barbara, in 1993. He is currently the Supervisor for the Microwave Astrophysics and Earth Science Systems Group, Jet Propulsion Laboratory (JPL), Pasadena, CA. His research interests include millimeter-wave electronics for applications in astrophysics and Earth remote sensing. His group develops technologies and instruments using MMIC components operating at frequencies of 10–250 GHz. Active projects in the group include the Planck-LFI mission to study the anisotropy and polarization of the cosmic microwave background (CMB), the Q/U Imaging Experiment (QUIET) exploring the polarization of the CMB, GeoSTAR, an interferometric synthetic aperture imager for Earth atmospheric sounding from geostationary orbit, and the Advanced Microwave Radiometers for the Jason-II Mission mapping small variations in sea level across the globe monitoring conditions such as El Nino.

Richard Lai (M’85–SM’01) received the Ph.D. degree from The University of Michigan at Ann Arbor, in 1991 He possesses 20 years of experience in the research, development, and production of advanced GaAs- and InP-based HEMT device and MMIC RF technologies. Since 1994, he has been the Principal Investigator for advanced HEMT research and development with the Northrop Grumman Corporation (formerly TRW), Redondo Beach, CA. He has authored or coauthored over 150 papers and conference presentations in the area of advanced GaAs- and InP-based device and circuit technology, establishing world-record performance for the lowest noise amplifiers, highest frequency amplifiers, and highest power amplifiers. He holds numerous patents.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2727

Human Presence Detection Using Millimeter-Wave Radiometry Jeffrey A. Nanzer, Student Member, IEEE, and Robert L. Rogers, Senior Member, IEEE

Abstract—A novel method of human presence detection using passive millimeter-wave (MMW) sensors is presented. The method focuses on detecting a standing human from a moving platform in a cluttered outdoor environment using MMW radiometry, which -band radiometers are used in has not been attempted before. the total power mode, as well as correlation mode, which ideally responds well to self-luminous objects such as humans. The intrinsic radiative power from a human is derived, as well as the responses of the total power and correlation modes. A summary of the system hardware design is presented, as well as experimental results. Lastly, a simple Bayesian classification formulation is introduced and shown to produce promising results in classification of humans and nonhumans. Index Terms—Correlation radiometry, human presence detection, microwave radiometry, multisensor systems, robot sensing systems, site security monitoring.

II. BACKGROUND A novel approach used in the detection method presented here is the use of a correlation mode to detect human presence. The correlation radiometer or correlation interferometer has been widely used in radio astronomy [7]–[10] and earth remote sensing [11]–[13] because greater angular resolutions can be achieved. To achieve large effective apertures, radio astronomy arrays correlate the outputs of the individual receivers. The voltage outputs contain a component of noise from the receiver circuitry and a component due to the source radiation and are given by , where indicates the receiver channel. Following multiplication, the output voltage is given by

(1)

I. INTRODUCTION

A

SENSOR’S ability to detect human presence is desirable in cases where the use of a person is impractical, expensive, or dangerous. Detection of a moving person has been accomplished successfully using microwave Doppler radar and ultrasonic transducers [1]. There are also a number of solutions for detecting a nonmoving person in an indoor environment; however, in an outdoor environment, detection of a nonmoving person becomes difficult. For instance, infrared (IR) devices work well in indoor and nighttime environments due to a strong thermal contrast between a person and the background. However, in daylight, outdoor thermal contrast in the IR band is not as strong in many cases because many objects radiate as well as humans at IR frequencies [2], [3]. The detection method presented in this paper utilizes the millimeter-wave (MMW) band where a person’s contrast with background objects is different than at IR. Many objects in cluttered environments, such as metals, have a much lower emissivity than humans in the MMW band and thus appear much cooler, making detection of a person easier to implement [4]. In addition, most garment materials are effectively transparent at millimeter wavelengths, whereas IR wavelengths suffer transmission attenuation of greater than 20 dB [5]. Research by the authors has demonstrated the feasibility of using passive MMW radiometers in the total power and correlation mode for the detection of a standing human in an outdoor environment [6].

Manuscript received April 23, 2007; revised September 18, 2007. This work was supported in part by the United States Government. The authors are with the Applied Research Laboratories, The University of Texas at Austin, Austin, TX 78758 USA (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.909872

The noise components in the receiver circuitries are statistically independent, thus with sufficient time averaging, the first three terms of (1) tend to zero, yielding (2) Thus the ideal interferometer with infinite integration time responds only to coherent sources of radiation. Inherent in the correlation process is the response to self-luminous objects, which are sources of coherent radiation. A selfluminous object, such as a human, radiates isotropically from points on its surface, and thus, radiation received by the interferometer will be correlated. However, other objects in a cluttered environment tend to reflect and scatter radiation, producing radiation with a lower level of coherence between the two receivers. Therefore, a correlation radiometer with sufficient averaging time should respond well to humans, while responses from other sources should be minimal. A. Human MMW Radiation The spectral radiance1 of a radiating body is the fundamental quantity detected by the MMW system. At the human body temK and a frequency of GHz perature of Planck’s blackbody radiation law can be approximated by the Rayleigh–Jeans law. The radiation from a greybody is found by including the emissivity of the body, defined by , where is the radiometric brightness temperature, which is the temperature that a blackbody must be to produce the 1The spectral radiance is alternatively referred to as the brightness, intensity, or specific intensity in remote sensing and radio astronomy.

0018-9480/$25.00 © 2007 IEEE

2728

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 1. Block diagram of the radiometer system.

observed radiance. The Rayleigh–Jeans law for a greybody is given by

(3) where spectral radiance W m Hz emissivity (dimensionless); frequency (Hz); speed of light 3 10 m s ; Boltzmann’s constant 1.38 10 temperature (K).

;

J K

;

Over a narrow bandwidth , the spectral radiance is approximately constant and the radiance is

W m

(4)

If the effective aperture of the transmitter is , the power received by an antenna of effective aperture is given by

(5) where is the distance between the radiating source and the receiving antenna and the 1/2 term results from the antennas responding to only one component of polarization. To analyze the best case scenario, the human body is assumed to be an omni-directional radiator. The emissivity of a human in the -band is approximately 0.65 [4], and the surface area of the skin facing the radiometer is 0.9 m [14]. Given a narrow bandwidth of MHz, the received power for a receiving antenna with a circular aperture of 15.24-cm diameter can be found in terms of distance by

W

(6)

At a range of 15 m, the received power is 90.7 dBm. The power given by (6) gives an estimate of the gain that will be needed to detect human radiation.

III. DESIGN OF THE PRESENCE DETECTION SYSTEM The hardware front-end of the detection system consists of two independent superheterodyne receivers with antennas separated by a baseline of 58.42 cm. The block diagram of the system is given in Fig. 1. One receiver will be discussed here, all figures apply to the second. The receiver is a lower sideGHz, band receiver with RF center frequency GHz, and IF center local oscillator (LO) frequency GHz. The antenna is a Gaussian opfrequency tical lens antenna with a diameter of 15.24 cm, a half-power beamwidth of 3.8 and a gain of 32.7 dBi. Following the antenna is a flexible coaxial cable with a loss of 0.15 dB, which is connected to the RF low-noise amplifier (LNA), which has a gain of 32 dB and noise figure of 3.2 dB. The pre-detection MHz is set by the RF bandpass filter bandwidth (BPF), which follows the LNA. The output of the BPF is mixed down to the IF where another BPF is located with a bandwidth . The IF LNA following the IF of 500 MHz centered on BPF provides 63 dB of gain. The LNA output is split; one output is sent to a detector diode, which produces the total power response, the other is combined with the output of the second receiver through a mixer, providing the multiplication part of the correlation. The three voltage signals are sent to a baseband circuit, which provides additional amplification of 50 dB, as well as low-pass filtering (LPF). The LPFs provide the post-detecHz for the total power chantion bandwidth of kHz for the correlation signal. The LPF nels and provides the integration of the correlation process. The system sensitivity is approximately 500 mK for each total power mode and approximately 270 mK for the correlation mode [6]. A. Response of the Total Power Receiver The input power to the receiver system is a combination of the antenna noise power and the system noise power [11] (7) where (8) is the system noise temperature comprised of the antenna noise temperature and receiver noise temperature ; is the system bandwidth. The noise power at the output of the IF amplifier is given by (9)

NANZER AND ROGERS: HUMAN PRESENCE DETECTION USING MMW RADIOMETRY

2729

where is the system gain. Assuming that the output power of the IF amplifier is across a 1- resistor gives the IF voltage

(10) Following the IF amplifier is a detector diode with a power sensitivity given by V W . The average value of the diode voltage output is given by Fig. 2. Geometry describing the correlation response.

(11) The output of the diode is passed through an LPF, which over a time interval is equivalent to averaging , where is the filter bandwidth. The dc output voltage is then given by

(12) where is the loss of the LPF. The output voltage of the total power radiometer is directly proportional to the input , given in terms of the antenna temperature by (7) power is measured in the laboratory [7], [11], while and (8). is related to the brightness temperature of the scene through

(13) where the antenna noise power is given by (5). Thus, the output voltage of the total power receiver is directly related to the temperature of the observed scene. In practice, the output voltage of the filter is often in the range of microvolts and must be further amplified. The system uses op-amp baseband amplifiers, which provide an additional 50 dB of gain just prior to the LPFs. Since the signals being boosted are so low in voltage, there is often a small dc bias that must be subtracted out to keep the amplified signal within the limits of the op-amp and filter power rails. This is accomplished with an op-amp differencing amplifier, which subtracts a variable voltage from the amplified signal. Thus, the total power output is given by

(14) where cluded in

, .

, and the baseband amplifier gain has been in-

B. Response of the Correlation Receiver2 The point source response of the correlation radiometer was shown in [6]. For an extended source whose center is located at , as seen in Fig. 2, an element of solid angle at position contributes a component of power given by

(15) 2This

development is based on [9].

where is the antenna effective area and is the incident spectral radiance (dropping the subscript). With equal responses on each antenna, the response of the correlator is proand the fringe term portional to

(16)

where is the combined frequency response of the two receivers and

(17) is the geometric time delay between the signal reception on the two receivers where is the magnitude of the antenna baseline vector and is the angle off broadside. The argument of the fringe term can be expressed as

(18) where is the antenna baseline vector measured in wavelengths. The phase delay between the receivers is given by the , first term of (18) and can be expressed as and are the individual receiver phase delays. where The complex visibility function is defined as (19) where is the normalized antenna pattern, which is given , where is the antenna collecting area by in the direction . The visibility is dependent on the modified . In practical systems, the receiver bandradiance width is typically narrow enough that the spectral radiance is approximately constant. Typically the antenna pattern also varies negligibly over the system bandwidth, thus the visibility can be considered a constant over frequency. The receiver response can then be written as

(20) The frequency detected by the antenna is . Designating receiver 2 as the phase reference, the signal reaching the

2730

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

antenna on receiver 1 undergoes a geometrical time delay of and, thus, a phase delay of (21) where is the LO phase. The signal reaching receiver 2, being the delay reference, does not undergo a geometrical time delay, . The thus the phase delay is given by the LO phase correlator output is then given by

Fig. 3. Overhead view of the experimental test setup.

(22) The system gain factor, defined as (23) accounts for the angular envelope (or fringe washing function) of the response due to the system bandwidth. Thus, the general response of the lower sideband correlation receiver including phase effects is given by (24) where is the system phase error and is a combination of the LO and component phase delays. The visibility as defined by (19) has a Fourier transform relationship with the radiance, and thus an inverse Fourier transform of (24) results in a quantity proportional to the real part of the observed brightness. -band system, There are three voltage outputs from the two total power responses (TP1 and TP2) given by (14) and one correlation response given by (24). The total power responses are directly proportional to the brightness temperature of the observed scene. Plotted against time these voltages are composed of a series of peaks and troughs whose width and height are dependent on the size of the distributed object being viewed. The correlation response, after short-time Fourier transform (STFT), produces a signal, which, taken at a specific frequency, is composed of peaks whose width and height are dependent on the object being viewed. In addition, the correlation response is dependent on the level of coherence of the two received signals. The nature of these responses motivated the use of a detection method which focused on analysis of the signal peaks, the initial stages of which was described in [6]. Section IV discusses the final results and drawbacks of that method and introduces a new Bayesian detection method. IV. TARGET CLASSIFICATION AND EXPERIMENTAL RESULTS The MMW sensor system is mounted to a rotating platter atop an all-terrain research robot. The sensors continually scan the surroundings as the platter rotates atop the moving platform. In addition to the -band receivers, a -band total power receiver (TP3) was added for information in a separate band of the MMW spectrum. The center frequency of TP3 is 94 GHz,

Fig. 4. Peak correlation of the two total power channels (TP1, TP2) resulting in a peak window centered at 118.05 s.

the pre-detection bandwidth is 1.5 GHz, and the post-detection bandwidth is 200 Hz. A triangulation laser range finder was also added to give range information. The analog signals are passed to a Texas Instruments Incorporated MSP430 mixed signal microcontroller, which sends the digital data to an OQO computer, which is a small form factor PC chosen for its compact size of 3 in 5.6 in 1 in. Following an experiment (see Fig. 3), the data are offloaded and processed offline in MATLAB. A. Heuristic Classification Target classification was initially accomplished using a heuristic formulation described in [6]. In this classifier, the total power signals, given by (14), are analyzed for peak statistics including peak width, height, and temporal correlation of peaks between sensors. From these peak statistics, peak windows are formed, as seen in Fig. 4, which serve to filter out responses from nonhumans. In addition, the correlation signal, given by (24), is processed by STFT to convert the data into a quantity proportional to the scene brightness temperature, and peak statistics are analyzed for this spectral correlation signal as well. A scan map is then formed from the spectral correlation. Fig. 5 shows the scan map resulting from the experiment setup of Fig. 3. The scan map shows the filtered spectral correlation on a rotation-by-rotation basis; e.g., a response seen at 90 is directly to the right of the moving platform. In this experiment,

NANZER AND ROGERS: HUMAN PRESENCE DETECTION USING MMW RADIOMETRY

2731

real time and could not be expected to work in a real-world implementation. Moreover, the heuristic approach lacks any statistical formulation in which a reasonable estimate of probabilities of detection and false alarm can be quantified. To overcome the limiting factor of processing time, a Bayesian formulation was implemented. Bayesian formulations are often simple constructs, which by their nature are not computationally expensive to run. B. Naïve Bayesian Classification

Fig. 5. Scan map of spectral correlation data following the application of the peak window. One person is present on the right side of the graph; the track on the right side is the response to the person.

The classifier discussed here represents the first attempt to replace the heuristic classifier and is based on a rudimentary naïve Bayesian formulation using only the three total power signals given by (14). In addition, rather than analyzing peaks statistics of the signals, only threshold detection is implemented. The correlation signal and peak statistics were excluded in order to create a simple Bayesian classifier to compare to the heuristic classifier. Future implementations will include the correlation signal. The naïve Bayesian classifier is a simple probabilistic classifier, which depends on a number of inputs, or features, which are assumed to be independent (hence, the name naïve). While it is not usually true that all the features are independent of each other, naïve Bayesian classifiers typically produce better than expected results in real-world situations [15]. Given an array of features the probability of a given data . point being above the detection threshold (detection) is Using Bayes’ rule yields

(25) and the probability of the point being below the threshold (no detection) is Fig. 6. Detection map resulting from heuristic classification. A person is present at (5 m, 12 m) and has high detection confidence.

one person is standing to the right of the platform as it passes by, producing the track seen on the right side of the graph. The result shown in Fig. 5 is an intermediate step in the detection processing. The final detection map is formed by correlating the peak windows and the orthogonal ranging mode (laser range finder). Through each rotation vectors are formed of equal length containing total power, spectral correlation, laser range data, and shaft angle data. An – grid is formed by combining angle data from the shaft encoder and range data from the laser range finder. The vector data points coinciding with each laser hit are correlated with the vector points of the peak windowed arrays and each pixel on the – grid is summed with the value of each peak window at that pixel. In each rotation, pixels corresponding to laser range hits are summed with the value of the corresponding peak window and then normalized, resulting in the detection confidence. The result of applying this process is shown in Fig. 6; a human is standing at (5 m, 12 m) and it can be seen that there is a strong detection at this point. The heuristic classifier showed promising results similar to Fig. 6 in most experiments. However, after optimizing the code, the processing time was on average nearly 400% slower than

(26) Since

,

(27) The features for the current implementation include only the three total power signals: . Under the feature independence assumption, (28) A positive detection occurs when

(29) The prior probabilities and cannot be accurately defined since they are highly dependent on the situation in which the system is being used. As such it is assumed

2732

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

TABLE I TOTAL POWER FEATURE PROBABILITIES FOR 0.0175-V THRESHOLD

Fig. 8. Detection map using log-likelihood naïve Bayesian formulation. A detection of a person is seen at (9 m, 9 m), whereas other objects seen have a negative detection confidence, indicating a nonhuman.

Fig. 7. Detection map using rudimentary naïve Bayesian classifier. A detection of a person is seen at (9 m, 9 m).

that each outcome is equally likely to occur for the general case; . i.e., The feature probabilities were experimentally determined using threshold detection. A voltage threshold of 0.0175 V was chosen for each total power channel, resulting in the probabilities of detection and false alarm for the individual sensors shown in Table I. Similar to the heuristic formulation, a vector is formed containing the probability of detection determined by (29) for each point in every rotation. Laser range data is correlated to these probabilities and an – map is formed. At each rotation, pixels corresponding to laser range hits have added to their values the probability determined by (29) and are normalized; the result of an experiment similar to Fig. 3 is seen in Fig. 7, where a detection is at (9 m, 9 m). The detection map resulting from the rudimentary naïve Bayesian classifier of Fig. 7 is clearly comparable to the heuristic formulation depicted in Fig. 6. In addition, the processing time of the naïve Bayesian classifier runs on average nearly 15% faster than real time, which is a great improvement over the heuristic formulation and can be applied to real-world situations. Another benefit of the Bayesian approach that has been seen in experimental outcomes is improved range; the heuristic approach was limited by a maximum range of approximately 7.6 m, whereas the current Bayesian formulation detects out to approximately 10.6 m. It should be noted that the naïve Bayesian formulation described above uses only the three individual total power outputs as features, whereas the heuristic approach used a total of 11 features, including the three total power signals, correlation signal, and correlations between each of those signals. Thus, the Bayesian formulation achieves better results than the heuristic

approach using close to 20% of the processing time and less than one-third of the number of features. An alternative naïve Bayesian formulation classifies the feature vectors using the natural logarithm of the ratio of and and is called the log-likelihood ratio or Bayes factor formulation. Taking the ratio of (25) and (26) and using Baye’s rule yields (30) Taking the logarithm of these ratios gives

(31) A positive detection is registered if

(32) The Bayes factor formulation has the effect of classifying nonhumans by assigning a negative detection confidence to nonhuman detections. The detection map is initialized as a matrix of zeros and as the algorithm runs, pixel values are increased or decreased based on the log-likelihood ratio. Thus, laser hits that occur on low probability objects have a lower log-likelihood ratio than areas where no determination has been made. In general, this has the effect of improving the signal-to-noise ratio of the final map, though this has not yet been quantified. Fig. 8 shows the data from Fig. 7 run through the log-likelihood naïve Bayesian classifier. Nonhuman objects are indicated by the areas of negative detection confidence. C. Comparison of Classification Techniques The classifier results shown in Figs. 6–8 are compared by averaging the detection confidence over the areas of positive detection (location of the person) and false positive (all other locations) with a minimum detection confidence threshold of 50%.

NANZER AND ROGERS: HUMAN PRESENCE DETECTION USING MMW RADIOMETRY

2733

TABLE II COMPARISON OF CLASSIFIERS

Negative detection indicates the probability of correctly classifying detections as nonhumans.

There were no missed detections in the data shown. In addition, for the Bayes factor classifier, the average of the negative detection confidence of nonhuman detections in Fig. 8 is computed with a threshold of 50%; this is the confidence of correctly classifying a detection as nonhuman. These averages are summarized in Table II. The Bayesian classifiers achieve comparable positive detection measures to the heuristic classifier while achieving lower false positive measures. The Bayes factor classifier demonstrates a lower measure of positive detection, although it is beneficial for its ability to classify nonhumans. Table II also summarizes the benefits of the Bayesian classifier over the heuristic classifier described earlier. V. CONCLUSION A novel method of detecting a standing human in a cluttered outdoor environment using passive MMW technology has been presented. This type of detection has not been specifically focused on in other research and as such the presented method provides a means of overcoming a previously unsolved security issue. The theoretical responses of the two detection modes were presented. These responses motivated the design of the detection system and the methods of target classification were then presented afterward. A classification method with real-time potential based on a naïve Bayesian formulation has been introduced in rudimentary form and been shown to produce results comparable to a computationally expensive heuristic approach. The Bayesian classifier currently only implements the total power modes of the MMW system and produces results comparable to the heuristic method. Future research will include the correlation mode, as well as peak statistics in the Bayesian formulation. It is anticipated that detection confidence will increase with these data included. REFERENCES [1] H. R. Everett, Sensors for Mobile Robots: Theory and Application. Wellesley, MA: A. K. Peters, 1995. [2] D. Mitchell, C. H. Wyndham, T. Hodgson, and F. R. N. Nabarro, “Measurement of the total normal emissivity of skin without the need for measuring skin temperature,” Phys. Med. Biol., vol. 12, pp. 359–366, Jul. 1967. [3] F. P. Incropera and D. P. DeWitt, Fundamentals of Heat and Mass Transfer. New York: Wiley, 2002.

[4] C. D. Govan, “A wideband frequency-tunable Dicke radiometer for microwave radiometric measurements,” M.S. thesis, Dept. Elect. Comput. Eng., The Univ. Texas Austin, Austin, TX, 1994. [5] J. E. Bjarnason, T. L. J. Chan, A. W. M. Lee, M. A. Celis, and E. R. Brown, “Millimeter-wave, terahertz, and midinfrared transmission through common clothing,” Appl. Phys. Lett., vol. 85, pp. 519–521, 2004. -band correlation radiometer for [6] J. A. Nanzer and R. L. Rogers, “A human presence detection from a moving platform,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 3–8, 2007, pp. 385–388. [7] J. D. Kraus, Radio Astronomy. New York: McGraw-Hill, 1966. [8] W. N. Christiansen and J. A. Högbom, Radiotelescopes. London, U.K.: Cambridge Univ. Press, 1969. [9] A. R. Thompson, J. M. Moran, and G. W. Swenson, Interferometry and Synthesis in Radio Astronomy. New York: Wiley, 1986. [10] K. Rohlfs, Tools of Radio Astronomy. Berlin, Germany: SpringerVerlag, 1990. [11] F. T. Ulaby, R. K. Moore, and A. K. Fung, Microwave Remote Sensing: Active and Passive. Reading, MA: Addison-Wesley, 1981, vol. 1. [12] D. M. Le Vine, “The sensitivity of synthetic aperture radiometers for remote sensing applications from space,” Radio Sci., vol. 25, pp. 441–453, Jul. 1990. [13] D. M. Le Vine, “Synthetic aperture radiometer systems,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2228–2236, Dec. 1999. [14] F. A. Davis, Tabler’s Cyclopedic Medical Dictionary. Philadelphia, PA: F. A. Davis, 1993. [15] I. Rish, “An empirical study of the naïve Bayes classifier,” in Proc. ICJAI-01 on Empirical Methods in AI Workshop, Sicily, Italy, 2001, pp. 41–46.

K

Jeffrey A. Nanzer (S’02) received the B.S. degree in electrical engineering and B.S. degree in computer engineering from Michigan State University, Lansing, in 2003, the M.S.E. degree in electrical engineering from The University of Texas at Austin, in 2005, and is currently working toward the Ph.D. degree in electrical engineering at The University of Texas at Austin. From 2002 to 2003, he was a Research Assistant with Michigan State University, where he developed testing procedures for genetic-algorithm-based selfstructuring antennas. He is currently a Graduate Research Assistant with the Applied Research Laboratories, The University of Texas at Austin.

Robert L. Rogers (M’98–SM’99) was born in San Angelo, TX, in 1961. He received the B.S., M.S.E., and Ph.D. degrees from The University of Texas at Austin, in 1983, 1985, and 1989, respectively, all in electrical engineering. He then joined the Applied Research Laboratories, The University of Texas at Austin, where he is currently a Principal Investigator. He has been involved in the areas of microwave and MMW antennas, electrically small antennas, radar, radiometry, communications systems, and high-power pulsed energy systems. His research interests are in remote sensing, communications, wireless networking, and antennas.

2734

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Integrated Heterojunction Bipolar Transistor Optically Injection-Locked Self-Oscillating Opto-Electronic Mixers for Bi-Directional Fiber-Fed Wireless Applications Jae-Young Kim, Student Member, IEEE, Woo-Young Choi, Member, IEEE, Hideki Kamitsuna, Member, IEEE, Minoru Ida, Member, IEEE, and Kenji Kurishima

Abstract—A 30-GHz-band third harmonic optically injection-locked self-oscillating opto-electronic mixer is implemented with a 10-GHz InP heterojunction bipolar transistor monolithic microwave integrated circuit oscillator. The monolithic self-oscillating mixer can be optically injection locked in wide operating conditions and can perform efficient frequency up- and down-conversion with low-power optical local-oscillator signals. Using the mixer, bi-directional transmission of 32 quadrature amplitude modulation data in a 30-GHz fiber-fed wireless link is successfully demonstrated. Index Terms—Fiber-fed wireless link, InP heterojunction bipolar transistor (HBT), monolithic microwave integrated circuit (MMIC), optical injection locking, self-oscillating opto-electronic (O/E) mixer.

I. INTRODUCTION

W

IRELESS communication systems have shown tremendous progress in recent years and the interest for shortrange high-speed wireless systems such as wireless local area network (LAN) and personal area network (PAN) are rapidly growing. The millimeter-wave band is very attractive for these applications because it can offer wide bandwidth up to several gigahertz. However, due to high transmission loss of millimeter waves in the air, the millimeter-wave wireless systems are expected to use picocell network topology, which requires a large number of antenna base stations. Consequently, there is a need for careful network design that can provide simple antenna base-station architecture for overall cost reduction. The fiber-fed millimeter-wave wireless system based on the optical local oscillator (LO) distribution scheme [1]–[3] has been reported as an attractive method to simplify the antenna base station by replacing the millimeter-wave phase-locked oscillator with optically distributed LO from the central station. For this scheme, the opto-electronic (O/E) mixer installed

Manuscript received April 14, 2007; revised July 7, 2007. This work was supported by the Korea Science and Engineering Foundation under the Basic Research Program. J.-Y. Kim and W.-Y. Choi are with the Department of Electrical and Electronic Engineering, Yonsei University, Seoul 120-749, Korea (e-mail: [email protected]; [email protected]). H. Kamitsuna, M. Ida, and K. Kurishima are with NTT Photonics Laboratories, NTT Corporation, Atsugi-shi, Kanagawa 243-0198, Japan (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.909472

in the antenna base station is an important component. Several types of O/E mixers have been investigated based on InP high-electron mobility transistors [4], InP heterojunction bipolar transistors (HBTs) [5], [6], and HBT oscillators [7]–[9]. Among them, optically injection-locked self-oscillating O/E mixers have many advantages such as wide photo-detection bandwidth, high conversion efficiency, and less dependence on injected optical LO power [7]–[9]. Previously, we demonstrated 30-GHz harmonic O/E frequency up-conversion based on a 10-GHz optically injection-locked HBT oscillator in a hybrid configuration and reported its downlink data transmission [8]. We also reported a 60-GHz sub-harmonic frequency up-converter based on a 30-GHz HBT oscillator, as well as 60-GHz downlink data transmission [9]. In this paper, we report on a 30-GHz harmonic O/E frequency up/down converter realized with an optically injection-locked 10-GHz HBT monolithic microwave integrated circuit (MMIC) oscillator and demonstrate 30-GHz bi-directional data transmission. The HBT MMIC self-oscillating mixer can perform simultaneous frequency up/down conversion for bi-directional data transmission and provides a wider optical injection-locking range. Initial results of our investigation have been presented in [10], but this paper includes additional results regarding frequency up/down conversion characteristics and locking stability of the self-oscillating mixer. This paper is organized as follows. Section II describes optical injection-locking and frequency up/down conversion characteristics of the MMIC self-oscillating O/E mixer. Section III reports demonstration of bi-directional 32 quadrature amplitude modulation (QAM) data transmission in a 30-GHz fiber-fed wireless system using the mixer. II. CHARACTERISTICS OF MMIC SELF-OSCILLATING MIXER A. Configuration and Basic Performance In our scheme for bi-directional fiber-fed wireless systems, a 10-GHz MMIC HBT oscillator in the antenna base station performs harmonic frequency up/down conversion of downlink IF and uplink RF signals to and from the 30-GHz band, respectively. We first investigate optical injection-locking and harmonic frequency conversion characteristics of the mixer. Fig. 1 shows the experimental setup used for characterization.

0018-9480/$25.00 © 2007 IEEE

KIM et al.: INTEGRATED HBT OPTICALLY INJECTION-LOCKED SELF-OSCILLATING O/E MIXERS

2735

Fig. 1. Experimental setup for 30-GHz downlink data transmission using InP HBT-based MMIC optically injection-locked self-oscillating O/E mixer and characterization of the self-oscillating O/E mixer. Evaluation part is only for downlink data transmission. DFB LD: distributed feedback laser diode, MZM: Mach–Zehnder modulator, EDFA: Er-doped fiber amplifier, BPF: bandpass filter, LPF: low-pass filter. From [10].

A detailed description for the MMIC oscillator used in our investigation can be found in [11]. The HBT device inside the oscillator exhibits large phototransistor gain of 18 dB at 10-GHz optical modulation frequency. The oscillator was realized in a common emitter feedback configuration using a spiral inductor, a metal–insulator–metal (MIM) capacitor, and another HBT acting as a variable resistor. External bias-tees were used for base and collector biasing of the oscillation HBT. 10.8-GHz optical LO was generated with the double-sideband suppressed-carrier method [12] in which two optical modes separated by 10.8 GHz were generated with a Mach–Zehnder and modulated with a 5.4-GHz RF modulator biased at signal. When the 10.8-GHz optical LO was injected into the freerunning oscillator, it was injection-locked by the optical LO and generated the third harmonic phase-locked LO signals at 32.4 GHz. These were measured with a spectrum analyzer after passing through a broadband attenuator and a 30-GHz amplifier. A broadband attenuator with 10-dB loss was used because without it, the 30-GHz amplifier was not impedance-matched to 50 in the 10-GHz band, resulting in unstable oscillation. Fig. 2(a) and (b) shows the spectrum of free-running and optically injection-locked 32.4-GHz LO signals when injected optical LO power was 0 dBm. The reduction of phase noise by optical injection locking is clearly shown from single-sideband phase-noise measurement results shown in Fig. 2(c). Optical IF signals were generated by direct modulation of a distributed-feedback laser diode with 1.4-GHz IF signals and injected into the MMIC oscillator through fiber, as shown in Fig. 1. The optical IF signals were photo-detected, amplified, and harmonically frequency up-converted to the 30-GHz band with the help of the injection-locked LO signal all within the self-oscillating O/E mixer, as shown in Fig. 3. Fig. 4 shows the power of frequency up-converted RF signals as a function of delivered optical LO power when the input optical IF power was 0 dBm. The photo-detected IF power was 40 dBm when the oscillator HBT was biased at the photodiode mode V) in which the HBT operates as a p-n (base voltage

Fig. 2. Spectrum of: (a) free-running third harmonic LO signals, (b) optically injection-locked third harmonic LO signals when injected optical LO is 0 dBm, and (c) single-sideband phase noise of third harmonic free-running and optically injection-locked LO signals. (c) is from [10].

Fig. 3. Spectrum of harmonically frequency up-converted RF and LO signals when both of optical LO and IF powers are 0 dBm. The up-converted RF signals appear in both sides of 32.4-GHz LO separated by IF of 1.4 GHz.

junction photodiode without any internal phototransistor gain. The harmonic frequency up-conversion loss of the self-oscillating O/E mixer was approximately 8 dB with conversion gain defined as the power ratio of frequency up-converted RF to photo-detected IF power measured in the photodiode mode [4]. The measured conversion efficiency was nearly independent of optical LO power because output power of the self-oscillating O/E mixer does not directly depend on the injected optical LO

2736

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 4. Powers of frequency up-converted RF (33.8 GHz, upper sideband) and LO (32.4 GHz) signals as a function of injected optical LO power when optical IF power is 0 dBm.

Fig. 6. Powers of frequency down-converted IF (2.2 GHz) signals as a function of injected optical LO power when injected RF power is 2 dBm. Inset is spectrum of down-converted IF signals when optical LO power is 0 dBm.

0

of Fig. 6. The broadband attenuator was connected between the HBT collector and base terminals for impedance matching at 10 GHz. Fig. 6 shows the power of down-converted IF signals as a function of injected optical LO power when the input RF power at the base terminal was 2 dBm. The measured down-conversion efficiency is nearly independent of optical LO power, similar to the case of frequency up-conversion. B. Comparison With Simple O/E Mixer

Fig. 5. Experimental setup for 30-GHz uplink data transmission using InP HBT-based MMIC optically injection-locked self-oscillating O/E mixer as a frequency down-converter and characterization of the down-converter. Optical uplink and evaluation part is only for uplink data transmission. DFB LD: distributed feedback laser diode, BPF: bandpass filter, PD: photodetector. From [10].

The major advantage of the self-oscillating O/E mixer is higher conversion efficiency provided by higher LO power. To validate this, we directly compared conversion efficiency of the self-oscillating mixer with that of a simple HBT O/E mixer. Fig. 7(a) and (b) shows the spectrum of 10.8-GHz LO signals at the output of the self-oscillating mixer and HBT O/E mixer when the same power of 0-dBm optical LO signals were applied. The output LO power of the self-oscillating mixer was approximately 20 dB higher than HBT O/E mixer, whereas the phase noises were almost the same. Fig. 7(c) and (d) shows the measured power of frequency up/down-converted signals as a function of optical LO powers. These results show that the self-oscillating mixer has higher conversion efficiency and less dependence on optical LO power than the HBT O/E mixer. C. Locking Stability

power. When the optical LO power was larger than 4 dBm, however, the conversion efficiency decreased. This is because the saturation effect of the HBT oscillator under high optical illumination lowered oscillation power and degraded the conversion efficiency, as reported in [9]. The harmonic frequency down conversion in the optically injection-locked self-oscillating O/E mixer was also investigated in the experimental setup shown in Fig. 5. The 30-GHz RF signals were injected to the base terminal of the oscillation HBT and harmonically frequency down-converted to 2.2-GHz IF. These were measured with a spectrum analyzer after a broadband attenuator and a baseband amplifier, as shown in the inset

In applications of optically injection-locked self-oscillating O/E mixers, many factors can induce oscillation frequency variations, and it is possible that the HBT oscillator cannot be locked by the injected optical LO if their frequency difference is too large. Consequently, obtaining a large locking range is very important. In our case, the measured locking range was approximately 1.5 GHz with a 6-dBm optical LO, as shown in Fig. 8. We also investigated changes in free-running oscillation frequency with temperature and the results are shown in Fig. 9. The frequency change was approximately 18 MHz with a 94 change in temperature. Since the locking range is much larger than the frequency drift with temperature change, we can be sure that our

KIM et al.: INTEGRATED HBT OPTICALLY INJECTION-LOCKED SELF-OSCILLATING O/E MIXERS

2737

Fig. 9. Free-running oscillation frequency of the MMIC HBT oscillator without optical illumination as a function of the operating temperature. The temperature was controlled with a hot plate and a thermometer.

Fig. 7. Spectrum of: (a) optically injection-locked LO signals of MMIC oscillator and (b) photo-detected LO signals of HBT O/E mixer biased at I = 400 A, V = 1 V when injected optical LO power is 0 dBm. (c) Powers of frequency up-converted RF signals (10 GHz, lower sideband) at the output of optically injection-locked self-oscillating O/E mixer (OIL-SOM) and HBT O/E mixer as a function of optical LO power when optical IF (0.8 GHz) power is 0 dBm. (d) Powers of frequency down-converted IF (0.8 GHz) signals as a function of optical LO power when supplied RF (10 GHz) power is 10 dBm.

0

Fig. 10. EVMs measured with VSA as a function of optical LO power when the optical IF power is 0 dBm. Inset is constellation of 32 QAM data demodulated by VSA when both of optical IF and LO are 0 dBm. From [10].

Fig. 8. Locking range and its lower/upper locking boundary of the MMIC HBT oscillator as a function of optical LO power. When the frequency of the optical LO is between the lower and upper locking boundary, the free-running oscillator is synchronized with the optical LO. The locking range is the difference of the two boundaries.

optically injection-locked self-oscillating O/E mixer has high locking-stability against temperature variation. III. GIGAHERTZ BI-DIRECTIONAL LINK DEMONSTRATION To investigate the feasibility of the optically injection-locked self-oscillating O/E mixer for the fiber-fed wireless system, we demonstrated bi-directional transmission of 32-QAM data in the

30-GHz band. For downlink data transmission, optical IF signals were generated by direct modulation of a distributed-feedback laser diode with 25-Mb/s 32-QAM signals at 1.4-GHz IF and injected into the self-oscillating O/E mixer through fiber, as shown in Fig. 1. These signals were frequency up-converted to the 30-GHz band. In practical systems, they would radiate to mobile terminals through an antenna. However, we left out the wireless link transmission for simplicity. For evaluation, up-converted 30-GHz RF signals were downconverted to 1-GHz IF band using an electrical mixer and a bandpass filter, and demodulated by a vector signal analyzer (VSA). When both optical LO and IF powers were 0 dBm, the measured error vector magnitude (EVM) of the demodulated signal was 4.34%, which is sufficient for many wireless applications. For example, the IEEE 802.15.3 standard specifies the transmitter EVM to be less than 4.8% for 32 QAM [13]. The inset of Fig. 10 shows the constellation of the demodulated 32-QAM signal. The EVMs were measured as a function of incident optical LO powers and the results are shown in Fig. 10. They show that there is an optimum range of optical LO power from 0 to 4 dBm. When the optical LO power is less than 0 dBm,

2738

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

dependence on LO power. The wide locking range of the MMIC oscillator offers a high degree of locking stability over operating temperature variation. Using this optically injection-locked self-oscillating O/E mixer, we realized a 30-GHz bi-directional fiber-fed wireless link and successfully demonstrated bi-directional transmission of 32-QAM data. ACKNOWLEDGMENT

Fig. 11. EVMs measured with VSA as a function of optical LO power when the uplink RF power is 2 dBm. Inset is constellation of 32-QAM data demodulated by the VSA after optical uplink transmission when optical LO and uplink RF powers are 0 and 2 dBm, separately. From [10].

0 0

Authors H. Kamitsuna, M. Ida, and K. Kurishima wish to thank Dr. Y. Itaya, Dr. T. Enoki, and Dr. K. Murata, all with NTT Photonics Laboratories, NTT Corporation, Atsugi-shi, Kanagawa, Japan, for their support and encouragement. Authors J.-Y. Kim and W.-Y. Choi would like to thank Dr. C.-S. Choi, Yonsei University, Seoul, Korea, for his useful discussions. REFERENCES

the EVM increases due to phase error increase. On the other hand, when the optical LO power is larger than 4 dBm, the EVM increases due to degradation of conversion efficiency caused by the saturation effect of the oscillator under high power optical illumination. The experimental setup for uplink data transmission is shown in Fig. 5. For generation of 30-GHz-band uplink RF signals, 25-Mb/s 32 QAM signals with 1.3-GHz IF were frequency up-converted to 30.2-GHz band using an electrical mixer and 31.5-GHz electrical LO signal. After passing through a bandpass filter, an amplifier, and a broadband attenuator, 30.2-GHz RF signals were injected into the self-oscillating mixer and harmonically frequency down-converted to 2.2-GHz IF band. The spectrum of down-converted signals can be found in our previous publication [10]. For optical uplink transmission from antenna base station to central station, frequency down-converted signals directly modulated a distributed-feedback laser diode and the resulting optical uplink signal was detected by a photodetector. The link loss of the optical uplink transmission was about 10 dB. After optical uplink transmission, IF signals were demodulated by a VSA for evaluation. Fig. 11 shows the measured EVMs as a function of optical LO power, illustrating that there is an optimum range of optical LO power from 1 to 3 dBm. The inset of Fig. 11 shows the constellation of the demodulated 32-QAM signal when injected optical LO and electrical RF powers were 0 and 2 dBm, respectively, in which the EVM was 5.47%. The resulting EVM values for uplink transmission are relatively larger than those for downlink due to lower signal-to-noise ratio. This may be because down-conversion efficiency of our self-oscillating O/E mixer is lower than up-conversion efficiency.

IV. CONCLUSION We have implemented a 30-GHz-band optically injection-locked self-oscillating O/E mixer using a 10-GHz InP HBT MMIC oscillator. The self-oscillating O/E mixer performs efficient frequency up/down conversion with little

[1] L. Nöel, D. Wake, D. G. Moodie, D. D. Marcenac, L. D. Westbrook, and D. Nesset, “Novel techniques for high-capacity 60-GHz fiber-radio transmission systems,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1416–1423, Aug. 1997. [2] G. H. Smith and D. Novak, “Broadband millimeter-wave fiber-radio network incorporating remote up-down conversion,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 1998, pp. 1509–1512. [3] J.-H. Seo, C.-S. Choi, Y.-S. Kang, Y.-D. Chung, J. Kim, and W.-Y. Choi, “SOA–EAM frequency up/down-converters for 60-GHz bi-directional radio-on-fiber systems,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 959–966, Feb. 2006. [4] C.-S. Choi, H.-S. Kang, W.-Y. Choi, D.-H. Kim, and K.-S. Seo, “Phototransistors based on InP HEMTs and their applications to millimeterwave radio-on-fiber systems,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 256–263, Jan. 2005. [5] C. P. Liu, A. J. Seeds, and D. Wake, “Two-terminal edge-coupled InP/InGaAs heterojunction phototransistor optoelectronic mixer,” IEEE Microw. Guided Wave Lett., vol. 7, no. 3, pp. 72–74, Mar. 1997. [6] C.-S. Choi, J.-H. Seo, W.-Y. Choi, H. Kamitsuna, M. Ida, and K. Kurishima, “60 GHz bidirectional radio-on-fiber links based on InP–InGaAs HPT optoelectronic mixers,” IEEE Photon. Technol. Lett., vol. 17, no. 12, pp. 2721–2723, Dec. 2005. [7] J. Lasri, A. Bilenca, and G. Eisenstein, “Optoelectronic mixing, modulation, and injection locking in millimeter-wave self-oscillating InP/InGaAs heterojunction bipolar photo transistors—Single and dual transistor configurations,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1934–1939, Oct. 2001. [8] C.-S. Choi, J.-H. Seo, W.-Y. Choi, H. Kamitsuna, M. Ida, and K. Kurishima, “Radio-on-fiber downlink transmission systems based on optically controlled InP/InGaAs HPT oscillator,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 11–14. [9] J.-Y. Kim, C.-S. Choi, W.-Y. Choi, H. Kamitsuna, M. Ida, and K. Kurishima, “Characteristics of InP/InGaAs HPT-based optically injection-locked self-oscillating optoelectronic mixers and their influence on ROF system performance,” IEEE Photon. Technol. Lett., vol. 19, no. 3, pp. 155–157, Feb. 2007. [10] J.-Y. Kim, W.-Y. Choi, H. Kamitsuna, M. Ida, and K. Kurishima, “Optically injection-locked self-oscillating HBT MMIC optoelectronic mixer for bi-directional fiber-fed wireless links,” presented at the IEEE MTT-S Int. Microw. Symp., Jun. 2007. [11] H. Kamitsuna, T. Shibata, K. Kurishima, and M. Ida, “Direct optical injection locking of InP/InGaAs HPT oscillator ICs for microwave photonics and 40-Gbit/s-class optoelectronic clock recovery,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 3002–3008, Dec. 2002. [12] R. Montgomery and R. DeSalvo, “A novel technique for double sideband suppressed carrier modulation of optical fields,” IEEE Photon. Technol. Lett., vol. 7, no. 4, pp. 434–436, Apr. 1995. [13] IEEE Standard for Information Technology—Telecommunications and Information Exchange Between Systems—Local and Metropolitan Area Networks—Specific Requirements—Part 15.3: Wireless Medium Access Control (MAC) and Physical Layer (PHY) Specifications for High Rate Wireless Personal Area Networks (WPANs), IEEE Standard 802.15.3, 2003.

KIM et al.: INTEGRATED HBT OPTICALLY INJECTION-LOCKED SELF-OSCILLATING O/E MIXERS

Jae-Young Kim (S’06) was born in Asan, Korea, in 1978. He received the B.S. and M.S. degrees in electrical and electronic engineering from Yonsei University, Seoul, Korea, in 2004 and 2006, respectively, and is currently working toward the Ph.D. degree at Yonsei University. His doctoral dissertation concerns high-speed InP HBT oscillators and mixers for fiber-fed wireless systems. His research interests include millimeter-wave wireless systems and silicon-based RF circuits.

Woo-Young Choi (M’92) received the B.S., M.S., and Ph.D. degrees in electrical engineering and computer science from the Massachusetts Institute of Technology (MIT), Cambridge, in 1986, 1988, and 1994, respectively. His dissertation concerned the investigation of molecular-beam epitaxy (MBE)-grown InGaAlAs laser diodes for fiber-optic applications. From 1994 to 1995, he was a Post-Doctoral Research Fellow with NTT Opto-Electronics Laboratories, where he studied femtosecond all-optical switching devices based on low-temperature grown InGaAlAs quantum wells. In 1995, he joined the Department of Electrical and Electronic Engineering, Yonsei University, Seoul, Korea, where he is currently a Professor. His research interests are in the area of high-speed circuits and systems that include high-speed electronic circuits, high-speed O/Es, and microwave photonics.

Hideki Kamitsuna (M’91) received the B.S. and M.S. degrees in physics and Dr. Eng. degree in communication engineering from Kyushu University, Fukuoka, Japan, in 1986, 1988, and 2004, respectively. In 1988, he joined the NTT Radio Communication Systems Laboratories, Yokosuka, Japan, where he was engaged in research on MMICs. In March 1990, he joined ATR Optical and Radio Communications Research Laboratories, Kyoto, Japan (on leave from NTT), where he was engaged in research on MMICs for future personal communication systems. In March 1993, he returned to the NTT Wireless Systems Laboratories, where he was engaged in research

2739

and development of microwave photonics including monolithically integrated photoreceivers, MMICs for satellite on-board phased-array systems, and MMIC power amplifiers for wireless local area networks (LANs). Since August 1999, he has been with the NTT Photonics Laboratories, Atsugi-shi, Kanagawa, Japan, where he is currently a Senior Research Engineer. His current interests are ultrahigh-speed optical and electronic devices/integrated circuits (ICs) for optical communication systems. Dr. Kamitsuna is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 1994 Young Engineer Award, the 2004 Best Paper Award, and the 2005 Electronics Society Award presented by the IEICE. He was also a recipient of the 2000 European Microwave Conference (EuMC) Microwave Prize presented at the 30th EuMC, Paris, France.

Minoru Ida (M’95) was born in Tokyo, Japan, on July 18, 1966. He received the B.S. and M.S. degrees in electrical engineering from Keio University, Kanagawa, Japan, in 1989 and 1991, respectively, and the Ph.D. degree in physical electronics from the Tokyo Institute of Technology, Tokyo, Japan, in 2005. In 1991, he joined NTT LSI Laboratories, Kanagawa, Japan, where he engaged in research on MOVPE growth and InP-based HBTs. From 1996 to 1998, he was with NTT Wireless Systems Laboratories, Kanagawa, Japan, where he was involved with GaAs MMICs for wireless applications. He is currently with NTT Photonics Laboratories, Atsugi-shi, Kanagawa, Japan, where he is involved in the research of ultrahigh-speed InP-based HBT devices and the development of the fabrication processes of integrated circuits (ICs) for optical networks.

Kenji Kurishima received the B.S., M.S., and Dr. Eng. degrees in physical electronics from the Tokyo Institute of Technology, Tokyo, Japan, in 1987, 1989, and 1997, respectively. In 1989, he joined the NTT Atsugi Electrical Communications Laboratories, Atsugi-shi, Kanagawa, Japan, where he has been engaged in research and development of InP-based HBTs and MOVPE growth. His current research interests include the design and fabrication of high-speed electronic devices for future communications technologies.

2740

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

10-Gb/s Optical Fiber Transmission Using a Fully Analog Electronic Dispersion Compensator (EDC) With Unclocked Decision-Feedback Equalization Soumya Chandramouli, Student Member, IEEE, Franklin Bien, Member, IEEE, Hyoungsoo Kim, Student Member, IEEE, Chris Scholz, Member, IEEE, Edward Gebara, Member, IEEE, and Joy Laskar, Fellow, IEEE

Abstract—10-Gb/s data transmission over optical fiber is limited in reach due to optical dispersion phenomena that results in inter-symbol interference. Electronic dispersion compensators employing linear and nonlinear equalization techniques are a compact, cost-effective, and adaptive alternative to optical dispersion compensating techniques and devices that are often bulky, expensive, and not adaptive. The decision-feedback equalizer (DFE) is a type of nonlinear equalizer that can effectively compensate for the nonlinear dispersion effects exhibited by fiber channels. However, at multigigabit/second data rates, the implementation of the DFE is challenged by the first feedback-loop latency requirements and process technology speed limitations. This study demonstrates a novel analog approach and circuit architecture to perform decision-feedback equalization at multigigabit/second data rates. The analog decision-feedback equalizer (ADFE) consists of a four-tap linear analog feed-forward filter and a one-tap nonlinear analog feedback filter and is implemented in a 0.18- m CMOS process. The ADFE is completely differential and a broadband single-differential converter is designed for the ADFE front-end to interface with the single-ended output of a photodetector. The circuit is unclocked and uses current-mode logic techniques. The ADFE is used to extend the transmission distance of multimode fiber at 10-Gb/s to 300 m and of single-mode fiber to 120 km. Index Terms—Analog circuits, decision feedback equalizers, equalizers, optical fiber communication, optical fiber dispersion.

I. INTRODUCTION

W

ITH THE increased demand for Internet and Intranet bandwidth, several 10-Gb Ethernet standards for data transmission over optical fiber have been passed over the last few years. These include standards for long-haul transmission over single-mode fiber (SMF), as well as for short-haul transmission over multimode fiber (MMF). The 10GBASE-LR (long range) standard specifies 10-Gb/s transmission over 10 km of SMF using a wavelength of 1310 nm. The 10GBASE-ER (extended range) standard is for 10 Gb/s over 80 km of SMF using a wavelength of 1550 nm. The 10GBASE-SR (short range)

Manuscript received April 23, 2007; revised June 29, 2007. This work was supported by Pirelli Laboratories. S. Chandramouli, H. Kim, C. Scholz, E. Gebara, and J. Laskar are with the Georgia Electronic Design Center, School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30308 USA (e-mail:[email protected]). F. Bien was with the Georgia Electronic Design Center, School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30308 USA. He is now with Staccato Communications, San Diego, CA 92121 USA. Digital Object Identifier 10.1109/TMTT.2007.908546

standard specifies transmission from 26 to 82 m over installed MMF, as well as up to 300 m for new 50- m 2000-MHz km MMF using a wavelength of 850 nm. The 10GBASE-LRM (IEEE802.3aq) standard specifies 220-m transmission over fiber distributed data interface (FDDI)-grade 62.5- m MMF that was originally installed for 100-Mb/s data transmission. However, at 10-Gb/s data rates, optical dispersion that causes broadening of the optical pulse results in inter-symbol interference (ISI) after the photoreceiver, and can significantly limit the reach of installed fiber. For example, OM1 (160–200 MHz-km modal bandwidth at 850 nm) MMF supports only 33 m at 10 Gb/s and greater than 60% of fiber links within deployed enterprise backbones span a distance between 101–300 m [1]. Replacing large sections of installed fiber is an expensive proposition for most data center operators and Internet service providers. Therefore, there is an urgent demand for cost-effective and smart solutions to enable the migration of installed infrastructure to 10 Gb/s. This demand has opened up opportunities for system and chip designers to provide components and devices for future 10-Gb/s fiber optic links. In SMF, chromatic dispersion (CD) and polarization-mode dispersion (PMD) are the primary physical phenomena that cause optical pulse dispersion. CD is a result of the variation in the refractive index of silica relative to the wavelength of the light. This causes light of slightly different wavelengths to travel at slightly different speeds down the fiber and results in pulse broadening at the end of the fiber. CD is not a problem at a wavelength around 1310 nm because fiber attenuation limits the transmission distance before CD becomes a limiting factor. However, in the 1550-nm window, CD becomes the dominant factor limiting transmission distances at 10 Gb/s. PMD is caused by orthogonal polarization modes traveling along the fiber length at different speeds due to imperfections in the fiber geometry. The pulse is dispersed when these modes are summed together at the photoreceiver. PMD is a significant issue at 10 Gb/s in fibers that were deployed prior to the early 1990s. In MMF, light travels down the fiber core in different modes and these modes travel at different speeds. ISI occurs when these modes are summed at the receiver. The delay in the traveling time of different modes is called differential modal delay (DMD). DMD significantly limits the bandwidth of MMF. SMF fibers do not exhibit DMD and, therefore, have significantly more bandwidth. Therefore, long-haul communication systems typically use SMF. However, for shorter

0018-9480/$25.00 © 2007 IEEE

CHANDRAMOULI et al.: 10-Gb/s OPTICAL FIBER TRANSMISSION USING FULLY ANALOG EDC WITH UNCLOCKED DECISION-FEEDBACK EQUALIZATION

distances and low-cost local area network (LAN) applications, MMF is dominant because it allows the use of lower cost connectors and transceivers [2]. CD increases linearly with fiber length and is a static phenomenon that can be compensated for by using lengths of fiber with negative dispersion. However, this solution is bulky, costly, and not adaptive. PMD is dynamic and affected by mechanical stresses and vibrations that can alter the fiber orientation. Therefore, it is problematic to correct PMD in the optical domain and any compensation solution has to be dynamic and adaptive. DMD is strongly dependent on fiber launch conditions and optical solutions have explored offset launching to combat DMD in MMF. However, this is not an adaptive or convenient solution. For these reasons, electronic dispersion compensators (EDCs) that can be used after the photoreceiver and adapted to changing fiber channel characteristics have been explored [2], [3]. Equalizer circuits and techniques that have been used in copper-based channels to compensate for ISI can be used to compensate for fiber dispersion. Specifically, linear equalizers (LEs) such as the feed-forward equalizer (FFE) and nonlinear equalizer (NLEs) such as the decision-feedback equalizer (DFE) have been explored. The FFE compensates for high-frequency channel loss by emulating the inverse of the channel response. A 10-Gb/s analog FFE implemented in 0.18- m CMOS has been demonstrated [4]. Precursor ISI is the effect of future symbols on the current symbol, and post-cursor ISI is the effect of past symbols on the current symbol. When used by itself, the FFE taps are set to compensate for pre- and post-cursor ISI. However, the FFE has certain limitations. Since it is an LE, it boosts high-frequency noise, thereby degrading the signal-to-noise ratio (SNR) and increasing bit error rate (BER). Furthermore, the fiber channel is seen as a nonlinear channel with deep nulls for which a linear FFE is often not sufficient. For these reasons, the DFE has been explored. Fig. 1 shows a block diagram of the conventional DFE. It consists of a linear forward filter (FF) such as an FFE, a nonlinear decision block, and a feedback filter. In this case, the taps of the FF are set to remove only precursor ISI. The decision block makes a digital decision at every symbol. The feedback filter uses the decision signal to cancel the effect of the current symbol on the following symbols, thereby compensating for post-cursor ISI. The DFE, therefore, enables post-cursor ISI compensation without the noise enhancement associated with LEs. This architecture assumes that a clock-recovery unit recovers the system clock using the output of the linear filter. The recovered clock signal is used for the decision block. The DFE was first introduced by Austin in 1967, who introduced a decision-theory approach to the problem of digital communication over known dispersive channels [5]. Since then, it has been used to compensate for ISI in disk-drive read channels [6], and more recently, to compensate for ISI caused by bandwidth limitations in copper and optical pulse dispersion in multigigabit/second data transmission systems [7], [8]. However, at multigigabit/second data rates, the performance of data transmission systems is constrained by the limitations in silicon process speeds. At multigigabit/second data rates, the first feedback-loop of the DFE is a critical stumbling block in the imple-

2741

Fig. 1. Conventional DFE block diagram.

mentation of the circuit. As shown in Fig. 1, the clocked decision element must make a clean decision at the symbol rate and this decision is used to make corrections to the following symbols by means of a multitap feedback filter. Therefore, the latency of the first loop must be equal to the symbol period . At 10 Gb/s, is 100 ps. The primary consumer of the timing budget in the first feedback loop is the clocked decision element. This block is typically implemented as a clocked comparator and at clock rates of more than 1 Gb/s, the time to regenerate the analog signal at the comparator input to a rail-to-rail digital signal is significant enough to make the conventional design unfeasible. State-of-the-art techniques have been developed to overcome this latency challenge. Beukema et al. [7] used speculation and half-rate clocking to implement a 6.4-Gb/s DFE in 0.13- m CMOS. Speculation takes advantage of the knowledge that for nonreturn to zero (NRZ) signaling the previous symbol is 1 or 1 and pre-computes the output decision for both possibilities. The previous symbol is used to toggle a multiplexor that chooses between the two pre-computed output values. This operation is much faster than dynamic decision making. Half-rate clocking is used for the other feedback loops to further relax the timing requirement. Meghelli et al. [9] also used speculative feedback for the first tap and dynamic half-rate clocking for the other taps to achieve a 10-Gb/s DFE in 90-nm CMOS. This study demonstrates an analog unclocked circuit architecture for multigigabit/second decision-feedback equalization. The speed limitations imposed by a clocked decision element are removed by using an unclocked analog limiting amplifier (ALA) as the decision element and a current-mode logic (CML) tunable loop latency control (LLC) block to achieve the required feedback-loop latency [10]. In addition, a CML OB is used to drive the 50- load. A single-to-differential converter (SDC) is designed to drive the differential circuit with the single-ended output of the photodetector. This eliminates the need for an external balun. Section II describes system simulations to show the improvement in the equalizer output with decision feedback. Section III looks at the building blocks of the ADFE. Section IV shows re-

2742

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 3. ADFE block diagram [10].

Fig. 2.

Q

for different equalizer topologies [10].

sults for MMF and SMF dispersion compensation and a comparison with other state-of the art DFEs published in the literature. Finally, Section V draws a conclusion. II. SYSTEM SIMULATIONS Previous work in existing literature has shown that increasing the number of forward taps has negligible benefits compared to adding nonlinear feedback taps (FBTs). In [11], adding one FBT improves the performance significantly compared to adding more forward taps when compensating for PMD in 40-Gb/s optical links. A similar analysis is done for this work. A MATLAB-based system simulator is used to characterize different equalizer topologies for equalization of a 300-m MMF cable. The output is characterized by jitter and eye opening. A suitable figure-of-merit for the equalizer can be defined as in (1) as follows, where a good equalizer would try to maximize eye opening and minimize jitter: eye-opening jitter

(1)

for different equalizer topologies at a Fig. 2 shows 10-Gb/s data rate. It can be seen that it increases initially with a two-tap FFE. However, it saturates beyond four feed-forward taps (FFTs) and increases again by adding DFE FBTs. An by 37.9% equalizer with four FFT and one FBT improves compared to an equalizer with six FFTs, showing that for this channel, adding one FBT is better than adding two FFTs. Although an equalizer with four FFTs and two FBTs does by 11% over an equalizer with four FFTs and one improve FBT, the additional FBT will also increase the power consumption and circuit complexity. Furthermore, it is clear from this simulation that the most significant increase in performance comes from adding the first FBT. Therefore, an equalizer topology with four FFTs and one FBT is chosen for this study. Section III looks at the analog decision-feedback equalizer (ADFE) implementation in more detail. III. ADFE An unclocked analog approach is adopted to overcome the first feedback-loop latency challenge of traditional DFEs by recognizing the fact that a rail-to-rail digital CMOS signal is not

required for decision feedback to take place. Fig. 3 shows the block diagram of the ADFE with a four-tap FF and one-tap feedback filter. The FF taps are set to remove precursor ISI. The variable gain blocks are implemented using a Gilbert cell topology and can provide bipolar gain of 1 to 1 [4]. The gain – . of the taps can be tuned using the control voltages The variable delay cells are implemented using active differential pairs with resistive loads. The product of the resistor and transistor and parasitic capacitances determines the signal delay. The delay cells can also be tuned depending on system requireprovides a degree of control ments [4]. The control voltage to achieve the optimum delay value for equalization. The design of the linear filter building blocks has been described in a previous publication [4]. block, ALA, and The feedback portion consists of a block has been described in LLC cell. The operation of the [10]. The ALA needs to amplify the small input signal from the FF to a signal large enough to control the LLC block and the output buffer (OB). The design of the ALA has been described in [10]. Furthermore, the latency through the ALA can vary over process, voltage, and temperature and change from the design goal. If the latency of the first feedback loop is not exactly 100 ps for a 10-Gb/s signal, accurate cancellation of the first post-cursor does not occur. Therefore, there needs to be external control over the feedback loop latency to ensure accurate latency. The LLC performs this function. A. LLC Block The design of the LLC is described in [10]. It features a tunable analog delay cell where variable signal delay is obtained using a voltage-controlled current-steering scheme. Fig. 4 shows the simulated transient performance of the LLC as the control voltage is varied over its operating range. The output voltage of the LLC has sufficient swing to control the tap block. current switches in the B. CML OB A CML OB is used to improve the SNR at the output of the ALA and drive a 50- load. The signal quality can be determined by looking at the eye diagram of the signal. The eye-diis defined in (2) as follows: agram quality factor (2) and are shown in Fig. 5. The CML OB consists of identical cascading cells of differential pairs with resistive loads, as

CHANDRAMOULI et al.: 10-Gb/s OPTICAL FIBER TRANSMISSION USING FULLY ANALOG EDC WITH UNCLOCKED DECISION-FEEDBACK EQUALIZATION

2743

Fig. 4. LLC simulated transient performance.

Fig. 5. CML OB.

shown in Fig. 5. The small-signal gain of each cell is given by (3) as follows:

(3) However, at a certain input voltage swing, the differential pair behaves in a CML fashion and performs complete current switching. The minimum differential voltage at the input needed , as to completely switch the tail current to one side is given in (4) as follows [12]:

(4)

When the input voltage swing exceeds , all the is steered to one side and the output swing is given by follows:

as

Fig. 6. (a) SDC schematic. (b) SDC frequency response. (c) SDC phase response.

(5)

Increasing decreases the eyelid thickness . This improves the SNR and BER.

of one cell of The CML OB is so design that the successive cell. The CML OB ensures that all transitions at its input are driven to the same maximum output voltage swing , as described in (2). at the output, thereby increasing the

C. Single-Differential Converter (SDC) A broadband SDC is designed to drive the differential ADFE with the single-ended output of the photodetector [13]. The goal of the SDC is to have adequate bandwidth for 10-Gb/s

2744

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 8. (a) 10-Gb/s after 100-m MMF and (b) after 300-m MMF [10]. Fig. 7. ADFE die photograph [10].

throughput and minimize the gain and phase errors between the differential outputs. Fig. 6(a) shows a schematic of the SDC and a small-signal model of the half-circuit. The gain at can be defined as in (8). Equation (7) assumes , . By adjusting the value of , , and , can be set to 1 to generate a signal with the same magnitude and opposite phase as the input signal. The gain at is given by (9), assuming the output node and . A replica bias circuit is used to set the bias voltages for the input and cascode transistors. The SDC has a 10.55-GHz 3-dB bandwidth. Fig. 6(b) and (c) shows the gain and phase response of the differential SDC outputs. The gain and phase error between the differential outputs over a 15-GHz bandwidth is less than 1.9 dB and 35 , respectively,

(6) (7)

(8)

(9)

Fig. 9. 10 Gb/s after 300-m MMF and ADFE with: (a) I on [10].

off and (b) I

A. MMF Dispersion Compensation The ADFE is used to extend the transmission distance of MMF at 10 Gb/s to 300 m. A pattern generator synthesizes a 10-Gb/s pseudorandom bit sequence (PRBS) signal that directly modulates an 850-nm New Focus vertical cavity surface emitting laser (VCSEL). The light from the VCSEL is launched into the 300-m MMF cable. The signal is received using a New Focus photo detector. Fig. 8 shows the signal after 100- and 300-m MMF. Modal dispersion causes the eye diagram after 300 m to close. Fig. 9(a) shows the signal after 300 m applied to the ADFE with the FBT current turned off. This measurement shows the effect of linear equalization only. Fig. 9(b) shows the eye diagram after the ADFE with the FBT current turned on. This shows the effect of linear equalization and nonlinear analog decision-feedback equalization. There is significant improvement in the eye opening with the FBT current turned on, proving that decision-feedback equalization provides improved performance over linear equalization and also the feasibility of the novel ADFE. B. SMF Dispersion Compensation

IV. RESULTS The ADFE is fabricated in TSMC’s 0.18- m mixed-mode CMOS process. The chip with pads, as shown in Fig. 7, occupies 1.04 mm and draws 240-mA dc current from a 1.8-V supply. – control the FF taps. controls the FF delay cells. controls the LLC cell. controls the FBT current. and are the differential signal inputs to the ADFE. and are the differential outputs. The ADFE is used to extend the transmission distance of MMF and SMF at 10 Gb/s.

The ADFE is used to extend the transmission distance of SMF at 10 Gb/s. A 10-Gb/s point-to-point optical link is simulated using OptiSystem, a commercial optical systems simulation tool. Fiber dispersion is set to 17 ps/km/nm and the total fiber length is varied between 80–140 km, resulting in total CD between 1360–2380 ps/nm. Higher order dispersion, PMD, and fiber nonlinearities are not included in the simulation. The wavelength used is 1550 nm and the input data stream is ITU-T compliant PRBS data. Laser noise contributions are not included in the simulation. The modulator is modeled as a zero-chirp external LiNbO modulator with an extinction ration of 30 dB.

CHANDRAMOULI et al.: 10-Gb/s OPTICAL FIBER TRANSMISSION USING FULLY ANALOG EDC WITH UNCLOCKED DECISION-FEEDBACK EQUALIZATION

2745

TABLE I COMPARISON WITH PUBLISHED WORK

Fig. 10. 10-Gb/s PRBS after: (a) 80-, (b) 100-, (c) 120-, and (d) 140-km SMF, respectively.

V. CONCLUSION This study has demonstrated 10-Gb/s optical fiber dispersion compensation using a fully analog EDC with unclocked decision-feedback equalization. The circuit has been implemented in a standard 0.18- m CMOS process and draws 240-mA dc current. The EDC is used to compensate for modal dispersion in MMF and CD in SMF and successfully extends the transmission distance of MMF to 300 m and of SMF to 120 km at 10 Gb/s. To the authors’ knowledge, this study is the first demonstration of a 10-Gb/s EDC for MMF and SMF optical links utilizing decision-feedback equalization in a 0.18- m CMOS process.

Fig. 11. (a) 10 Gb/s after 120-km SMF and (b) after ADFE.

REFERENCES An attenuator and amplifier in the optical path set the optical singal-to-noise ratio (OSNR) to 18 dB at the fiber output. The receiver consists of a photodiode with 1 A/W responsivity, infiW Hz followed by nite bandwidth, and thermal noise of 10 a fifth-order electrical low-pass Bessel filter with a bandwidth that is 3/4 the bit rate. Fig. 10(a)–(d) shows the eye diagram of a 10-Gb/s signal after 80, 100, 120, and 140 km of SMF. The dotted lines indicate the eye center or threshold. The eye diagram shows asymmetric noise variance on the 1’s and 0’s. CD effects and amplifier noise result in eye closure at 120 km. The signal after 120 km is applied to the ADFE. Fig. 11(b) shows the clean eye diagram at the output of the ADFE when the FBT curis turned on. Furthermore, the bit errors at the output rent of the ADFE are monitored by comparing to the input data 10 ) stream. The error rate improves from 0.046 ( BER 10 ) when the when the FBT is turned off to 0 ( BER FBT is turned on. Once again, this validates the feasibility of the analog decision-feedback topology and circuit architecture at 10 Gb/s.

C. Comparison With Literature Table I shows a comparison of current state-of-the art DFEs in literature with this study. The only other 10-Gb/s DFE is implemented in 90-nm CMOS using speculation on the first tap. This work enables 10-Gb/s decision-feedback equalization in a standard 0.18- m CMOS process, enabling a lower cost solution. Furthermore, the unclocked technique can be extended to 90-nm CMOS to achieve higher data rates.

[1] C. Babla, “Addressing challenges in serial 10 Gb/s multimode fiber enterprise networks,” IEEE Commun. Mag., vol. 43, no. 2, pp. S22–S28, Feb. 2005. [2] A. Dhillon, C. Diminico, and A. Woodfin, Optical fiber and 10 gigabit Ethernet. ver. 2, 10 Gigabit Ethernet Alliance, Newport Beach, CA, May 2002. [3] R. Khosla, K. Kumar, K. M. Patel, C. Pelard, and S. E. Ralph, “Equalization of 10GbE multimode fiber links,” in Proc. 16th IEEE LEOS Annu. Meeting, Oct. 2003, vol. 1, pp. 169–170. [4] F. Bien, H. Kim, Y. Hur, M. Maeng, J. Cha, S. Chandramouli, E. Gebara, and J. Laskar, “A 10-Gb/s reconfigurable CMOS equalizer employing a transition detector-based output monitoring technique for band-limited serial links,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4538–4547, Dec. 2006. [5] M. E. Austin, “Decision-feedback equalization for digital communication over dispersive channels,” Res. Lab. Electron., MIT, Cambridge, MA, Tech. Rep. 461, Aug. 1967. [6] N. P. Sands et al., “A 200 Mb/s analog DFE read channel,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Jan. 1996, pp. 72–73. [7] T. Beukema et al., “A 6.4-Gb/s CMOS SerDes core with feed-forward and decision-feedback equalization,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2633–2645, Dec. 2005. [8] P. M. Watts et al., “Performance of single-mode fiber links using electronic feed-forward and decision-feedback equalizers,” IEEE Photon. Technol. Lett., vol. 17, no. 10, pp. 2206–2008, Oct. 2005. [9] M. Meghelli et al., “A 10-Gb/s 5-tap-DFE/4-tap-FFE transceiver in 90 nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig., Jan. 2006, pp. 80–81. [10] S. Chandramouli, F. Bien, H. Kim, E. Gebara, C. Scholz, and J. Laskar, “A novel analog decision-feedback equalizer for 10-Gb/s multi-mode fiber dispersion compensation,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 203–206. [11] J. Sewter and A. C. Carusone, “A comparison of equalizers for compensating polarization-mode dispersion in 40-Gb/s optical systems,” in Proc. IEEE Int. Circuits Syst. Conf., May 2005, vol. 2, pp. 1521–1524. [12] M. M. Green and U. Singh, “Design of CMOS CML circuits for highspeed broadband communications,” in Proc. Int. Circuits Syst. Symp., May 2003, vol. 2, pp. 204–207. [13] R. Gharpurey, “A broadband low-noise front-end amplifier for ultra wideband in 0.13-m CMOS,” IEEE J. Solid-State Circuits, vol. 40, no. 9, pp. 1983–1986, Sep. 2005.

2746

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

[14] R. Payne et al., “A 6.25-Gb/s binary transceiver in 0.13-m CMOS for serial data transmission across high-loss legacy backplane channels,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2646–2657, Dec. 2005. [15] K. Krishna et al., “A multigigabit backplane transceiver core in 0.13-m CMOS with a power-efficient equalization architecture,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2658–2666, Dec. 2005. [16] V. Balan et al., “A 4.8–6.4-Gb/s serial link for backplane applications using decision-feedback equalization,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 1957–1967, Dec. 2005.

Soumya Chandramouli (S’00) was born in Bangalore, India. She received the B.S. degree in electrical and computer engineering from Lafayette College, Easton, PA, in 2002, the M.S. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2004, and is currently working toward the Ph.D. degree at the Georgia Institute of Technology. From May to December 2005, she was an Analog Circuit Design Intern with National Semiconductor, Norcross, GA. Her research concerns the area of multigigabit/s equalizer circuits in CMOS.

Franklin Bien (S’04–M’07) received the B.S. degree from Yonsei University, Seoul, Korea, in 1997, and the M.S. and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2000 and 2006, respectively. From 2000 to 2002, he was an Analog IC Design Engineer with Agilent Technologies, where he developed transceiver integrated circuits for enterprise segments. From 2003 to 2004, he was a Senior Design Engineer with Quellan Inc., where he developed integrated circuits that improve the speed and reach of communication channels in consumer, broadcast, enterprise, and computing markets. His research interests have included signal integrity improvement with alternate modulation schemes, crosstalk noise cancellation, and equalization techniques for 10 Gb/s broadband communication applications. He is currently a Senior Integrated Circuit Design Engineer with Staccato Communications, San Diego, CA, where he is involved with analog/mixed-signal integrated circuits for ultra-wideband (UWB) products.

+

Hyoungsoo Kim (S’04) received the B.S. degree in electrical engineering from the Yonsei University, Seoul, Korea, in 2000, the M.S. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2004, and is currently working toward the Ph.D. degree at the Georgia Institute of Technology. His research interests include clock and data recovery and equalization for pulse amplitude modulation (PAM) signal and mixed-circuit design.

Chris Scholz (M’97) received the B.S. degree from Ruhr University Bochum, Bochum, Germany, in 1986, the M.S. degree in mechanical engineering from the Technical University of Carolo Wilhelmina, Braunschweig, Germany, in 1988, and the M.S. degree in aerospace engineering and Ph.D. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 1992 and 1999, respectively. He has held research faculty positions with the University of California at Santa Barbara and the Center for High Technology Materials, University of New Mexico, Albuquerque. While with IntelCapital, Santa Clara, CA, he conducted

due diligence on 50 national and international startups in optical component and communication space. While with the Intel Corporation, San Jose, CA, he managed a product development team that manufactured innovative silicon-based integrated electrooptic components. He is currently a member of the research faculty with the Georgia Electronic Design Center, Georgia Institute of Technology. His research interests include nanophotonic devices, nonlinear optical signal processing, electrooptic co-design and millimeter-wave (MMW) sensors for prognosis and health monitoring of airframe structures.

Edward Gebara (M’03) received the B.S. (highest honor), M.S., and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 1996, 1999, and 2003, respectively. He is currently a full-time employee with Quellan Inc., Santa Clara, CA, which develops new technology for next-generation advanced interconnects. He is also a Research Engineer with the Georgia Institute of Technology, where he leads the Mixed Signal Team research efforts. The team research interest is to develop the foundation for alternate modulation schemes (quadrature amplitude modulation (QAM),optical subcarrier multiplexing (OSCM), etc.) and equalization techniques applied to monolithic microwave integrated circuit (MMIC) designs for data and enterprise applications. His research has resulted in over 40 publications.

Joy Laskar (S’84–M’85–SM’02–F’05) received the B.S. degree in computer engineering with math/physics minors (with highest honors) from Clemson University, Clemson, SC, in 1985, and the M.S. and Ph.D. degrees in electrical engineering from the University of Illinois at Urbana-Champaign, in 1989 and 1991, respectively. Prior to joining the Georgia Institute of Technology in 1995, he held faculty positions with the University of Illinois at Urbana-Champaign and the University of Hawaii. With the Georgia Institute of Technology, he holds the Joseph M. Pettit Professorship of Electronics and is currently the Chair for the Electronic Design and Applications Technical Interest Group. He is also the Director of the Electronic Design Center, Georgia Institute of Technology, and the System Research Leader for the National Science Foundation (NSF) Packaging Research Center. He heads a research group of 25 members with a focus on integration of high-frequency mixed-signal electronics for next-generation wireless and wired systems. He has authored or coauthored over 200 papers, several book chapters (including three textbooks in development), and numerous invited talks. He has over 20 patents pending. Most recently, his research has resulted in the formation of two companies. In 1998, he cofounded the advanced wireless local area network (WLAN) integrated circuit (IC) company RF Solutions, which is now part of Anadigics (Nasdaq: Anad). In 2001, he cofounded the next-generation analog CMOS integrated circuit company Quellan Inc., which develops collaborative signal-processing solutions for the enterprise, video, storage, and wireless markets. Prof. Laskar was an IEEE Distinguished Microwave Lecturer for the 2004–2006 term for his “Recent Advances in High Performance Communication Modules and Circuits” seminar. He was the recipient of the 1995 Army Research Office Young Investigator Award, the recipient of the 1996 National Science Foundation (NSF) CAREER Award, the NSF Packaging Research Center Faculty of the Year 1997, the corecipient of the 1999 IEEE Rappaport Award (Best IEEE Electron Devices Society journal Paper), the faculty advisor for the 2000 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Best Student Paper Award, the 2001 Georgia Institute of Technology Faculty Graduate Student Mentor of the year, the recipient of the 2002 IBM Faculty Award, the recipient of the 2003 Clemson University College of Engineering Outstanding Young Alumni Award, and the recipient of the 2003 Outstanding Young Engineer of the IEEE MTT-S.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2747

Design of a Power-Amplifier Feed-Forward RF Model With Physical Knowledge Considerations Telmo R. Cunha, Member, IEEE, José C. Pedro, Fellow, IEEE, and Pedro M. Cabral, Member, IEEE

Abstract—In this paper, the design of a general feed-forward topology for modeling RF power amplifiers (PAs) is presented. It consists of producing the best nonlinear nonrecursive approximator of a feedback model which traduces the physical characteristics of general PAs. Following the procedure presented in this paper, the feed-forward structure, which has a polynomial form with memory (Volterra series), can be exactly determined up to the desired order of approximation. Evidently, increasing the order of the feed-forward topology results in a more complex structure, with more laborious model extraction procedures. This paper presents in detail the structures of the general nonrecursive model up to the fifth-order kernel. A systematic extraction procedure, based on standard RF laboratory continuous wave and two-tone tests, is proposed under the assumption that the PA linear output does not vary within the considered fundamental frequency band (flat behavior). Model validation is demonstrated by means of ADS simulation data and from real data measurements. Index Terms—Feed-forward systems, modeling, nonlinear systems, power amplifiers (PAs), Volterra series.

I. INTRODUCTION MODEL that reproduces the behavior of a power amplifier (PA) must possess a set of characteristics in order to make it interesting from a practical point of view. First of all, it is evident that its prediction ability must be as good as possible, and the range of the conditions for which that ability is kept in desired levels must be high. Second, the concept of behavioral modeling implies the creation of a mathematical model of the input–output behavior of the system under study, from which a software version is implemented and tested (i.e., simulated), avoiding long duration tests over the real system. This means that the system-level model must be designed considering implementation aspects, namely, its integration within commercial simulation software packages (in this case, nonlinear circuit simulators). Since the majority of these applications perform a real signal time-domain analysis, the PA model should receive as input the real RF modulated signals and as output its amplified versions. Models that only

A

Manuscript received April 26, 2007; revised September 14, 2007. This work was supported in part by the Institute of Telecommunications (IT) and the Portuguese National Science Foundation (FCT) under the Project ModEx and by the European Commission under the Network of Excellence TARGET. The authors are with the Instituto de Telecomunicações, Universidade de Aveiro, 3810-193 Aveiro, Portugal (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909873

consider the treatment of the input and output low-pass equivalent complex envelope (i.e., the low-pass equivalent models) do not possess this practical characteristic. Indeed, the vast majority of behavioral models proposed for PAs only address the low-pass equivalent envelope input–output behavior [1]. There are, however, a few other models that try to address the true PA RF behavior. Unfortunately, most of them propose mathematical representations not only for input–output behavior but also for the voltage–current characteristics of the access ports, which rends them an enormous complexity [2]–[6]. In this study, and as was followed in [7], we decided to concentrate our modeling efforts optimizing the compromise between complexity and easiness of extraction of the PA RF input–output behavior. This will be detailed in Sections II–IV. For the particular case of PA behavior, it is widely known that memory-effect phenomena appear at two very distinct time-scales—the so called short- and long-term memory effects. The PA model must reproduce these effects, which can lead to simulation inefficiency if careful model construction is not followed. Since the two time-scales are very distinct, the number of model parameters will be tremendous if the model topology cannot treat separately these two phenomena, as shown in [8] and [9]. In fact, the time-domain canonic topology of both general polynomial models with memory (Volterra series) and artificial neural networks (ANNs), i.e., a one-to-many ladder of time delays followed by a many-to-one memoryless nonlinear operator, cannot separate these short- and long-term memory-effect phenomena [1], [10]. It is the isolation of these two distinct effects that can make the difference between a realizable and efficient model and a model that cannot, in practice, be either extracted or simulated. Still referring to practical implementation aspects, the nature of the topology plays a determinant role. Even though the deduction of some PA models has led to feedback topologies, such as that presented in [11], it is the nonrecursive topologies that are preferred for simulation purposes. This is mainly because the stability of nonlinear feedback structures is difficult to guarantee, and so are the extraction procedures associated with such configurations. Feed-forward topologies, on the other hand, are easily analyzed in terms of stability and may lead to simpler extraction procedures (which usually simplify the requirements over the laboratory measurement setups). This paper presents a PA behavioral model that obeys the main requirements of practical implementation and simulation, keeping a high-accuracy prediction level within the range of parameter validity. This model consists of a nonrecursive topology that is theoretically derived from a feedback structure which, in turn, was deduced from the analysis of PA physical behavior

0018-9480/$25.00 © 2007 IEEE

2748

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 1. Transistor model derived from physical behavior [11].

[11]. It processes real RF modulated signals and not their complex envelopes, performing a separate treatment of the elements that produce short- and long-term memory effects. Extending the material presented in [9], this paper begins with the presentation of a rigorous analytical procedure from which the nonrecursive block diagram, representing the Volterra kernels of the PA feedback structure, can be derived. Emphasis is given to the model truncation up to the fifth-order kernel, with incorporation of PA physical behavioral knowledge. From this, a systematic extraction procedure is given, based only on simple continuous wave (CW) and two-tone tests. It is important to notice that this model follows an approximation in Taylor series sense instead of a local best approximation1 (as did the third-order model presented in [9]). This makes the model valid over a much wider input power range. Validation tests are presented at the end of this paper, using data from simulation with Agilent Technologies’ Advanced Design System (ADS) software and from real laboratory measurements.

Fig. 2. Feed-forward PA model up to the third-order kernel.

(3) For each of these kernel expressions, a feed-forward topology was designed so that it produced the exact same kernel expression. Fig. 2 shows the resulting nonrecursive topology, considering the contributions up to the third order. The fourth-order kernel expression is shown as

II. DEDUCTION OF THE PA FEED-FORWARD MODEL The work presented in [11] analyzes the physical behavior of a transistor working with a load and its input- and outputmatching networks. In it, it is demonstrated that the active device behavior can be modeled by a recursive model where a memoryless polynomial is subjected to a linear memory feedback, as illustrated in Fig. 1. As is shown from nonlinear system theory, the best approximation of a nonlinear system up to a certain order is that resulting from the truncation of its Volterra series up to the kernel of that same order. Thus, to build the feed-forward model topology that best approximates that of Fig. 1, the Volterra kernel expressions were completely determined for the latter (up to the fifth order). The following equations show the resulting expressions for the first three kernels, where : (1) (2) 1In mathematical terms, an approximation in Taylor series sense has the objective of minimizing the error in the vicinity of a given point. Such error typically grows as the independent variable moves away from the fixed point. The local best approximator approach aims at the minimization of the error, in the mean-squares sense, within a certain interval of the independent variable. In this case, the resulting approximation is highly dependent on the probability density function (pdf) shown by the independent variable, and the error increases as the pdf deviates from the one used to extract the model. More detail on this subject can be found in [12].

(4) and its corresponding nonrecursive block diagram is presented in Fig. 3.

CUNHA et al.: DESIGN OF PA FEED-FORWARD RF MODEL WITH PHYSICAL KNOWLEDGE CONSIDERATIONS

Fig. 3. Feed-forward block for the fourth-order kernel.

Fig. 4 shows the feed-forward structure of the fifth-order follows a simkernel. The expression of ilar structure of those of and , but with increased complexity, and can be directly derived from the block diagram of Fig. 4. The complete feed-forward system model, up to order , would then be the parallel to the block diagrams of all the kernels up to order . Looking closer to the topology of these kernels, it is seen that they are formed by the same input and output filters (which are ) and, in between, by the parboth equal to the inverse of allel of all of the branches that produce all possible combinations of the input signal which generate terms of order equal to that of the kernel. Some of the inner combinations pass through which is common to all a linear filter are of the kernels. Evidently, higher frequency bands of not excited by lower order kernels (in fact, the kernel of order excites up to the th harmonic). Each branch contribution is properly weighted, prior to be combined, to form the overall kernel output. If no restriction was imposed to the input and output of the model of Fig. 1 (and, consequently, to the respective feed-forward versions), a small-signal CW test would suffice to extract linear filter from the first-order kernel (although afthe fected by the factor ), whose dynamics are directly related to , the filter that composes . Since all of the branches of the model have their own multiplicative factors, it is not relevant factor is affecting the extraction of since it that the is, in fact, redundant. The remaining coefficients of the polynomial of Fig. 1 (scaled by the arbitrated ) can be, afterwards, obtained through the analysis of the harmonic components that appear at the output when the power of the CW input signal is continuously increased, that is, the complete extraction of the model (truncated to a certain order) would be easily performed by CW tests, in these conditions. This paper will not analyze the unrestricted model presented in the above paragraph, but will concentrate on the more complex case of a PA circuit. In fact, it is due to system observability

2749

and controllability2 restrictions, imposed by the PA output- and input-matching networks, respectively, that the complete model up to any desired order cannot be extracted with a simple CW input. In PA behavioral modeling, the output must be considered as band-limited. Thus, part of the internal contributions cannot be distinctly observed at the output (i.e., the information contained in the out-of-band spectra). This demands the use of more complex input excitations so that such information is reflected at the fundamental band. On the other hand, if part of the system behavior is not observed at its output, then, from the behavioral modeling point of view, such behavior is irrelevant and does not need to be modeled. Also, the restrictions imposed on the input frequency band introduce limitations to the model extraction procedures. Other PA physical properties that are not specifically considered in the feedback model of [11] can be further translated into information that, when identified with the blocks of the feed-forward kernels, can significantly simplify their structure. The following sections present such model simplifications based on physical behavior assumptions, followed by the respective extraction procedure of the PA feed-forward model expanded up to the fifth-order kernel. III. SIMPLIFICATION OF THE FEED-FORWARD DIAGRAM BLOCKS BASED ON PA PHYSICAL BEHAVIOR ASSUMPTIONS It is evident that the first simplification applied to the feedforward model structure, for modeling a PA with a band-limited output, is to remove the even-order kernel blocks, which only produce out-of-band components. Further significant simplifications can be obtained if it is assumed that the PA is operated under narrowband excitations only, that is, the input signal bandwidth is very small when compared with the bandwidth presented by the PA. This assumption is valid for many applications and implies that the PA behaves like a flat linear filter (i.e., constant gain and linear phase) under small-signal excitation. A similar assumption was considered in [13]. This means that, at the fundamental frequency band, the is also flat (since both input- and output-matching filter and networks are, evidently, flat). Consequently, must be flat at the fundamental band. The linear phase of such flat behavior is, in fact, the time delay imposed by the PA, which can be extracted (together with the PA linear gain) with a simple small-signal CW test. In the diverse tests carried out within the scope of this study, it was observed that the PA delay was mainly due to the input- and [and, output-matching networks. So, the influence of ] is that of a simple real gain. consequently of As mentioned in [9] and evidenced by the third-order block is responsible for moddiagram in Fig. 2, the baseband of eling the long-term memory effects of the PA while its secondharmonic band makes possible the simulation of intermodulation distortion (IMD) asymmetry. This is where long- and short-term memory effects are separated in this RF model. Thus, must be extracted for these two frequency bands. 2In control theory, observability is the ability to observe all or part of the system dynamic through the system output. Controllability is the ability to control all or part of the system dynamics through the use of input signals.

2750

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 4. Feed-forward block for the fifth-order kernel.

With long-term memory and IMD asymmetry accounted for in the model, it is reasonable to assume that may also have a flat behavior at the third-harmonic frequency band. Considering these physical behavior-based assumptions, and realizing from Fig. 4 that the fifth-order output behavior will higher than that of the not depend on frequency bands of third harmonic, the PA nonrecursive model truncated to fifth order (presented in Figs. 2–4) can be significantly simplified into that of Fig. 5. Notice that, for simplicity, the time-delay input

block (modeling the overall delay imposed by the PA) was not included in Fig. 5. was replaced by As explained in [9], the linear filter in Fig. 5 in order to eliminate parameter redundancy filter from the model. In this case, the memoryless combination of the third-order kernel, multiplied by in Fig. 2, was integrated into the third-order branch immediately below the former branch. This is equivalent, in the frequency domain, to add a constant to at all frequencies, resulting in a new filter now referred to

CUNHA et al.: DESIGN OF PA FEED-FORWARD RF MODEL WITH PHYSICAL KNOWLEDGE CONSIDERATIONS

Fig. 5. Simplified feed-forward model truncated up to the fifth-order kernel.

as , which also incorporates in its gain the multiplicative . coefficient , , in Fig. 5 are real-valued The parameters coefficients that accumulate all multiplying factors along their respective parallel branches. It is worth mentioning that it can by its scaled verbe easily proved that the substitution of ) does not change the model sion plus an offset (i.e., by topology of the fifth-order kernel, as this is only reflected in a set of different multiplicative coefficients for the parallel branches ). ( , It is curious to notice the similarities between the topology of Fig. 5, viewed only up to the third-order kernel, and those presented in [7] and [14], which are based mainly on empirical observations. The impact of this model simplification, based only on the asis a flat filter at fundamental and third-harsumption that monic bands, can be further observed through the representation of the general time-domain Volterra kernels, where their nonzero domain is reduced to the main diagonals of the kernel supporting planes (instead of the full -dimensional space) [8]. IV. DETERMINATION OF THE SIMPLIFIED MODEL PARAMETERS The simplification of the fifth-order kernel model presented in Fig. 5 did not follow exactly the same procedure as the one

2751

applied to the third-order kernel. More specifically, the memoryless branch of the fifth-order block was not integrated into other branches as was the third-order memoryless branch. This was done in order to simplify the parameter extraction procedure, as will be shown. The material presented in [9] demonstrated an extraction procedure of this model when truncated to third order. In short, it used a small-signal CW test to determine PA time delay and the gain of the linear block [which also accounts for the effects on the gain of the input- and output-matching networks ], and, from a two-tone test, the baseband and that of and second-harmonic frequency bands of are retrieved. This extraction procedure produced, for a certain input power level, the best third-order local approximator of the PA behavior. Thus, the determined parameters are dependent on the excitation power level since a local polynomial approximation was considered. Under small-signal conditions, it is generally recognized as valid the fact that no other PA effects rather than the linear ones are influent on the output. Gradually increasing the input power level will increase the components produced at higher order combinations, starting with those of third order, followed by the fifth-order terms and so on. So, a point in input power can be found where the third-order contribution is already sensed at the output, but the fifth-order terms are still very low. At that point, following the procedure referred to in [9], the baseband can be determined. and second-harmonic band of After that, only the fifth-order kernel parameters are left to be determined. is always the same As mentioned in Section II, the filter filter for all of the kernel orders and kernel branches. It can in the model be proved that the same is true for filter of Fig. 5. Moreover, on the fifth-order branches of Fig. 5, the is only excited at its baseband and second-harmonic filter band, when considering only the output components at the fundamental frequency band (notice that the terms passing through will not contribute to in-band the fourth-harmonic band of output components). in Thus, from the results of the third-order kernel, filter the fifth-order kernel is already known, leaving the remaining , to be determined. This is six real parameters , the reason why the memoryless branch of the fifth-order kernel was not integrated into other branches. Otherwise, the filter at that kernel would be different from that of the third-order kernel (and even the filters of the fifth-order branches would be different between them and would have to be separately extracted). , , coefficients can be determined from The a linear least squares estimation problem, where the equations are formed by the IM5 distortion components of a two-tone test performed at an input power level where the fifth-order contribution is now present at the PA output (but higher order terms have still a reduced contribution). The following equation shows the expression of one of the two IM5 output components, from which only the six multiplicative coefficients are unknown:

2752

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

(5) and , with The input is a two-tone signal at frequencies an amplitude of and for each tone. is the complex conjugate of . Following this parameter extraction procedure, the obtained fifth-order model of Fig. 5 is no longer a local polynomial approximator for a certain input power level, but a polynomial approximator in Taylor series sense, that is, it is a valid model for a range of input power levels, from zero to a certain level, limited by the influence of higher order contributions to the output signal. V. VALIDATION RESULTS OF THE EXTRACTED MODEL Two model validation tests are presented in this paper, the first comparing the results obtained with a PA simulation using the ADS software, and the second based on laboratory measurements from a real PA device. A. Validation With ADS Simulation Using the simulation software ADS, a PA circuit was designed and implemented at a central frequency of 900 MHz, with input- and output-matching networks. It shows a behavior that included long-term memory effects and IMD asymmetry, which will be evidenced in the following paragraphs. CW and two-tone tests were performed at eight different input power levels ( 10, 0, 10, 12, 13, 14, 15, and 18 dBm), and the respective output signals were stored. Also, for every input power tested, a WCDMA signal (with a bandwidth of about 4 MHz) was input to the PA, and its response was recorded. The idea was to determine the model parameters according to the extraction procedures described in [9] and in Section IV of this paper and to validate the obtained model with a signal that was: 1) very different from the signals used in the extraction procedure and 2) a common signal in the telecommunications field. WCDMA was our choice because the pdf of its amplitude is much different from that of a two-tone signal and its high peak-to-average ratio (PAR) enables the observation of the model behavior when the instantaneous input power crosses the limit level considered in the extraction procedure. Fig. 6 shows the PA dynamic gain (in dB) as a function of the input instantaneous power (in dBm), obtained with the dBm. As described in [15], the WCDMA data with shaping of this curve reflects the presence of both linear and nonlinear memory.

Fig. 6. PA dynamic gain with instantaneous input power (WCDMA input signal at 18 dBm was used to obtain this plot).

Performing, in the ADS software, the two-tone test described in [9], the obtained third-order products (IM3) were stored and are displayed in Fig. 7. The tone whose frequency is kept con, and is that of the stant (at 900 MHz) is denominated tone which is swept. The shaping of the IM3 products, in Fig. 7, reveals the presence of significant long-term memory effects. Also relevant is the asymmetry that both IM3 components show . This evidences the importance of the secondaround in modeling the PA behavior. harmonic band of From the recorded signals, different models were extracted so that a comparison of their results could be presented. The first one is simply the linear model obtained under small-signal input power (this model is identified as LIN_SS). Second, it is considered the third-order model extracted (following the analysis of [9]) at the input power level (10 dBm) where third-order components begin to appear at the output, but higher order contributions are still not significant (this is 3RD_T). Using this thirdorder model and the data from higher input power levels, the fifth-order model of Fig. 5 was retrieved, according to the procedure described in Section IV (this is identified as the 5TH_T model). For comparison purposes, eight best local approximators of third order (the model described in [9]) were also obtained, one for each of the eight tested input powers (the 3RD_L models). Finally, another set of eight simple fifth-order memoryless polynomial models were obtained just to reveal the significance of the modeling of PA memory (these are the POLY models). These were extracted by the best least-squares approximation between the WCDMA output, at each of the input power levels, and the estimated output by means of a fifth-order polynomial applied to the input envelope. filter In what concerns models 3RD_T and 5TH_T, the extracted for the input power of 10 dBm is depicted (in amplitude and phase) in Figs. 8 and 9. The shaping of the baseband of (Fig. 8), named indicates the long-term memory effects present in the PA. Fig. 9 displays the second-harmonic , , which incorporates the asymmetric beband of havior observed in Fig. 7. Fig. 10 presents part of the time-domain complex envelope obtained with the enumerated models when a WCDMA input

CUNHA et al.: DESIGN OF PA FEED-FORWARD RF MODEL WITH PHYSICAL KNOWLEDGE CONSIDERATIONS

Fig. 9. Second-harmonic band of the extracted G(! ) for P

2753

= 10 dBm.

Fig. 7. Variation of the third-order products (IM3) obtained from a two-tone test: (a) in amplitude and (b) phase.

Fig. 8. Baseband of the extracted filter G(! ) for P

= 10 dBm.

signal is applied to the PA, with a power level of 13 dBm. As is notorious, the output estimation from the LIN_SS model does not account for gain compression, and the output produced by 3RD_T underestimates the PA gain when the input amplitude is filter was determined with data at a lower high (since its input power level). A good matching is obtained with the model

Fig. 10. Slice of: (a) the real and (b) imaginary parts of the output WCDMA complex envelope obtained with five models (P = 13 dBm).

of Fig. 5 (5TH_T model), and so is that of the 3RD_L model, even though the former achieves better results. Fig. 11 depicts the comparison between the complex envelope spectrum of the output in response to the WCDMA signal at 13 dBm and that obtained using the 5TH_T model. A good matching is obtained even in the alternate channel.

2754

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 13. Real PA used for model validation with laboratory measurements.

Fig. 11. Spectrum of the output WCDMA envelope obtained with the model of Fig. 5 and compared with the ADS data (P = 13 dBm).

Fig. 12. NMSE figures obtained with the five considered models.

Fig. 12 shows the obtained normalized mean-square error (NMSE, as defined in [16]) figures obtained with the WCDMA signals for the eight input power levels considered. This figure reveals the good results obtained with the model presented in this paper (5TH_T). It is important to emphasize that Fig. 12 shows the results of a worst case comparison since the model of Fig. 5 (i.e., 5TH_T), which is an approximation in a Taylor-series sense, is compared with models whose parameters are tuned/extracted for every input power level (local approximation models 3RD_L and POLY). In fact, eight POLY and eight 3RD_L models are used in this comparison (since eight input power levels were considered) against the 5TH_T model whose parameters are maintained throughout the input power sweep. This explains why the POLY model presents a better NMSE figure at lower input power levels. Even so, the results provided by the model of Fig. 5 are clearly very good when compared with the remaining tested models.

Fig. 14. (a) Real and (b) imaginary parts of the complex envelopes of the measured and simulated CDMA outputs (P = 15 dBm).

B. Validation With Laboratory Measurements Measurements from a real PA device were also gathered by means of a laboratory workbench. The PA, shown in Fig. 13, is of medium power with a central frequency around 900 MHz. The CW and two-tone tests referred in Section IV and in [9] were performed, and used to extract the parameters of the model of Fig. 5. For validating the extracted model, a CDMA signal with a 2-MHz bandwidth was input to the PA, and the respective output was recorded and compared with that simulated by the model. Again, to properly evaluate the model, four input power

CUNHA et al.: DESIGN OF PA FEED-FORWARD RF MODEL WITH PHYSICAL KNOWLEDGE CONSIDERATIONS

2755

In fact, the pdf of a CW or a two-tone signal is very different from that of a CDMA. Also worth mentioning is the high PAR of the CDMA when compared with that of a CW or two-tone signal. This means that the input CDMA signal reaches values considerably higher than those of a two-tone signal for the same input power level. This is a very important aspect of the presented PA model. This means that it is actually a model of the PA general (and physical) behavior and is not restricted to any particular input signal category. VI. CONCLUSION

Fig. 15. Spectrum of the output CDMA envelope obtained with the model of Fig. 5 and compared with the measured data (P = 15 dBm).

Fig. 16. NMSE figures obtained with the four considered models.

levels were considered for the CDMA test signal (10, 12, 15, and 18 dBm). Then, measurements and simulated output signals were recorded and compared. Fig. 14 shows part of the real and imaginary parts of the time-domain complex envelopes of the measured and simulated outputs, for the CDMA test with input power level of 15 dBm. Besides the simulation results of the model of Fig. 5 (5TH_T), the outputs of models LIN_SS and 3RD_T are also presented for comparison purposes. The spectra of the output CDMA signals (from measurements and from the 5TH_T model) are depicted in Fig. 15, again for an input power of 15 dBm. In Fig. 16, the NMSE figures are shown that were obtained for the tested input power levels, for each of the LIN_SS, POLY, 3RD_T, and 5TH_T models. Again, a good performance of the model proposed in this paper is demonstrated. The prediction ability improvement of the model truncated to third order, compared with that of the first-order approach, is quite significant and is further increased when fifth-order contributions are integrated in the model. At this point, it is important to emphasize that the model extraction procedure is based on signals that are significantly different from those used for validating the model predictability.

Using a theoretical analysis to transform a feedback representation of the physical PA behavior [11] into its respective nonrecursive best approximation, this paper presents a PA behavioral model that joins good prediction ability with practical implementation characteristics. Treating RF modulated signals and not low-pass complex envelopes, this model simultaneously incorporates general properties of memory polynomial approximators and particular aspects of the PA physical behavior. Although the presented feed-forward model is a general representation of the feedback structure of Fig. 1, it was found useful to consider certain PA physical characteristics so that the parameter extraction procedure could be made simple and realizable in common RF laboratories. Thus, the model was simplified to that of Fig. 5 assuming only that the PA has a flat behavior under a small-signal CW test (and so does the third harmonic of the filter). The detailed extraction procedure and validation analysis of the model truncated up to the fifth-order kernel, shown in Fig. 5, were presented, showing its good predictive ability over a considerable range of input power levels. Considering this model as an approximation in a Taylor-series sense makes it valid over a wider input range when compared with models that are tuned locally around a certain input power level. To further increase the model input power range, thus maintaining the model output accuracy, higher order kernel blocks need to be added to the model. This can be performed using the same procedure described in Section II, with further simplification based on the physical assumptions of the PA behavior, similar to the procedure shown in Section III. This extension is, evidently, more laborious with the increase of kernel order. REFERENCES [1] J. C. Pedro and S. A. Maas, “A comparative overview of microwave and wireless power amplifier behavioral modelling approaches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1150–1163, Apr. 2005. [2] D. Weiner and G. Naditch, “A scattering variable approach to the volterra analysis of nonlinear systems,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 7, pp. 422–433, Jul. 1976. [3] F. Verbeyst and M. Vanden Bossche, “VIOMAP, the S -Parameter equivalent for weakly nonlinear RF and microwave devices,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2531–2533, Dec. 1994. [4] V. Rizzoli, A. Neri, D. Masotti, and A. Lipparini, “A new family of neural network-based bidirectional and dispersive behavioral models for nonlinear RF/microwave subsystems,” Int. J. RF Microw. Comput.Aided Eng., vol. 12, pp. 51–70, 2002. [5] J. Xu, M. Yagoub, R. Ding, and Q. J. Zhang, “Neural-based dynamic modeling of nonlinear microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2769–2780, Dec. 2002.

2756

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

[6] D. E. Root, J. Verspecht, D. Sharrit, J. Wood, and A. Cognata, “Broad-band poly-harmonic distortion (PHD) behavioral models from fast automated simulations and large-signal vectorial network measurements,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3656–3664, Nov. 2005. [7] A. Walker, M. Steer, K. Gard, and K. Gharaibeh, “Multi-slice behavioral model of RF systems and devices,” in Proc. IEEE Radio Wireless Conf., Sep. 2004, pp. 71–74. [8] T. R. Cunha and J. C. Pedro, “Short and long-term memory modelling via generic FIR filtering,” in Proc. Integrated Nonlinear Microw. Millimeter-wave Circuits Conf., Jan. 2006, pp. 146–149. [9] T. R. Cunha, J. C. Pedro, P. M. Cabral, and A. Zhu, “General nonlinear feedforward RF model for power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 2027–2030. [10] S. Boyd and L. Chua, “Fading memory and the problem of approximating nonlinear operators with volterra series,” IEEE Trans. Circuits Syst., vol. CAS-32, no. 11, pp. 1150–1161, Nov. 1985. [11] J. C. Pedro, N. B. Carvalho, and P. M. Lavrador, “Modeling nonlinear behaviour of bandpass memoryless and dynamic systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 2133–2136. [12] M. Schetzen, The Volterra and Wiener Theories of Nonlinear Systems. New York: Wiley, 1980. [13] A. Zhu, J. C. Pedro, and T. R. Cunha, “Pruning the Volterra series for behavioral modeling of power amplifiers using physical knowledge,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 813–820, May 2007. [14] C. P. Silva, A. A. Moulthrop, M. S. Muha, and C. J. Clark, “Application of polyspectral techniques to nonlinear modeling and compensation,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, May 2001, vol. 1, pp. 13–16. [15] A. Zhu, J. C. Pedro, and T. J. Brazil, “Dynamic deviation reductionbased Volterra behavioral modeling of RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [16] M. S. Muha, C. J. Clark, A. A. Moulthrop, and C. P. Silva, “Validation of power amplifier nonlinear block models,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, vol. 2, pp. 759–762.

Telmo R. Cunha (M’05) was born in Porto, Portugal, in 1973. He received the Diploma and Ph.D. degrees in electronics and computer engineering from the Universidade do Porto, Porto, Portugal, in 1996 and 2003, respectively. From 1997 to 2001, he was with the Observatório Astronómico, Universidade do Porto, where he was involved in diverse national and international research projects in the areas of satellite navigation and system integration. From 2001 to 2004, he was a Technical Director and Research Engineer with

Geonav Ltd., a private company located near Porto, Portugal. Since 2004, he has been an Invited Auxiliary Professor with the Universidade de Aveiro, Aveiro, Portugal, and a Research Engineer with the Instituto de Telecomunicações, Universidade de Aveiro. His current main research interests include behavioural modeling applied to RF and microwave devices.

José C. Pedro (S’90–M’95–SM’99–F’07) was born in Espinho, Portugal, on March 7, 1962. He received the Diploma, Ph.D., and Habilitation degrees in electronics and telecommunications engineering from the Universidade de Aveiro, Aveiro, Portugal, in 1985, 1993, and 2002, respectively. From 1985 to 1993, he was an Assistant Lecturer with the Universidade de Aveiro and became a Professor in 1993. He is currently a Professor with the Universidade de Aveiro and a Senior Research Scientist with the Instituto de Telecomunicações, Universidade de Aveiro. His main scientific interests include active device modeling and the analysis and design of various nonlinear microwave and optoelectronics circuits, in particular, the design of highly linear multicarrier power amplifiers and mixers. He coauthored Intermodulation Distortion in Microwave and Wireless Circuits (Artech House, 2003). He has authored or coauthored over 100 papers in international journals and symposia. Dr. Pedro was the recipient of the 1993 Marconi Young Scientist Award and the 2000 Institution of Electrical Engineers (IEE), U.K., Measurement Prize. He has served the IEEE within the Portuguese Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP)/Electron Device (ED) Joint Chapter and the MTT-11 Technical Committee. He has been a reviewer and associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and a reviewer for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

Pedro M. Cabral (S’04–M’07) was born in Oliveira de Azeméis, Portugal, in October 1979. He received the Electrical Engineering and Ph.D. degrees from the Universidade de Aveiro, Aveiro, Portugal, in 2002 and 2006, respectively. He is currently a Post-Doctoral Researcher with the Instituto de Telecomunicações, Universidade de Aveiro, where he lectures several laboratory classes. His main research interests include active device nonlinear modeling and design of microwave circuits. Mr. Cabral was the recipient of the Best Electrical Engineering Student Prize presented by the Universidade de Aveiro. In 2004, he was a finalist in the Student Paper Competition of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2757

Nonlinear Distortion Analysis of Polar Transmitters José Carlos Pedro, Fellow, IEEE, José Angel Garcia, Member, IEEE, and Pedro Miguel Cabral, Member, IEEE

Abstract—This paper gives a comprehensive analysis of the major nonlinear distortion generation mechanisms present in modern wireless polar transmitters. Based on a simplified description of the physical operation of voltage supply modulated switching mode power amplifiers (PAs), it derives an analytical model of both the AM–AM and AM–PM distortions usually encountered on polar transmitters excited by the standard two-tone excitation. The predictions of this model match extensive measurement and simulation results for a real PA circuit of a polar transmitter architecture. Index Terms—Nonlinear distortion, polar transmitters, power amplifiers (PAs). Fig. 1. System model of a wireless Tx with polar architecture.

I. INTRODUCTION

M

ODERN wireless data communications have been dominated by the tight compromise between spectral and supply power efficiency for a given transmission data rate. This led to complex modulation formats where amplitude and phase modulation are combined to code the source symbols. To achieve that, in-phase and quadrature (I/Q) versions of the RF carrier are simultaneously modulated with the appropriate real and imaginary components of the low-pass equivalent modulating envelope in an I/Q modulator. These two RF modulated signals are then combined to generate the desired AM and PM modulation format, which is then processed by a supposedly linear RF power amplifier (PA). This transmitter (Tx) architecture is known as the Cartesian topology to distinguish it from the more recently investigated polar architecture. Unfortunately, this Cartesian architecture has an important drawback in power supply efficiency because high fidelity of amplitude modulation formats require highly linear, but, unfortunately, inefficient, class-A or class-AB PAs. A polar Tx, derived from the envelope elimination and restoration (EER) or Kahn [1] concept, circumvents that difficulty representing the complex envelope using amplitude and , respectively. These are and phase signals then treated independently until the last Tx stage, i.e., the RF PA. Indeed, as shown in the system model of Fig. 1, the PA is now a switching device, typically operating in a highly

Manuscript received April 26, 2007; revised July 20, 2007. This work was supported by the Information Society Technologies Programme of the European Union under Contract IST-1-507893-NOE. The work of J. A. Garcia was supported by the Ministerio de Educación y Ciencia under Project TEC200507985-C03-01. J. C. Pedro and P. M. Cabral are with the Instituto de Telecomunicações, Universidade de Aveiro, 3810-193 Aveiro, Portugal (e-mail: [email protected]; [email protected]). J. A. Garcia is with the Departamento Ingenieria de Comunicaciones, Universidad de Cantabria, 39005 Santander, Spain (e-mail: joseangel.garcia@unican. es). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909145

efficient class-E or class-F mode, whose excitation signal is a modulated carrier, while is ficonstant envelope nally restored, dynamically modulating the PA’s supply voltage . Unfortunately, as any other engineering solution, this architecture does not follow an ideal distortion-free operation, presenting a series of nonlinear impairment mechanisms. Recognized as one of the major reasons preventing a more rapid deployment of this promising technology, they were first addressed by the seminal work of Raab [2], where he considered two of the principal sources of nonlinear distortion—finite AM modulator bandwidth and differential delay between the output amplitude modulated signal and the phase modulated carrier—although he also recognized other sources as the -tononlinear supply voltage modulator transfer function and the -toparasitic AM to PM conversion. Finite AM modulator bandwidth was treated in an approximate way assuming an ideal brick-wall reconstruction filter, while differential delay between the AM and PM paths was studied considering this delay as fixed and independent of the baseband envelope frequency. More recently, the analysis of the finite bandwidth was extended by Milosevic et al. in [3], where they admitted a general reconstruction filter. In [4], Wang et al. experimentally investigated the distortion caused by the -tononidealities, -toconversion, and differential delay. In that study, they recognized that not only the -topresented a certain degree of nonlinearity, as the tested class-E PA showed carrier feedthrough, i.e., the pressed leveled off to a nonnull value when goes to zero. Following the work introduced in [5], this paper presents an analytical model of the distortion caused by the bandwidth limitations, differential delay, -to, and -tononlinearities. However, it substantially extends that work since, based on the physical operation of the switching mode RF PA, it presents an integrated description of the associated amplitude and phase nonlinearities. Moreover,

0018-9480/$25.00 © 2007 IEEE

2758

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

by adding the parasitic -toPM modulation, it could provide an explanation to the observed intermodulation distortion (IMD) sideband asymmetries, an important symptom of PA dynamic behavior.

II. ANALYTICAL MODEL OF THE NONLINEAR DISTORTION IN A POLAR TRANSMITTER

are due to the transmitter topology: finite envelope bandwidth and differential delay (see Section II-A) [5] and the latter due -toand -toto the switching RF PA: conversions (see Section II-B).

A. IMD Due to Finite Bandwidth and Differential Delay

The model developed herein is based on the system-level description of the transmitter shown in Fig. 1 for which ideal baseband circuitry and PM modulator is assumed. The reasons for these simplifications are twofold. First, they were adopted so that a rigorous mathematical development could be followed and, thus, obtain an analytical solution in closed form. Second, because both the baseband circuitry and well designed PM modulator, based on two small-signal quadrature mixers, should not be the main contributors for the nonlinear impairments under study. Accordingly, this work focuses on: , of the low-pass smoothing 1) the transfer function, i.e., filter (reconstruction filter); 2) delay, i.e., , associated to the voltage supply modulator (digital class-S modulator); 3) the -to; 4) the nonlinear amplitude nonlinearity, i.e., -to—in which the well-known modulation, i.e., carrier feed-through is already included; and 5) the parasitic -to, supply voltage to phase modulation, i.e., arising in the switching mode PA (switching RF PA). In an effort to derive an analytical model of the studied nonlinear effects, we decided to adopt the traditional equal amplitwo-tone excitation, which is described by tude

Considering first the linear -togeneration process, we suppose the envelope amplitude is coded in a over-sampled 1-bit data stream either with (sigma–delta modulation) or without (pulsewidth modulation) quantization noise shaping in the digital class-S modulator, whose clock or sampling frequency is . To account for any possible differential PM delay ( ) between this 1-bit digital signal and the signal, we will state that the reconstructed analog amplitude signal—the supply voltage of the RF PA—will be a linear, but . delayed replica of the AM input Ideally, the low-pass reconstruction filter should only preserve the time-varying component centered on dc, i.e., , eliminating all the other spectral replicas that are centered at is not that ideal brickthe harmonics of . Unfortunately, wall filter and will thus introduce linear amplitude and phase -tocharacteristic, and thus, in distortions in the , and let pass high-frequency quantization noise. Hence, the final result of this 1-bit modulation and demodulation process will be a supply voltage amplitude given by (4), where is the unfiltered high-frequency quantization noise given by (5), includes the phase contribution at the th even harmonic component of and the constant delay phase component

(1) in which and are the tone frequencies and and . This is recognized as an excitation format that is much simpler than the ones used in real telecommunication systems. However, not only is this lack of generality largely compensated by the qualitative knowledge offered by a closed-form model, as it allows a more direct comparison with the approximate calculations previously made by Raab [2] and with the IMD seen on Cartesian Tx’s. Furthermore, as we will see next, its results follow the general trends observed in the tests already conducted for quadrature phase-shift keying (QPSK), offset-QPSK and Gaussian minimum shift (O-QPSK), keying (GMSK) (EDGE) signals [6], [7]. To begin the analysis, we now represent (1) in terms of its complex envelope, to obtain

(4)

(5) For ideally linear -tomodulation processes, this an output envelope given by (6)

and null -tosupply voltage would create

(2) In this particular case, profiting from the fact that the envelope is purely real, we can rewrite (2) as (3) Now we will divide the mechanisms of nonlinear distortion in our polar Tx into linear and nonlinear causes. The former

(6)

PEDRO et al.: NONLINEAR DISTORTION ANALYSIS OF POLAR TRANSMITTERS

2759

whose odd-order components (fundamental , and IMD products ) are given by (7) as follows:

(7)

Fig. 2. Active device’s output I/V characteristics and phase plots under switching-mode operation. Trace 1 depicts the load line imposed by a common v used in supply voltage modulated class-E or class-F PAs, while trace 2 is the load line corresponding to a too high v .

Beyond the comments already made to these expressions and reported in [5], there is another worth mentioning. It refers to the asymptotic behavior of (7) when is relatively small. In fact, as was already shown in [2], and then confirmed by [5], only this case has a practical interest, as the IMD distortion of a polar transmitter tends to be intolerably large with . Thus, assuming the filter is approximately flat within the signal’s bandwidth (i.e., the sampling frequency is high enough to allow a sufficiently smooth filter) so that and , and is relatively small, , , and the odd-order distortion amplitude becomes as follows in (8):

Fig. 3. Current and voltage waveforms of the idealized switching mode PA.

B. IMD Due to Nonlinear Causes: -toConversions (8) This expression can be significantly simplified if we realize that the addition of the dc term with the first term of the series is zero for any distortion component . Indeed, the distortion amplitude becomes

(9) which is linear with . This result is consistent with the one already derived in [2] and with the analytical and experimental results presented in [5].

-to-

and

Contrary to what was followed in [5], where the authors divided the nonlinear distortion causes in two distinct sources, -toconversion and carrier feed-through, we will now present an integrated description of the amplitude and phase nonlinearities associated to the switching RF PA. As this is based on the actual physical operation of the switching mode -to-AM and -to-PM PA, it is believed to improve the modeling accuracy. For that, we assume that the active device switches between a state of maximum voltage with minimum (ideally null) current, located in cutoff, and another one, located in the triode zone of field-effect transistors (FETs) or saturation of bipolar junction transistors (BJTs), where the current is maximum and the voltage reaches its minimum , depicted in the load line example 1 of Fig. 2. It is also assumed that the device current is a semisinusoidal arc, determined by the input voltage and the device transconductance characteristic, while the load boundary conditions are such that the output voltage is approximately a square wave (for

2760

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

class-F PAs or approximately a semisinusoidal arc for a class-E . PA) of mean value equal to the modulated supply voltage Fig. 3 presents the assumed current and voltage waveforms and expressions for the main points

Under these simplifying assumptions, and also neglecting any nonquasi-static effects and parasitics associated to the device model, it can be shown that the fundamental component of will be

(10) while the fundamental component of

will be given by (11)

where the index used in the current indicates that this component only accounts for the device’s resistive output current component (the channel current of an FET). Accordingly, there will be another current component in an FET through the device’s input–output capacitance ( or in a bipolar transistor, for now considered linear), whose fundamental value is

-toor AM-to-PM conand without any parasitic version. In practice, however, for very high supply voltages, the device leaves the switching mode, beginning to exhibit a conventional current mode operation. For example, in an FET, that happens whenever the minimum output voltage leaves the triode zone to enter saturation so that the output current and voltage , but simply by the amplitudes are no longer determined by constant input voltage, as depicted in load line 2 of Fig. 2. There, dependence on levels off and the characthe teristic starts to show a visible nonlinearity. tends to zero, the capacitive current dominates When over the channel current and the PA shows an evident feedthrough. There, the output amplitude tends to a constant [i.e., ], while the phase tends to 90 . independent of Although simple, this analysis is capable of describing with -toand the parasitic surprising accuracy the -tobehavior of switching PAs commonly used in polar Txs [4]’ as will be shown in the example tested in this study. For achieving a general analytical model of the output envelope, in this study we approximate the described resistive part by a polynomial. Thus, the output signal envelope of will be of the form (16) where (17)

(12) Therefore, the total device fundamental output current component will be

Since the absolute function obeys for any and , the output envelope can be rewritten as (18)

(13) which shows that the fundamental component of the output device current will be given by the sum of a resistive part due to the channel current and another quadrature component due to the RF PA feed-through capacitor. In fact, since , the amplitude of the fundamental output component will be approximately proportional to (14)

in which

can be expressed as a Fourier series by

(19) Therefore, the output envelope can be expressed by (20) as follows:

while the phase will be approximately given by

(15)

This simplified model shows that, for high values, i.e., when the resistive channel current dominates, the output fundamental component amplitude will be proportional to , while its phase will be close to zero (or to any other constant offset). Thus, a linear -tomodulation should be expected,

(20)

PEDRO et al.: NONLINEAR DISTORTION ANALYSIS OF POLAR TRANSMITTERS

Fig. 4. Polar Tx output spectrum in the case where v the first- and fifth-order terms.

(t)-to-a (t) has only

Now, let us observe the results for each of the terms of degree . If is odd, keeps the same polarity of and, thus,

2761

Fig. 5. Polar Tx output spectrum in the case where the v only the first- and second-order terms.

On the other hand, that above expression of

(t)-to-a (t) has

always being positive implies and, thus, the reduces to (24) as follows:

(21) Therefore, this term of degree

(odd) has the form of

(22)

and, thus, generates IMD components of all odd orders from 1 to :

(24)

Therefore, a term of even degree generates all odd orders of intermodulation products whose amplitudes are given by the following finite series in (25):

(23) Fig. 4 validates this conclusion by showing one example of the polar Tx output spectrum for the case where the -tohas only the first- and fifth-order terms. When is even, always has positive polarity and, thus, the multiplication of its absolute value by no longer builds any th power of , but a highly distorted complex envelope. Thus, the previously adopted simplified procedure to calculate its corresponding IMD products is no longer applicable, and we need to again express and in their Fourier expansions.

(25) where , as before. Fig. 5 shows a possible example of the polar Tx output spectrum for the case where the -tohas only the first-

2762

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

and second-order terms. The presence of all odd-order distortion components again validates our derivation. We now turn our attention to the parasitic phase modulation imposed by the quadrature fundamental current component. Although the simplified form of (15) already shows that the phase , in must present a 90 phase shift for vanishingly small practice, the observed phase variation is significantly faster than the one predicted by (15). That is attributed to the fact that both and bipolars’ manifest a rapid increase when FETs’ output voltage vanishes. Thus, we decided to substitute the conby the following empirical expression: stant value of

(26) As it will be shown in Section III, that allowed a very good description of the observed (both by circuit simulations and lab-toPM modulaoratory measurements) parasitic tion. As predicted by (15) and confirmed by several simulations, whose major results are presented in Section III, this -toPM modulation is only felt for very small supply voltages, where it shows up as amplitude asymmetry between the lower and upper IMD sidebands. It fact, it can easily be shown that as long as no PM modulation is considered, the complex exponential of the low-pass [similar to (2) of ] must equivalent representation of still be a square wave of 1 or 1 real values. Thus, either including or not including the other studied nonlinear and memory and must always be a purely real signal, effects of which is known to have a spectrum of complex conjugate symmetry. That is why we believe Raab [2] could not predict the observed IMD asymmetry.

Fig. 6. Simplified circuit diagram of the class-E switching PA used in the experimental and simulated tests.

III. SIMULATED AND EXPERIMENTAL TESTS In order to illustrate and validate the proposed theoretical analysis, we picked up a switching-mode PA circuit, a lowpower pseudomorphic HEMT (pHEMT)-based class-E PA, and conducted several simulation and laboratory measurements on it. Fig. 6 shows a simplified schematic diagram of the tested switching-mode PA. To validate the simplified physical description of the PA voltage supply modulation process discussed in Section II, we -tobegan by the laboratory characterization of its and -toconversions. In practice, the distortion introduced by the -totends to be so mild [8] that it requires more ingenious forms of characterization. (In fact, the entire idea of the EER PA and polar Tx is based in this supposedly linear -torelation). Thus, contrary to the usually followed procedure of varying and measuring the corresponding PA output amplitude, we decided to measure the -tononlinear characteristic in much more detail via its first-order derivative. For that, the switching PA was excited with a constant envelope tone of , and its drain voltage modulated with a small-signal sine wave centered at an offset : . For each of the bias points, the static and first-order derivative of the were then obtained from the measured amplitude components of and , respectively. In

Fig. 7. Measured and modeled: (a) v (t)-to-a (t) and (b) v characteristics of the tested class-E PA circuit.

(t)-to- (t)

practice, to simultaneously allow the parasitic AM–PM characterization of the PA, this frequency-domain data was gathered indirectly via Fourier transformation of the input–output time-domain voltage waveforms acquired with a vector signal analyzer. Fig. 7(a) shows the resulting -tofunction, as measured and predicted by the models of (17) and (26), re; V and spectively, where V and A. As explained above, the phase reading of these frequency-domain input–output voltage components also provided the characterization of the parasitic -toPM nonlinearity.

PEDRO et al.: NONLINEAR DISTORTION ANALYSIS OF POLAR TRANSMITTERS

2763

Fig. 9. Complete measurement setup used in the distortion characterization tests.

Fig. 8. Simulated: (a) v (t)-to-a (t) and (b) v (t)-to- (t) functions obtained when the RF PA equivalent-circuit model includes (or not) the pHEMT’s gate–drain capacitor.

Fig. 7(b) shows the measurement and the model predicted results of the observed -tononlinearity. These measurement results are consistent with the ones predicted by the simplified analysis of Section II-B. In fact, they show that both AM–AM and parasitic AM–PM are dominated by carrier feed-through when tends to zero, while, for high , AM–AM becomes determined by the triode zone to saturation transition (in this particular case, slightly more intricate than predicted because of the kinks present in the pHEMT’s I/V characteristic), and AM–PM vanishes. In order to clarify this point, the RF switching PA equivalentcircuit model was introduced in a circuit simulator and both the amplitude and phase characteristics were determined for two different situations: one where the equivalent circuit includes the gate–drain capacitor and another one without it. Fig. 8 shows the comparison between these two cases, which clearly supports the above-mentioned explanation. For the simulated tests, the PA system-level model was inserted in a block diagram similar to the one presented in Fig. 1 and implemented in a commercial circuit level/system level co-simulation tool. The actual laboratory measurement setup used is presented in Fig. 9. Using this PA system-level model and the developed analytical model, several simulation tests were carried out for the delay , considering a two-tone input signal centered at 900 MHz with a tone separation of 100 kHz. Fig. 10 shows measured, simulated, and theoretically predicted results of the fundamental, third-order, and fifth-order

Fig. 10. Measured, simulated, and theoretically predicted fundamental, thirdorder, and fifth-order IMD when  was varied from 0 up to 5 s [2=(4! )].

Fig. 11. Measured and simulated fundamental, third-, and fifth-order IMD when  was varied from 0 up to 5 s [2=(4! m)], including v (t)-to-a (t) nonlinearity.

IMD, obtained varying the differential delay (from 0 to 5 s ), when all the other possible distortion impairments were discarded. As is possible to see in Fig. 10, there is already a good agreement between measured results and predicted values from the considered analytical model. Nevertheless, for small delays, a residual distortion in both the third- and fifth-order characteristics and some IMD asymmetry could not be predicted. This

2764

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 12. Measured and simulated and predicted fundamental, third-, and fifthorder IMD when was varied from 0 up to 5 s [2 (4 )], including both ( )-to- ( ) and ( )-to- ( ) nonlinearities. the

v

t

 a t

v

t

 t

 = !

Fig. 13. Measured, simulated, and theoretically predicted fundamental, third-, and fifth-order IMD when the passband edge frequency of the reconstruction = 2 up to 20. filter was varied from =

! =!

is an indication that for this delay range, the effect responsible for the distortion observed in the device is not the delay, but the switching RF PA amplitude and phase nonlinearities -toand -to. This conclusion has a particular practical relevance if we notice that it is exactly in this zone of high carrier-to-IMD ratio (30–40 dBc) that a wireless polar transmitter should be operated. Therefore, Fig. 11 reports measured and simulated results of the fundamental, third- and fifth-order IMD obtained for varying , but now including the -tononlinearity, while Fig. 12 reports the same variation of , but now accounting for the complete nonlinear model. Figs. 11 and 12 help us to understand the relative influence of the -toand -tononlinearities. In the first case, the distortion floor is first approximated and, in the second one, asymmetry is predicted when the simulated model also includes the phase nonlinearity.

Fig. 14. Measured and simulated fundamental, third-, and fifth-order IMD when the passband edge frequency of the reconstruction filter was varied from = 2 up to 20, including the ( )-to- ( ) nonlinearity. =

! =!

v

t

a t

Fig. 15. Measured and simulated fundamental, third-, and fifth-order IMD when the passband edge frequency of the reconstruction filter was varied from = 2 up to 20, including both the ( )-to- ( ) and = ( )-to- ( ) nonlinearities.

v

! =! t  t

v

t

a t

After this analysis on the impact of differential delay and AM–AM and AM–PM nonlinearities, we turned our attention . For to the effects of the low-pass reconstruction filter that, we supposed that it was based on a 3-dB ripple third-order Chebyshev approximation, whose normalized passband edge was changed from 2 to 20, considering frequency the same two-tone input signal used in the delay case. Again, Fig. 13 illustrates the measured, simulated, and theoretically predicted responses for the various tested filters, when the model considered only this distortion source. Fig. 14 illustrates the measured and simulated responses for the various tested filters when , but now including the -tononlinearity, while Fig. 15 illustrates the corresponding responses predicted by the complete nonlinear model (still for a zero delay). Again, the model predictions match extensive measurement and simulation results for a real PA circuit of a polar transmitter architecture.

PEDRO et al.: NONLINEAR DISTORTION ANALYSIS OF POLAR TRANSMITTERS

IV. CONCLUSION This paper has presented a comprehensive study of the nonlinear impairments of polar transmitters. We began with a simplified description of the physical operation of voltage supply modulated switching mode PAs, excited by the standard two-tone stimulus, to derive an analytical model of their major causes of distortion. These included the differential delay between the AM and PM paths, nonlinear characteristic of the AM modulator, nonideal low-pass reconstruction filter, nonlinear voltage supply modulation, and feed-through, and its associated parasitic AM–PM conversion. Model predictions complemented by laboratory measurements showed that since differential delay and reconstruction filter effects dominate for relatively low carrier to IMD levels, these should be taken care of first in the design of polar transmitters. However, the obtained results also showed that the PA -to-AM nonlinearity and parasitic -to-PM conversion cannot be neglected if highly linear operation is sought. In particular, feed-through, herein identified as a major cause of PA AM–AM and AM–PM conversions for low supply voltages, should deserve a special attention. Finally, it was also shown that it was the combination of memory effects in the AM path (either due to differential delay or reconstruction filter phase -to-PM conversion that shift) and the mentioned parasitic were responsible for the observed IMD sideband asymmetries, an important symptom of PA nonlinear dynamic effects. ACKNOWLEDGMENT The research reported in this paper was performed under the context of the Top Amplifier Research Groups in a European Team (Target). REFERENCES [1] L. R. Kahn, “Single-sideband transmission by envelope elimination and restoration,” Proc. IRE, vol. 40, no. 7, pp. 803–806, Jul. 1952. [2] F. H. Raab, “Intermodulation distortion in Kahn-technique transmitters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2273–2278, Dec. 1996. [3] D. Milosevic, J. van der Tang, and A. van Roermund, “Intermodulation products in the EER technique applied to class-E amplifiers,” in Int. Circuits Syst. Symp. Dig., Vancouver, BC, Canada, May 2004, vol. I, pp. 637–640. [4] N. Wang, X. Peng, V. Yousefzadeh, D. Maksimovic´ , S. Pajic´ , and Z. Popovic´ , “Linearity of -band class-E power amplifiers in EER operation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 1096–1102, Mar. 2005. [5] J. C. Pedro, J. A. Garcia, and P. M. Cabral, “Nonlinear distortion analysis of polar transmitters,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 957–960. [6] D. Rudolph, “Kahn EER technique with single-carrier digital modulations,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 548–552, Feb. 2003. [7] D. Rudolph, “Out-of-band emissions of digital transmissions using Kahn EER technique,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1979–1983, Aug. 2002. [8] M. Kazimierczuk, “Collector amplitude modulation of the class E tuned power amplifier,” IEEE Trans. Circuits Syst., vol. CAS-31, no. 6, pp. 543–549, Jun. 1984.

X

2765

José Carlos Pedro (S’90–M’95–SM’99–F’07) was born in Espinho, Portugal, in 1962. He received the Diploma and Doctoral degrees in electronics and telecommunications engineering from the Universidade de Aveiro, Aveiro, Portugal, in 1985 and 1993, respectively. From 1985 to 1993, he was an Assistant Lecturer with the Universidade de Aveiro, and a Professor since 1993. He is currently a Senior Research Scientist with the Instituto de Telecomunicações, Universidade de Aveiro, as well as a Full Professor. He coauthored Intermodulation Distortion in Microwave and Wireless Circuits (Artech House, 2003) and has authored or coauthored several papers appearing in international journals and symposia. He is an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. His main scientific interests include active device modeling and the analysis and design of various nonlinear microwave and optoelectronics circuits, in particular, the design of highly linear multicarrier PAs and mixers. Dr. Pedro is a reviewer for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was the recipient of the 1993 Marconi Young Scientist Award and the 2000 Institution of Electrical Engineers (IEE) Measurement Prize.

José Angel Garcia (S’98–A’00–M’02) was born in Havana, Cuba, on January, 1966. He received the Telecommunication Engineering degree (with honors) from the Instituto Superior Politécnico “José A. Echeverría” (ISPJAE), Havana, Cuba, in 1988, and the Ph.D. degree from the University of Cantabria, Cantabria (Santander), Spain, in 2000. From 1988 to 1991, he was a Radio System Engineer with the High Frequency Communication Center, where he designed antennas and RF circuits. In 1991, he became an Instructor Professor with the Telecommunication Engineering Department, ISPJAE. From 1999 to 2000, he was a Radio Design Engineer with Thaumat Global Technology Systems, where he was involved with base-station arrays. From 2000 to 2001, he was a Microwave Design Engineer and Project Manager with TTI Norte, where he was in charge of the research line on software defined radios while involved in low-noise amplifier (LNA) and active antenna design. From 2002 to 2005, he was a Senior Research Scientist with the University of Cantabria, where he is currently an Associate Professor. He has been a reviewer for international journals. His main research interests include nonlinear characterization and modeling of active devices, IMD control on RF and microwave applications, reconfigurable terminals, active antennas, and arrays. Dr. Garcia has been a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES as well as conferences. He was the recipient of a University Prize for his doctoral dissertation.

Pedro Miguel Cabral (S’04–M’07) was born in Oliveira de Azeméis, Portugal, in October 1979. He received the Electrical Engineering and Ph.D. degrees from the University of Aveiro, Aveiro, Portugal, in 2002 and 2006, respectively. He is currently a Post-Doctoral Researcher and an Assistant Professor with the Instituto de Telecomunicações, Universidade de Aveiro. His main research interests include active device nonlinear modeling and design of microwave circuits. Dr. Cabral was the recipient of the 2002 Prize for the Best Electrical Engineering Student presented by the University of Aveiro. In 2004, he was a finalist in the Student Paper Competition of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

2766

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

K -Band LTCC Star Mixer With Broadband IF Output Network

Torben Baras, Member, IEEE, Johannes Mueller, Student Member, IEEE, and Arne F. Jacob, Senior Member, IEEE

Abstract—This paper presents a hybrid star mixer in a surface-mount package using low-temperature co-fired ceramic technology. The frequency of operation ranges up to 32 GHz for the RF frequency with an achieved conversion loss of as low as 5 dB. The device is optimized for local oscillator (LO) drive levels of down to 7.5 dBm. Various IF output networks with an inherently low series inductance are discussed, offering large IF bandwidths of up to 11.5 GHz with a significant improvement compared to known solutions. Optimization procedures are revealed to achieve higher port isolation and a flat conversion loss function even at low drive levels. Measurements and simulation confirm the design methodologies and approaches. Index Terms—Hybrid integration, IF network, low-temperature co-fired ceramic (LTCC), star mixer, surface mount.

I. INTRODUCTION UTURE applications in satellite communications demand for higher integration levels and innovative low-cost packaging solutions. The promising low-temperature co-fired ceramic (LTCC) technology offers the possibility of implementing cost-effective hermetic lightweight components and systems with true vertical integration. Over the past years, LTCC was primarily used for realizing circuits operating at frequencies of a few gigahertz [1], [2]. More recently, the extension of LTCC technology to higher microwave and even millimeter-wave frequencies is observed [3]–[5]. For realizing an LTCC-based satellite receiver at -band, the design of a broadband hybrid mixer is required. In general, doubly balanced mixers (DBMs) are advantageous in terms of port-to-port isolation, dynamic range, and inherent rejection of even-order spurious responses [6], [7]. Ring mixers as one known type of DBM are most commonly employed, though their large IF inductance limits the IF bandwidth [8]. In contrast, star mixers [9] as the second known type of DBM have a common node serving as the IF output, as illustrated in Fig. 1(a). In this circuit, two ideal transformers are utilized to achieve the out-of-phase excitation of the diodes, one for the local oscillator

F

Manuscript received May 3, 2007; revised August 2, 2007. This work was supported by the German Aerospace Center (DLR) on behalf of the German Federal Ministry of Economics and Technology (BMWi) under research Contract 50YB0314 and Contract 50YB0623. The authors are with the Institut für Hochfrequenztechnik, Technische Universität Hamburg–Harburg, 21073 Hamburg, Germany (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.907420

Fig. 1. (a) Schematic of the star mixer with ideal transformers. (b) Marchand balun circuit of the star mixer (IF network omitted) and initial design parameters.

(LO) signal and a second one for the RF signal. One possible way to implement them is by means of Marchand baluns [10], as shown in Fig. 1(b). Due to the low inductance of the common node, the IF bandwidth is inherently larger than for ring mixers [6], making the star mixer preferable. Based on our previous study [11], we discuss design constraints for realizing a broadband star mixer in a surface-mounttype LTCC package with a low-cost high-performance secondlevel interconnect. An improved broadband integrated IF output network, which takes advantage of the (LTCC) multilayer architecture, is introduced, enabling large IF bandwidths. Furthermore, we present methodologies to optimize the leakage suppression by introducing a coherent cancellation mechanism to the IF network. Finally, possibilities to obtain flat conversion gain curves at low LO drive levels by optimizing the balun structure are discussed.

0018-9480/$25.00 © 2007 IEEE

BARAS et al.:

-BAND LTCC STAR MIXER WITH BROADBAND IF OUTPUT NETWORK

2767

Fig. 2. Perspective illustration of the SMD LTCC package with the star mixer.

II. LTCC PACKAGE AND INTERCONNECT In our design, we take full advantage of the 3-D integration possibilities of multilayer LTCC. As shown in Fig. 2, the mixer structure is located in the three top metal layers of an eight-layer dielectric stack of DuPont’s DP951-tape. The fired thickness of the dielectric layers amounts to 130 m and the permittivity and specified by the manufacturer is at 10 GHz. The module is equipped with a cavity (depth of six dielectric layers) below the said top layers in order to realize a suspended substrate above a metallic lid. The latter seals the cavity and also properly defines the ground plane below the balun structure. As the initial design parameters in Fig. 1(b) indicate, this LTCC-based design only requires an area of 2 mm 2 mm. Ideally, the four diodes are directly connected to the output terminals of the transformers, which are the intersection points of the split coupled lines in the Marchand balun realization. Since four diodes connected to a star in a single package were not readily available, two diode pairs (i.e., MACOM’s MA4E1319) with a center tap were selected. The diode packages are significantly larger than the center section, making some additional lines necessary to connect the diode pads to the balun structure. This measure, as well as the internal lines on the diode package, however, introduce some additional parasitics. -lines of the LO and RF In our implementation, the Marchand baluns [10] are located in the first metal layer located on the top surface of the LTCC stack, as shown in Fig. 3. denotes the wavelength at the center design frequency, which, -lines in our case, is 24 GHz. The split broadside coupled are situated in the subsequent second metal layer. Vias are -lines with the IF structure on the third used to connect the metal layer and the flip-chip diodes that are attached to the layer adjoining to the cavity. Fig. 3 also reveals details of the layer arrangement: In the area of the LO and the RF balun, the substrate is suspended and consists of three and four lines, respectively. The split coupled lines are short circuited by a metal surface serving as microstrip ground for the balun feed lines and the IF structure. The LTCC was processed by Microsystems Engineering, Berg, Germany. The selected foundry process demonstrated very little variations in the electrical function of earlier vertical LTCC circuits [12], [13]. Minimum linewidth and line spacing are 50 m. The mounted dies and module assembly are dis-

Fig. 3. (a) Exploded view of the star mixer cell (dielectric layers not shown). (b) Cross section of the substrate types.

Fig. 4. (a) Bottom view of the fabricated and assembled module prior to closing the cavity by means of a metallic lid. (b) Fully assembled and mounted module.

played in Fig. 4. Underfill is used to enhance the mechanical stability. After the flip-chip attachment of the diode chips, the cavity is equipped with a Kovar lid and can be hermetically sealed if required. Finally, the module is attached to the motherboard (Rogers Corporation’s RT/Duroid 6002) in a surface-mount technique. As second-level interconnects, a land grid array (LGA) is employed to connect the module with the motherboard in a low-cost surface-mount style, as illustrated in Fig. 5(a). In contrast to previous configurations of this interconnect [14], the signal must be routed through the entire layer stack to the top surface, where it is guided to the Marchand balun. This version of the interconnect was optimized using a commercial 3-D simulation software [15]. The simulated performance of a single transition from the top of the module to the substrate is shown in Fig. 6. The LGAs are inherently broadband with an insertion loss of only 0.3 dB at 20 GHz and an input reflection of less than 15 dB up to 45 GHz. In order to confirm the prediction by the software, test vehicles were designed, which contain the transition together with a 250- m ground–signal–ground footprint for a wafer probe station. For convenience, the test structures were characterized in a back-to-back arrangement [see

2768

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 7. Measured and simulated performance of the second-level interconnects in the back-to-back arrangement.

highly suitable for numerous applications up to millimeter-wave frequencies. III. IF OUTPUT NETWORK

Fig. 5. (a) Illustration of the second-level interconnect utilized in the mixer package. (b) Designed test vehicle.

In a practical realization of a star mixer, properly connecting the IF port to the common node of the diodes while maintaining a low inductance is challenging. A standard solution is to connect the diodes to a narrow strip that encircles the center of the structure. The IF port is connected to this ring and, thus, to an additional frequency-dependent element that narrows the bandwidth [8]. In a hybrid design, as in our case, the ring becomes relatively large. This is due to the given size of the flip-chip diode’s footprint, which limits the miniaturization. Simulations predict a 3-dB IF bandwidth of approximately 1.5 GHz for this solution. A planar design at 2 GHz that enables a real common star point was presented in [16]. However, this technique cannot be realized at 20 GHz due to limitations in the scalability of this approach. A. IF Network With a Single Tap

Fig. 6. Simulated performance of a single transition from the top layer of the LTCC to the RT/Duroid 6002 substrate.

Fig. 5(b)] and compared with corresponding simulation data. In general, a good agreement between simulation and experiment is noticed, as indicated in Fig. 7. An insertion loss of approximately 0.6 dB for the back-to-back vehicle at 20 GHz was determined for both measured and simulated data. The measured insertion loss amounts to 1.9 dB at 45 GHz for the back-to-back arrangement. This corresponds to less than 0.95 dB for a single transition and indicates that this surface-mount interconnect is

In this study, a broadband type of IF output network is proposed. The IF signal is guided away from the center point to both sides by means of two narrow lines beneath one of the baluns, as shown in Fig. 8(a). Radial stubs connected to the ends of the lines create a broadband RF short circuit. The IF must be routed to both sides parallel to the split -lines of the RF balun in order to maintain the balance of the balun. It was observed that the introduced IF structure alters the field distribution of the upper coupler, which needs to be accounted for in [see Fig. 1(b)]. The length of both the design by enlarging at the design frequency, the exact IF lines is approximately design parameters for this and the following designs being provided in Table I. Coupling between the RF balun and IF line is acceptable. Due to the radial stubs though, the entire IF network ideally presents a purely reactive impedance to the balun at RF frequencies. For the IF frequency, on the other hand, the radial stubs only represent a negligible admittance, which allows tapping of the IF signal at one intersection with a radial stub. Fig. 9 shows simulation results for the transfer function from

BARAS et al.:

-BAND LTCC STAR MIXER WITH BROADBAND IF OUTPUT NETWORK

2769

Fig. 8. Various configurations of the IF output network and corresponding measured performance above. (a) Single-tap network. (b) Single-tap network with an additional radial stub. (c) Dual-tap network.

TABLE I DESIGN PARAMETERS OF THE IF STRUCTURES

Susp.

= suspended substrate, MS = microstrip

any diode of the package to the IF port for two different radii of the stub. The low-pass function is clearly visible and reveals a notch shifting to lower frequencies with increasing radius, which fundamentally limits the IF bandwidth. Though a small radius offers a tremendous IF bandwidth, the RF-IF leakage is inherently higher, especially for lower RF frequencies. Measured results of a mixer using a single-tap IF network are revealed in Fig. 8(a). Data obtained from the harmonic balance

simulation is not shown here, as well as in the following, for reasons of clarity. In general, it is in agreement with the measured data and, thus, does not provide any additional information. As visible in the sweep versus the IF, the notch of the low-pass filter network directly appears in the conversion loss, still leading to a good performance up to approximately 9 GHz. In addition, the decline in the passive transfer function from the diode terminals to the IF output yields an increased conversion loss at higher IF. Secondly, the IF network with a stub-radius of 600 m yields an RF-IF port isolation of only 10 dB for low RF frequencies. This can be improved by increasing the radius [11], but at the cost of IF bandwidth. B. IF Network With a Single Tap and Additional Stub In order to reduce the leakage between the RF port and IF port in this first approach, a third radial stub can be added to the existing single-tap network, as illustrated in Fig. 8(b). Together with the narrow line from the first tap, this combination can be interpreted as an LC network. For best additional suppression of the leaking RF signal, the distance of the additional radial stub

2770

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 9. Simulated transfer function from a diode, where the IF is generated, to the IF output port for the single- and dual-tap solution. As port impedances, 200 in parallel with a 60-fF capacitance were assumed for the diode junction and 50 for all other ports.

to the original IF network should be at the RF center frequency. The IF bandwidth is also affected by this higher order filter, as the transfer function in Fig. 9 predicts. It should be noted here that the Marchand balun design is also changed compared to the structure before. This, however, has no significant influence on the IF bandwidth and is treated in detail below. As the measured results in Fig. 8(b) show, the RF-IF port isolation is improved by as much as 20 dB. The previously simulated low-pass transfer function is also clearly visible in the sweep versus the IF frequency. The highest conversion loss appears around 8.5 GHz, which corresponds to the notch of the filter. The slight slope appearing in the curves between dc and 7 GHz is directly attributed to the slope in the filter curve. C. IF Network With Dual Tap An improved performance can be obtained with a modified structure, which is depicted in Fig. 8(c). Here, the IF signal is again guided away to both sides and connected to a radial stub m. The IF is then tapped at both stubs with and routed to one side, where the lines are connected to another m. Firstly, pair of radial stubs (butterfly) with this setup has an improved passive transfer function without resonances up to 10 GHz, as the simulation result indicates (see Fig. 9). Secondly, the RF signal leakage is greatly suppressed by coherent cancellation. The IF lines couple to the excitation lines above like the split coupled lines. While the IF signal is injected at the center point, and thus is in-phase on the IF lines, the RF signal is 180 out-of-phase like in the balun [see also Fig. 8(c)]. At the second pair of stubs, the portions of the IF signal add in-phase, while the parasitic portions of the RF signal ideally cancel. Since the LO balun is again arranged perpendicularly to the IF lines, no significant leakage is to be expected and the low-pass filter function is sufficient to additionally suppress this source. To confirm these theoretical considerations, a mixer with this type of IF network was assessed by measurements. The results

are provided in Fig. 8(c). As in the passive transfer function, a flat conversion loss function up to 11.5 GHz is obtained, which is a significant increase as compared to the single-tap solution. Regarding the port isolation, a major improvement in isolation between the RF and IF port can be also seen with the dual-tap version. In all three considered setups, the LO-RF isolation experiences only a minor improvement in the evolution of the IF network, as this quantity mainly depends on the balance of the diode quad and of the baluns, which essentially remain unchanged. The LO-IF isolation is also not much affected by changes in the IF network since the LO balun is arranged perpendicularly to the IF lines. In the center, where the LO and IF line overlap, a virtual ground can be assumed for the LO line in case of a well-balanced mixer so that good isolation is always obtained. However, a slight improvement in the cases shown in Fig. 8(b) and (c) is seen in the band center of the baluns. The weak resonance in the single-tap structure [see Fig. 8(a)] at 25 GHz is especially no longer visible in these structures. The resonance is not limited to an individual component, i.e., the diode package, the baluns, or the IF network, but rather occurs in the ensemble and can be suppressed by providing an additional stub [see Fig. 8(b)] or even migrating to the dual-tap network [see Fig. 8(c)]. IV. MARCHAND BALUN OPTIMIZATION As a second design constraint, the Marchand balun is investigated. Major design parameters to obtain proper amplitude and phase balance have been previously presented [11]. However, from the measured characteristic in Fig. 8(a) and (b), it can be seen that the conversion loss is deteriorated by ripples in the range from 24 to 32 GHz. A. Tapered Excitation Lines One possible approach to improve the performance in the upper RF band is presented in Fig. 10(a). While maintaining the dual-tap IF network and the geometry of the split coupled lines, the excitation lines on the top layer are tapered to form a continuous geometry. This way, the coupling mechanism between the split coupled lines and the excitation lines is altered. This tapering was also applied to the section in the center and the line input. From the experimental results in Fig. 10(a), it is clearly visible that the ripples in the aforementioned frequency range are significantly reduced with an LO drive level of 10 dBm using this approach. Simultaneously, the IF bandwidth achieves a similar performance as before, which is also true for the port isolation when compared to the earlier performance. Still, the conversion loss increases above 27 GHz, especially for lower LO drive. B. Tapered and Folded Split Coupled Lines As a final improvement, the split coupled lines below the tapered lines are optimized. First the lines on the second layer are tapered to broaden at the near and far ends of the balun, where they are connected to the ground plane. This way, the discontinuity in the transition from the microstrip ground to this coupled section is reduced; at the same time, the odd-mode impedance

BARAS et al.:

-BAND LTCC STAR MIXER WITH BROADBAND IF OUTPUT NETWORK

2771

Fig. 10. Measured results of two different balun structures. (a) Altered excitation line structure. (b) Additionally folded balun. Illustrations and details of the corresponding baluns are depicted in the center.

Fig. 12. S -parameter simulation of the LO matching of the mixer containing inductive lines (solid) and folded lines (dashed). Fig. 11. (a) Ideal star quad diode. (b) External diode model for the inductive lines. (c) Reduced external inductance model for the folded balun.

of the balun decreases. Secondly, the inductance attributed to the short line from the balun to the via connected to the diode pad is reduced by folding the ends of the split lines towards the via [see Fig. 10(b)]. This aspect is clarified by examining the lumped-element models in Fig. 11. In the ideal schematic, the star quad only consists of the ideal diode junctions [see Fig. 11(a)]. In our implementation, the star quad realization contains additional

inductances for the bumps and vias [see Fig. 11(b)], which together exhibit an effective length of approximately 200 m. Another set of inductances is included, accounting for the connecting lines with a length of approximately 300 m. While the inductance associated with the bumps is negligible at the IF port, the introduced parasitic inductances of bump, via, and connecting line cause a low-pass behavior. By folding the Marchand balun towards the diode pads, one inductive element can be avoided [see also Fig. 11(c)]. This improvement can be directly seen in the input reflection coefficient, as the simulation results of the passive -parameters show (Fig. 12). Here, the

2772

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

diode junctions were modeled by a resistor of 200 in parallel with a capacitance of 60 fF. According to the simulation, the LO matching of the mixer containing inductive lines is better in the region around 24 GHz, but the folded line version offers better matching at higher frequencies above 27 GHz. Due to the improved matching, less LO power is needed to achieve the same pumping. These consideration are also valid for the RF balun, which explains the lower conversion loss of the folded type mixer even at high pumping levels. This improved matching is also visible in the measured performance in Fig. 10(b). Already with an LO drive level of 7.5 dBm, a conversion loss of less than 10 dB is achieved up GHz. For the RF band, a low conversion loss is to obtained for frequencies between 18–32 GHz with a drive level of only 10 dBm. A pumping level of 7.5 dBm already yields a performance with very low ripples. The RF-IF port isolation shows values between 35–40 dB in the same band, i.e., the bandwidth of the Marchand balun. The isolation of all ports exceeds 20 dB across the measured band, confirming the theory and the effectiveness of the combined approaches.

V. CONCLUSION In this paper, a low-cost star mixer based on LTCC has been presented. The mixer is integrated in a surface-mount package and can be operated up to 32 GHz with low LO drive levels. Broadband IF output filters are presented, overcoming known drawbacks and outperforming state-of-the-art solutions. Optimization procedures on the Marchand balun and their effects on the performance are disclosed. Measurements show good agreement with the theoretical constraints and confirm the herein proposed solutions and approaches.

REFERENCES [1] R. Lucero, W. Qutteneh, A. Pavio, D. Meyers, and J. Estes, “Design of an LTCC switch diplexer front-end module for GSM/DCS/PCS applications,” in IEEE Radio Freq. Integrated Circuits Symp., 2001, pp. 213–216. [2] Y.-S. Lin, C.-C. Liu, K.-M. Li, and C. H. Chen, “Design of an LTCC triband transceiver module for GPRS mobile applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2718–2724, Dec. 2004. [3] J. Heyen, T. v. Kerssenbrock, A. Chernyakov, P. Heide, and A. F. Jacob, “Novel LTCC-/BGA-modules for highly integrated millimeterwave transceivers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2589–2596, Dec. 2003. [4] R. Kulke, J. Kassner, G. Möllenbeck, M. Rittweger, and P. Waldow, -band power-distribution networks on multilayer LTCC for broad“ band satellite multimedia application,” in Proc. Eur. Microw. Conf. Workshop, 2003, pp. 17–20, Paper WS-8. [5] J. H. Lee, N. Kidera, S. Pinel, J. Laskar, and M. M. Tentzeris, “Fully integrated passive front-end solutions for a -band LTCC wireless system,” IEEE Antennas Wireless Propag. Lett., vol. 6, pp. 285–288, 2007. [6] S. A. Maas, Microwave Mixers, 2nd ed. Norwood, MA: Artech House, 1992. [7] S. A. Maas, “The star mixer,” Microw. J., no. 7, pp. 36–46, 1993. [8] S. A. Maas and K. W. Chang, “A broadband, planar, doubly balanced monolithic -band diode mixer,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 12, pp. 2330–2335, Dec. 1993.

Ka

V

Ka

[9] R. B. Mouw, “A broadband hybrid junction and application to the star modulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 11, pp. 911–918, Nov. 1968. [10] N. Marchand, “Transmission-line conversion transformers,” Electronics, vol. 17, pp. 142–145, Dec. 1944. [11] T. Baras, J. Mueller, and A. F. Jacob, “ -band LTCC star mixer with broadband IF output network,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, 2007, pp. 1405–1408. [12] T. Baras and A. F. Jacob, “Compact vertical bias feed networks for LTCC millimeter wave circuits,” in Eur. Microw. Conf., Manchester, U.K., 2006, pp. 60–64. [13] T. Baras and A. F. Jacob, “Design and manufacturing reliability of passive components for LTCC millimeterwave hybrid circuits,” in Eur. Microw. Conf., Munich, Germany, 2007, pp. 660–663. [14] T. Baras and A. F. Jacob, “Advanced broadband second-level-interconnects for LTCC multi-chip-modules,” in Proc. German Microw. Conf., 2005, pp. 21–24. [15] Microwave Studio. ver. 2006, Comput. Simulation Technol. GmbH, Darmstadt, Germany, 2006. [Online]. Available: www.cst.de [16] S.-S. Kim, J.-H. Lee, and K.-W. Yeom, “A novel planar balun for doubly balanced star mixer,” IEEE Trans. Microw. Theory Tech., vol. 14, no. 9, pp. 440–442, Sep. 2004.

K

Torben Baras (M’04) was born in Lübeck, Germany, in 1977. He received the Dipl.-Ing. degree in electrical engineering from the Technische Universität Braunschweig, Braunschweig, Germany, in 2003. From 2002 to 2003, he was a Student Researcher with Bell Laboratories, Lucent Technologies, Murray Hill, NJ. In 2003, he joined the Institut für Hochfrequenztechnik, Technische Universität Braunschweig. Since 2004, he has been with the Institut für Hochfrequenztechnik, Technische Universität Hamburg–Harburg, Hamburg, Germany. His research interests include the design and development of highly integrated LTCC components and packaging solutions up to millimeter-wave frequencies.

Johannes Mueller (S’05) was born in Köln, Germany, in 1977. He received the Licence d’Ingénierie Électrique degree from the Université de St. Jérôme, Marseille, France, in 2001, and the Dipl.-Ing. degree from the Technische Universität Hamburg–Harburg, Hamburg, Germany, in 2005. From 2003 to 2005, he was a Student Researcher with the European Technology Center, Panasonic, Lüneburg, Germany. In 2006, he joined the Institut für Hochfrequenztechnik, Technische Universität Hamburg–Harburg. His research interests include the design and development of microwave and millimeter-wave circuits and components.

Arne F. Jacob (S’79–M’81–SM’02) was born in Braunschweig, Germany, in 1954. He received the Dipl.-Ing. and Dr.-Ing. degrees from the Technische Universität Braunschweig, Braunschweig, Germany, in 1979 and 1986, respectively. From February 1986 to January 1988, he was a Fellow with CERN (European Laboratory for Particle Physics), Geneva, Switzerland. From February 1988 to September 1990, he was with the Accelerator and Fusion Research Division, Lawrence Berkeley Laboratory, University of California at Berkeley. He then joined the Institut für Hochfrequenztechnik, Technische Universität Braunschweig, as a Professor. Since October 2004, he has been a Professor with the Institut für Hochfrequenztechnik, Technische Universität Hamburg–Harburg, Hamburg, Germany. His current research interests include the design and application of planar circuits at microwave and millimeter frequencies, and the characterization of complex materials.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2773

Spherical Perfectly Matched Absorber for Finite-Volume 3-D Domain Truncation Christophe Fumeaux, Member, IEEE, Krishnaswamy Sankaran, Student Member, IEEE, and Rüdiger Vahldieck, Fellow, IEEE

Abstract—The theory of 2-D radial perfectly matched Maxwellian absorber is extended to 3-D domain truncation problems using a generalized approximate formulation of a spherical finite-volume absorber. The mathematical modeling of the spherical absorber is presented and update equations are derived. The performance of the absorber is characterized with numerical experiments. As practical application of the technique, a complex problem considering the coupling between two spiral antennas is simulated using the finite-volume time-domain method. The comparison of the results to measured data demonstrates the excellent performance of the spherical absorber. Index Terms—Finite-volume time-domain (FVTD), perfectly matched layer (PML), spherical PML.

I. INTRODUCTION

HE finite-volume time-domain (FVTD) method, as a conformal method, offers powerful features for modeling complex geometries with curved surfaces, very fine details, and high dielectric contrast. In order to solve open-region problems using any method based on volume discretization, the computational domain must be accurately truncated with negligible reflection errors. Two major types of domain truncation techniques exist in the literature, namely, absorbing boundary condition (ABC) [1], [2] and perfectly matched layer (PML) [3]–[7] techniques. The main drawback of the ABC technique is that the truncation boundary needs to be placed sufficiently far away from the scatterer/radiator so that unphysical numerical reflections do not adversely influence the computed solution. The PML technique offers domain truncation, which has been proven to be highly accurate in conjunction with the finite-difference time-domain (FDTD) method and the finite-element method (FEM). The FVTD method is a less established method compared to the FDTD method and FEM. Its main characteristic is the combination of conformal spatial discretization and an explicit time-domain solution of Maxwell equations. PML truncation techniques in the framework of the FVTD method have been investigated in different flavors including the Bérenger PML

T

Manuscript received April 29, 2007; revised August 14, 2007. This work was supported by the ETH Zürich under Research Grant TH-38/04-1. The authors are with the Laboratory for Electromagnetic Fields and Microwave Electronics, ETH Zürich, Zürich CH-8092, Switzerland (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909619

[8], [9] and the Maxwellian (unsplit) implementations of uniaxial models [10]. As the unstructured mesh of FVTD allows the accurate modeling of curved surfaces, there are obviously no constraints on the possible shape of the outer boundary of the computational domain. This motivates the investigation of nonrectangular PML shapes in the framework of FVTD, in particular, cylindrical and spherical PML implementations. The concept appears appealing for several reasons. First, although the PML technique offers a highly absorbing domain truncation, the corner regions are still a dominant source of reflection errors [4]. Introducing a cylindrical or spherical PML avoids corner regions and, therefore, decreases the associated reflection error [11]. Secondly, considering a structure modeled near the center of the computational domain, most of the radiated (or scattered) electromagnetic waves impinge on a spherical outer boundary at angles close to normal incidence. This further reduces the numerical error because, in general, the reflection error from the free-space to PML interface increases as the incidence approaches grazing angles. A third advantage comes from the optimal exploitation of computational space: for typical practical problems, a reduction of computational volume might be achieved when using a cylindrical or spherical outer boundary rather than a classical rectangular box. Curvilinear PML models were reported in [12]–[16] adapted for the FEM and FDTD method using cylindrical, spherical, or generalized conformal coordinate systems. Two versions of radial absorbers in Cartesian coordinates have been recently reported in the literature: One of them is a frequency-domain implementation for the FEM [17], and the other is an approximate formulation introduced for the FVTD method that projects the uniaxial absorber behavior on a cylindrical geometry [10]. The advantage of the current formulation is that it does not require a substantial change in the Maxwell equations expressed in a Cartesian coordinate system and can be easily adapted to existing codes. In this paper, the cylindrical 2-D unsplit PML [5] formulation for the FVTD method described in [11] is extended to a 3-D radial formulation for spherical domain truncation. This paper is organized as follows. In Section II, the concept of a 2-D radial absorber is briefly summarized in the framework of FVTD. In Section III, the radial absorber theory is extended to model a 3-D spherical absorber, and an explicit formulation of the governing equations is given. Numerical examples are presented in Section IV to investigate the performance and limitations of the spherical PML. The concluding example considers the low-level coupling between two spiral antennas and demonstrates through a challenging problem the practical significance of the technique.

0018-9480/$25.00 © 2007 IEEE

2774

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

II. 2-D RADIAL PERFECTLY MATCHED ABSORBER IN CONFORMAL MESH The framework of the FVTD approach is briefly introduced here. The concept of the radial absorber is described using a 2-D model with invariance along the -axis. A. FVTD Framework Solutions to electromagnetic field problems involve solving the partial differential equations (PDEs) represented by the two Maxwell curl equations at discrete space and time samples. In the framework of the FVTD, the computational domain is discretized using a partition of the computational volume into arbitrary polyhedral cells. Inside each th cell of an unsplit PML, the Maxwell system can be represented in a semidiscrete conservative form as follows: (1) where denotes the unknown electromagnetic field vector and corresponds to the perfectly matched loss vector. Each cell and is made of faces. Each face has an area has a volume and a unit outward-normal vector . takes the value of permeability and permittivity for the magnetic and electric is defined as field update equations, respectively. The term with the factor defining the flux function. Interested readers are referred to [18] for a detailed description of the FVTD fluxes. The information between neighboring cells sharing a common face is exchanged using this flux function. Inside the main computational domain involving free are set to zero, whereas their space, all the components of values inside the absorber region play a crucial role in achieving reflectionless perfectly matched absorption of radiated/scattered EM waves. A second-order accurate Lax–Wendroff time-stepping scheme is used, obtaining explicit update equations for the field values [18]. The feature of the unstructured spatial discretization (e.g., triangular grid in 2-D, tetrahedral mesh in 3-D) enables conformal methods to model domain boundaries of any shape in a generalized manner while keeping the mathematical description in Cartesian coordinates. Consequently, special mesh arrangements like a curvilinear mesh or system representation in cylindrical coordinates are avoided. This is also an essential characteristics of the radial absorber described in the following. B. Concept of 2-D Radial Absorber In the theory of a uniaxial PML, the direction of wave attenuation is given by the direction of anisotropy. Hence, when employing a rectangular PML in order to surround a 2-D computational domain including scatterers or antennas, three different types of uniaxial media are required. The first and second type consists of an absorber with the direction of anisotropy chosen along the - and -axis, respectively, and the third type consists of a simple combination of first and second media, as shown on the left-hand side (LHS) of Fig. 1. The direction of anisotropy with material loss parameters ( and ) are defined in Fig. 1. When considering a cylindrical PML, it is natural to start the dewith the direction scription in cylindrical coordinates

Fig. 1. (left) Three different kinds of uniaxial medium used in rectangular PML. (right) Concept of local to global transformation used in the radial absorber technique. Corresponding directions of anisotropy are given with the damping coefficient. The dotted lines enclose the common computational area. From [11].

of anisotropy chosen along the radial direction (the denotes a unit vector). In fact, this radial anisotropy can be modeled lowith cally using a rotated coordinate system given by axis, as shown the direction of anisotropy given by a local on the right-hand side (RHS) of Fig. 1. For a 2-D geometry, the local rotational transformation inside each PML cell of the finite-volume discretization has no influence on the -component of the field vector. The field values are transformed to the global coordinate system using the reverse transformation described in [10]. This approach corresponds to an approximation that neglects the influence of radial terms in the definition of the material tensor matrix described in [15] and [17]. Although this approximation influences the absorber performances, it is of great advantage to model cylindrical problems in a simple, efficient, and sufficiently accurate manner. III. 3-D RADIAL PML Here, the 2-D radial (i.e., cylindrical) absorber presented above is extended to a 3-D radial (i.e., spherical) PML absorber. A. Concept of Spherical Absorber In the following, the radiating structure (or the scatterer) is enclosed in a spherical computational domain in the configuration depicted in Fig. 2(a). The domain truncation is set up as a spherical perfectly matched absorbing shell terminated by a perfect electrically conducting (PEC) surface. The absorbing region has a thickness , equivalent to typically ten average cell-edge lengths (corresponding to ten layers in an FDTD PML). In the radial absorber, each cell of the FVTD discretization is filled with a perfectly matched uniaxial medium with the direction of anisotropy in the radial direction. The model presented here locally approximates the radial absorber as a uniaxial perfectly matched absorber. To this end, a local coordinate is defined for each absorber cell, as shown on system Fig. 2(b). Without loss of generality, the direction of anisotropy is chosen along the unit vector . The transformation from to the local coordinate the global coordinate system system is then defined by the radial direction of this unit vector . However, this definition is not unique, leading to an additional degree of freedom in the choice of the orientation and . A simple of the other two orthogonal unit vectors realization of such a transformation consists of a rotation by

FUMEAUX et al.: SPHERICAL PERFECTLY MATCHED ABSORBER FOR FINITE-VOLUME 3-D DOMAIN TRUNCATION

2775

a 3-D problem, the governing equations inside each radial PML system as cell are expressed locally in the

(5) The first six equations are the standard Maxwell equations with PML loss terms. The last two PDEs can be interpreted as and magnetic current quantities [4]. In scalar electric order to model the radial absorber in a generalized manner, all the field quantities have to be expressed in the global coordinate system. This can be written as and Fig. 2. (a) General configuration of the radial PML around a radiating object or scatterer. (b) Definition of the global (^ x; y ^; z ^) and the local (^ ^ ;z ^ ) cox ;y ordinate systems for a radial PML cell.

an angle about the axis followed by a rotation by an angle about the axis [see Fig. 2(b)]. The transformation of interest for the description of the radial absorber in the global Cartesian coordinate system is defined as (2) The corresponding transformation matrix is written as

(6)

defined in (3). The spatial partial using the transformation derivatives should also be transformed according to the chain rule, resulting in

(7) Incorporating (6) and (7) in the first six equations of the system and (5), and solving for yields the PDEs for the six field components inside the radial absorber. After algebraic manipulations, these PDEs can be written in the global coordinate system as

(3) (8) where the superscript

indicates matrix transposition.

B. Mathematical Description—Update Equations

(9)

The uniaxial PML medium [5] with anisotropy in the direction can be described by the permittivity and permeability tensors given in the local coordinate system as

(10)

with (4) A convenient way of expressing the PML governing equations is provided by the Maxwellian absorber formulation found in [4] (which can be shown to be equivalent to that of [5]). For

(11)

(12)

2776

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

(13) Considering equations (8)–(13), as expected, the structure of the rotationally invariant Maxwell equations remains unchanged. The first terms on the RHS of (8)–(13) determine the fluxes in the FVTD formulation, whereas the additional terms (with no spatial derivatives) describe the anisotropic losses inside the radial absorber. The last terms of (8)–(13) contain the equivalent currents and (scalars), which are deliberately kept in the local coordinate system in order to reduce the computational overhead. Using (6) and (7) in the last two equations of system (5), the update equations and are obtained as follows: for

(14)

(15) The integration of (8)–(13) and (14) and (15) over each cell of the spatial discretization is performed according to the FVTD algorithm and yields update equations inside the radial absorber. For example, integrating (8) over the volume of the cell yields the time update equation for the component

(16) Equations for the other field components are obtained correspondingly. Integrating (14) [and similarly (15)] over the cells of the finite-volume discretization yields

ditional PDEs (14) and (15). For each cell inside the absorber, only the following three PML-related parameters are required. determines the 1) The radial absorber conductivity losses inside the PML. To reduce the discretization error at the free-space-to-PML interface, the radial conductivity profile as a function of the radial distance is chosen as [3] (18) where is the starting radius of the PML and is its thickness. A quadratic conductivity profile (i.e., with ) is typically chosen for best performance. The order is determined by value of the maximal conductivity the desired theoretical normal-incidence reflection coefficient , as in [3]. 2) and 3) The direction of anisotropy of the radial PML for a considered cell is determined uniquely by the two angles and . For a spherical computational domain centered at the origin, these two angles correspond to the angular spherical coordinates at the barycenter of the cell. For each cell in the absorber region, the three parameters and are determined uniquely based on the spatial location of the cell. Therefore, all the factors of the anisotropic loss terms in (8)–(15) can be computed once in a preprocessing step. As a concluding remark on the formulation, it should be mentioned that (8)–(15) can be considered as a generalized formulation of the uniaxial PML with anisotropy in the direction . For example, the formulation specified by the angles or of the uniaxial PML in the principal directions can be retrieved by considering the angular directions 90 0 90 90 and 0 0 , respectively. In this perspective, it should be emphasized that the approximate radial absorber becomes an exact perfectly matched absorber only in the limit when its radius of curvature becomes infinite. IV. NUMERICAL EXAMPLES Three numerical examples with increasing complexity are presented here to evaluate the accuracy of the proposed radial absorber formulation in the framework of the FVTD method. A. Waveguide Truncation—Uniaxial PML

(17) It can be observed that the update of these scalar quantities and include only fluxes that are already required for the update of the - and -field components. Therefore, the overhead in terms of computational effort is minimal. C. Implementation The implementation of the spherical absorber is straightforward considering, firstly, the similarity of (8)–(13) to the standard Maxwell equations, and secondly, the simplicity of the ad-

The first example considers the truncation of a WR90 rectangular waveguide. The waveguide is characterized by transmm and mm, and the verse dimensions mode is 6.56 GHz. cutoff frequency of the fundamental The waveguide is truncated by a 15-mm-thick PEC-backed uniaxial PML with anisotropy in the direction of the waveguide axis. The return loss of the truncated waveguide is represented in Fig. 3 for four values of the theoretical reflection coefficient dB to dB. Furthermore, the ranging from theoretical return loss inside the truncated waveguide is represented via dashed lines in Fig. 3. According to the classical decomposition of the waveguide mode into plane waves, the angle of incidence depends on the frequency of operation . The theoretical reflection coefficients as a function of

FUMEAUX et al.: SPHERICAL PERFECTLY MATCHED ABSORBER FOR FINITE-VOLUME 3-D DOMAIN TRUNCATION

2777

Fig. 3. Numerical return loss S of a WR90 rectangular waveguide terminated by a 15-mm-thick uniaxial PMLs for different normal-incidence theoretical reflection coefficients 0 . The theoretical values are computed according to (19).

the angle of incidence as [3]

at the PML interface can be computed (19)

decreases from 90 (grazing incidence) The function at cutoff frequency to 0 (normal incidence) as the frequency asymptotically reaches infinity. As a result, the reflection cocorresponds to the return loss inside efficient the truncated waveguide. The results in Fig. 3 demonstrate that the 3-D FVTD absorber model is operating as intended. The low-level oscillations that are observed for the 80-dB theoretical reflection coefficient model are likely due to numerical imperfections of the source. The performance of the spherical dB due to discretization erabsorber saturates below to 100 dB does not enhance rors. For example, decreasing the performance for the considered spatial discretization ( at GHz). Using this waveguide model, the generalized absorber formulation (8)–(15) has been tested for radial invariance. As of the waveguide axis in expected, for any orientations space, the return loss remains identical, provided the direction of the anisotropy is matched to the axis of the waveguide. B. Point Source in Spherical Domain—Influence of Curvature The second example considers an infinitesimal current source in -direction placed in a spherical computational domain. The source is fed with a modulated Gaussian pulse with bandwidth stretching from 1 to 20 GHz. The radius of the sphere is chosen as 50 mm, which represents a fraction of the wavelength at the lower frequencies. The source is displaced from the center of the sphere in order to observe reflections from the outer boundary for a large range of incident angles. Snapshots of the -component of the electric field at two different time mm, stamps are shown in Fig. 4 for a source located at mm (depicted as circle with a dot). On the LHS plots of Fig. 4, the domain is bounded by the Silver–Müller ABC. This first-order ABC is commonly used in FVTD because it only requires setting the incoming fluxes to zero on the outer boundary. Good absorbing performances are realized

Fig. 4. Plots of the z -component of the electric field radiated from an infinitesimal current source in a spherical domain at two different time stamps. (left) Silver–Müller ABC truncation. (right) Spherical PML truncation. The source location is shown as a circle with a dot. The location of a sensor used for Fig. 6 is depicted as a crossed square. At t , the original pulse is visible, whereas at t , the incident pulse has already left the domain and only numerical reflections from the boundaries are observed.

Fig. 5. Image with reduced grayscale (1/24th that of Fig. 4) showing low-level reflections from the radial absorber at time t .

with a spherical Silver–Müller ABC placed at a large distance from the radiator/scatterer, which results in the near-normal incidence condition. On the RHS plots of Fig. 4, the same pulse is truncated using a radial absorber with thickness mm backed by a PEC. The conductivity profile is chosen as quadratic with a theoretical reflection coefficient dB. Absorption of the incident pulse inside the PML can be observed at the top right of Fig. 4, whereas no reflections are visible in this gray scale on the bottom right image. The structure of the reflection from the spherical absorber becomes visible when the gray scale is substantially reduced, as shown in Fig. 5. This reveals the nature of the low-level reflected field and allows the following observations. Firstly, for a point source, the reflections from the spherical boundary are focused mm, mm), to a symmetric point (here, at marked here with a crossed square. Secondly, the slight asymmetry in the field distribution indicates that reflection errors are at a magnitude close to the level of the unstructured mesh-induced noise in the FVTD simulation for the considered dis. cretization

2778

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 6. E -field magnitude as a function of the time at the location of the sensor (marked as crossed square). The incident pulse is centered around t , and the reflected pulse around t (corresponding to timestamps of Fig. 4). A reference is obtained by considering the pulse reflected by a PEC boundary without absorber (dotted line). The solid line indicates the pulse reflected by the spherical absorber. The reflection from the Silver–Müller ABC is also shown for comparison (dashed line). The radius of the full sphere (computational domain) is = 34 mm. 50 mm, and the PML starts at R

To obtain a more precise assessment of the radial absorber performance, the field components as a function of time are recorded at the location marked by a crossed square in Figs. 4 and 5. This arrangement provides a worst case assessment because the location of the “field sensor” corresponds to the point where reflections from the spherical outer boundary are focused. The field magnitude of the pulse is represented in Fig. 6 for three arrangements of the spherical outer boundary: PEC, Silver–Müller ABC, and radial absorber. The maximum of the directly incident pulse is not influenced by the outer boundary and reaches the sensor approximately at time . The reflected pulse is resolved in time and reaches the sensor approximately at time . Since the reflections from different directions are focused at the sensor location, the reflected pulse in the PEC spherical cavity (dotted curve in Fig. 6) has an amplitude 17 dB higher than that of the directly incident pulse. This maximum of the reflected pulse in the PEC cavity provides a reference value, which indicates the field amplitude that need to be attenuated by the absorber. When the spherical absorber is introduced in the PEC cavity (solid curve in Fig. 6), the reflection drops significantly down to 44 dB relative to the incident pulse. This low value confirms the fact that the reflected pulse from the radial absorber is unlikely to significantly influence the results of the simulation. However, to obtain a global “reflection coefficient” integrated over all considered frequencies and angles of incidence on the outer boundaries, the pulse reflected by the absorber has to be compared to the reflected pulse in the PEC cavity without the absorber. Comparison of the reflected pulse maxima with and without absorber yields then a reflection coefficient of 61 dB from the radial absorber. Also represented in Fig. 6 for comparison, the reflected pulse from the Silver–Müller ABC (dashed line) shows a mediocre performance of around 30 dB for the presented case. There are three major sources of errors that explain the discrepancy between the theoretical reflection coefficient

Fig. 7. Numerical reflection coefficient at the sensor location as a function of the theoretical reflection coefficient 0 for different radii of curvature of the = 25; 34; 51; and 68 mm. The thickness of the absorber radial absorber R = 16 mm, and  is computed to achieve the desired is kept constant d theoretical values 0 . The pulse has a bandwidth stretching from 1 to 20 GHz.

dB and the achieved numerical value of 61 dB, which are as follows. 1) Discretization error: It describes the deviation of the discrete PML from the continuous model. Convergence tests have confirmed that it is not a major contribution to the global error in the current case. is 2) Angular error: The theoretical reflection coefficient defined for normal incidence. In the example, waves impinging on the absorber at various angles degrade the performance with the angular dependence given in (19). 3) Curvature error: The considered approximate model of radial absorber is converging to an exact PML for infinite radius of curvature. Therefore, finite radii of curvature introduce an additional error in the absorber model. In order to quantify these errors, the performance of the radial absorber has been assessed for different values of its inner ra. The rest of the arrangement remains identical, i.e., dius mm, and a sensor at mm. with a point source at The (integrated) reflection coefficients achieved numerically at as a the sensor location are plotted in Fig. 7 for different function of the theoretical reflection coefficient . Results conincreases, where represents verge as the ratio the longest wavelength in the excitation pulse (1–20 GHz). This convergence is explained by the decrease of both angular and increases. curvature errors as the radius To distinguish the curvature error from the angular error, further numerical experiments with a similar arrangement are conducted. Since the angular error is only dependent on the geconstant and ometry, keeping the radius of the absorber ) of the excitation pulse varying the frequency range ( allows a characterization of the curvature error. The minimal pulse frequency is swept, while keeping the bandwidth of the . This results in a variapulse constant tion of the radius of curvature relatively to the wavelengths involved. The numerical reflection coefficient at the sensor locaand shows tion is plotted in Fig. 8 as a function of convergence of the results. From these results, it is apparent that the low-frequency field components are decaying at a slower pace than the high-fre-

FUMEAUX et al.: SPHERICAL PERFECTLY MATCHED ABSORBER FOR FINITE-VOLUME 3-D DOMAIN TRUNCATION

Fig. 8. Numerical reflection coefficient at the sensor location for a theoretical 0 = 80 dB as a function relative radius of curvature R = . The variation of R = is obtained by changing the lower frequency f = = 51 mm. The bandwidth c= of the excitation pulse for a constant R of the pulse f : f is kept to 1 : 10. The insets illustrate the pulse shapes at the first and last points in the graph.

0

2779

Fig. 9. Arrangement of the two cavity-backed Archimedean spiral antennas. The spiral on the right is displayed with part of its surface mesh and is cut open to reveal the balun and the cavity. The image also shows a cut through the spherical and the arrangement of the radial PML. outer boundary with radius R

quency components because of the smaller relative radius of curvature of the spherical absorber. This is also observed when considering the shape of the pulse reflected from the radial absorber in Fig. 6. From the presented results, it can be concluded that reasonable performances can be achieved even when the radius of curvature is a only small fraction of the minimum wavelength considered. C. Coupling Between two Spiral Antennas The third example shows a practical application of the radial absorber for a challenging electromagnetic problem. For most antennas applications, the performance of the Silver–Müller ABC offers a truncation accurate enough to yield results of practical engineering value. However, for scattering problems, or for the evaluation of low-level coupling between devices, the reflections from the boundary might become a dominant source of numerical error. This is illustrated with the following example that considers the coupling between two spiral antennas placed in close proximity. The two considered devices are cavity-backed Archimedean spiral antennas with an operation bandwidth of 1–18 GHz. The FVTD simulation of a single such device has been presented in [19]. The exploitation of a strongly inhomogeneous tetrahedral mesh permits the resolution of the 26 windings of the two 0.25-mm-wide arms of the spiral, the 0.25-mm-thick substrate, the absorber-loaded cavity, and the feeding balun. The typical cell size is increasing in the feed region to in the free from space surrounding the device and in the radial absorber. In the current configuration, the two spiral antennas are placed next to each other and tilted as shown in Fig. 9. One of the antennas is excited with a wideband pulse and the coupling parameter to the second antenna is determined. The first results consider the case when the computational domain is truncated with a Silver–Müller ABC (i.e., without a has to PML). The radius of the computational domain be chosen large enough to achieve near normal incidence on

Fig. 10. Coupling parameter S of the two spiral antennas in the configuration, as shown in Fig. 9, with Silver–Müller ABC. The graph compares measured data to results obtained from FVTD simulations for domains truncated by . Silver–Müller ABC with different radii R

the outer Silver–Müller ABC boundary. Simulated FVTD reas a function of the fresults of the coupling coefficient quency are shown in Fig. 10 together with measured data. The mm overestimate the parameter beresults for cause of the nonphysical dominant coupling paths including reflections from the outer boundary of the computational domain. mm and Comparing the corresponding curves for mm suggest a decrease and stabilization of the is increased past simulated coupling level as the radius 200 mm. However, numerical oscillations are still observed in with location of the zeros moving as the coupling curve is increased. These oscillations decay as is further increased, but at the cost of a massive increase in computational effort. Better results are obtained with the simulation of the two spiral antennas performed in a domain truncated by a PECbacked spherical PML. The outer radius of the computational mm. The absorber is domain can be reduced to mm, a quadratic conchosen with a thickness ductivity profile, and a theoretical reflection coefficient dB. The FVTD simulation is presented in Fig. 11 and

2780

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 11. Coupling parameter S of the two spiral antennas in the configuration, as shown in Fig. 9, with radial PML truncation. The graph compares measured data to results obtained from a FVTD simulation using a 80-dB PML = 98 mm and d = 17 mm. with R

0

demonstrates a nearly perfect agreement to the measured data. This highlights the significant advantage of using the spherical absorber in a practical application. V. CONCLUSION This paper has presented a general approximate formulation of a spherical perfectly matched absorber suitable for conformal time-domain methods. The formulation is based on a local description of the radial absorber as a uniaxial PML, combined with a transformation into the global Cartesian coordinate system. The proposed spherical configuration has the advantage of avoiding corner regions encountered in the standard rectangular PML implementation. Furthermore, the volume of the computational domain is reduced compared to the rectangular configurations. Although the absorber accuracy is limited by its radius of curvature, several examples presented here have demonstrated excellent performances of the radial absorber as a domain truncation in the framework of the FVTD method. The Maxwellian system describing the spherical absorber represents, in fact, a generalized formulation of the PML for any arbitrary direction of anisotropy. The absorption properties of each cell inside the absorber are uniquely described by the and the direction of anisotropy expressed by conductivity . Therefore, after appropriate definition of two angles these three parameters, the same simple and general formulation can be applied to more complex absorber shapes, e.g., ellipsoidal or convex conformal outer boundaries. REFERENCES [1] R. L. Higdon, “Absorbing boundary conditions for difference approximations to multi-dimensional wave equation,” Math. Comput., vol. 47, no. 176, pp. 437–459, Oct. 1986. [2] A. Bayliss, M. Gunzburger, and E. Turkel, “Boundary conditions for the numerical solution of elliptic equations in exterior domains,” SIAM J. Appl. Math., vol. 42, no. 2, pp. 430–451, 1982. [3] J.-P. Bérenger, “A perfectly matched layer for the absorption of electromagnetic waves,” J. Comput. Phys., vol. 114, no. 2, pp. 185–200, 1994.

[4] R. W. Ziolkowski, “Time-derivative Lorentz material model-based absorbing boundary condition,” IEEE Trans. Antennas Propag., vol. 45, no. 10, pp. 1530–1535, Oct. 1997. [5] S. Gedney, “An anisotropic perfectly matched layer-absorbing medium for the truncation of FDTD lattices,” IEEE Trans. Antennas Propag., vol. 44, no. 12, pp. 1630–1639, Dec. 1996. [6] L. Zhao and A. Cangellaris, “GT-PML: Generalized theory of perfectly matched layers and its application to the reflectionless truncation of finite-difference time-domain grids,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2555–2563, Dec. 1996. [7] T. Rylander and J.-M. Jin, “Perfectly matched layer in three dimensions for the time-domain finite element method applied to radiation problems,” IEEE Trans. Antennas Propag., vol. 53, no. 4, pp. 1489–1499, Apr. 2005. [8] F. Bonnet and F. Poupaud, “Berenger absorbing boundary condition with time finite-volume scheme for triangular meshes,” Appl. Numer. Math., vol. 25, no. 4, pp. 333–354, Dec. 1997. [9] K. Sankaran, C. Fumeaux, and R. Vahldieck, “Cell-centered finite-volume based perfectly matched layer for time domain maxwell system,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1269–1276, Mar. 2006. [10] K. Sankaran, C. Fumeaux, and R. Vahldieck, “Uniaxial and radial anisotropy models for finite-volume Maxwellian absorber,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, Dec. 2006. [11] K. Sankaran, C. Fumeaux, and R. Vahldieck, “Radial absorbers for conformal time-domain methods: A solution to corner problems in mesh truncation,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 3–8, 2007, pp. 709–712. [12] M. Kuzuoglu and R. Mittra, “Investigation of nonplanar perfectly matched absorbers for finite-element mesh truncation,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 474–486, Mar. 1997. [13] F. L. Teixeira and W. C. Chew, “PML-FDTD in cylindrical and spherical grids,” IEEE Microw. Guided Wave Lett., vol. 7, no. 9, pp. 285–287, Sep. 1997. [14] F. L. Teixeira and W. C. Chew, “Systematic derivation of anisotropic PML absorbing media in cylindrical and spherical coordinates,” IEEE Microw. Guided Wave Lett., vol. 7, no. 11, pp. 371–373, Nov. 1997. [15] P. G. Petropoulos, “Reflectionless sponge layers as absorbing boundary conditions for the numerical solution of Maxwell equations in rectangular, cylindrical and spherical coordinates,” SIAM J. Appl. Math., vol. 60, no. 3, pp. 1037–1058, 2000. [16] F. L. Teixeira, K. P. Hwang, W. C. Chew, and J. M. Jin, “Conformal PML-FDTD schemes for electromagnetic field simulations: A dynamic stability study,” IEEE Trans. Antennas Propag., vol. 49, no. 6, pp. 902–907, Jun. 2001. [17] D. B. Davidson and M. M. Botha, “Evaluation of a spherical PML for vector FEM applications,” IEEE Trans. Antennas Propag., vol. 55, no. 2, pp. 494–498, Feb. 2007. [18] P. Bonnet, X. Ferrières, B. Michielsen, P. Klotz, and J. Roumiguiéres, Time Domain Electromagnetics, S. M. Rao, Ed. New York: Academic, 1997, ch. 9, pp. 307–367. [19] C. Fumeaux, D. Baumann, and R. Vahldieck, “Finite-volume time-domain analysis of a cavity-backed Archimedean spiral antenna,” IEEE Trans. Antennas Propag., vol. 54, no. 3, pp. 844–851, Mar. 2006. Christophe Fumeaux (M’03) received the Diploma and Ph.D. degrees in physics from the ETH Zürich, Zürich, Switzerland, in 1992 and 1997, respectively. From 1998 to 2000, he was a Post-Doctoral Researcher involved in infrared technology with the School of Optics, University of Central Florida, Orlando. In 2000, he joined the Swiss Federal Office of Metrology, Bern, Switzerland, as a Scientific Staff Member. Since 2001, he has been a Research Associate with the Laboratory for Electromagnetic Fields and Microwave Electronics (IFH), ETH Zürich, Zürich, Switzerland. During Fall 2005, he was a Visiting Scientist with the Laboratory of Sciences and Materials for Electronics, and of Automatic (LASMEA), Blaise Pascal University, Clermont-Ferrand, France. His current main research interest concerns computational electromagnetics in the time domain for numerical analysis of microwave circuits and antennas. Dr. Fumeaux has been the chairman of the IEEE Swiss Joint Chapter on Microwave Theory and Techniques, Antennas and Propagation, and Electromagnetic Compatibility (EMC) since January 2006. He was the recipient of the ETH Silver Medal of Excellence for his doctoral dissertation. He was the corecipient of the 2004 Applied Computational Electromagnetics Society (ACES) Outstanding Paper Award.

FUMEAUX et al.: SPHERICAL PERFECTLY MATCHED ABSORBER FOR FINITE-VOLUME 3-D DOMAIN TRUNCATION

Krishnaswamy Sankaran (S’98) received the B.Eng. degree (with a first-class distinction) in electrical and electronics engineering from the University of Madras, Madras, India, in 2002, the M.Sc. degree in information and communication engineering from the University of Karlsruhe TH, Karlsruhe, Germany, in 2004, and the Ph.D. degree from the ETH Zürich, Zürich, Switzerland, in 2007. From October 2003 to May 2004, he was a Research Trainee with the European Commission, Joint Research Centre, Ispra, Italy, where he was involved in the field of radar systems engineering and remote sensing. In June 2004, he joined the ETH Zürich, where he is currently with the Laboratory for Electromagnetic Field Theory and Microwave Electronics (IFH). His main research interests are numerical methods for solving EM field problems, computational physics, and applied mathematics. Mr. Sankaran is currently chair of the IEEE Student Branch Zürich. He was the recipient of a full postgraduate scholarship and he was one of the recipients of the 2006 Best Student Paper Award presented at the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), San Francisco, CA.

Rüdiger Vahldieck (M’85–SM’86–F’99) received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from the University of Bremen, Bremen, Germany, in 1980 and 1983, respectively. From 1984 to 1986, he was a Postdoctoral Fellow with the University of Ottawa, Ottawa, ON, Canada. In 1986, he joined the Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada, where he became a Full Professor in 1991. During Fall and Spring of 1992–1993 he was a Visiting Scientist with the Ferdinand-Braun-Insti-

2781

tute für Hochfrequenztechnik, Berlin, Germany. In 1997, he was became a Professor of electromagnetic field theory with the ETH Zürich, Zürich, Switzerland, and became Head of the Laboratory for Electromagnetic Fields and Microwave Electronics (IFH) in 2003. In 2005, he became President of the Research Foundation for Mobile Communications and was elected Head of the Department of Information Technology and Electrical Engineering (D-ITET), ETH Zürich. Since 1981, he has authored or coauthored over 300 technical papers in books, journals, and conferences. His research interests include computational electromagnetics in the general area of electromagnetic compatibility (EMC) and, in particular, for computer-aided design of microwave, millimeter-wave, and opto-electronic integrated circuits (ICs). Prof. Vahldieck is the past president of the IEEE 2000 International Zürich Seminar on Broadband Communications (IZS’2000) and, since 2003, president and general chairman of the International Zürich Symposium on Electromagnetic Compatibility (EMC Zürich). He is a member of the Editorial Board of the IEEE TRANSACTION ON MICROWAVE THEORY AND TECHNIQUES. From 2000 to 2003, he was an associate editor for the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, and from July 2003 to 2005, he was the editor-in-chief. Since 1992, he has been on the Technical Program Committee (TPC) of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), the IEEE MTT-S Technical Committee on Microwave Field Theory, and in 1999, on the TPC of the European Microwave Conference. From 1998 to 2003, he was the chapter chairman of the IEEE Swiss Joint Chapter on IEEE MTT-S, Antennas and Propagation (AP), and Electromagnetic Compatibility (EMC) societies. He was the recipient of the 1996 Institution of Electronics and Telecommunication Engineers (IETE) J. K. Mitra Award for the best research paper. He was corecipient of the 1983 Outstanding Publication Award of the Institution of Electronic and Radio Engineers and the 2004 ACES Outstanding Paper Award.

2782

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Live Electrooptic Imaging System Based on Ultraparallel Photonic Heterodyne for Microwave Near-Fields Kiyotaka Sasagawa, Atsushi Kanno, Tetsuya Kawanishi, Senior Member, IEEE, and Masahiro Tsuchiya, Member, IEEE

Abstract—We report on 100 100 pixel live electrooptic imaging (LEI), which is real-time imaging of electrical signals on a microwave circuit. The circuit’s electric near-fields can be depicted in real time on a display screen at video frame rates as high as 30 frames/s. This system is based on photonics technology in which electric near-fields are applied to an electrooptic crystal plate and a sensing light beam is modulated there. The frequency of modulation is down-converted by using a photonic heterodyne of large-scale parallelism, and the spatial pattern of the electrooptic modulation is detected with a high-speed image sensor. A digital signal processor is used to extract the frequency component of interest and to animate it on the display screen. As examples, we present live pictures of electric field pattern data acquired by the LEI system in a real-time manner. Index Terms—Electromagnetic field imaging, electrooptic measurement, large-scale parallelism, photonic heterodyne, real-time imaging.

I. INTRODUCTION IRELESS communications systems with higher performance and functionality will be essential in the highly networked information societies of the future. Advanced portable microwave equipment will need to be developed in an efficient manner to shorten their time to market. Indispensable to such microwave technology evolution are highly dense packaging and a means of suppressing unnecessary radio-wave leakage and malfunctions caused by electromagnetic interference. Because these problems are in the subwavelength regime, observation of electromagnetic near-fields will be important to deal with them. Photonic methods based on electrooptic or magnetooptic effects are attractive for this purpose because of their low invasiveness and high spatial resolution [1]–[7]. In even the most agile of systems, however, it takes a few minutes or longer to acquire an electromagnetic field image with sufficiently high definition [8], [9]. Given the great success of charge-coupled devices (CCDs)

W

Manuscript received April 18, 2007; revised September 5, 2007. This work was supported by the National Institute of Information and Communications Technology under the Presidential Fund. The authors are with the New Generation Network Research Center, National Institute of Information and Communications Technology, 184-8795 Tokyo, Japan (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909889

for acquiring optical image data, an ultraparallel photonic measurement scheme based on arrayed sensors could be promising for quicker electrooptic-image data acquisition. We previously demonstrated a 100 100 pixel electrooptic imaging system on the basis of a large-scale parallel photonic heterodyne [10]. However, it takes several seconds or even longer to complete the whole signal processing procedure before a corresponding pattern image appears on the display screen. The operation mode of the system is like a still camera, i.e., it takes a long time for “development.” This is because large amounts of data from the high-speed image sensor cannot be dealt with in a real-time manner. To make the system more agile or, in other words, more user-friendly, improvements are needed somewhere around the final part of the signal processing. Recently, we demonstrated the first realization of an electric near-field imaging system that takes live pictures of the electrical signals of a microwave circuit. In this system, the signal processing is accelerated by employing a digital signal processor. Consequently, its maximum frame rate rises to the video frame rate of 30 frames/s. We named the system live electrooptic imaging (LEI) [11]. In this paper, we describe the operation principle of the LEI system and some of the advanced microwave electric field measurements that can be done with it. The agile imaging capability of the LEI system not only dramatically reduces acquisition time but also enables the system to acquire instantaneous and subsequent electric field distributions, which are impossible to acquire with conventional systems. We believe the LEI system will be very useful for determining the origins of unnecessary radio-wave emissions or malfunctions in sophisticated microwave circuit boards. II. LEI SYSTEM Fig. 1 schematically shows the concept of the LEI system, by which a live picture of a electric near-field pattern over a device-under-test (DUT) at a specific radio frequency can be displayed on screen. The electric near-field pattern is instantaneously acquired and successively displayed in a real-time manner. To achieve such a high-speed image acquisition, parallelization of data channels is indispensable, which is rather unusual compared with conventional electric field measurement schemes. Parallelization is a good match with the electrooptic measurement because the influence to the electromagnetic field is acceptably low even when an electrooptic crystal plate is

0018-9480/$25.00 © 2007 IEEE

SASAGAWA et al.: LEI SYSTEM BASED ON ULTRAPARALLEL PHOTONIC HETERODYNE FOR MICROWAVE NEAR-FIELDS

2783

Fig. 1. Concept of the LEI system. From [11].

used as a sensor. Although a coaxial probe is sometimes used for electric near-field measurement, it is not reasonable to use a coaxial probe array for parallelization because of its high invasiveness. Another advantage of the electrooptic scheme is that conventional lens optics can be used for parallel signal processing. In addition, high-speed (i.e., thousands of frames/s) and high-sensitivity image sensors have recently become available by virtue of advances in large-scale integrated circuit technology. These features enable one to build a compact and low-cost system. One might think that the LEI system is similar to the parallelization scheme for time-domain terahertz imaging with a CCD camera [12]. However, the present scheme is different from time-domain terahertz imaging in an essential sense. Indeed, it is impossible to apply terahertz imaging to microwave DUT field imaging, since its spectral resolution is determined by the optical delay time and is inherently coarse; therefore, its sensitivity is not sufficiently high. Unlike time-domain terahertz imaging, the LEI system is based on frequency-domain measurements, and its spectrum resolution is 30 Hz or narrower. Consequently, the system is sufficiently sensitive, and images at a specific frequency are instantaneously acquired, as we demonstrate later. We reported the first realization of an electrooptic near-field imaging system based on photonic parallelism in 2005 [13]. Although this system operated in a real-time manner, its spatial resolution was far from satisfactory, because its degree of par8 8 . Our subsequent work [10] inallelism was only 64 troduced a high-speed image sensor in the form of a 100 100 photodetector array; it had dramatically better spatial resolution but did not have a real-time imaging capability. In the present system, both of these features are simultaneously realized, i.e., high-definition electric field patterns are displayed in a real-time manner. The details of the LEI system are as follows. A. Photonic Heterodyne Method A high-speed image sensor is used as a photodetector array. The frame rate or sampling rate of the image sensor is 10 kHz, which corresponds to the Nyquist frequency of 5 kHz. Therefore, microwave signals cannot be detected directly by the image sensor. The photonic heterodyne method [14] schematically shown in Fig. 2 is used to overcome this problem. The intensity of input light from a single-mode laser source is

Fig. 2. Schematic diagram of a photonic heterodyne.

modulated at a frequency of . Assuming that the input light intensity is modulated sinusoidally, the optical electric field is given by (1) is the maximum amplitude of the input modulated where is the optical frequency of the light source. light and The modulated light is launched onto an electrooptic sensor. Based on the Pockels effect, the refractive index of the electrooptic sensor for each polarization component is modulated by . As a the electric field of the microwaves at a frequency of result, the polarization of the output light is also modulated. The polarization modulation is converted into intensity modulation by an optical analyzer such as a polarization beam-splitter or a polarizer. The optical electric field of the output light is

(2) where is the total amplitude reflectance of the optical system, are the coefficients of modulation depth and the and and frequency of intensity modulation of the electrooptic sensor and the optical analyzer. Here, is approximately proportional to the amplitude of microwave electric field if it is assumed that , which is usually true in practical experiments. is described as The signal detected by the photodiode (3) Consequently, many frequency components appear in the signal. What we are interested in is the intermediate-frequency (IF) . By setting the frequency differcomponent ence between and as lower than the Nyquist frequency

2784

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

is chosen so that it is sensitive to electric fields either parallel or perpendicular to the crystal surface, as will be described later. The crystal surfaces are coated with dielectric layers for high transmittance on the top and high reflectance on the bottom at 780 nm. The light reflected from the bottom of the crystal is analyzed by a polarization beam-splitter, and a corresponding intensity-modulated light pattern is generated. The IF compois detected by the high-speed image nent sensor while the RF frequency components are cut off. The optical saturation power of each pixel is approximately 0.01 W at the wavelength of 780 nm, which is 10 times lower than that of a typical photodiode ( 10 mW) used in a conventional electrooptic measurement system. The low saturation power degrades the sensitivity. In order to compensate for this drawback, the polarization of the input light is adjusted by controlling waveplates on the basis of the modulation-depth enhancement method [15]. The photocurrent generated at each pixel is then converted into digital data and processed numerically. Finally, the intensity and phase images of the electric field are displayed on a computer screen or stored in memory.

Fig. 3. LEI system.

C. Signal Processing

Fig. 4. Schematic illustration of the photonic part of the LEI system. MZM: Mach–Zehnder modulator; PBS: polarization beam-splitter; EO sensor: electrooptic sensor. From [11].

of the image sensor, the microwave electric field can be downconverted and detected by the “slow” photodiode array. Thus, the LEI optics system works as a mixer in the optical regime. , which corresponds to The intensity of the IF component the electric field intensity, is given by (4) and the square and is proportional to the input light intensity of the modulation depth by the electrooptic sensor .

Real-time imaging was not possible in the previous system because the high-speed image sensor took 14-bit images with 100 100 pixels at 10 000 frames/s, and data from the image sensor had to be processed in a computer. The data transfer rate between the image sensor unit and the computer must be higher than 1.4 Gb/s for continuous display of electrooptic images. Thus, the system could not send raw data from the image sensor to a computer in real time. In the present system, to eliminate the bottleneck, we separated the signal processing procedure into two parts: “preprocessing” and “image rendering.” These signal processing substitutes for the lock-in amplifier used in a conventional single-channel system. In other words, the signal received from the image sensor is mixed with a reference signal and filtered by a low-pass filter. The amplitude and phase of the original microwave signal are numerically deduced from the output signal. Equivalently, the “preprocessing” procedure corresponds to a mixer (a) and a low-pass filter (b): (a) the received signal is multiplied with reference signals with , and (b) high-frequency components a phase difference of are filtered out via accumulation of all the frames. As a result, the amount of data is reduced by a factor of (5)

B. System Configuration Figs. 3 and 4 show a photograph and a schematic diagram of the photonic part of the LEI system, respectively. As described above, the operation principle of the system is based on photonic heterodyne detection [14]. Light emitted from a single-mode laser diode operating at 780 nm is launched into a LiNbO -based Mach–Zehnder modulator and is modulated at . The modulated light beam is expanded and collimated by a set of optics. Waveplates are inserted to adjust the polarization of the input beam. An electrooptic crystal (ZnTe) with dimensions of 25 mm 25 mm 1 mm is placed above the DUT, from are emitted, and the crystal is which electric near-fields at illuminated by the modulated light beam. The crystal orientation

where and are the frame rates of the high-speed image sensor and LEI, respectively. In the “image rendering” procedure, the amplitude and phase of the electric field are numerically deduced from the preprocessed data. In addition, the sensitivity of each pixel is equalized according to the Gaussian profile of the expanded light. Finally, these patterns are displayed on the computer’s screen. The above numerical procedures were incorporated as follows. The preprocessing is carried out in a digital signal processor before the data are transferred to the computer (Fig. 5). Consequently, the data to be transferred are reduced by a factor of , which is approximately one thousand at maximum. On the

SASAGAWA et al.: LEI SYSTEM BASED ON ULTRAPARALLEL PHOTONIC HETERODYNE FOR MICROWAVE NEAR-FIELDS

2785

Fig. 5. Block diagram of the signal processing unit of the LEI system. DSP: digital signal processor.

Fig. 6. Configuration of electrooptic sensor and microstrip-line reference DUT.

Fig. 7. (a) E intensity, (b) E phase, and (c) optical images of the 1-mm-wide microstrip line. The frequency and power of the input RF signal were 10 MHz and 20 dBm, respectively. The number of frames for accumulation was 8192 frames.

computer, the image rendering takes place so that the intensity and phase patterns of the electric field are constructed two-dimensionally. All processes mentioned above are performed in real time. The details of the data processing will be reported elsewhere. D. LEI Performance To investigate the performance of the present LEI system, electric near-fields over a reference DUT were measured. The DUT was a 1.0-mm-width microstrip line on a 1.6-mm-thick FR4 substrate. Fig. 6 shows the experimental setup. A ZnTe plate with dimensions of 25 mm 25 mm 1 mm was placed above the microstrip line as an electrooptic sensor, which is sensitive to an electric field in the -direction, as shown in Fig. 6.The distance between the sensor and the DUT was set at 0.3 mm. Fig. 7 shows examples of LEI images, which are intensity and phase images of electric fields parallel to the -direction and an optical image of the microstrip line. The average power of modulated light was 4.1 mW, and the frequency and the power of the input RF signal were 10 MHz and 20 dBm, respectively. The number of frames for accumulation was 8192. The electric field above the microstrip line is clearly visible in Fig. 7(a). In Fig. 7(b), the phase above the microstrip line is opposite to those on either side. The top and bottom areas of the image are noisy because the electric field signals are small there and the signal-to-noise ratios (SNRs) are not as high as the value above the line. Fig. 8 plots the spectrum of the EO signal above the microstrip line. The RF power input into the DUT was set at 20 dBm. The solid line in the figure shows the frequency characteristics of the optical modulator for a modulation power of 9 dBm. The LEI EO signal and modulator characteristics are normalized by the intensity at 10 MHz. These results indicate that the 3-dB bandwidth of the modulator is 4 GHz. Furthermore, there is high correlation between these spectra, and the operation bandwidth of the LEI system is only limited by that of the optical modulator. It should be noted that a ZnTe

Fig. 8. Frequency characteristics of the LEI system (closed circles) and the optical modulator used in the system (solid line). The closed circles show measured signal intensities above the microstrip line. The intensities are normalized by the intensities at 10 MHz. Input RF powers into the DUT and the modulator were set at 20 and 9 dBm, respectively. The number of frames for accumulation was 8192 frames, and the LEI images were filtered with a 5 5 low-pass filter.

2

plate is also used in terahertz imaging systems because of the high bandwidth of the Pockels effect. Therefore, the operation frequency can be extended to the millimeter, submillimeter, or terahertz wave region by using a higher speed optical modulator [16]. The noise level was 25 3 dB from 10 MHz to 20 GHz. An SNR greater than 20 dB was obtained up to 10 GHz. Fig. 9 shows spectra of the background noise of the LEI system and the intensity noise of the light modulated by the optical modulator. The open circles indicate background noise derived from LEI images. The dashed line is the noise intensity of the modulated light at 2.5 kHz, which is the intermediate frequency of the LEI system directly measured by a high-speed photodetector (Discovery Semiconductor, DSC30S). Both plots are normalized by the optical carrier intensities. The noise intensity of the modulated light is approximately 10 dB lower than that of the LEI from 10 MHz to 20 GHz. This indicates that the intensity noise level of the modulated light is sufficiently low and the noise in the image sensing unit is the dominant factor. Therefore, the balanced detection method, which is

2786

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 9. Noise spectra of the LEI system (closed circle) and the optical modulator (solid line). The closed circles show measured signal intensities above the microstrip line. Both plots are normalized by the optical carrier intensities. accumulation was 8192 frames, and the LEI images were filtered with a 5 5 low-pass filter.

2

Fig. 10. Measured signal intensity above the microstrip line as a function of the input RF signal power. The frequency of the input signal was 10 MHz. The signal intensity is normalized by the intensities at the input power of 20 dBm. The number of frames for accumulation was 8192 frames, and the LEI images were filtered with a 5 5 low-pass filter.

2

often used in conventional single-channel systems, is not an efficient noise-reduction technique for the present system. Fig. 10 shows the EO signal intensities as a function of the input RF power at 10 MHz. The signal intensities are normalized by the intensity when the input power is 20 dBm. The EO signal is proportional to the input power from 0 to 20 dBm. The minimum detectable input power is lower than 1 dBm, which corresponds to 0.2 V Hz. Fig. 11 shows EO signal amplitude as a function of the average input power of modulated light. The amplitude is proportional to the input power, and it is in agreement with (4). Therefore, the maximum SNR is obtained at the center of the LEI image because the modulated light irradiated on the EO sensor has a Gaussian beam profile. Although the sensitivity of LEI system can be improved by increasing the input optical power, the power received by each pixel of the sensor is limited to approximately 0.01 W, as described above. The system uses the method described in [15] to enhance its sensitivity. The enhancement factor is limited by the extinction ratio of the optical analyzer, which corresponds to the PBS in Fig. 4. The sensitivity could be improved through the use of a higher power laser source and an optical analyzer with a higher extinction ratio. In the LEI system, acquisition time of electric field images is drastically reduced, and even real-time imaging is realized by means of 10 000 parallel heterodyne detection. Meanwhile, high sensitivity [2], [3] and extremely low invasiveness [4], [5] are

Fig. 11. Measured signal intensity above the microstrip line as a function of the input RF signal power. The frequency of the input signal was 1 GHz. The signal amplitude is normalized by the intensities at the average input optical power of 5 mW. The number of frames for accumulation was 8192 frames, and the LEI images were filtered with a 5 5 low-pass filter. The sold line is a linear fit to the experimental data.

2

Fig. 12. (a) Schematic diagram of dual-frequency planar inverted-F antenna. (b) Planar inverted-F antenna fabricated on an FR4 substrate. From [11].

achieved in conventional single-channel systems. It is expected that LEI systems will be used for high-speed imaging under various conditions, and details of the electromagnetic field will be measured with single-channel systems. III. LEI DEMONSTRATIONS Because the LEI scheme simultaneously gives instantaneous and continuous image acquisition with high spatial resolution, it will be an effective tool to reveal the hidden origins of unnecessary radio-wave emissions or circuit malfunctions through rapid spatial investigation of circuit boards. Besides this principal function, the LEI scheme has other interesting usages, as shown in the following. A. LEI With Frequency Sweep Due to the high-bandwidth properties of the Mach–Zehnder modulator and electrooptic crystal, it is possible to tune the measurement frequency arbitrarily. Thus, the LEI scheme is a powerful tool to characterize microwave components because it scans the frequency of the interest promptly. A dual-frequency planar inverted-F antenna (PIFA) was chosen as the DUT [Fig. 12(a) and (b)] for this demonstration [17]. The antenna was fabricated on an FR4 printed circuit board with a thickness of 1.6 mm. The measured and simulated spectra of the antenna (Fig. 13) each exhibit two minima at 3.8 and 7.4 GHz, corresponding to the resonance frequencies of the antenna. The electrooptic crystal plate sensor was placed above the ZnTe, DUT, as shown in Fig. 14. The sensor was made of

SASAGAWA et al.: LEI SYSTEM BASED ON ULTRAPARALLEL PHOTONIC HETERODYNE FOR MICROWAVE NEAR-FIELDS

2787

Fig. 13. Reflectance spectrum of the planar inverted-F antenna. From [11].

Fig. 14. Configuration of an electrooptic sensor and the planar inverted-F antenna.

which is sensitive to electric field components in the -direction. The distance between the DUT and the electrooptic sensor was approximately 1 mm, which is shorter than that in the experiments described in [11]. Fig. 15 shows the simulated and meapatterns at the two resonant frequensured electric near-field cies. The simulation results calculated with Ansoft Designer are distributions 2 mm above the PIFA. The simulation results are in reasonable agreement with the measured data as follows. At both frequencies, there are two peaks at 9 mm and around 14 mm. At 3.7 GHz, the highest electric field appears on the left-hand side of the antenna, while at 7.2 GHz the highest peak appears around the notch area. In this measurement, resonant frequencies were slightly measurement. This resonant frequency lower than in the shift is due to the electrooptic sensor being in the vicinity of the DUT. The permittivity of ZnTe is 10.1, and it affects the electromagnetic distribution over the DUT to some extent. However, the influence of the electrooptic sensor is not significant and can be predicted by simulation [9]. It should be noted that the LEI scheme allows one to characminimum and terize the antenna near-field pattern around an its abrupt frequency dependence immediately. Indeed, the intensity pattern shown in Fig. 15 clearly appears as the signal frequency approaches 3.7 GHz, whivh is the resonance frequency of antenna, and it disappears above this frequency. Fig. 16 shows the electrooptic signal intensities at points 1 and 2 indicated in Fig. 15 as the signal frequency was discretely increased to

Fig. 15. Simulated and measured distribution and of the E component at frequencies of: (a) 3.7 and (b) 7.2 GHz. Profiles along the dashed lines are also shown. Input RF signal intensity was 23 dBm. Acquisition time for each image was 0.82 s.

Fig. 16. Normalized electrooptic signal intensity at points 1 and 2 indicated in Fig. 15. Input signal frequency was increased in steps of 0.1 GHz for 0.82 s each.

100-MHz steps every 0.82 s. It took 82 s to acquire the frequency characteristics of 10 000 pixels. At 3.7 GHz, an intense electrooptic signal exists at point 1, while at 7.2 GHz another peak appears at point 2. As mentioned above, the resonant frein Fig. 13 due to quencies are slightly lower compared with the electrooptic sensor.

2788

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 17. Stripline fed patch antenna. From [11].

Fig. 19. Fig. 18.

Fig. 18. Sequential images of the E -component distribution on the patch antenna as the phase is being swept continuously. The time interval is 0.82 s. The frequency of phase rotation is 0.1 Hz. Input RF signal intensity is 27 dBm. (a) t = 0 s. (b) t = 0:82 s. (c) t = 1:64 s. (d) t = 2:46 s. (e) t = 3:28 s. (f) t = 4:10 s.

Thus, the LEI system can capture changes in the electric field pattern as a live picture because of its rapid imaging capability and the wide- and fine-frequency tunability of the photonic heterodyne method. Therefore, we believe the LEI scheme will enable simultaneous characterizations of frequency resonance and geometrical resonance in an intuitive manner. This feature is attractive when sophisticated circuit boards are diagnosed. B. LEI With Phase Rotation The LEI system simultaneously acquires intensity and phase patterns of the electric field. The phase of the LEI picture can be continuously swept by setting the intermediate frequency slightly off from the reference frequency of the numerical signal processing used for the image construction. This effect allows us to promptly grasp the phase dependence of the electric field pattern on a device. To show that this phase sweeping scheme works, an LEI experiment was performed with a patch antenna with a microstrip line as a feed element (Fig. 17). Its electric near-field pattern was depicted live, as shown in Fig. 18. This experiment used a ZnTe crystal that was placed to be sensitive to electric field components in the -direction. The gap between the antenna and the was 6.5 GHz, electrooptic crystal was 0.3 mm. When was set to be Hz, i.e., the frequency of phase rotation was 0.1 Hz.

Normalized electrooptic signal intensity at points 3–6 indicated in

As the electrooptic signal combining intensity and phase inwas set to be indicated at each formation, an amount pixel. Here, is the normalized amplitude of the electric field and is the phase difference between the reference and measured signals. Fig. 18(a)–(f) shows frame shots of live pictures that were taken at 0.82-s intervals. Intense electrooptic signals are observed on the corners of the patch antenna, and the phase difference between two adjacent corners is rad, as predicted appears on both sides of by calculation. Over the stripline, the line, but with opposite phases. As time progresses, or as the phase of the input signal rotates, the electrooptic signal des, and it appears again after creases and disappears at sign inversion. Fig. 19 shows the electrooptic signal intensities at points 3–6 indicated in Fig. 18(a). The electrooptic signals are along sinusoidal curves because the input signal phase varies linearly with time. Points 3 and 4 are on adjacent corners, and their phases are opposite. The relation between points 5 and 6, which are on the stripline, are the same way. If one compares the signals on the corners and the stripline, the phase difference between the resonant signal at points 3 and 4 and the input signal at points 5 rad. and 6 is approximately The highest frame rate is currently the video frame rate (30 Hz). Fig. 20 shows frame shots of live pictures acquired at frame rates of 2.4 Hz (410 ms/frame) and 30 Hz (34 ms/frame) while the phase rotation frequency increased from 0.1 to 10 Hz. These pictures show frames at almost the same phase as indicated in Fig. 18(a). At the phase rotation frequency of 0.1 Hz, which is sufficiently smaller than the frame rates, image quality deteriorates as the frame rate increases. Assuming that the linewidth of the input signal is much smaller than the frame rate, the electrooptic signal intensity is proportional to the number of frames for accumulation. Therefore, the sensitivity of the electrooptic image at a frame rate of 30 Hz is approximately 11 dB lower than at 2.4 Hz. At the phase rotation frequency of 10 Hz, in contrast, no signal is observed at the frame rate of 0.1 Hz. Increasing the frame rate to the video frame rate at the expense of image quality enables one to observe rapid changes in electric fields continuously. C. LEI of RF Circuits With Environmental Variation Mobile wireless devices are used in various environments. For example, cellular phones are handheld. Therefore, it is nec-

SASAGAWA et al.: LEI SYSTEM BASED ON ULTRAPARALLEL PHOTONIC HETERODYNE FOR MICROWAVE NEAR-FIELDS

2789

Fig. 22. Transmittance of the CPW stub resonator with and without the metal plate.

Fig. 20. E -component distribution of various phase rotation frequencies at frame rates of 2.4 and 30 Hz (video rate). Electrooptic signal intensities are normalized to the images at the phase rotation frequency of 0.1 Hz.

Fig. 21. Configuration of the CPW stub resonator and the metal plate. The metal plate is placed on a translation stage. The stub resonator is illuminated from the backside.

essary to take into account the effects of objects around the devices. However, it is difficult to keep one’s hand still even for a few minutes. As described above, the LEI system can obtain instantaneous images of the electric field. It allows one to observe change in electric near-fields over an RF device or circuit under varying environmental conditions. Here, as an extreme example, consider the coplanar waveguide (CPW) stub resonator fabricated on an FR4 substrate with a moving metal (copper) plate shown in Fig. 21 as a DUT. The distance between the CPW and the metal was 2 mm. A ZnTe plate, which is an electrooptic sensor sensitive to the -component of the electric field, was placed 0.3 mm above the CPW. Fig. 22 shows the transmittance spectra of the CPW stub resonator with and without the metal plate, as measured with a vector network analyzer. The resonant frequency is at around 2.7 GHz and the transmittance significantly decreases. The resonant frequency is shifted lower from the influence of the metal plate. intensity, and phase Fig. 23 shows frame shots of optical, pattern images taken as the metal plate moved. The frequency of the input signal was 2.7 GHz, which is the resonant frequency

Fig. 23. Sequential optical images and intensity and phase images of the E component over the CPW stub resonator as the metal plate is being inserted. Input RF signal frequency and intensity are 2.7 GHz and 23 dBm, respectively. Acquisition time for each image is 0.41 s. (a) t = 0 s. (b) t = 6 s. (c) t = 12 s.

of the DUT without the metal plate. The metal plate was gradually inserted until it covered the CPW resonator (within 12 s). The DUT was illuminated from the back, and the position of the metal plate can be determined from its shadow in the optical images. The electric field pattern changed rapidly as the plate was inserted. Fig. 24 shows the electrooptic signal intensities at points 7–9 indicated in Fig. 23. An intense electric field was obs, when the metal plate was served on the stub resonator at not below the resonator. The field rapidly decreased as the metal plate was inserted. Where the stub overlaps the metal plate, the phase changes significantly (Fig. 23), and the intensity increases again, as shown in Fig. 24. These results suggest the electric field is coupled with the metal plate and the propagation mode changes. As shown in this demonstration, the LEI system can visualize the electricfield variations over RF circuits under varying environmental

2790

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 24. Normalized electrooptic signal intensities at points 7–9 indicated in Fig. 23. The metal plate is being inserted during the measurement.

conditions. This feature is useful to diagnose the influence of objects near the DUT. IV. CONCLUSION This paper reported on a real-time LEI scheme for microwave electrical near-fields in the vicinity of devices and circuits. The high-speed image sensor detects the electrooptic signal pattern down-converted to the intermediate frequency by a parallel photonic heterodyne. The digital signal processor preprocessing framework eliminates the bottleneck of the previous system [10] and dramatically accelerates the image-acquisition speed. Consequently, live pictures of electric field patterns can be continuously obtained at a video frame rate (30 Hz). The operation 3-dB bandwidth is 4 GHz and is limited by the 3-dB bandwidth of the optical modulator. The noise-equivalent RF power input into a microstrip line was lower than 1 dBm, which corresponds to a sensitivity of 0.2 V Hz. Through three LEI demonstrations, we showed that the agile imaging feature significantly improves the capability of electric field imaging. ACKNOWLEDGMENT The authors would like to thank Dr. M. Izutsu, National Institute of Information and Communications Technology, Tokyo, Japan, and Prof. T. Kamiya, National Institute for Academic Degrees and University Evaluation, Tokyo, Japan, for their encouragement.

[5] E. Yamazaki, S. Wakana, H. Park, M. Kishi, and M. Tsuchiya, “Highfrequency magneto-optic probe based on BiRIG rotation magnetization,” IEICE Trans. Electron., vol. E86-C, no. 7, pp. 1338–1344, Jul. 2003. [6] Y. Matsuo, T. Kawanishi, S. Oikawa, K. Higuma, and M. Izutsu, “Electro-optic sampling measurement of the electric field distribution on a resonant electrode for a band-operation optical modulator,” IEICE Trans. Electron., vol. E86-C, no. 1, pp. 100–103, Jan. 2003. [7] H. Togo, N. Shimizu, and T. Nagatsuma, “Near-field mapping system using fiber-based electro-optic probe for specific absorption rate measurement,” IEICE Trans. Electron., vol. E90-C, no. 2, pp. 436–442, Feb. 2007. [8] A. Sasaki and T. Nagatsuma, “Electric-field scanning system using electro-optic sensor,” IEICE Trans. Electron., vol. E86-C, no. 7, pp. 1345–1351, Jul. 2003. [9] M. Takahashi, E. Suzuki, S. Arakawa, H. Ota, K. Arai, and R. Sato, “High speed system for measuring electromagnetic field distribution,” IEICE Trans. Commun., vol. E89-B, no. 10, pp. 2905–2911, Oct. 2006. [10] K. Sasagawa, T. Kawanishi, and M. Tsuchiya, “10,000 parallel heterodyne system for instantaneous photonics-based acquisition of nearfields images over microwave devices/circuits,” in Asia–Pacific Microw. Conf. Dig., Yokohama, Japan, Dec. 2006, pp. 1587–1590. [11] K. Sasagawa, A. Kanno, T. Kawanishi, and M. Tsuchiya, “Live electrooptic imaging of microwave near-fields via ultra-parallel photonic heterodyne,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, Paper WE1F-05. [12] Z. Jiang and X.-C. Zhang, “Terahertz imaging via electrooptic effect,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2644–2650, Dec. 1999. [13] K. Sasagawa and M. Tsuchiya, “Real-time monitoring system of RF near-field distribution images on the basis of 64-channel parallel electro-optic data acquisition,” IEICE Electron. Express, vol. 2, no. 24, pp. 600–606, Dec. 2005. [14] K. Sasagawa, M. Tsuchiya, and M. Izutsu, “Electro-optic probing based on photonic downconversion,” in Contemporary Photon. Tech. Dig., Tokyo, Japan, Jan. 2005, pp. 137–138. [15] K. Sasagawa and M. Tsuchiya, “Modulation depth enhancement for highly sensitive electro-optic RF near-field measurement,” Electron. Lett., vol. 42, no. 23, pp. 1357–1358, Nov. 2006. [16] T. Kawanishi, T. Sakamoto, and M. Izutsu, “High-speed control of lightwave amplitude, phase, and frequency by use of electrooptic effect,” IEEE J. Sel. Topics Quantum Electron., vol. 13, no. 1, pp. 79–91, Jan.–Feb. 2007. [17] Z. D. Liu, P. S. Hall, and D. Wake, “Dual-frequency planar inverted-F antenna,” IEEE Trans. Antennas Propag., vol. 45, no. 10, pp. 1451–1458, Oct. 1997. Kiyotaka Sasagawa was born in Niigata, Japan. He received the B.S. degree from Kyoto University, Kyoto, Japan, in 1999, and the M.E. and Ph.D. degrees in materials science from the Nara Institute of Science and Technology, Nara, Japan, in 2001 and 2004, respectively. He is currently a Researcher with the National Institute of Information and Communications Technology, Tokyo, Japan. His research interests involve the development of measurement methods for electromagnetic fields and nonlinear optics in microcavities and waveguides. Dr. Sasagawa is a member of the Optical Society of America, the Japan Society of Applied Physics, and the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

REFERENCES [1] K. J. Weingarten, M. J. W. Rodwell, and D. M. Bloom, “Picosecond optical sampling of GaAs integrated circuits,” IEEE J. Quantum Electron., vol. 24, no. 2, pp. 198–220, Feb. 1988. [2] T. Nagatsuma, “Measurement of high-speed devices and integrated circuits using electro-optic sampling technique,” IEICE Trans. Electron., vol. E76-C, no. 1, pp. 55–63, Jan. 1993. [3] K. Yang, G. David, J.-G. Took, I. Papapolymerou, L. P. B. Katehi, and J. F. Whitaker, “Electrooptic mapping and finite-element modeling of the near-field pattern of a microstrip patch antenna,” IEEE. Trans. Microw. Theory Tech., vol. 48, no. 2, pp. 288–293, Feb. 2000. [4] S. Wakana, T. Ohara, M. Abe, E. Yamazaki, M. Kishi, and M. Tsuchiya, “Fiber-edge electroopitc/magnetooptic probe for spectral-domain analysis of electromagnetic field,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2611–2616, Dec. 2000.

Atsushi Kanno was born in Hokkaido, Japan, on July 18, 1976. He received the B.S., M.S., and Ph.D. degrees from the University of Tsukuba, Tsukuba, Japan, in 1999, 2001, and 2005, respectively. In 2006, he joined the National Institute of Information and Communications Technology, Tokyo, Japan, where he is currently a Researcher with the Advanced Communications Technology Group. His research interests include electric field imaging based on microwave photonics technology and the study of the ultrafast response on semiconductor optical devices.

SASAGAWA et al.: LEI SYSTEM BASED ON ULTRAPARALLEL PHOTONIC HETERODYNE FOR MICROWAVE NEAR-FIELDS

Tetsuya Kawanishi (M’06–SM’06) received the B.E., M.E., and Ph.D. degrees in electronics from Kyoto University, Kyoto, Japan, in 1992, 1994, and 1997, respectively. From 1994 to 1995, he was with the Production Engineering Laboratory, Matsushita Electric Industrial (Panasonic) Company Ltd. During 1997, he was with the Venture Business Laboratory, Kyoto University, where he was engaged in research on electromagnetic scattering and near-field optics. In 1998, he joined the Communications Research Laboratory, Ministry of Posts and Telecommunications (now the National Institute of Information and Communications Technology), Tokyo, Japan, where he is currently a Research Manager. During 2004, he was a Visiting Scholar with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla. His current research interests include high-speed optical modulators and RF photonics. Dr. Kawanishi was the recipient of the 1999 URSI Young Scientists Award.

2791

Masahiro Tsuchiya (M’97) was born in Shizuoka, Japan, on September 28, 1960. He received the B.E., M.E., and Ph.D. degrees from the University of Tokyo, Tokyo, Japan, in 1983, 1985, and 1988, respectively, all in electronic engineering. His doctoral dissertation concerned the resonant tunneling phenomena in ultrathin semiconductor heterostructures and related devices. From 1988 to 1990, he was a Post-Doctoral Fellow with the University of California at Santa Barbara. From 1990 to 1991, he was a Research Staff Member with the Research Development Corporation of Japan. In 1991, he joined the Department of Electronic Engineering, University of Tokyo, as a Lecturer and became an Associate Professor in the same department in 1993. From 1996 to 1997, he spent his sabbatical year as a Visiting Researcher with Bell Laboratories, AT&T/Lucent Technologies, Holmdel, NJ. In 2003, he joined the National Institute of Information and Communications Technology (NICT), Tokyo, Japan. His current research interests remain focused on photonics technologies for ultrafast and high-frequency systems/components, nonlinearity management, and microwave/millimeter-wave systems. He is also interested in advanced opto-electronic materials and implementations of practical opto-electronic system with those materials. Dr. Tsuchiya is a member of the Japan Society of Applied Physics and the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

2792

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

A New Planar Artificial Transmission Line and Its Applications to a Miniaturized Butler Matrix Chao-Wei Wang, Student Member, IEEE, Tzyh-Ghuang Ma, Member, IEEE, and Chang-Fa Yang, Member, IEEE

Abstract—A miniaturized quadrature hybrid coupler, a rat-race 4 Butler matrix based on a newly proposed coupler, and a 4 planar artificial transmission line are presented in this paper for application in ultra-high-frequency (UHF) radio-frequency identification (RFID) systems. This planar artificial transmission line is composed of microstrip quasi-lumped elements and their discontinuities and is capable of synthesizing microstrip lines with various characteristic impedances and electrical lengths. At the center frequency of the UHF RFID system, the occupied sizes of the proposed quadrature hybrid and rat-race couplers are merely 27% and 9% of those of the conventional designs. The miniaturized couplers demonstrate well-behaved wideband responses with no spurious harmonics up to two octaves. The measured results reveal excellent agreement with the simulations. Additionally, a 4 4 Butler matrix, which may occupy a large amount of circuit area in conventional designs, has been successfully miniaturized with the help of the proposed artificial transmission line. The circuit size of the Butler matrix is merely 21% of that of a conventional design. The experimental results show that the proposed Butler matrix features good phase control, nearly equal power splitting, and compact size and is therefore applicable to the reader modules in various RFID systems. Index Terms—Artificial transmission line, directional coupler, harmonic suppression, quasi-lumped elements, radio-frequency identification (RFID).

I. INTRODUCTION NTIL NOW, many researchers have devoted themselves to investigating the miniaturization of conventional transmission lines by means of various advanced techniques [1]–[7]. Meandering of transmission lines could be the most straightforward way for size reduction [1]. The level of miniaturization is preliminarily determined by the tightness of the meandering as well as the number of meandered-line sections used. Using lumped elements directly to approximate the behavior of a transmission line is another approach for miniaturization [2]. The photonic bandgap (PBG) structure also provides an alternative way for miniaturization [3]. Another technique utilizing a slotted ground structure is reported to have the capability to suppress the spurious harmonics [4]. This structure, however, has a relatively limited ability to miniaturize the circuit. Meanwhile, investigations into designing miniaturized passive microwave components by means of artificial transmission

U

Manuscript received April 15, 2007; revised August 6, 2007. This work was supported in part by YEON Technologies and by the National Science Council, R.O.C., under Grant 95-2218-E-011-008 and Grant 96-2221-E-011-007. The authors are with the Department of Electrical Engineering, National Taiwan University of Science and Technology, Taipei 10607, Taiwan, R.O.C. (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909474

lines have drawn a lot of attention recently. Planar artificial transmission lines can be readily fabricated by standard printed circuit broad technology and are more attractive to monolithic microwave integrated circuits (MMICs). Several artificial transmission lines have been reported in [5]–[7]. This body of work aims to reduce the physical length of a transmission line while preserving the electrical properties of the artificial line exactly the same as its conventional counterpart. To design microwave circuit components with even more compact size and a simple fabrication process, recently we proposed a new planar artificial transmission line with single-layer printed circuit broad (PCB) fabrication process [8]. This new artificial transmission line is composed of microstrip quasi-lumped elements and their discontinuities. It can synthesize transmission lines with a wide range of characteristic impedances and electrical lengths. The required physical lengths of both high- and low-impedance microstrip lines can be substantially reduced. In addition, by replacing one of the series inductors with an additional parallel resonant LC-tank, the proposed artificial transmission line demonstrates multiple finite-frequency transmission zeros and reveals excellent harmonic suppression characteristics over a very wide frequency range. In Section II, the design concept, methodology, and propagation characteristics of the proposed artificial transmission line will be examined in greater detail. In Sections III and IV, various miniaturized microwave components, including a quadrature hybrid coupler [8], a rat-race coupler, and a 4 4 Butler matrix, will be realized by applying the proposed artificial transmission line. The circuit designs are all focused on the applications to the ultra-high-frequency (UHF) radio-frequency identification (RFID) systems. The UHF-band RFID systems have drawn more and more attention in recent years in a wide variety of applications, such as automatic retail item management, warehouse management, access control systems, and electronic toll collection [9]. Nevertheless, owing to the low-frequency operation, the circuit sizes of the passive microwave components are extraordinarily large and need further miniaturization [10]. By utilizing the proposed artificial transmission line, we will demonstrate in this paper that the occupied circuit areas of the proposed designs are merely 9%–27% of those of the conventional ones in microstrip form. II. DESIGN AND ANALYSIS OF THE PROPOSED PLANAR ARTIFICIAL TRANSMISSION LINE A. Design Concepts and Considerations The circuit layout of a unit cell of the proposed artificial transmission line and its corresponding equivalent lumped

0018-9480/$25.00 © 2007 IEEE

WANG et al.: NEW PLANAR ARTIFICIAL TRANSMISSION LINE AND ITS APPLICATIONS TO MINIATURIZED BUTLER MATRIX

2793

of the two terms unchanged, the characteristic impedance and guided wavenumber of the unit cell of an artificial transmission line can be given by (1) (2) where (3) (4)

Fig. 1. Unit cell for the proposed artificial transmission line [8]. (a) Circuit schematic. (b) Equivalent lumped circuit model.

circuit model are shown in Fig. 1(a) and (b), respectively [8]. By means of quasi-lumped elements and their associated discontinuities, the proposed artificial transmission line has the ability to synthesize a variety of per-unit-length inductance and capacitance values of a microstrip line and may therefore significantly reduce the required physical lengths of both high- and low-impedance lines. Referring to the equivalent lumped circuit model in Fig. 1(b), the inductors represent meandered-line inductors, while the parasitic capacand can be accounted for by the capacitors itance of and . The series capacitors and are realized by two interdigital capacitors, whereas , represent the parasitic capacitances due to both the and and the interdigital capacitors meandered-line inductor and . The shunt capacitors – are implemented with microstrip parallel-plated capacitors, which are parallel to the to . It is noted above-mentioned parasitic capacitors that the short connecting lines between the meandered-line inductors and parallel-plated capacitors are neglected for the sake of simplicity. The design of the proposed artificial transmission line initially begins with the equivalent circuit model in Fig. 1(b). By proportionally increasing the per-unit-cell total inductance and capacitance of the lumped circuit model while keeping the ratio

Here, we neglect the parasitic losses of the reactive elements and for simplicity. It is routine to verify that, as in (3) and (4) rise proportionally, the guided wavenumber in (2) is increased, whereas the characteristic impedance in (1) remains unaltered. It, in turn, effectively shortens the guided wavelength and, therefore, significantly reduces the required physical length of a microstrip line with a given characteristic impedance and electrical length, say, 50 and 90 . Each of the quasi-lumped elements in Fig. 1(a) occupies an area of , which hence makes this design less than extraordinarily compact in size. It is also worthwhile to mention with a parallel resonant that, by replacing the series inductor in parallel with , multiple finite-freLC-tank, i.e., quency transmission zeroes can be introduced to suppress the spurious harmonics, and hence give rise to well-behaved wideband responses for this proposed design over a very wide frequency range. To extract the element values of the equivalent circuit model, the EM full-wave simulation solver Ansoft HFSS is applied to calculate the -parameters of the individual circuit components in Fig. 1(b). The -parameters of each element are then converted to the impedance or admittance matrices as well as to their corresponding - or T-equivalent circuits. Therefore, the required equivalent inductance and capacitance values can be readily extracted from the - or T-equivalent circuit networks [11]. The extraction procedure is illustrated by an example in Fig. 2. As shown in this figure, it is well known that a high-impedance meandered line is able to increase the inductance value of a transmission line. As the overall length of the meandered line increases, the equivalent inductance value and the associated parasitic capacitance values raise proportionally, which can be readily observed in Fig. 2(a). The characteristic impedance and phase delay of the proposed artificial transmission line, on the other hand, decrease in accordance with (1)–(4). Fig. 2(b) illustrates the simulated characteristic impedances and phase delays of an artificial transmission line as the length of the meandered line inductors and increases from 0.6 to 2.2 mm. The simulated results readily clarify the above discussions. A similar procedure can be applied to extract the capacitance values in the equivalent circuit model in Fig. 1(b). The simulated results, however, are not shown here for the sake of brevity. It is also known that the capacitance values can be easily optimized by either tuning the fingers of an interdigital capacitor or by adjusting the occupied area of a parallel-plated capacitor.

2794

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

TABLE I ELEMENT VALUES OF THE LUMPED EQUIVALENT CIRCUIT MODEL IN FOR A 50- 90- ARTIFICIAL TRANSMISSION LINE



Fig. 2. (a) Simulated inductance value and the associated parasitic capacitance values versus the length of the meander-line inductor. (b) Simulated characteristic impedances and electrical lengths of an artificial transmission lines versus the lengths of the meander-line inductors L ; L , and L .

In addition, it is noted from Fig. 1(a) that two short microstrip lines, each with a specific electrical length , must be inserted into each side of the artificial transmission line in order to compensate for the phase difference between the artificial transmission line and the targeted electrical length. The typical electrical length of the microstrip line is about several tenths of a degree to several degrees. B. Propagation Characteristics Following the aforementioned design rules, an artificial transmission line with a characteristic impedance of 50 and an electrical length of 90 was designed on a 20-mil RO4003C substrate with a dielectric constant of 3.38 and a loss tangent of 0.0027. In this study, the single-layered PCB fabrication process without via-holes and bonding wires is applied to comply with the requirement of cost reduction. The element values of the artificial transmission line are first extracted and summarized in Table I for easy reference. The final dimensions of the line, however, still need fine tuning by the full-wave simulation solver HFSS to account for the parasitic couplings between the elements. The optimized design parameters are given by mm, mm, mm, mm,

FIG. 1(b)

mm, mm, and mm. The center frequency of the design is 915 MHz, which is the center frequency of the UHF RFID system in North America. The simulated and measured characteristic impedances and phase delays of the 90 50- artificial transmission line versus frequencies are shown in Fig. 3(a). The calculated results based on the equivalent circuit model in Fig. 1(b) are also illustrated in the same figure. The calculation was performed by the Agilent ADS 2006 using the element values in Table I. The experiments are in excellent agreement with the simulations. The variations of the line impedance within 5% have a bandwidth of 530 MHz, and the phase delay of the line at 915 MHz is 89.7 . Therefore, it is necessary to append to each side of the artificial transmission line two extra microstrip lines each with a 0.15 phase shift to complete the design. By applying the same design procedure, two additional 90 artificial transmission lines with characteristic impedances of 35.35- and 70.7- were also designed, and their associated electrical parameters are illustrated in Fig. 3(b). The experimental results of the two additional artificial transmission lines, although not shown here for brevity, also reveal excellent agreement with the simulated ones. The physical lengths of the artificial transmission lines are less than one-twentieth of a guided wavelength at 915 MHz. To further demonstrate the propagation characteristics of the proposed artificial transmission line, the complex propagation and complex characteristic impedance constant of a 90 50- artificial transmission line are extracted from the simulated and measured two-port -parameters. Here, , where and represent the attenuation constant and guided wavenumber of the artificial transmission line, respectively. The simulation was again performed by the EM solver HFSS while the measurement was taken using an Agilent performance network analyzer E8362B with TRL calibration included to exclude the nonideal effects of the SMA connectors and connecting microstrip lines. Three calibration kits, namely, the thru, reflect, and line connections, were fabricated along with the artificial transmission line. The thru connection consists of a 10-mm microstrip line, whereas the line connection is a quarter-wavelength line at the center frequency. The microstrip lines in the reflect connection are simply left open-circuited to avoid the unnecessary via-holes to the ground plane. In the frequency range of interest, the parasitic capacitance of the open-circuited microstrip line is

WANG et al.: NEW PLANAR ARTIFICIAL TRANSMISSION LINE AND ITS APPLICATIONS TO MINIATURIZED BUTLER MATRIX

Fig. 3. (a) Calculated full-wave simulation and measured characteristic impedances and electrical lengths of a 50- 90 artificial transmission line. (b) Simulated characteristic impedances and electrical lengths of 35.35- and 70.7- 90 artificial transmission lines.

relatively small, and the phase of the open circuit can hence be well defined. The complex propagation constant and the complex characteristic impedance of the artificial transmission line can be extracted by means of various methods [12], [13]. The extraction method in [12] principally works in the time domain with the help of the finite-difference time-domain (FDTD) method. It may, however, work well in the frequency domain as well. Nevertheless, in accordance with the simulation tool used in this study, we select the most straightforward extraction method reported in [13], which is simply based on the -parameters achieved from the EM simulation solver or from the network analyzer

(5)

(6)

2795

Fig. 4. (a) Normalized complex propagation constant and (b) complex characteristic impedance of a 90 50- artificial transmission line. (Simulation: discrete dots; measurement: lines.)

In (5) and (6), is the total length of the proposed artificial transmission line, as shown in Fig. 1(a), and is the system reference impedance, e.g., in the current design. In addition, owing to the low loss nature of the proposed artificial transmission line, the real part of the complex characis closely related to the characteristic teristic impedance in (1). impedance Following the extraction procedure in (5) and (6), the simulation and measurement results of the normalized attenuation conand normalized guided wavenumber for stant the artificial transmission line are shown in Fig. 4(a) and range from 0.5 to 1.3 GHz. Here, is the free space wavenumber. As shown in Fig. 4, the experimental results again agree very well with the simulations, and the small discrepancy between the simulated and measured attenuation constants can be attributed to the assumption of infinitely thin conductor thickness used in the simulation. This assumption, which aims to ease the computational burden of the simulation, may underestimate both the conduction and radiation losses of the circuit. In the frequency band of interest, the variations of the normalized attenuation constant and guided wavenumber are less than 5% and 0.03%, respectively. The simulated and measured complex characterof the line are shown in Fig. 4(b). istic impedances

2796

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 6. Circuit layout of the proposed quadrature hybrid coupler [8].

lines, respectively, and are slightly greater than that of a con. The somewhat ventional microstrip line, which is 0.23 dB higher transmission loss of the proposed artificial transmission line can be mostly attributed to the large number of discontinuities used in the design. It inevitably limits the applications for this design if the insertion loss is of the most concern. Nevertheless, the superior capability to miniaturize the circuit components still makes the proposed artificial transmission line attractive for a wide variety of circumstances. III. DESIGNS OF MINIATURIZED QUADRATURE HYBRID AND RAT-RACE COUPLERS Here and in Section IV, a miniaturized quadrature hybrid coupler [8], a miniaturized rat-race coupler and a miniaturized 4 4 Butler matrix are designed by implementing the proposed artificial transmission line in Section II. All of the designs were fabricated on a 20-mil Rogers RO4003C substrate, and the center frequency is 915 MHz for UHF RFID applications. Fig. 5. (a) Slow-wave factors and (b) losses per guided wavelength of the proposed 35.35-, 50- and 70.7- artificial transmission lines and of a conventional 50- microstrip line.

Again, excellent agreement between the simulations and measurements can be observed in the band of concern. The simulated slow-wave factor and per-unit-guided waveof the proposed 35.35-, 50- and 70.7- arlength loss dB tificial transmission lines are illustrated in Fig. 5(a) and (b), respectively. The slow-wave factor is given by Slow-wave factor

(7)

The associated parameters of a conventional 50- microstrip line are also shown in Fig. 5 for easy comparison. Referring to Fig. 5(a), the slow-wave factors of the proposed 35.35-, 50-, and 70.7- artificial transmission lines are read by 4.6, 5.8, and 5.1, respectively, and are significantly greater than that of a conventional microstrip line, which is 1.7 over the frequency band of interest. The remarkable performance of the proposed artificial transmission line over a conventional microstrip line in terms of the slow-wave factor demonstrates the substantial potential for circuit miniaturization. The losses per guided wavelength shown in Fig. 5(b), on the other hand, are found to be 0.85, 0.95, and for the 35.35-, 50-, and 70.7- artificial transmission 0.9 dB

A. Miniaturized Quadrature Hybrid Coupler The circuit layout of the miniaturized quadrature hybrid coupler is shown in Fig. 6 [8]. The four artificial transmission lines of the hybrid coupler are initially designed with the procedure detailed in Section II and then fine-tuned to account for the parasitic couplings between the line segments using HFSS. A photograph of the proposed miniaturized quadrature hybrid coupler is shown in Fig. 7, and the simulated and measured results are illustrated in Fig. 8. Referring to the figure, the results are found to be in very good agreement. The measured center frequency of the miniaturized coupler is 907 MHz, which deviates from that of the simulation by 0.9%. The measured insertion losses at this frequency are 3.42 and 3.72 dB at the quadrature output ports. Fig. 8(b) illustrates the phase difference between the output ports. The phase imbalance is less than 0.2 from 880 to 935 MHz. In addition, the 3-dB fractional bandwidth of the insertion loss is 48.6%, which is 33% larger than that of a conventional one. The dimension of the proposed coupler, , is 24.4 mm 25.8 mm or, equivalently, . Here, represents the guided wavelength of a conventional 50- microstrip line at 915 MHz. Therefore, the circuit area of proposed design is merely 27% of that of a conventional microstrip quadrature coupler. Fig. 9 compares the wideband response of the proposed quadrature hybrid coupler with that of

WANG et al.: NEW PLANAR ARTIFICIAL TRANSMISSION LINE AND ITS APPLICATIONS TO MINIATURIZED BUTLER MATRIX

2797

Fig. 9. Comparisons between the wideband responses of the proposed miniaturized quadrature hybrid coupler [8] and a conventional design. Fig. 7. Proposed quadrature hybrid coupler [8]. TABLE II COMPARISONS OF THE MAJOR FEATURES OF VARIOUS QUADRATURE HYBRID COUPLERS [8]

equivalently, more than two octaves. The spurious suppression at the coupled port (i.e., Port 3) is even better than 40 dB. As a consequence, it demonstrates that, by introducing an additional LC-tank to the proposed artificial transmission line, the miniaturized hybrid coupler will reveal good harmonic suppression characteristics over a very wide frequency range. Table II compares the proposed design with several previous designs, and the superior performance of the proposed hybrid coupler can be readily verified. B. Miniaturized Rat-Race Coupler

Fig. 8. Comparisons of the simulations and measurements of the proposed quadrature hybrid coupler [8]. (a) S -parameters. (b) Phase difference between S and S .

a conventional design from 0.5 to 12 GHz. For the miniaturized design, the measured bandwidth with stopband attenuations and ) better than 15 dB is from 2.2 to 11.1 GHz or, (i.e.,

Until now, many researchers have proposed miniaturized rat-race couplers with various advanced techniques [4], [6], [14]–[17]. Following the design procedure in Section II, in this paper, a miniaturized rat-race coupler is also realized, and the circuit layout is shown in Fig. 10. A photograph of the proposed miniaturized rat-race coupler is shown in Fig. 11. Fig. 12(a) illustrates the simulated and measured -parameters of the proposed miniaturized rat-race coupler. Referring to the figure, the experiments and simulations also demonstrate excellent agreement. The measured center frequency of the coupler is 919 MHz, which deviates from the simulation result by 0.4%. At the center frequency, the insertion losses at the

2798

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 10. Circuit layout of the proposed rat-race coupler.

Fig. 11. Proposed rat-race coupler.

output ports, i.e., Ports 2 and 3, are 3.42 and 3.63 dB, respectively, as Port 1 ( port) serves as the excitation. Fig. 12(b) shows the phase differences at the and ports (i.e., Ports 1 and 4) when Ports 2 and 3 are used as the excitations. The phase imbalance is less than 2 from 901 to 976 MHz. In addition, the 3-dB fractional bandwidth of the insertion loss is 53.6%, which is 28% larger than that of a conventional one. , The overall size of the miniaturized rat-race coupler, . Here, is 20 mm 32 mm or, equivalently, 0.10 represents the guided wavelength of a conventional 70.7microstrip line at the center frequency. As a consequence, the occupied circuit area of proposed design is merely 9% of that of a conventional microstrip rat-race coupler. Finally, Fig. 13 compares the wideband response of the proposed design with that of a conventional rat-race coupler from 0.5 to 12 GHz. For the proposed miniaturized rat-race coupler, the measured bandwidth with stopband attenuations (i.e., and ) better than 15 dB ranges from 3.46 to 12 GHz. It also demonstrates good harmonic suppression over a very wide frequency range, as expected. Table III summarizes the performance of the proposed rat-race coupler and several previous designs. Again, the superior performance of the proposed design can be readily verified. IV. MINIATURIZED BUTLER MATRIX FOR RFID APPLICATIONS Here, a miniaturized 4 4 Butler matrix is demonstrated for a switch-beam antenna array. The proposed Butler matrix consists of four miniaturized quadrature hybrid couplers, two miniaturized crossover couplers and two sections of artificial transmission lines for phase adjustment. The circuit topology is shown

Fig. 12. Comparisons of the simulations and measurements of the proposed rat-race coupler. (a) S -parameters. (b) Phase differences between S 2 and S 3, and S 2 and S 3.

Fig. 13. Comparisons of the wideband responses of the proposed miniaturized rat-race coupler and a conventional design.

in Fig. 14. The building blocks of the Butler matrix have been well discussed in Sections II and III. The miniaturized crossover

WANG et al.: NEW PLANAR ARTIFICIAL TRANSMISSION LINE AND ITS APPLICATIONS TO MINIATURIZED BUTLER MATRIX

2799

TABLE III COMPARISONS OF THE MAJOR FEATURES OF THE PROPSED RAT-RACE COUPLER AND OTHER COUPLERS

Fig. 15. Measured S -parameters of the proposed Butler Matrix when Port 1 is served as the excitation.

TABLE IV COMPARISONS BETWEEN THE IDEAL, SIMULATED, AND MEASURED PHASE DIFFERENCES BETWEEN THE ADJACENT OUTPUT PORTS OF THE PROPOSED BUTLER MATRIX

Fig. 14. Circuit layout of the proposed miniaturized 4

2 4 Butler matrix.

coupler is realized by two cascaded quadrature hybrid couplers and 90 50- artificial transmission lines. The 45 phase-delay lines inserted between the first and second stages of the Butler matrix can be synthesized with the proposed artificial transmission line as well. The overall circuit size of the proposed Butler , matrix is 99 mm 108 mm or, equivalently, 0.49 which is merely 21% of that of a conventional Butler matrix using quarter-wavelength microstrip lines. Like a conventional 4 4 Butler matrix, the signal is injected into one of the four input ports (i.e., Ports 1–4) and then divided to four outputs with equal amplitudes and specific relative phase differences at the output ports, i.e., Ports 5–8. By switching the injection signal alternatively between the four inputs, an antenna array with beam-scanning capability in four predefined directions can be readily accomplished. Fig. 15 illustrates the experimental results of the return loss and insertion losses of the miniaturized Butler matrix when the signal is injected into Port 1 with all other ports terminated by matched

loads. Referring to the figure, at 915 MHz the return loss at Port 1 is 32.7 dB, whereas the average insertion loss at the output ports is 8.6 dB. The additional loss at the output ports is likely a result of the large number of discontinuities used in the proposed artificial transmission line. Table IV summarizes the ideal, simulated, and measured relative phase differences between the adjacent output ports as the Butler matrix is driven by Ports 1–4, respectively. In the measurement, it is shown that, at the center frequency, the average phase deviation from ideal response is 3.46 , and the maximum phase discrepancy observed is 7.47 , which occurs between Ports 7 and 8 when the Butler matrix is driven by Port 1. The beam-scanning capability of the proposed design was demonstrated with the help of a four-element linear antenna array. The antenna element used for demonstration purpose is a well-designed end-fire planar antenna with a 10-dB return loss bandwidth from 892 to 990 MHz, antenna peak gain of 3.95 dBi,

2800

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 17. Measured radiation patterns of the proposed switch-beam antenna array.

Fig. 16. (a) Antenna element. (b) Measured radiation patterns of the antenna element used in the linear array.

and front-to-back ratio of better than 13 dB [18]. Fig. 16(a) illustrates a photograph of the antenna element used, and Fig. 16(b) shows the measured normalized antenna radiation patterns in the - and - planes. The four antenna elements are equally at 915 MHz, and the radiation spaced with a distance of patterns of the four-element antenna array along with the minia-m anechoic turized Butler matrix were measured in a chamber at the National Taiwan University of Science and Technology. The measurement was performed by an Agilent E8362B network analyzer along with the NSI-2000 far-field software from Nearfield Systems Inc. In the measurement, the connecting cables were carefully shielded by absorbers to reduce the multipath reflection interference. Fig. 17 illustrates the measured normalized radiation patterns of the antenna array. As shown in the figure, as the Butler matrix is driven by Ports 1–4, the measured 42 , 45 , and 15 , reantenna mainbeam is directed to 12 spectively. The antenna peak gain is read as 6.4 dBi, and the slightly higher sidelobe when Port 3 serves as the excitation can be readily accounted for by the somewhat unequal power splitting as well as the phase imbalance of the Butler matrix. Such an unequal power splitting is most likely a result of the extra insertion loss of the crossover and is a key reason for the performance degradation of the Butler matrix. To further improve the performance of the proposed design, the more complicated fabrication processes such as the multilayered PCB fabrication process and the bonding wires can be applied to improve the

insertion loss of the crossover, as reported in [19]. The circuit size, meanwhile, can be further reduced as well. Despite the slight discrepancy between the ideal and measured responses, the applicability of the proposed miniaturized Butler matrix for the switch-beam antenna array is well demonstrated. In addition, it should be emphasized that although the circuit size of the proposed design still seems to be too large for the RFID reader modules in some applications, such as the retail item management systems, the proposed switch-beam antenna array will find applications in those RFID systems whose circuits may be larger and not need to be integrated into a single silicon-based IC. For example, it is believed that the proposed design is well suited for electronic toll collection systems and warehouse management. V. CONCLUSION A new planar artificial transmission line incorporated with microstrip quasi-lumped elements and their discontinuities has been proposed and demonstrated in this paper. This artificial transmission line is capable of synthesizing microstrip lines with a wide variety of characteristic impedances and electrical lengths. The propagation characteristics of the artificial transmission lines have been well discussed, and the promising potential in circuit miniaturization is therefore demonstrated. By utilizing the newly proposed artificial transmission line in this paper, a miniaturized quadrature hybrid coupler, a rat-race coupler, as well as a 4 4 Butler matrix have been successfully designed for UHF RFID applications. The proposed quadrature hybrid and rat-race couplers feature low insertion losses, negligible phase imbalance, and significant size reductions of 73% and 91%, respectively. In addition, it has been shown that, by introducing an additional LC-tank to the proposed artificial transmission line, well-behaved harmonic suppression characteristics over nearly two octaves of bandwidth have been demonstrated for both couplers. A miniaturized Butler matrix has also been with a compact circuit size of realized. The miniaturized Butler matrix features good phase control, nearly equal power splitting, moderate insertion loss,

WANG et al.: NEW PLANAR ARTIFICIAL TRANSMISSION LINE AND ITS APPLICATIONS TO MINIATURIZED BUTLER MATRIX

and a size reduction of 79%. It is suitable for beam-switch antenna arrays in some UHF RFID applications. The proposed artificial transmission line is also expected to find applications in a variety of microwave components.

REFERENCES [1] I. Toyoda, T. Hirota, T. Hiraoka, and T. Tokumitsu, “Multilayer MMIC brach-line coupler and broad-side coupler,” in Microw. Millimeter-Wave Monolithic Circuits Symp. Dig., Albuquerque, NM, Jun. 1–3, 1992, pp. 79–82. [2] Y.-C. Chiang and C.-Y. Chen, “Design of a wideband lumped-element 3-dB quadrature coupler,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 3, pp. 476–479, Mar. 2001. [3] F.-R. Yang, K.-P. Ma, Y. Qian, and T. I. Itoh, “A uniplanar compact photonic-bandgap (UC-PBG) structure and its applications for microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1509–1514, Aug. 1999. [4] Y. J. Sung, C. S. Ahn, and Y.-S. Kim, “Size reduction and harmonic suppression of rat race coupler hybrid coupler using defected ground structure,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 1, pp. 7–9, Jan. 2004. [5] K.-O. Sun, S.-J. Ho, C.-C. Yen, and D. van der Weide, “A compact branch-line coupler using discontinuous microstrip lines,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 8, pp. 519–520, Aug. 2005. [6] K. W. Eccleston and S. H. M. Ong, “Compact planar microstrip line branch-line and rat race coupler couplers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2119–2125, Oct. 2003. [7] A.-S. Liu, H.-S. Wu, C.-K. C. Tzuang, and R.-B. Wu, “ -band 32-GHz planar integrated switched-beam smart antenna,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 12–17, 2005, pp. 565–568. [8] C.-W. Wang, T.-G. Ma, and C.-F. Yang, “Miniaturized branch-line coupler with harmonic suppression for RFID applications using artificial transmission lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 3–8, 2007, pp. 29–32. [9] K. Finkenzeller, RFID Handbook, 2nd ed. New York: Wiley, 2003. [10] I. Bahl and P. Bhartia, Microwave Solid State Circuit Design, 2nd ed. New York: Wiley, 2003. [11] J.-S. Hong and M.-J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [12] M.-A. Schamberger, S. Kosanovich, and R. Mittra, “Parameter extraction and correction for transmission lines and discontinuities using the finite-difference time-domain method,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 6, pp. 919–925, Jun. 1996. [13] W. R. Eisenstadt and Y. Eo, “ -parameter-based IC interconnect transmission line characterization,” IEEE Trans. Compon., Hybrids, Manuf. Technol., vol. 15, no. 4, pp. 483–490, Aug. 1992. [14] M.-L. Chuang, “Miniaturized ring coupler of arbitrary reduced size,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 1, pp. 16–18, Jan. 2005. [15] J. Gu and X. Sun, “Miniaturization and harmonic suppression rat race coupler coupler using C-SCMRC resonators with distributive equivalent circuit,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 12, pp. 880–882, Dec. 2005. [16] J.-T. Kuo, J.-S. Wu, and Y.-C. Chiou, “Miniaturized rat race coupler with suppression of spurious passband,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 1, pp. 46–48, Jan. 2007.

Ka

S

2801

[17] C.-C. Chen and C.-K. C. Tzuang, “Synthetic quasi-TEM meandered transmission lines for compacted microwave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 6, pp. 1637–1647, Jun. 2004. [18] R.-C. Hua and T.-G. Ma, “A printed dipole antenna for ultra high frequency (UHF) radio frequency identification (RFID) handheld reader,” IEEE Trans. Antennas Propagat., accepted for publication. [19] M. Nedil, T.-A. Denidni, and L. Talbi, “Novel butler matrix using CPW multilayer technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 499–507, Jan. 2006. Chao-Wei Wang (S’07) was born in Taichung, Taiwan, R.O.C., in 1982. He received the B.S. and M.S. degrees in electrical engineering from National Taiwan University of Science and Technology, Taipei, Taiwan, R.O.C., in 2005 and 2007, respectively, and is currently working toward the Ph.D. degree at National Taiwan University of Science and Technology. His research activities involve microwave/millimeter-wave integrated circuits, microwave active and passive circuits, and array antenna designs.

Tzyh-Ghuang Ma (S’00–M’06) was born in Taipei, Taiwan, R.O.C., in 1973. He received the B.S. and M.S. degrees in electrical engineering and Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1995, 1997, and 2005, respectively. From 1997 to 1999, he served in the Navy of the R.O.C. In 2005, he joined the faculty of the Department of Electrical Engineering, National Taiwan University of Science and Technology, Taipei, Taiwan, R.O.C., where he is currently an Assistant Professor. His research interests include ultra-wideband antenna and RF front-end circuit designs, mobile antenna designs, RFID, and microwave passive circuit designs.

Chang-Fa Yang (M’92) was born in Taipei, Taiwan, R.O.C., in 1960. He received the B.S. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1983, and the M.S. and Ph.D. degrees from The Ohio State University, Columbus, in 1988 and 1992, respectively, all in electrical engineering. From 1986 to 1992, he was a Graduate Research Associate with the ElectroScience Laboratory. In February 1992, he joined the faculty of the Department of Electrical Engineering, National Taiwan University of Science and Technology (NTUST), Taipei, Taiwan, R.O.C. He has been a Full Professor since 1999 and a Director of the NTUST Communication and Electromagnetic Technology Center since 2005. His research interests include wave propagation, antenna designs, and electromagnetic compatibility, particularly developing simulation tools for wave propagation in indoor, tunnel, urban, and terrain environments. He has designed and manufactured miniaturized chip antennas with high antenna efficiency and low cost for radio and digital video broadcasting and wireless communications for commercial applications. He has also performed studies on RFID for several major companies.

2802

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Design and Analysis of Stacked Power Amplifier in Series-Input and Series-Output Configuration Ming-Fong Lei, Student Member, IEEE, Zuo-Min Tsai, Member, IEEE, Kun-You Lin, Member, IEEE, and Huei Wang, Fellow, IEEE

Abstract—The stacked-device power-combining technique is a proven method to increase the output power and load impedance of a power amplifier (PA) simultaneously. The series-input configuration is physically realizable for multicell stacked device configuration in monolithic circuits. The series-input and series-output stack configuration is rigorously analyzed and proven to increase both the input impedance and output impedance simultaneously, easing the matching circuit designs in high PAs. The effects of asymmetry of the input feed and amplifier cells due to distributed effects and process variation on the performance of the stack amplifier are discussed. A four-cell HBT amplifier operating at 5–6 GHz is demonstrated to validate the circuit concept. Index Terms—Monolithic microwave integrated circuit (MMIC) power amplifiers (PAs), stacked amplifier.

I. INTRODUCTION

P

OWER amplifiers (PAs) are the most demanding components in a transceiver system, as they occupy a very large chip/board area, require a lot of dc power, need heat dissipation, and demand good efficiency and linearity during operation. In order to deliver the most power, the device in a PA is matched to a load that allows the maximum voltage and current swing, rather than for optimum gain [1]. The idea of maximum power from maximum voltage and current swing is obvious, which can be easily explained with a dc–IV curve and load-line theory. The load–pull simulation/measurements often used in RF designs is an extension of the load-line concept, and is elegantly explained in [2]. The power match point is usually low impedance relative to the system impedance, making it difficult to match. To increase the output power of a PA, either the voltage swing or current swing of the amplifier needs to be increased. To increase the output power of the amplifier, one usually increases the size of the device periphery to allow a larger current swing. An increase in device size will increase its maximum power, but also decreases its optimum load impedance, which will be difficult to match. In a 50- system, a typical power device, which

Manuscript received May 5, 2007; revised August 19, 2007. This work was supported in part by the National Science Council of Taiwan, R.O.C., under Grant NSC 95-2219-E-002-009, Grant NSC 95-2219-E-002-006, Grant NSC 95-2752-E-002-003-PAE, and Grant NSC 95-2218-E-002-057 and by the National Taiwan University under Excellent Research Project 95R0062-AE00-01. The authors are with the Graduate Institute of Communication Engineering and Department of Electrical Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]; [email protected]. tw). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909147

is created by parallel combination of many smaller devices, will have an optimum load of less than 5 , which is quite difficult to match; any further parallel combination for more power will render the matching circuit increasingly difficult to realize on-chip. Furthermore, the increase in dc current will require wider metals to satisfy current density rules. This means that for a high-power PA, the output matching components are often realized off-chip, and the chip layout itself will be quite bulky, all adding to the overall cost production. Hence, the parallel combination of devices can only increase the output power to a certain extent. Rather than increasing output power by increasing current, one can combine the device in series and increase the voltage. The advantage of series connection is increased optimum load, making the device easier to match to system impedance. The cascode configuration shown in Fig. 1(a) is an excellent example of such implementation, and is ubiquitous in CMOS PA designs [3]–[5] and millimeter-wave frequencies [6], taking advantage of its output power and higher gain. Other series configurations are less common, but also can be seen in the literature. A three-device totem pole configuration, which is like a triple-cascode configuration, demonstrated a 36-dBm output power at 4 GHz [7]. Another method connects all the devices’ drains and sources in series, but the inputs and outputs are still connected to the devices in parallel, with proof-of-concept designs in - and -band [8]. The HIFET/HiVP concept [9], of which the devices are connected in series, and the inputs are fed by shunt resistors; a wideband 2-W HIFET amplifier was demonstrated using MESFET [10], as well as a 20-dBm CMOS demonstration [11]. In another approach, the devices are stacked in series, but individually biased using dc blocks between the drain and sources of adjacent devices and RF chokes [12]. In the transformer-coupled stacking approach shown in Fig. 1(b), the devices’ drain and source are stacked in series, and uses transformers to couple the input signals into the circuit; the stacked devices’ current and voltage swing in unison to provide a larger output [13], [14]. A one- and two-cell monolithic study has been demonstrated [13] with results consistent with the theory. A modification of the transformer-coupled stack has recently been implemented in a 2- m HBT [15], shown in Fig. 1(c). Rather than feeding the input in parallel in the previous approach [13], [14], the input feed of the stack is also configured in series. Such a configuration has the additional advantages of increasing input impedance, as well as easier design and layout, since the methods of connection of input and output is identical, and one only needs to complete the design and layout of one cell, and connect them in succession. In this paper, the

0018-9480/$25.00 © 2007 IEEE

LEI et al.: DESIGN AND ANALYSIS OF STACKED PA IN SERIES-INPUT AND SERIES-OUTPUT CONFIGURATION

2803

Fig. 2. (a) Equivalent model of a transformer. (b) Small-signal model of a unilateral bipolar transistor.

Fig. 1. Different types of stacked amplifiers. (a) Cascode configuration. (b) Transformer-coupled stack with parallel input feed. (c) Transformer coupled stack with series-input feed.

not generate further third-order intermodulation distortion signals, i.e., linearity is not compromised. Here, the series-input and series-output stack is analyzed in detail. The linear analysis will show that this new configuration has an equivalent circuit to a single device with an increase in input impedance, output impedance, and breakdown voltage. The additional advantage of increased input impedance is very useful, as the input match in PA designs is just as difficult as the output match. Effects such as distributed effect and device variations, will affect the combination performance of the stack, the various effects may be summarized as magnitude and phase imbalance between adjacent cells, and its effects can be quantitatively discussed. The magnitude and phase imbalance of the cells will affect the linearity performance of the stack amplifier, and can be both simulated and measured. This section contains three subsection. Section II-A presents the linear analysis of the series-input and series-output transformer coupled stacked amplifier. Section II-B discusses the reduction of gain due to the asymmetry of the cells. Section II-C presents the effects of physical elements on the linearity of the stacked amplifier. A. Linear Analysis

series-input and series-output transformer-coupled stacked PA will be investigated in detail. The working principles will be rigorously derived, and the nonlinear effects discussed. In particular, the distributed effect and component variations will affect the symmetry of the cell outputs, which will have an effect on the combination efficiency, gain, and the linearity performance of the stack amplifier. Such nonideal effects are observed in the four-cell HBT stack amplifier demonstration, and are in good correlation with simulation results. The performance of the four-cell HBT stack amplifier with actual modulation signals is evaluated.

II. SERIES-INPUT AND SERIES-OUTPUT STACK In the original transformer-coupled stacked PA [13], the input is connected in parallel and the output is connected in series. The linear analysis proved that a stack of devices may be treated as a single device with output impedance increased by factor , drain-to-source breakdown voltage increased by factor , and input impedance decreased by factor . The intermodulation distortion measurements show that the stack configuration does

The cell of the transformer-coupled stack is composed of two components: a coupling transformer and transistor. To simplify the analysis, the transformer is assumed to be lossy only at the input port, and a unilateral bipolar model is used. The bias network is then assumed to be open circuits, and dc blocks between the base and the emitter terminals are assumed to be short. The -parameters for a two-port lossless transformer are defined as

(1) where is the self-inductance of the input port, is the input is the self-inductance of the output port, coil’s resistance, is the mutual inductance, and the current points into the transformer, as shown in Fig. 2(a). The small-signal equivalent circuit of the bipolar model is shown in Fig. 2(b). An equivalent circuit of an -element series-input series-output transformer-coupled stack is shown in Fig. 3. Since the transformer’s characteristics are in the form of a -matrix, a test current signal is applied into is used for the analysis. A test current signal the input. It is assumed that all the transistors and transformers

2804

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

From (6) and (8), the voltage gain is

(6) The input impedance is

(7) By applying a test voltage across the output and setting the input to short, the output impedance can be calculated. The transformers are assumed not stored with any energy, and the output impedance is simply Fig. 3. Small-signal circuit of an n-cell series-input and series-output transformer coupled stack.

are identical. Starting from the top device , from (1), the voltages across the two coils of the transformers are

(8) Defining the coupling factor of the transformer as

(9)

(2) (3) the voltage gain of (9) can be conveniently expressed as and At node A, the output coil of the transformer, and of the transistor should conform to Kirchoff’s voltage law (KVL), therefore, the current can be expressed as

(4) Using (3) in (4), the current can be expressed in terms of the input current . Reapplying to (2) and (3) will solve the voltages in terms of the input current . Proceeding to the other cells, it is clear that in each cell, the output coils, and form a closed loop, therefore, all of the input coils of the transformers have the same equation, and all of the output coils of the transformers have the same equation. The smallsignal collector currents of each cell will also be identical so the and early resistance can collector–emitter capacitance be considered as a series connection with a total impedance of driven by a common emitter current. The will be the sum of the voltages across total input voltage each transformer, and the output voltage is

(5)

(10) From the analysis flow and the conclusions of (6)–(10), the series-input and series-output transformer coupled stack can be interpreted as a stack of common emitter devices with the same characteristics operating in unison. The small-signal voltage gain is the same as a single-device common emitter amplifier, albeit with a transformer coupling factor since the input signal is not directly connected to the transistor input. An -cell series-input and series-output coupled stack also has the advantages of increased input impedance, and increased output impedance, compared to a single device. The increase in input impedance is an advantage since the input impedance of a power device is also quite low, and it is easier to match if it is also increased. The transformer coupling factor may also be used to tune the input match. The advantages of a series-input series-output stack also hold true with other configurations, i.e., if the cell is a cascode gain stage. The comparison between the series-input and series-output stack to various types of stack configurations is listed in Table I. The above analysis can also be applied for FET devices with the same conclusions. If the input current is applied in the opposite direction, i.e., if the stack is fed from the bottom device first, the result is the same, except that the voltage gain has

LEI et al.: DESIGN AND ANALYSIS OF STACKED PA IN SERIES-INPUT AND SERIES-OUTPUT CONFIGURATION

2805

TABLE I COMPARISON OF SHUNT COMBINATION, HiVP/HIFET, PARALLEL-INPUT STACK, AND SERIES-INPUT STACK TO SINGLE DEVICE

Not derived in this paper.

an opposite sign. The Miller effect may be used to take into account the base–collector capacitance. The input impedance for a simpler input match to the can be adjusted by tuning system impedance, while keeping other parameters rather constant. From the transformer efficiency (9), the finite loss of the transformer will reduce the gain of the amplifier, and should be minimized to maintain the stacked amplifier’s gain. B. Effects of Phase and Magnitude Imbalances Between Cells on Combination Efficiency The linear analysis in Section II-A is based on the assumption that all the components are identical and are lumped in nature. In reality, process parameter gradients will cause variations between the devices and passive components across the wafer, and components are distributed in nature, resulting in phase and magnitude imbalances in each cell. These imperfections will impact the performance of the stack, specifically on the combination efficiency and the power performance. The effects are analyzed in detail. The series-input series-output stack amplifier can be visualized as a series connection of amplifier blocks, as shown in Fig. 4. Each amplifier cell consists of a transformer and a transistor, as well as the biasing circuits and base–emitter dc blocking capacitances. The voltage gain of each amplifier cell is . In the case where all amplifier cells are identical and driven in the linear range, the voltage gains should be the same. When all of the amplifier cells are identical, applying an input voltage will result in an output voltage of , and the output of . power is Due to various reasons, the cells in a stack amplifier are not completely identical. Due to distributed effects of the transformers, the magnitude and phase at the input of the transistor in each cell is not always identical. There will be a slight phase delay and a magnitude drop as the signal progresses along the transformer ladder. The trace lines connecting the device collector to the emitter of the next device will also add a phase delay to the output voltage of each cell. The device in each cell may not be completely identical due to process variations, which will introduce phase and magnitude difference to the voltage gain between different cells. Such variations between the different cells can be summarized as an overall complex factor of

Fig. 4. Block diagram of an n-cell series-input series-output stack amplifier. Each amplifier block has the same voltage gain A with different magnitude and phase variation expressed as  1 exp(j ).

, is the magnitude factor, and is the phase; the subscript represents the cell number. Typically, will be less than unity and progressively smaller along the transformer ladder, representing an energy loss as the input signal propagates, but in some cases, the magnitude factor can be higher than unity, such as when a device has particularly higher gain than average. The phase factor will progressively increase along the transformer ladder. When considering the phase and magnitude variations of each cell, the output power is

(11) Dividing (11) with the output power of the ideal case, the overall combination efficiency due to phase and magnitude variation of various cells is expressed as

(12) Since the focus of the analysis is on the phase and magnitude differences between the different cells, it can be assumed that losses that are common to each amplifier cell is incorporated

2806

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

into the amplifier gain , therefore, the magnitude factors of each cell should be normalized to an average of unity

(13) If the loss along the transformer ladder is to be discussed, then the constraint of (13) should not be used, and then the magnitude factors should be normalized such that the largest is unity. as the dc power of each cell and as the Defining drain efficiency of the cell, an -cell stack should deliver more -cell stack; hence, RF power than an

(14) The factor represents the incremental efficiency -cell stack to an -cell stack. Since drop ratio from an in reality the combination efficiency drops as the number of cell approaches unity as increases, there increases, and is an upper limit to the number of cells that can be stacked. First consider the case where there is only a magnitude variation among the transistor cells caused by the slightly different voltage gain of each amplifier cell, and negligible loss in the transformer ladder. Setting the cell number as a constant, the magnitude factors can be normalized to an average magnitude factor of 1, it is clear that the overall combination efficiency is unaffected by such magnitude variation. In the case where the magnitude steadily decreases along the transformer ladder due to ohmic loss and radiation, the outputs of the amplifier cells become steadily smaller. In this case, the cells farther down the transformer ladder contribute less to the overall output, and the efficiency drops as the number of cells increases. From (12) and assuming that the loss at each transformer is equal, the power combination efficiency of the stack of an -cell stack is

(15) In (15), represents the voltage loss of each transformer. Applying (15) to the incremental efficiency drop constraint of (14) will give the upper limit of the number of cells at various voltage loss

Fig. 5. Power combination efficiency of a stacked amplifier with lossy transformers in each cell.

Fig. 6. Power combination efficiency of a stacked amplifier with increasing phase delay in each cell.

efficient combination efficiency of over 90% up to eight cells; for a four-cell stack, the transformer should have an efficiency of at least 0.95 (0.45-dB loss). The distribution nature of the transformer components will result in an increasing phase delay along the transformer ladder. Assuming that the magnitude factor of each cell is unity, and a delay of between adjacent cells, from (11) the power combination efficiency is

(16)

(17) The incremental efficiency limit is

Using (15), the power combination efficiency versus the number of cells with different transformer efficiencies are plotted in Fig. 5, and (16) dictates the upper cell limit at various transformer efficiencies. For example, the upper cell limit for efficiencies of 0.9 and 0.8 are 12 and 6, respectively. From Fig. 5, the transformer loss should be extremely low (with efficiency of at least 0.99, an approximately 0.09-dB loss) for an

(18) The power combination efficiency versus number of cells with different phase delays are plotted in Fig. 6 using (17). The effect of phase delay on the cell-number limit is more tolerant

LEI et al.: DESIGN AND ANALYSIS OF STACKED PA IN SERIES-INPUT AND SERIES-OUTPUT CONFIGURATION

Fig. 7. P versus P and gain versus P relationships of an ideal amplifier cell (A), four ideal amplifier cells stacked in series (B), and a four-cell stack with distributed effects considered (C).

than transformer loss: with 10 of incremental delay, the cell limit is 13, and for 20 of delay, the cell limit is seven. A four-cell stack is quite tolerable to large phase delay, with still over 85% combining efficiency when adjacent cells delay each other by 20 . For eight cells, the requirement for efficient combining efficiency is more stringent, a 20 phase delay will result in only 50% efficiency, which is only as good as four ideal devices, and in essence, poorer than a seven-cell stack from the constraint of (18). A graphic representation of this concept was presented in [15]. C. Effects of Cell Imbalance on Amplifier Linearity For any solid-state amplifier, its output power versus input power can be characterized by a three-segment line, i.e., the linear region, compression region, and saturation region. This input power and output power relationship of an amplifier is usually measured or simulated using continuous wave (CW) signals, and plotted as a – chart, both in decibel scale. A two-tone CW measurement can provide further insights into amplifier linearity from the third-order intermodulation product it – relationship of a single device generates. A simulated transformer-coupled amplifier with lossless matching is plotted in Fig. 7, dashed lines denoted by symbol “A.” The stacked amplifier is composed of several amplifier cells, – relationship meneach cell with a transistor that has a tioned above. In the ideal case where all the cells are identical and driven equally with the same magnitude and phase, the – relationship of the stack amplifier will be exactly the linear combination of the individual amplifier cells. Using the ideal transformer-coupled amplifier characteristics of Fig. 7 “A” – as the example, a four-cell ideal stack will result in the lines extended by 6 dB, represented by thin solid lines denoted “B,” and an eight-cell ideal stack will extend by 9 dB. In other words, the output power will increase fold, with no degradation in linearity. However, the distributed nature of the actual physical components will affect the linearity of the amplifier. Once the ideal transformers are replaced by coupled lines with distributed effects and connections between transistors replaced by transmis-

2807

sion lines, the power performance will degrade with poorer saturation power and earlier compression. The bold lines marked “C” represent the four-cell stack with physical components. The output power is degraded by 1 dB compared to the ideal four-cell case, as well as earlier gain rolloff. Additional distributed effects in the cells, such as the connections to the transformers, metal–insulator–metal (MIM) capacitors, and the structure of the device will further affect the linearity performance, which are observed in the experiments. The reason to the change in the linearity of the stacked amplifier may be explained as follows: the input feeds into the cells are not ideal; therefore, the input signals into each cell may be slightly varied both in magnitude in phase. When the input power is low and all the devices are operating in the linear region, the devices all act as linear amplifiers. As the input power increases, the devices that have a slightly higher proportion of input power will enter compression faster, and the devices with lower proportion of input power will still be in the linear operating region. Such a division of operating modes will cause the entire stack to operate at an under-par condition. The overall effect is that the stacked amplifier enters compression earlier than the ideal case. The circuit size should therefore be as compact as possible to mitigate the distributed effects on power performance of the amplifier. III. FOUR-CELL HBT PA DESIGN With the stacked amplifier configuration, one has the option of power combination by increasing voltage, adding an additional degree of design freedom. Depending on the system requirements and design constraint, to obtain more power, one may stack many amplifier cells at the expense of higher bias voltage, or to increase device periphery and stacking at the same time to maintain constant optimum load impedance. With the second approach, one should choose to keep the optimum load admittance slightly lower than 1/50 S, thus making the amplifier easy to match with a high-pass short stub. The series-input stack also has the additional advantage of increasing input impedance, as proven in Section II, which means that the coupling transformers do not need to be designed as impedance transformation components, as the overall input impedance with simple 1 : 1 transformers will still be relatively easy to match. With the optimum load impedance designed around 50 , with the series-input approach, the input impedance will also be around the center of the Smith chart. and optimum With the design constraint of output power load impedance of 50 , the design flow of a series-input and series-output transformer coupled stacked amplifier is summarized as follows. , 1) From the power equation is the drain/collector bias of a single device, where is the knee voltage of a single device, and is the desired optimum load impedance of 50 ; the number of cells can be solved to the closest integer. , based 2) The optimum load impedance of each cell is on this, the device size is decided. 3) Assemble each cell: device, transformer, biasing, and bypass capacitors. Component sizes and losses should be kept

2808

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

TABLE II MAGNITUDE AND PHASE ERROR OF THE INPUT COUPLED LINE LADDER, RELATIVE TO Q1

Fig. 8. Simplified schematic of the HBT series-input stack amplifier.

to a minimum to reduce the nonideal effects. Care should be taken at the layout so that each cell can be easily connected with each other both at the input and output end. 4) Combine the cells; design the output matching network to its optimum load impedance, and biasing circuits. 5) Design the input matching circuit. To validate the series-input and series-output stacked amplifier, a four-cell stack amplifier operating at 5–6 GHz with 1-W saturation power is designed using WIN Semiconductors’ 2- m HBT process.1 A major feature of the series-input stack is that the input impedance also increases with the number of cells; therefore, it is possible to make both the input impedance and output optimum load to near 50 at the same time to simplify the matching designs. A simplified schematic, excluding the matching and biasing circuits of the series-input stack amplifier, is shown in Fig. 8. It is composed of four identical self-biased HBT devices in series and coupled lines as the transformer coupler. Series capacitors are placed between the base and emitter of each transistor to serve as dc blocks. Due to the layout configuration of the HBT device, the crossover between the transformer and base–emitter represents how the coupled-line transformer actually connects to the device, instead of an actual crossover. Such a connection will change the direction of the current in the output coil of the linear analysis in Section II, which will alter the (2)–(12) slightly, but with the same advantages of increased input impedance, output impedance, and power combination. The input is fed from the bottom up, instead of top down in the analysis, allowing easier layout of the RF and dc pads. 1Online

information of process at http://www.winfoundry.com

The device used is seven single two-finger 20- m devices combined in parallel with a 3- emitter ballast resistor in each cell to reduce the multifinger emitter collapse phenomenon [18]. A voltage level of 3.75 V and quiescent current of 110 mA is chosen for the design. This sets the voltage of the entire amplifier at 15 V. Due to the particular layout of the transistor, the bottom transistor Q1 has a slightly different layout compared to the other three cells; this, in turn, causes a slight difference in the input feed signal of Q1. In fact, the magnitude of the Q1 feed is smaller than the other three cells. The phase and magnitude difference of the input coupled line ladder, relative to the first device Q1, is listed in Table II. Based on (17) and Fig. 6, the phase difference has a minimal effect on the combination efficiency of at most 2%. However, the asymmetric layout does affect the linearity performance, which is demonstrated in Section II-C. This is also observed from the load lines. Fig. 9(a) shows the load lines of the individual transistors in an ideal simulation (lumped components only) at 25-dBm output power; it is clear that the four load lines have the same shape and are nearly identical in size. However, once all of the components are replaced with EM simulations, the load lines of the transistors degrade to the contours shown in Fig. 9(b); in particular, the load line of Q1 is distinctively different from the other three, which is the manifestation of the different layout in Q1. The voltage clipping of Q1 in Fig. 9(b) is also evidence that Q1 is the dominant factor in the earlier compression of the stacked amplifier in power measurements. The transformer couplers are implemented using coupled lines. The lines are 290 m in length, linewidth of 5 m, and gap of 2 m. The length of the coupled line is very short compared to a quarter-wavelength line at 5 GHz, and because of its thin linewidth and the small spacing, the coupling is mostly magnetic, which can be modeled as a transformer in Fig. 2(a). Based on EM simulations, the resistance in each inductor is is 0.32 nH, is 0.23 nH, and the 2 , the self-inductance is 0.19 nH. mutual inductance Circuit simulations are conducted using Agilent’s ADS software , and electromagnetic (EM) simulations using SONNET [17]. Load–pull simulations at 5 GHz are conducted to determine the optimum load impedance. The output power, optimum load impedance, and gamma of the device are listed in Table III with respect to the number of stacked cells. The result is consistent with the stack amplifier theory with the optimum load impedance and output-power in proportion to the number of devices stacked. The input impedance of the amplifier also increased accordingly to the number of cells stacked. The four-cell input impedance is around 12.5 , which is over a fourfold increase of 2.5 of a single transistor.

LEI et al.: DESIGN AND ANALYSIS OF STACKED PA IN SERIES-INPUT AND SERIES-OUTPUT CONFIGURATION

2809

Fig. 10. Chip photograph of the series-input series-output transformer coupled stacked PA. The chip size is 2 1 mm .

2

Fig. 11. Detailed photograph of the input port and the first cell. The emitter, base, and collectors of the transistor are denoted as “E,” “B,” and “C,” respectively. Fig. 9. Load line of the four transistors. (a) Load lines under ideal simulations. (b) Load lines under complete simulation. TABLE III OUTPUT POWER, OPTIMUM LOAD IMPEDANCE, AND GAMMA VERSUS NUMBER OF CELLS

A chip photograph of the stacked PA is shown in Fig. 10 with a chip size of 2 1 mm . From Fig. 10, the input matching occupies very little chip area, and the output matching is much simpler than most PA output matches. Details of a single cell are shown in Fig. 11, which shows the orientation of the transistor, coupled line, dc block, input matching circuits, and several crossovers of the Q1 transistor. Due to the peculiar layout, the right end of the coupled line, which should be a dc and RF short, is far away from the via-hole ground, therefore, an additional via-hole is added, denoted as “Enforce emitter ground.” This additional via-hole is only added to the Q1 cell, therefore making Q1 different in layout from the other three cells, resulting in different large-signal performance. IV. MEASUREMENT RESULTS The series-input series-output amplifier is measured on-wafer. The -parameter is measured using the Anritsu

Fig. 12. S -parameter measurements of the four-cell stack PA. Bias voltage is 15 V and quiescent current is 110 mA. The solid lines are measurements and the dashed lines are the simulation results.

37397D vector network analyzer. The -parameter measurements up to 16 GHz are shown in Fig. 12. The amplifier has 13.1-dB gain, 6-dB input return loss, and 16-dB output return loss at 5 GHz. The best input return loss is at 4.8 GHz of 28.7 dB, and over 12-dB gain from 4.6 to 5.8 GHz. The dotted lines are the simulation results, and accurately predict the gains and return losses of the amplifier.

2810

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 13. Power measurements of the four-cell stack amplifier at 4.8 GHz. The solid lines are measurements and the dashed lines are the simulation results.

Fig. 14. Power measurements of the four-cell stack amplifier at 5.2 GHz. The solid lines are measurements and the dashed lines are the simulation results.

Power measurements are measured using a signal source and an HP 83020 PA as input, and an HP 8481B power sensor for output power measurements. Power measurements at 4.8 and – charac5.2 GHz are measured. Figs. 13–15 show the teristics of the amplifier at 4.8, 5.2, and 5.8 GHz, respectively. The large-signal gain of the amplifier at each frequency is also plotted in the corresponding graphs. The output 1-dB compression power at 4.8 GHz is 26 dBm, at 5.2 GHz is 23.4 dBm, and at 5.8 GHz is 20 dBm. The measured power-added efficiency is shown in Fig. 16. The simulation has predicted the early gain compression due to the distributed effects of the components, which may be observed in Figs. 13–15 as a bend in output power around 10–15-dBm input power. The four-cell stack amplifier is subjected to actual 802.11a modulation signals at 5.2 and 5.8 GHz. The input signals are generated using an Agilent E4438C vector signal generator, and the output signals are received and demodulated using an Agilent 89605B vector signal analyzer. The output spectrum of the four-cell stack amplifier is shown in Fig. 17. The output power is 18 dBm with a 54-Mb/s rate signal at 5.2-GHz carrier frequency. The spectrum is within the spectral mask defined in the 802.11a standard. The error vector magnitude (EVM) versus

Fig. 15. Power measurements of the four-cell stack amplifier at 5.8 GHz. The solid lines are measurements and the dashed lines are the simulation results.

Fig. 16. Measured power-added efficiency at 4.8, 5.2, and 5.8 GHz.

Fig. 17. Measured PA spectrum at 5.2 GHz subjected to 54-Mb/s signal with an output power of 18 dBm.

output power is shown in Fig. 18 with a 54-Mb/s data rate signal. The EVM requirement of 25 dB can be achieved while delivering 18 dBm of output power at 5.2 and 5.8 GHz. The adjacent channel power ratio (ACPR) at the two frequencies

LEI et al.: DESIGN AND ANALYSIS OF STACKED PA IN SERIES-INPUT AND SERIES-OUTPUT CONFIGURATION

2811

ACKNOWLEDGMENT The authors wish to thank Dr. C.-H. Wang and Dr. J.-H. Tsai, both with National Taiwan University, Taipei, Taiwan, R.O.C., for their helpful comments, Prof. H.-Y. Chang, National Central University, Jhongli City, Taoyuan County, Taiwan, R.O.C., for his assistance in measurements, and Dr. C.-C. Chiong, Academia Sinica Institute of Astronomy and Astrophysics (ASIAA), Taipei, Taiwan, R.O.C., for his coordination support. The chip was fabricated by WIN Semiconductors, Tao Yuan County, Taiwan, R.O.C., with the support of the ASIAA. REFERENCES

Fig. 18. EVM versus transmission power at 54-MB/s rate at carrier frequencies of 5.2 and 5.8 GHz.

at 18-dBm output power at 5.2 and 5.8 GHz are better than 30 dB. The power performance is degraded from the ideal case due to the various distributed effects of the connecting lines and passive components. To improve these effects, there are several areas for improvement. One place is to reduce the size of the coupled line ladder. From the chip photograph in Fig. 10, there seems to be ample area for the reduction of the coupled line ladder without interfering with the devices. The coupled lines can be reduced in size by meandering the lines. Resimulation on the input feed lines shows that a 20% reduction in length will have only 0.15-dB error, and 40% reduction will reduce the magnitude imbalance to negligible levels. The reduction in the line lengths between the transistors will also improve the circuit power performance. Due to the particular device layout, the bottom device connection to ground results in the bottom cell to be slightly different from the other three cells. This is also a source of imbalance that causes the linearity of the amplifier to degrade. Improvements on the bottom cell layout will also improve the circuit’s power performance.

V. CONCLUSION The design and analysis of the series-input and series-output stacked PA has been reported in this paper. This configuration is rigorously analyzed, proving that the series input has the additional advantage of increased input impedance. The relationship between the feed balance and the overall combination efficiency can be described analytically with several cases specifically discussed. The physical connections will affect the power performance of the stacked amplifier, and is also discussed. A four-cell stacked amplifier is demonstrated using a 2- m HBT process. Measurements demonstrate over 12-dB gain from 4.6 to 5.8 GHz, 1-dB compression power of 26 dBm at 4.8 GHz, and 23.4 dBm at 5.2 GHz. The four-cell stacked amplifier is subjected to 802.11a modulation signals, conforming to EVM requirements at 18-dBm output and 54-Mb/s data rate, and ACPR of better than 30 dB.

[1] G. Gonzalez, Microwave Transistor Amplifiers, Analysis and Design, 2nd ed. Upper Saddle River, NJ: Prentice-Hall, 1997, ch. 3. [2] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Boston, MA: Artech House, 1999. [3] T. Sowlati and D. M. W. Leenaerts, “A 2.4-GHz 0.18-m CMOS selfbiased cascode power amplifier,” IEEE J. Solid-State Circuits, vol. 38, no. 8, pp. 1318–1324, Aug. 2003. [4] C. Park, Y. Kim, H. Kim, and S. Hong, “A 1.9-GHz CMOS power amplifier using three-port asymmetric transmission line transformer for a polar transmitter,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 230–238, Feb. 2007. [5] A. Mazzanti, L. Larcher, R. Brama, and F. Svelto, “Analysis of reliability and power efficiency in cascode class-E PAs,” IEEE J. Solid-State Circuits, vol. 41, no. 5, pp. 1222–1229, May 2006. [6] C.-M. Lo, C.-S. Lin, and H. Wang, “A miniature V -band 3-stage cascode LNA in 0.13 m CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig., Feb. 2006, pp. 322–323, 656. [7] M. Shifrin, Y. Ayasli, and P. Katzin, “A new power amplifier topology with series biasing and power combining of transistors,” in IEEE Microw. Millimeter-Wave Monolithic Circuits Symp. Dig., Jun. 1–3, 1992, pp. 39–41. [8] A. Ezzeddine, H.-L. A. Hung, and H. C. Huang, “High-voltage FET power amplifiers for satellite and phased-array applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1985, no. 1, pp. 336–339. [9] A. K. Ezzeddine and H. C. Huang, “The high voltage/high power FET (HiVP),” in IEEE RFIC Symp. Dig., Jun. 2003, pp. 215–218. [10] A. K. Ezzeddine and H. C. Huang, “Ultra-broadband GaAs HIFET MMIC PA,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1320–1323. [11] J. Jeong, S. Pornpromlikit, P. M. Asbeck, and D. Kelly, “A 20 dBm linear RF power amplifier using stacked silicon-on-sapphire MOSFETs,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 12, pp. 684–686, Dec. 2006. [12] A. Ezzedine and H. C. Huang, “High power high impedance microwave devices for power applications,” U.S. Patent 6 137 367, Oct. 24, 2000. [13] J. G. McRory, G. G. Rabjohn, and R. H. Johnston, “Transformer coupled stacked FET power amplifiers,” IEEE J. Solid-State Circuits, vol. 34, no. 2, pp. 157–161, Feb. 1999. [14] G. G. Rabjohn, M. S. Suthers, J. McRory, and R. Leroux, “Transformer coupled stacked power amplifier,” U.S. Patent 5 867 061, Feb. 2, 1999. [15] Z.-M. Tsai, M.-F. Lei, and H. Wang, “An HBT four-cell monolithic stacked power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 3–8, 2007, pp. 151–154. [16] “Advanced Design System documentation 2005A,” Agilent Technol., Palo Alto, CA, 2005. [17] “Sonnet Users Guide, Release 9,” Sonnet Software Inc., Pittsburgh, PA, 2003. [18] J. S. Yuan, SiGe, GaAs, and InP Heterojunction Bipolar Transistors. New York: Wiley, 1999, pp. 306–319. Ming-Fong Lei (S’00) was born in Taipei, Taiwan, R.O.C., on December 20, 1980. He received the B.S. degree in electrical engineering and Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2002 and 2007, respectively. His research interests include the design and analysis of microwave and millimeter-wave circuits, microwave device modeling, and filters.

2812

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Zuo-Min Tsai (S’03–M’06) was born in Mailo, Taiwan, R.O.C., in 1979. He received the B.S. degree in electronic engineering and Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2001 and 2006, respectively. He is currently a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests are the theory of microwave or millimeterwave circuits.

Kun-You Lin (S’00–M’04) was born in Taipei, Taiwan, R.O.C., in 1975. He received the B.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1998, and the Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2003. From August 2003 to March 2005, he was a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. From May 2005 to July 2006, he was an Advanced Engineer with the Sunplus Technology Company Ltd., Hsin-Chu, Taiwan, R.O.C. In July 2006, he joined the faculty of the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, as an Assistant Professor. His research interests include the design and analysis of microwave/RF circuits. Dr. Lin is a member of Phi Tau Phi .

Huei Wang (S’83–M’87–SM’95–F’06) was born in Tainan, Taiwan, R.O.C., on March 9, 1958. He received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1980, and the M.S. and Ph.D. degrees in electrical engineering from Michigan State University, East Lansing, in 1984 and 1987, respectively. During his graduate study, he was engaged in research on theoretical and numerical analysis of EM radiation and scattering problems. He was also involved in the development of microwave remote detecting/sensing systems. In 1987, he joined the Electronic Systems and Technology Division, TRW Inc. He has been an MTS and Staff Engineer responsible for MMIC modeling of computer-aided design (CAD) tools, MMIC testing evaluation, and design and became the Senior Section Manager of the Millimeter-Wave (MMW) Sensor Product Section, RF Product Center. In 1993, he visited the Institute of Electronics, National Chiao-Tung University, Hsinchu, Taiwan, R.O.C., to teach MMIC-related topics. In 1994, he returned to TRW Inc. In February 1998, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, as a Professor. He is currently the Director of the Graduate Institute of Communication Engineering, National Taiwan University. Dr. Wang is a member of Phi Kappa Phi and Tau Beta Pi. He was the recipient of the Distinguished Research Award of National Science Council, R.O.C. (2003–2006). In 2005, he was elected as the first Richard M. Hong Endowed Chair Professor of National Taiwan University. He has been appointed an IEEE Distinguished Microwave Lecturer for the 2007–2009 term.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2813

A Multigigabit Millimeter-Wave Communication System With Improved Spectral Efficiency Val Dyadyuk, Member, IEEE, John D. Bunton, Senior Member, IEEE, Joseph Pathikulangara, Rodney Kendall, Oya Sevimli, Senior Member, IEEE, Leigh Stokes, and David A. Abbott

Abstract—This paper describes the details of a new method for improved spectral efficiency in a multigigabit millimeter-wave communication system, and the outdoor and indoor test results of a 6-Gbit/s concept demonstrator in the 81–86-GHz frequency band. Achieved aggregate data with a 2.4-bit/s/Hz spectral efficiency was the fastest wireless transmission published thus far with a carrier-grade bit error rate over a millimeter-wave link. Index Terms—Communication systems, millimeter-wave radio communication, monolithic microwave integrated circuits (MIMICs), modems, phase-shift keying, transceivers.

I. INTRODUCTION

D

EMAND FOR very high-speed wireless communication is increasing due to the increasing computer and fiber speeds. Gigabit Ethernet standard (GbE) is now widespread and 10 Gigabit Ethernet (10 GbE) standard has been available since 2002. While known fiber-optic data-transfer devices can provide multigigabit per second (Gbit/s) data rates, infrastructure costs and deployment time can be prohibitive for some applications. Wireless links can be used to bridge the gaps in the fiber network and they can be deployed very rapidly without the need for trenching. Multigigabit wireless applications include fiber segment replacement in future third–generation (3G)/fourth–generation (4G) backhauls, in distributed antenna systems, in enterprise connectivity and in consumer-level applications such as uncompressed high definition television (HDTV) transmission. There has been a lot of interest by researchers in the development of 60-GHz systems for the indoor [1] and outdoor [2] applications because it has been allocated in many countries as free spectrum. However, because of higher propagation loss due to oxygen absorption at this band, it is not suitable for very long links. The recently allocated 71–76- and 81–86-GHz bands provide an opportunity for line of sight (LOS) links with longer range and higher data rates, ideally suited for fiber replacement and backhaul applications.

Manuscript received May 3, 2007; revised August 18, 2007. The authors are with the CSIRO ICT Centre, Marsfield, Sydney, NSW 2122, Australia (e-mail: [email protected]; [email protected]; joseph. [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909875

Current commercial point-to-point wireless links1 2 3 4 5 in the millimeter-wave spectrum are limited to speeds up to 1.25 Gbit/s and use simple modulation techniques like amplitude shift keying (ASK) or binary phase-shift keying (BPSK) with spectral efficiency below 1 bit/s/Hz. As an alternative, a 120-GHz band photonic wireless link with 10-Gbit/s data rate has been reported achieving error-free transmission of 10-GbE signals over a distance of 200 m [3]. In this case, the millimeter-wave signal was optically modulated by intensity modulation and demodulated by envelope detection. The signal occupied 17-GHz bandwidth (BW) with a 0.6-bit/s/Hz spectral efficiency. Another group [4] have reported 5.2-Gbit/s combined data rate over a 60-m outdoor path using four quadrature amplitude modulation (4QAM) over three separate RF bands (2–7 GHz) with 1.6-bit/s/Hz spectral efficiency, and suggested that 10.4 Gbit/s could be achieved if combined with polarization-based frequency reuse. Although over 19 GHz of millimeter-wave spectrum is available for fixed communication services with allocated continuous BW up to 5 GHz, practical realization of efficient digital modems is limited by the speeds of analog-to-digital (A/D) and digital-to-analog (D/A) converters available. We have proposed a frequency-domain multichannel multiplexing approach [5] with improved spectral efficiency, designed an eight-channel 12-Gbit/s system in the 81–86-GHz band, and built a four-channel 6-Gbit/s concept demonstrator. We have previously reported bench tests [6], initial outdoor measurements [7], and the new frequency-domain channel-multiplexing concept [8]. With eight phase–shift keying (8PSK), we achieved a spectral efficiency of 2.4 bit/s/Hz. According to our knowledge, this is the highest spectral efficiency achieved to date for a millimeter-wave link with a demonstrated 6-Gbit/s data rate. This paper describes the system architectures and its major building blocks, digital modulation and demodulation techniques, and completed to date tests results of the concept demonstrator. 1Loea 2500 Link Specifications. [Online]. Available: http://www.loeacom. com/Loea2500_L1061-S-Aug06.pdf 2GigaLink Series Technical Specifications. [Online]. Available: http://www. proxim.com/downloads/products/gigalink/DS_0407_Gigalink_US.pdf 3FlexWave MMW Link Specifications. [Online]. Available: http://www. bridgewave.com/downloads/DS_GE80_AR80_FE80U.pdf 4BridgeWave GE80, AR80 and FE80U Wireless Links Specifications. [Online]. Available: http://www.bridgewave.com/downloads/DS_GE80_AR80_FE80U.pdf 5FibeAir Gigamax-60 Link Product Description. [Online]. Available: http:// www.ceragon.com/site/Products_Products.asp?ID=20

0018-9480/$25.00 © 2007 IEEE

2814

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 1. Generalized block diagram of the system.

II. FREQUENCY-DOMAIN MULTICHANNEL WIRELESS SYSTEM WITH IMPROVED SPECTRAL EFFICIENCY

defined in the IEEE 802.3 standard) or the 1.5-Gbit/s channels using implementations of a modified gigabit media independent interface (GMII) bus. Each GMII bus transfers a 10-bit word comprising eight data bits and two status bits clocked at the nonstandard baud rate of 187.5 MHz to accommodate the channel bit rate. An output aggregated digital stream is compatible with network standards such as 10-Gbit/s Ethernet, OC-192 Sonnet, or STS-192 SDH. With a realistic number of digital channels of 4–16, and performance of currently available circuits, the above configuration can be utilized for wireless links with a spectral efficiency scalable from 2.4 to 4.8 bit/s/Hz for 8PSK to 64-QAM modulations to transmit 12–24 Gbit/s over 5-GHz wireless BW and up to 48 Gbit/s over 10 GHz of BW. The latter numbers can be derived as follows. The maximum data rate is the product of the BW and spectral efficiency

A. System Architectures A simplified block diagram of the system that uses a spectrally efficient digital modulation is shown in Fig. 1. The system includes a digital interface, a digital modem, an IF module, and a wideband millimeter-wave front end having transmit and receive sections and a high-directivity antenna. The transmit and receive signals are combined using either a frequency- or time-domain diplexer. As commercially available at a reasonable cost A/D and D/A converters cannot operate at multigigabit per second speeds, we use a number of digital channels operating at the sampling speed of two gigasamples per second (Gs/s). At the transmitter (Tx) input, the digital data stream is dedigital channels (e.g., 4–16). Each digital multiplexed into channel is generated by a field programmable gate array (FPGA) and a high-speed D/A. At the modulator, several identical high data-rate digital channels are generated by direct computation of the analog frequency signals from symbols in accordance with a new pre-compensation technique [5]. Analog IF signals of each digital channel are multiplexed in the frequency domain in the spectrally efficient manner [5], [8] without a need of the frequency guard bands between the adjacent channels. The combined IF signal is up-converted into a millimeter-wave carrier frequency amplified and transmitted over a line-of-sight path. At the receiver (Rx), the received signal is down-converted from the millimeter-wave carrier frequency into IF and demulsub-channels, then tiplexed in the frequency domain into sampled by the high-speed A/D converters and decoded by the FPGA into digital channels and then multiplexed into a single digital stream. The millimeter-wave transceiver uses heterodyne architectures with sub-harmonic frequency translation. Implementation of the sub-harmonic local oscillator (LO) allows reducing complexity and cost of a transceiver. While a sub-harmonic mixing incurs a small penalty of several decibels in conversion gain or dynamic range, it provides a benefit of inherent suppression of both fundamental and even harmonics of the LO and down-converted LO noise. Millimeter-wave transceivers that are adequate for such systems in 71–86-GHz frequency bands have been previously reported in [6], [7], [10], and [11]. For a system with up to eight digital channels, the digital interface is either the gigabit attachment unit interface (XAUI, as

(1) where is the number of bits per symbol, and is the excess BW (or rolloff factor) of the root-raised-cosine (RRC) filter. The signal-to-noise or interference ratio (SINR) required for a given BER increases with an increased order of a multilevel digital . modulation. Thus, the SINR above 36 dB is required for For the proposed system, practically achievable SINR is limited by several factors. They include the LO phase noise, the limited linearity of power amplifiers (PAs), inter-channel interference, the limited signal-to-noise and distortion ratio (SINAD) of the high-speed converters over wideband pre-compensated channels. Whereas the phase noise of the oscillators increases with frequency, commercially available phase-locked dielectric resonator oscillator sources are suitable for multilevel modulations. Thus, the phase noise integrated over the channel BW was below 46 dBc for the 41.5-GHz oscillator chosen for the link prototype. This level is adequate for the modulations with (e.g., including 256 QAM). The measured SINAD for the commercial 2-Gs/s D/A was approximately 50 dB for an ideal analog channel. This was further reduced to approximately 40 dB for a typical physical channel. The SINAD for the A/D was measured to be approximately 35 dB. An approximate estimate that includes the above figures, noise of the low-noise amplifiers, linearity of the PA, and residual inter-channel interference results in a practically attainable SINR of approximately 30 dB at the 71–86-GHz carrier frequency. Therefore, the maximum (e.g., 64 QAM) with realistic modulation order would be bits/s/Hz for a typical rolloff factor of 0.25. B. Frequency-Domain Multiplexing Frequency-domain multiplexing commonly uses analog filters that require frequency guard bands between adjacent radio channels, which is an inefficient use of the available BW. An example is given in [9] where the system uses an orthogonal frequency division multiplexing (OFDM) approach. In contrast, we used a novel frequency-domain channel multiplexing technique [5], [8] without the need for guard bands that combines the RRC digital filters to eliminate data aliases and relaxed frequency-response linear-phase analog passband filters to reject only unwanted Nyquist responses.

DYADYUK et al.: MULTIGIGABIT MILLIMETER-WAVE COMMUNICATION SYSTEM WITH IMPROVED SPECTRAL EFFICIENCY

2815

Fig. 4. Simulated performance of the proposed relaxed frequency response analog BPFs for a four-channel system. Fig. 2. Functional diagram of the transmit portion of the modem and analog multiplexer (input digital interface is not shown).

Fig. 5. Simulated IF signal at the input to RF transceiver for a four-channel system using models of the proposed digital and analog filters. Fig. 3. First five images at the output of an RTZ D/A converter and a frequency response of the proposed analog BPF.

The proposed method is applicable to systems where the radio channel BW is greater than the Nyquist spectral width of the associated A/D and D/A converters. The transmit section of the system that implements the guard-band-free frequency-domain high-speed digital channels (BW of multiplexing of each) into a single RF channel with (2) is shown in Fig. 2. The input binary data is demultiplexed into identical digital channels. A pre-compensated digital modulator is implemented in a field-programmable gate array (FPGA). Uncompensated symbols have the form of an impulse response of an RRC filter. This eliminates data aliases, and relaxes the requirements to bandpass filters (BPF) that can have up to 30% transition bands to reject only unwanted Nyquist responses. For simplicity, we describe this solution for a return to zero (RTZ) type of D/A converter operating at the sampling clock freto generate the wanted analog signal in the second quency of Nyquist zone. The concept of combining an analog BPF and an RRC pulse-shaping filter for frequency-domain multiplexing is illustrated in Fig. 3. It shows a D/A output in first five Nyquist zones and an exemplary frequency response of a BPF aligned with the second

Nyquist zone. A sync function envelope arising from the sam. At the chosen pling by an RTZ D/A has the first zero at , the analog data signal in the wanted symbol rate of Nyquist zone is band-limited to , and outside this band, the signal power is practically zero. The A/D limitation and truncation of the impulse responses means there is only low residual power outside the wanted Nyquist zone. Channel 1 is directly generated by an RTZ D/A and the subchannels are up-converted to abut each other using sequent step. An identical analog “basefrequency translation in a band” BPF with a frequency response shown in Fig. 3 is used for each digital channel at the D/A outputs. ) eliminate images arising from the BPFs BPF1–BPF( frequency translation (Fig. 2). The LO frequencies are selected to avoid unwanted mixing terms in the passbands of neighboring channels. The simulated frequency response of the analog filters adequate for the proposed frequency-domain multiplexing scheme is shown in Fig. 4 for a system having four digital channels. The resulting combined IF signal at the input to the millimeter-wave transmitter is plotted in Fig. 5 for a system with four digital channels. The data were obtained using models of the proposed digital and analog filters. This technique of using digital filters with sharp cutoff along with the analog BPF allows contiguous channels to abut each other and makes for the efficient use of wireless spectrum. A receive section that implements demultiplexing of a rehigh-speed digital channels is shown in ceive channel into

2816

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 6. Functional diagram of the receive portion of the modem and analog demultiplexer (output digital interface is not shown).

Fig. 6. The received signal is down-converted from the millimeter-wave carrier frequency into IF and demultiplexed in the sub-channels, then sampled by the frequency domain into high-speed A/D converters, and de-coded by the FPGA that implements matched RRC filters. The demultiplexer employs ) identical to the filanalog filters BPF and BPF1 to BPF( ters used in the multiplexer. digital channels can be multiplexed into a Data from the single digital stream. C. Digital Modulation and Demodulation The digital modulator and demodulator are implemented in FPGAs. Parts of the FPGA logic runs at an effective sample rate of 2 GHz due to a multilane and parallel implementation of circuits. The modulator stores a digital representation of the transmit signal for every symbol for 32 symbol periods. The symbols enter a shift register of length 32, and each of these symbols generates one set of samples from the stored representations to the output at the appropriate time. An adder chain produces the modulator waveform and the D/A converter produces the analog IF signal. The D/A converters have a sufficient effective number of bits to accommodate this pre-compensation without degrading the SINR. This novel technique is computationally efficient, as no multiplications are required. Its complexity is low and grows linearly with the length of pre-compensation. The 32-symbol length modulator is sufficient to pre-compensate group-delay ripple of several nanoseconds. Another innovative feature of this symbol to signal transform is that it incorporates conversion to IF, and a pre-compensated RRC filter. A chirp-based channel sounding determines the precompensation required for the transmit symbols. Fig. 7 shows the waveform for a symbol for an ideal channel and the pre-compensated RRC waveforms for two real wireless channels A and B. Channel A has larger imperfections in both group delay and amplitude when compared to channel B. On the receive side, the FPGA digitally down converts the data from an A/D converter to baseband in-phase (I) and quadrature (Q) signals. The low-pass filter associated with the down converter is the RRC filter. This digital filter with a sharp cutoff

Fig. 7. RRC waveform for a symbol for ideal channel and pre-distorted RRC waveforms for examples of physical wireless channels (A and B).

rejects the out-of-band noise generated by the frequency-domain multiplexing scheme. One novel feature of this RRC filter is that it can interpolate the output sample time instant to a resolution of 1/32 of the symbol period. A bit center tracking circuit controls the RRC sampling instant. The other blocks of the demodulator include constellation de-rotation circuits, symbol decoder, symbol insertion, and deletion circuits to account for symbol rate mismatch between the transmitter and receiver and the symbol to bits converter. Coherent detection requires both the phase of the carrier and the symbol timing to be known at the receiver. Both parameters can be determined in any order, either approach brings its own benefits. We have chosen to determine the symbol timing first followed by the carrier phase recovery (constellation de-rotation). This requires a symbol timing recovery (STR) algorithm insensitive to the carrier phase. The received signal is sampled twice per symbol. When the STR loop is locked, the samples are alternating between the center of the symbol and a point midway between the symbols. This arrangement of samples allows us to use a variant of the Gardner’s algorithm [12]. This algorithm has been simplified by considering only the sign of the samples. A symbol timing detector is employed on both I and Q streams in parallel with four samples processed per clock cycle. The overall detector output (the sum of the I and Q outputs) is then passed through a low-pass loop filter and used to control the phase of the down-converter. A simple low-pass filter is used to reject the STR detector noise and allow the slowly changing output to control the phase of the down-converter. Both the gain and BW of the filter are adjustable and a second pole has been added to enable slope tracking and optimize performance. The down-converter can track the signal over the phase range to . When the phase changes beyond these boundfrom aries, a step occurs and a symbol is either lost or repeated, depending on the direction of the phase change. To mitigate the threshold, we introduced a repeated phase change near the hystheresis allowing the phase to be re-set to zero. At this moment, the locking changes from odd to even samples (or vice versa). A symbol is still lost or gained; however, the event is passed to subsequent detector stages, and a repeated symbol can be deleted or a missed symbol inserted.

DYADYUK et al.: MULTIGIGABIT MILLIMETER-WAVE COMMUNICATION SYSTEM WITH IMPROVED SPECTRAL EFFICIENCY

Fig. 8. Measured performance of a number of millimeter-wave receiver (Rx) and transmitter (Tx) integrated modules.

2817

Fig. 9. Measured performance of a Tx front end that included module Tx7, a commercial BPF and PIN attenuator, and an MPA module. Photograph of the MPA module mounting block is shown on the right.

III. CONCEPT DEMONSTRATOR PROTOTYPE A. Prototype Specification We have built a four-channel system concept demonstrator using Xilinx FPGAs, Euvis model MD653 RTZ D/A converters, and Atmel A/D converters operating at 2 Gs/s. The baseband signal BW was 625 MHz at a symbol rate of 0.5-gigasymbols/s and the RRC rolloff factor of 0.25. The aggregate link data rate was 6 Gbit/s for the 8PSK modulation over a 2.5-GHz-wide radio channel in the 81–86-GHz band. B. Millimeter-Wave Monolithic-Microwave Integrated-Circuit (MMIC) Modules The millimeter-wave RF modules have been built by integrating the GaAs MMICs we designed earlier into metal housing with waveguide and coaxial ports similar to [13]. Typical size of the module was 30 32 18 mm (excluding connectors) and the dc power dissipation was less than 1 W. For this 6-Gbit/s link, we aimed to achieve a flat response over a 2.5-GHz BW and designed the transmitter and receiver chains, as shown in Fig. 1. The sub-harmonic up- and down-converter modules incorporated multiple MMICs and the medium power amplifier (MPA) module included one MMIC. On-wafer performance of these MMICs, which included HEMT amplifiers [13], [14] and sub-harmonically pumped image-reject mixers using Schottky diode [15] or HEMT [16] devices, were reported earlier. Measured conversion gain of a number of developed integrated receive (Rx) and transmit (Tx) modules is presented in Fig. 8. Modules Rx3, Rx5, Rx9, Tx2, Tx4, and Tx7 were built using the Schottky mixer [15], and modules Rx8 and Tx10 utilized the HEMT mixers [16]. The latter exhibits extremely flat frequency response, but the conversion gain is lower by 10 dB when compared to the Schottky diode mixer. Adjustable back shorts for the microstrip to waveguide transitions at the input and output of the MMIC modules allowed minimizing the gain ripple and achieving desirable frequency response under the operating conditions for the link. The resulting ripple for all modules was less than 1.2 dB over the 2.5-GHz BW. For the complete Rx front end (that included module Rx9 and a commercial BPF), the conversion gain dB were 1 dB and 22 2 dBm, variations and the input respectively.

For the complete Tx front end (that included modules Tx7, an MPA module, a commercial -band bandpass filter, and a commercial PIN attenuator at fixed zero bias), the measured converdB were 9.6 1.2 dB and 4.5 sion gain and the output 1.5 dBm, respectively (Fig. 9).

C. Analog Filters Performance Although the devised multiplexing method allows for widening of the passband, the design of a highly linear-phase BPF over such a wide passband remains challenging. A preliminary analysis showed that known standard filter prototypes did not meet the design specification. A nonstandard approach was used where each element was carefully tuned to the required amplitude and phase masks in three steps. First, the low- and high-pass functions were achieved separately, then a matching section was included, and the filter as a whole was optimized. Surface-mount capacitor and inductor elements and microstrip transmission lines on a multilayer RO4350B substrate were used. A number of filters have been designed on the 20 50 mm printed circuit boards using 24–32 elements per filter. Simulations have been carried out using ADS-2005A with the Modelithics CLR Library,6 which includes accurate scalable models for surface-mount components with unwanted substrate effects removed. All versions of the developed filters exhibit an exceptional first pass measured-to-simulated agreement with an extremely linear phase response in the passband and meet specification requirements for both group delay, insertion loss, and out-of-band rejection. The measured and simulated amplitude response for a number of the “baseband” linear phase BPFs is shown in Fig. 10. A discrepancy between the measured and simulated out-of-band rejection around 3.5 GHz is consistent with measured frequency response of the SMB connectors at the input and output that have not been included into the model. The measured and simulated group delay in the passband is shown in Fig. 11. The measured group-delay variation was below 0.2 ns in the passband. For clarity, the measured passband group delay is plotted as a single line. Group-delay variations measured on 12 devices were below 0.05 ns. 6The Modelithics CLR Model Library. [Online]. Available: http://www.modelithics.com/products/

2818

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 10. Measured and simulated amplitude response for an analog BPF.

Fig. 13. Pre-compensated IF signal at the input of the millimeter-wave transmitter (Tx) and received IF signal (Rx) measured by a spectrum analyzer.

Fig. 14. Typical measured receive channel performance. Fig. 11. Typical group-delay response of the BPF. TABLE I SUMMARY OF INDOOR MEASUREMENTS

Fig. 12. 6-Gbit/s concept demonstrator during bench tests.

IV. MEASURED LINK PERFORMANCE A. Bench Tests Prior to an outdoor installation, a 6-Gbit/s link demonstrator was tested initially in the laboratory. A bench test setup is shown in Fig. 12. A -band waveguide attenuator was used to simulate the LOS radio path attenuation, while IF and digital modules were tuned and debugged. Each channel occupies 625 MHz and carries 1.5-Gbit/s gray-coded 8PSK random pseudonoise

(PN) sequence. Four channels were multiplexed into a single 2.5-GHz-wide IF signal using an optimal combination of the RRC digital filters and linear-phase analog filters in accordance with [5]. The pre-distorted IF signal at the input to the RF transmitter and the received IF signal (before demultiplexing) have been measured by a spectrum analyzer. The measured spectrum [7] of the multiplexed IF signal is shown in Fig. 13. The proposed pre-compensation technique allows compensating for large imperfections in analog circuitry for both magnitude (above 20 dB) and group delay (several nanoseconds). A Fourier transform at the A/D output of one of the received digital channels is shown in Fig. 14. The indoor test results are given in Table I. Average power, SINR, and raw bit error rates (BERs) (with no forward error correction) have been measured at the output of each channel at the receiver end over the 625-MHz-band transmitting graycoded 8PSK pseudorandom sequence at 1.5 Gbit/s.

DYADYUK et al.: MULTIGIGABIT MILLIMETER-WAVE COMMUNICATION SYSTEM WITH IMPROVED SPECTRAL EFFICIENCY

2819

Fig. 16. Measured average raw BER for 6-Gbit/s demonstrator prototype. Fig. 15. Link prototype at the outdoor test range (left) and rear view of the transceiver (right).

TABLE II SUMMARY OF OUTDOOR MEASUREMENTS

Fig. 17. Raw BER versus SINR for two channels measured varying the RF path attenuation by incremental blockage of the receive antenna aperture.

B. Field Tests The test range allows radios to be installed at 10 m above ground and with 250-m separation. At this range, a very low transmitted power of 0.25 mW was sufficient to provide a link margin above 10 dB for a 99.999% annual availability. The transmitter unit is shown in Fig. 15 (at the left, the unit at the bottom right corner). The other radio terminals co-located at the test range and visible in Fig. 15 belong to a commercial 60-GHz link (top) and an earlier 83.5-GHz gigabit link (middle) [17]. The outdoor unit includes a high gain conical lens horn antenna and the RF and IF modules. The antenna gain of 45 dBi is compliant with the spectrum regulations. The digital modem was packaged into a separate unit. A summary of measurements of the average received power, average noise and interference power, average SINR, and raw BERs at the output of each channel over a 625-MHz BW for the transmitted 1.5-Gbit/s gray-coded 8PSK pseudorandom sequence is given in Table II. Typical BER for a channel is plotted in Fig. 16. Each sample has been taken over a 57.25- s frame that included 85 882 bits. The calculated link margin was 19 2 dB at expected rain fade of 9 dB for a 99.999% annual availability at the test range location. For an experimental verification, the RF path attenuation was varied by an incremental partial blockage of the receive antenna with an RF absorber. The SINR and BER for a random gray-coded 8PSK PN sequence have been measured at each attenuation step. The measurements were conducted on channels 3 and 4. The measured values are shown in Fig. 17 along with the BER calculated using an average white Gaussian noise approximation (AWGN) for the measured values of the SINR.

Measured data were in good agreement with theoretical cal10 . Beyond this, the measured BER culations for departs from the theoretical approximation due to the residual noise in the A/D, inter-channel interference, implementation loss, and the LO phase noise. C. Video Transmission Experiment To evaluate the link performance with a forward error corrected payload, we have conducted a video transmission experiment. In this experiment, 16 video streams were aggregated into a GbE physical layer format (GMII) using multiple PCs and switches. The aggregated data were transmitted over one of the digital channels using a quarter of the link capacity. Other channels were used for raw BER measurements and channel sounding experiments. The input data stream (a continuous stream of 10 bits of data and a 125-MHz clock) is scrambled, and then chopped into packets of 240 10-bit words. The packets are subsequently rearranged into 12-bit words (four 8PSK symbols at a time), 200 words long (the transmitter chain thus processes four symbols in parallel). The remaining 40 words is used for the Reed Solomon 1) words of zeros, cyclic redundancy check (16 words), 23( followed by a one-word synchronization header. The length of the zero sequence is adjusted to compensate for the difference between the GMII clock and the transmit modem clock (both are 125 MHz, but they are free running). If the GMII clock is faster or slower than the modem clock, occasional zeros are, respectively, dropped or inserted to compensate. The receiver clock of the modem is free running and independent of the transmitter clock. Received samples are assembled into 12-bit words. Due to the nature of the symbol

2820

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

tracking, occasionally a symbol can be either repeated or lost. A repeated symbol is dropped while a lost symbol is replaced by a dummy (repeated previous) one. This happens while joining the received 6-bit words into 12-bit words used by the Reed Solomon decoder. The data are then passed through the Reed Solomon decoder to correct symbol errors, including lost symbols. The data are subsequently stripped of synchronization headers, rearranged into original packets of 240 10-bit words, and descrambled. The effective data rate is now identical to the transmitted GMII rate; however this is not the same as the clock of the receiver modem. The “idle” words in the GMII stream are periodically either inserted or deleted to compensate for this. Thus, the adjusted GMII stream with its own synchronous clock based on the modem clock is then made available at the output. The forward-error corrected video signal was received without a loss of data or visible distortions at SINR 12 dB. The corresponding raw BER was less than 2 10 . This was measured with the RF path blockage of approximately 18 dB (as shown in Fig. 17). V. CONCLUSION A concept demonstrator with 6-Gbit/s aggregate data rate in the 81–86-GHz band and 2.4-bit/s/Hz spectral efficiency has been built and tested indoors and on a 250-m outdoor range. At transmit power of 6 dBm, measured BER was below 5 10 with a 19-dB link margin for 99.999% annual availability at the test range location. We have fully described a multigigabit data rate wireless system with improved spectral efficiency that is scalable from 2.4 to 4.8 bit/s/Hz for 3–6 bits per symbol digital modulation. The aggregate data rate can be easily increased up to 24 and 48 Gbit/s, respectively, at the BW of 5 and 10 GHz at the carrier grade quality. ACKNOWLEDGMENT The authors wish to acknowledge their colleagues at the CSIRO ICT Centre, Marsfield, Sydney, NSW, Australia, M. Shen, for assembling MMIC modules and IF BPF, J. W. Archer, for development of the MMICs, J. Joseph, for the VHDL code, D. A. Grancea, and R. Shaw, for designing and implementing IF modules, J. Tello, for designing various boards including dc power supplies, B. Murray, for discussions on modulation techniques, and I. Davis, for project leadership. REFERENCES [1] S. K. Yong and C. C. Chong, “An overview of multigigabit wireless through millimeter wave technology: Potentials and technical challenges,” EURASIP J. Wireless Commun., vol. 2007, 2007, article ID 78907, 10 p. [2] K. Ohata, K. Maruhashi, M. Ito, S. Kishimoto, K. Ikuina, T. Hashiguchi, K. Ikeda, and N. Takahashi, “1.25 Gbps wireless gigabit Ethernet link at 60 GHz-band,” in Proc. IEEE RFIC Symp., Jun. 2003, pp. 509–512, Paper TU4D-6. [3] A. Hirata, T. Kosugi, H. Takahashi, R. Yamaguchi, F. Nakajima, T. Furuta, H. Ito, H. Sugahara, Y. Sato, and T. Nagatsuma, “120-GHz-band millimeter-wave photonic wireless link for 10-Gbit/s data transmission,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 1937–1044, May 2006.

[4] T. Quinlan, S. E. M. Dudley, and S. D. Walker, “Towards a 10 GHz bandwidth antenna array operating within the 2 GHz to 11 GHz frequency window,” in IEEE Loughborough Antennas Propag. Conf., Apr. 2005, pp. 108–111. [5] J. D. Bunton, V. Dyadyuk, J. Pathikulangara, D. Abbott, B. Murray, and R. Kendall, “Wireless frequency-domain multi-channel communications,” Australian Provisional Patent Applicat. 2006906812, priority 05/12/2006. [6] V. Dyadyuk, O. Sevimli, J. Bunton, J. Pathikulangara, and L. Stokes, “A 6 Gbps millimeter wave wireless link with 2.4 bit/Hz spectral efficiency,” in IEEE MTT-S Int. Microw. Symp. Dig., Hawaii, Jun. 2007, pp. 471–474. -band multi-gigabit [7] V. Dyadyuk, L. Stokes, and O. Sevimli, “A wireless link with high spectral efficiency,” in Proc. Int. Joint 9th Top. Millimeter Waves Symp./8th Millimeter-Wave Int. Symp., Feb. 2007, pp. 141–144. [8] V. Dyadyuk, J. Bunton, J. Pathikulangara, R. Kendall, O. Sevimli, and L. Stokes, “Improved spectral efficiency for a multi-gigabit mm-wave communication system,” in 37th Eur. Microw. Conf., Oct. 2007, pp. 810–813. [9] V. Brankovic, T. Dolle, T. Konschak, D. Krupezevic, and M. Ratni, “High data rate wireless system solution: 60 GHz/5 GHz dual frequency operation,” in Proc. 11th IEEE PIMRC, 2000, vol. 2, pp. 196–200. [10] O. Sevimli, V. Dyadyuk, D. Abbott, L. Stokes, S. Smith, J. W. Archer, M. Shen, R. Kendall, and J. Tello, “Multi-gigabit wireless test bed at millimeter waves,” in IEEE/ACES Int. Wireless Commun. Appl. Comput. Electromagn. Conf., Honolulu, HI, Apr. 2005, pp. 434–437. [11] O. Sevimli, V. Dyadyuk, D. Abbott, J. Bunton, R. Kendall, L. Stokes, M. Shen, and S. Smith, “Multi-Gigabit wireless link development,” in 1st Int. Wireless Broadband and Ultra Broadband Commun. Conf., Sydney, Australia, Mar. 2006 [Online]. Available: http://hdl.handle. net/2100/64 [12] F. M. Gardner, “A BPSK/QPSK timing-error detector for sampled receivers,” IEEE Trans. Commun., vol. 34, no. 5, pp. 423–429, May 1986. [13] J. W. Archer and M. G. Shen, “ -band transmitter module using indium phosphide and gallium arsenide MMICs,” Microw. Opt. Technol. Lett., vol. 42, no. 3, pp. 210–213, Aug. 2004. [14] J. W. Archer and M. G. Shen, “ -band receiver module using gallium arsenide MMICs,” Microw. Opt. Technol. Lett., vol. 42, no. 2, pp. 92–95, Apr. 2004. [15] O. Sevimli and J. W. Archer, “An 80–120-GHz sub-harmonically pumped image-reject integrated circuit mixer,” in Eur. Microw. Conf. Dig., Oct. 1999, pp. 101–104. [16] J. W. Archer, “A 80–100 GHz image-reject passive-HEMT mixer,” Microw. Opt. Technol. Lett., vol. 48, no. 12, pp. 2429–2433, Dec. 2006. [17] V. Dyadyuk, D. Abbott, J. W. Archer, O. Sevimli, and L. Stokes, “A -band high data rate point-to-point link,” in 6th Top. MillimeterWaves Symp. Dig., Feb. 2004, pp. 33–37.

W

W

W

W

Val Dyadyuk (M’07) received the B.Sc. and M.Sc. degrees in electrical engineering from the Kharkov Institute for Radio Electronics, Kharkov, Ukraine, in 1968 and 1970, respectively. He is currently the Team Leader in Millimetre and Microwave Wave Technologies with the CSIRO ICT Centre, Sydney, Australia. Since joining CSIRO in 1997, he has contributed to numerous microwave and millimeter-wave research projects in communication and radar applications, designing and building millimeter-wave systems and circuits. He was previously a Research Engineer with the University of Radio Electronics, Kharkiv, Ukraine, Head of the Microwave Engineering Branch, Institute for Radio Physics and Electronics, National Academy of Sciences of Ukraine, Director of Research of the SCAD Scientific and Industrial Group, Kharkov, Ukraine, and British Aerospace Australia. Mr. Dyadyuk is a member of Program Committees of international conferences. He was the recipient of a Millimeter-Wave Best Paper Award presented at the 9th Topical Symposium on Millimeter Waves (TSMMW 2007). He was a corecipient of the 2007 CSIRO Chairman’s Medal for exceptional research in gigabit wireless communications.

DYADYUK et al.: MULTIGIGABIT MILLIMETER-WAVE COMMUNICATION SYSTEM WITH IMPROVED SPECTRAL EFFICIENCY

John D. Bunton (SM’07) received the B.Sc., B.E. (with honors), and Ph.D. degrees in electrical engineering from the University of Sydney, Sydney, Australia, in 1973, 1975, and 1982, respectively. Since 1989, he has been with CSIRO, where he is currently a Senior Principal Research Engineer with the ICT Centre, Sydney, Australia. From 1983 to 1988, he was with Fleurs Radiotelescope, Kemps Creek, Australia, where he was responsible for all engineering aspects of the telescope. With CSIRO, he has been involved in many areas including digital audio, sonar, mining communications and safety, and the Square Kilometre Array in the area of antennas and signal processing. He currently develops digital beam formers for phased arrays on parabolic dishes and in collaboration with the University of Sydney, correlators for the Molonglo Synthesis Telescope. He has authored or coauthored over 100 publications in journals, conferences, and technical reports. Other current research interests are millimeter-wave imaging and multiple-input/multiple output (MIMO) and gigabit wireless. Dr. Bunton was a joint recipient of the 2007 CSIRO Chairman’s Medal for exceptional research in gigabit wireless communications. Joseph Pathikulangara received the B.E. degree in electronics and communication engineering from the Indian Institute of Science, Bangalore, India, in 1984, and the M.Tech degree in computer science and engineering from the Indian Institute of Technology (IIT), Bombay, India, in 1991. From 1984 to 1995, he was with the Defense Research and Development Organization, Hyderabad, India, where he developed command, control, and communication systems for missile and electronic warfare (EW) projects . Since joining the CSIRO ICT Centre, Sydney, Australia, in 1995, he has been involved with the development of signal processors and software radios in various forms for several application spaces. He is responsible for developing specialist expertise in leading edge digital techniques, signal processing, and FPGA technologies and maintaining flexible and configurable building blocks that can be rapidly adapted to provide quick engineering solutions. Mr. Pathikulangara was a joint recipient of the 2007 CSIRO Chairman’s Medal for exceptional research in gigabit wireless communications. Rodney Kendall received the B.S. degree in electrical engineering from the University of Ljubljana, Ljubljana, Slovenia, in 1976, and the M.E. degree from the University of Technology, Sydney, Australia, in 2000. He is currently a Senior Radio Modem Engineer with the CSIRO ICT Centre, Sydney, Australia, where he has participated in various projects such as the ATCRC scanner, MIMO testbed, and gigabit wireless modem design. He was with ADI Ltd., where he was involved with both analog (AMPS) and digital communication (APCO) systems, and in Singapore, the U.K., and The Netherlands, with analog mobile telephone designs. Mr. Kendall was a joint recipient of the 2007 CSIRO Chairman’s Medal for exceptional research in gigabit wireless communications.

2821

Oya Sevimli (M’89–SM’05) received the B.Sc. and M.Sc. degrees in electrical and electronic engineering from the Middle East Technical University, Ankara, Turkey, in 1981 and 1985, respectively. She is currently the Science Leader for Millimetre Wave and Microwave Technologies with the CSIRO ICT Centre, Sydney, Australia. Her research highlights include the development of novel integrated circuits such as InP HEMT oscillators, InP HEMT bidirectional amplifiers, GaAs HEMT low noise amplifiers, GaAs Schottky diode mixers (all at 50 and 100 GHz), and GaAs HEMT voltage-controlled oscillators from 12.5 to 30 GHz. Ms. Sevimli is a member of the Editorial Board for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. She is a member of the Technical Paper Committees for international conferences. She was a joint recipient of the 2002 CSIRO Medal for Scientific Achievement for Ultra Fast Integrated Circuits, the Millimeter-Wave Best Paper Award presented at the 9th Topical Symposium on Millimeter Waves (TSMMW 2007), and the 2007 CSIRO Chairman’s Medal for exceptional research in gigabit wireless communications. Leigh Stokes received the B.A. degree in economics from Macquarie University, Sydney, Australia, in 1978, the Electronics Engineering Certificate from North Sydney TAFE, Sydney, Australia, in 1988, and the Post-Graduate Certificate in project management from the University of Technology, Sydney, Australia, in 2003. From 1992 to 1998, he was the Principal Technical Officer in charge of maintenance with the Waverley Radio Terminal, Telstra, Sydney, Australia, which housed digital radio links. In 2002, he joined the CSIRO ICT Centre, Sydney, Australia. He is currently the Manager for the Gigahertz Testing Facility for millimeter wave measurements including on-wafer probing of MMICs. He is also responsible for conducting measurements and installing and maintaining outdoor propagation test links at 60 and 83 GHz. Mr. Stokes was a joint recipient of a Millimeter-Wave Best Paper Award presented at the 9th Topical Symposium on Millimeter Waves (TSMMW 2007) and a joint recipient of the 2007 CSIRO Chairman’s Medal for exceptional research in gigabit wireless communications. David A. Abbott received the B.Sc. degree in physics and B.E. (with honors) and Ph.D. degrees in electrical engineering from the University of Sydney, Sydney, Australia, in 1990, 1992, and 1999, respectively. His doctoral research with the CSIRO Australia Telescope National Facility included the investigation of distortion of millimeter-wavelength radio signals by water vapor in the atmosphere and estimation of atmospheric water vapor from ground-based measurements. In 1998, he joined the CSIRO ICT Centre, Sydney, Australia, where he is currently engaged in the study and design of wireless sensor–actuator networks. Dr. Abbott was a joint recipient of the 2007 CSIRO Chairman’s Medal for exceptional research in gigabit wireless communications.

2822

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

A 2.4-GHz Fully Integrated ESD-Protected Low-Noise Amplifier in 130-nm PD SOI CMOS Technology Majid El Kaamouchi, Student Member, IEEE, Mehdi Si Moussa, Associate Member, IEEE, Pierre Delatte, Geert Wybo, A. Bens, Jean-Pierre Raskin, Senior Member, IEEE, and Danielle Vanhoenacker-Janvier, Senior Member, IEEE

Abstract—This paper reviews and analyzes a fully integrated electrostatic discharge (ESD)-protected low-noise amplifier (LNA) for low-power and narrowband applications using a cascode inductive source degeneration topology, designed and fabricated in 130-nm CMOS silicon-on-insulator technology. The designed LNA shows 13-dB power gain at 2.4 GHz with a noise figure of 3.6 dB and input return loss of 13 dB for power consumption of 6.5 mW. An on-chip “plug-and-play” ESD protection strategy based on diodes and a power clamp is used at the input and output of the LNA, and has an ESD protection level up to 0.8-, 0.9-, and 1.4-A transmission line pulse current. This corresponds to 1.2-, 1.4-, and 2-kV human body model stress applied at, respectively, the RF input, RF output, and DD bus. Measurement shows a minor RF performance degradation by adding the protection diodes. Index Terms—CMOS, electrostatic discharges (ESDs), low-noise amplifier (LNA), narrowband, partially depleted (PD) silicon-oninsulator (SOI), RF, transmission line pulse (TLP).

I. INTRODUCTION

W

ITH advancement in state-of-the-art electronic systems and a huge demand for low-cost high-speed mixed-signal integrated systems, a considerable effort has recently been made to migrate several high-frequency elementary circuit blocks from GaAs to the CMOS silicon process. Since CMOS silicon-on-insulator (SOI) devices present a very good high-frequency behavior and low-power consumption, it is of great interest to use them in RF circuit design [1]. Over the last decade, the MOS transistor channel length scaled down to deep submicrometer to improve device performance in term of cutoff frequency. Recently, a 130-nm partially depleted

Manuscript received May 5, 2007; revised August 19, 2007. This work was supported by The Walloon Region under Convention 03/1/5623 and by the Flemish Government through IWT030029 for research on ESD protection for advanced CMOS SOI technologies. M. El Kaamouchi, M. Si Moussa, J.-P. Raskin, and D. Vanhoenacker-Janvier are with the Microwave Laboratory, Université catholique de Louvain, 1348 Louvain-la-Neuve, Belgium (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). P. Delatte is with CISSOID S.A., 1348 Louvain-la-Neuve, Belgium (e-mail: [email protected]). G. Wybo and A. Bens are with SARNOFF Europe, Oostende, Belgium (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909148

of (PD) SOI CMOS technology with a transit frequency of 243 GHz and maximum frequency of oscillation 208 GHz has been reported [2]. Such transition frequencies well above 100 GHz offer a comfortable frequency margin for RF designers. Recently, many designs of different RF blocks such as low-noise amplifiers (LNAs), voltage-controlled oscillators (VCOs), and mixers have demonstrated the interest of this technology for low-voltage and low-power applications. Power consumption is a major concern for high-performance digital systems and portable applications. The most efficient technological approach for reducing power consumption is scaling. For this purpose SOI power-supply voltage devices bring their unique inherent advantages over bulk devices: lower junction capacitance, lower junction leakage, no latch-up, lower sensitivity, and full dielectric isolation [1]. In a typical radio receiver, the LNA is one of the key components, as it tends to dominate the sensitivity. The LNA design involves many tradeoffs between the noise figure (NF), gain, linearity, impedance matching, and power dissipation. Generally, the main goal of LNA design is to achieve simultaneous noise and input matching at any given amount of power dissipation. Electrostatic discharge (ESD) protection for RF device applications is becoming increasingly important. Traditional ESD protection structures, whether on or off chip, are often responsible for performance degradation of RF blocks. SOI ESD protection networks have already made considerable progress in achieving industry-acceptable ESD protection levels using gated diodes, gate-coupled MOSFETs, and Zener diodes [3]. Introduction of systematic characterization benchmark strategies and new testing techniques (e.g., transmission line pulse (TLP) testing) will allow better understanding of the ESD robustness of advanced technologies [4]. Standard circuits operating at low frequencies or at low speed are relatively insensitive to the parasitic resistance, capacitance, and inductance introduced by use of standard ESD protection structures. By contrast, high-frequency RF circuits are highly sensitive to any added parasitics, and traditional ESD protection approaches, when applied to RF devices, can generate impedance mismatches and losses, causing reflections of signals, decrease of gain, reduction in bandwidth, increased NF, etc. With the decrease of gate–oxide thickness, CMOS circuits become more sensitive to stress from ESD phenomena. LNA constitutes one of the most critical building blocks in the RF front-end. It is usually connected to the outside world through

0018-9480/$25.00 © 2007 IEEE

EL KAAMOUCHI et al.: 2.4-GHz FULLY INTEGRATED ESD-PROTECTED LNA IN 130-nm PD SOI CMOS TECHNOLOGY

2823

Fig. 1. Cross section of 130-nm ST-Microelectronics’ SOI technology backend.

Fig. 3. (a) Schematic of the cascode inductive source degeneration LNA. (b) Its simplified small-signal equivalent circuit seen from the input. Fig. 2. Cross section of a MOSFET transistor in ST-Microelectronics’ SOI technology.

III. TOPOLOGY OF THE LNA the antenna and can be exposed to ESD stress. In this study, the performance of 2.4-GHz CMOS SOI LNAs with/without ESD protection, fully integrated on 130-nm RF SOI CMOS technology, is discussed. II. 130-nm RF SOI CMOS TECHNOLOGY The LNAs have been fabricated on a 130-nm SOI CMOS process provided by ST-Microelectronics, Grenoble, France, which is a single poly CMOS process using SOI UNIBOND cm wafers with either a standard resistivity or a high resistivity k cm for achieving high-quality factor on-chip metal–insulator–metal (MIM) capacitors and spiral inductors. This process features 2-nm gate oxide, Cobalt silicide on junctions, and polysilicon gates and lines, with six copper metal levels and an additional top metal layer in aluminum (Alucap), as shown in Fig. 1. nMOS transistors exhibit , , and threshold voltage of 89 GHz, 125 GHz, and 0.34 V, respectively, for V. This technology offers either conventional floating-body (FB) devices or specific body-tied (BT) devices (in Fig. 2, the body node is connected to the source terminal), which are free from FB effects such as kink and, therefore, are suitable for operation in harsh environment. The drawbacks of BT devices are higher parasitic components, and then the reduction of their high-frequency performance compared to FB devices [5].

The cascode inductive source degeneration topology [see Fig. 3(a)] was adopted for the design of the LNA. The inductive source degeneration structure allows a good tradeoff between the input matching and the NF [6]. The cascode configuration allows a good stability by achieving good isolation between the output and input and reducing the Miller effect. IV. DESIGN OF THE SOI CMOS LNA From the small-signal model shown in Fig. 3(b), the expression of the input impedance can be written as (1) where (2) and (3) where the parameters , , and are, respectively, the transconductance, gate–source capacitance, and distributed in. and are, respectrinsic resistor of the transistor tively, the parasitic series resistors of spiral inductors and .

2824

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

In source degeneration topology, the input impedance at is purely real and proportional to . working frequency Therefore, choosing the appropriate value of the inductance , the real term of the input impedance can be set equal to the . From the small-signal model shown in source impedance Fig. 3(b), the resonance condition for real input impedance is given by the relation [7] (4) The LNA output matching uses an on-chip spiral inductor and two metal-insulator-metal (MIM) capacitors ( and ). for submiDue to the weak gate–source capacitance calcucrometer transistors, the value of the gate inductor lated from the expression (2) allowing the resonance condition at 2.4 GHz is approximately 30 nH. Large values of spiral inductors with high are very difficult to integrate and occupy an important chip area. Some simple solutions to solve this problem like the increase of transistors size or the use of an off-chip inductor are possible. The drawback of these solutions is the increase of power consumption. Another more interesting solution consists of increasing to reduce the value of the inductance artificially the value of the gate–source capacitance . For is placed bethat purpose, an external MIM capacitance tween the gate and source of the transistor M1, as shown in Fig. 4 [7]. From the new small-signal model shown in Fig. 4(b), the new expression of the input impedance can be expressed as (5)

Fig. 4. (a) Schematic of the optimized cascode inductive source degeneration LNA. (b) Its simplified small-signal equivalent circuit seen from the input.

where (6) and (7) The new resonance condition for real input impedance is given by the relation (8)

V. METHODOLOGY OF THE DESIGN In the following, a technique used for choosing the characteristics of the active and passive devices will be presented. The first step consists of choosing the dimensions and type of transistors, then evaluating the value of spiral inductors and MIM capacitors needed to assure the matching conditions of the LNA. A. Choice of Active Devices of the FB and BT Fig. 5 shows the minimum NF SOI nMOS transistors. We also plot the gate resistivity versus gatewidth in Fig. 6. The increase of the gate resistivity with the

Fig. 5. Extracted minimum NF versus drain current for FB and BT nMOSFETs.

gate reduction is due to the nonuniform polysilicon gate silicidation and the increase of the relative contribution of the contact gate resistance from each gate finger. Due to higher gate resistance (Fig. 6) and parasitic capacitance due to the contact between the body to source, the BT transistor presents higher compared to the FB MOSFET. For this reason, the FB has been preferred to the BT MOSFET for the LNA design. The two transistors used are composed of 30 fingers having a width

EL KAAMOUCHI et al.: 2.4-GHz FULLY INTEGRATED ESD-PROTECTED LNA IN 130-nm PD SOI CMOS TECHNOLOGY

Fig. 6. Extracted gate resistivity versus gate finger width for FB and BT nMOSFETs.

TABLE I CHARACTERISTICS OF THE FB AND BT TRANSISTORS IN 130-nm SOI TECHNOLOGY (V = 1:2 V, I = 170 mA=mm)

2825

Fig. 8. Simulated quality factors for three different values of inductor.

impedance by

to be equal to the source impedance

is given

(9) is the real part of input impedance where and . is given by resolving The value of the external capacitor the resonance equation defined by (10) Replacing and , respectively, by (7) and (9), the resonance equation can be written as (11) Fig. 7. (a) Chip microphotographs of drain inductor model.

L

. (b) Its equivalent

of 2 m each and a channel length of 130 nm 30 2 0.13 . The main small-signal equivalent elements for FB and BT MOSFETs are extracted and presented in Table I.

External capacitor giving the resonance condition is at given by the frequency of interest (12) where (13)

B. Choice of Passive Devices The methodology consists of choosing inductors characterized by a maximum quality factor at working frequency of the LNA and considering the different capacitances [8]. A -model has been extracted for various inductance values and simulated with ADS Momentum software (Fig. 7). The quality simulated for factor defined by different inductors are presented in Fig. 8. The simulations showed optimum quality factors at 2.4 GHz for inductor values between 6–7 nH. The lowest value ( 6 nH) has been fixed for the gate inductor in order to minimize the NF (lower series ). The biggest value ( 7.2 nH) has been chosen resistance in order to get best dc isolation from . for drain inductor From the expression of the input impedance (5), the value allowing the real part of the input of the source inductor

and (14) Table II summarizes the simulation results of the three inductors where the parameter is the quality factor at working freis the frequency for which the quality factor quency and , and are, respecis maximum. The parameters , , tively, the conductor width, conductor spacing, inner diameter, and number of turns for the spiral inductors. The estimated value is 0.45 pF. The calculated values of the external capacitance and achieving the output matching are, of capacitances respectively, 0.6 and 1.2 pF.

2826

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

TABLE II PHYSICAL DIMENSIONS, INDUCTANCE VALUES, AND QUALITY FACTORS FOR VARIOUS INTEGRATED INDUCTORS

Fig. 10. (a) Layout, (b) top view, and (c) cross section of the low-cap diode designed on 130-nm SOI CMOS process (W = 35 m).

Fig. 9. Schematic of the protected cascode inductive source degeneration LNA.

VI. ESD PROTECTION The circuit of the LNA with ESD protection is presented in Fig. 9. The ESD protection is achieved by the different diodes (D1–D5) from which dual diodes with a power rail and power clamp (PC). One of the advantages of this clamp is the fact that its parameters are tunable. It uses four trigger diodes in order to tune its trigger voltage (and leakage), and it uses one holding diode in order to increase its holding voltage for guaranteeing latch-up immunity. The input ESD protection comprises dual diodes with a power rail and the ESD PC. Each diode area is , with respect 140 m . During a positive ESD stress on to ground, the ESD current is discharged through D2 diode and bus, then to the ground via the PC, which is connected the and to the ground. Furthermore, the supply and to ground pins are also protected by the PC and D1 diode from both positive and negative ESD stresses. During a negative ESD with respect to ground, the ESD current is disstress on charged directly through D3 diode. The same ESD protection circuit configuration based on D4 and D5 diodes is considered . The dimension of the input at the output of the LNA and output ESD protection circuits must be very small to significantly reduce the parasitic capacitances for high-frequency applications [9]. A. Diodes ESD Protection The parasitic capacitances and resistances of the ESD protection circuit will degrade the performance of LNA circuit in both matching and NF. To avoid this, the ESD protection components should be built with low capacitances and high- factors. The ESD protection devices in RF circuit should be chosen without large resistances and capacitances for the noise and match concerns. factors are often used to evaluate the quality of the ESD

Fig. 11. Measured capacitance value associated to each diode after deembedding.

Fig. 12. Small-signal equivalent circuit seen from the input of the LNA with ESD protection.

protection devices. For our design, the ESD protection of the LNA consists of a pair of SOI low-cap diodes, provided by the Sarnoff Corporation, Aalter, Belgium. The layout top view of this diode is shown in Fig. 10. This low-cap diode contributes to the parasitic capacitance of approximately 105 fF (when diode is off) in 130-nm SOI CMOS technology, as shown in Fig. 11. Fig. 12 shows the small-signal model of the LNA with ESD represents the parasitic capacitances due protection, where to D2 and D3 diodes. In order to study the impact of the different diodes on the matching input, the new expression of the input is given as impedance (15) where

EL KAAMOUCHI et al.: 2.4-GHz FULLY INTEGRATED ESD-PROTECTED LNA IN 130-nm PD SOI CMOS TECHNOLOGY

2827

Fig. 14. Basic TLP setup.

Fig. 13. HBM electrical model testing.

(16) At resonance condition, the new input impedance

is purely real and equivalent to can be finally expressed as

,

(17) The last expression shows a decrease of approximately 25% in input impedance due to the diodes D2 and D3. B. ESD Test Methodology The protection level of ESD is characterized using the human body model (HBM) test [10]. The electrical model of the HBM is shown in Fig. 13. The HBM test consists of a charged capacithat is discharged through a 1.5- resistor tance of 100 pF , connected to the device-under-test (DUT). The HBM test method is used for qualification of parts, meaning that the results only show a “pass” or a “fail” and do not provide any detailed information on the ESD protection circuit. The technique mainly used to characterize the ESD robustness is the TLP [11], [12]. The principle of generating a square pulse by means of discharging a pre-charged transmission line into a load device gave the name to this method introduced by Maloney and Khurana [11] for device characterization in the ESD-relevant high-current domain. An example of TLP test system is shown in Fig. 14 [13]. The 100-ns TLP serves as a current source with in order to emulate HBM an impedance of approximately 1 ). A low-inductive 50- resistor terminates conditions (1.5 TL2 and transforms the low-impedance pulsed voltage source into a quasi-current source. Due to the purely resistive termination for both polarities, multiple reflections cannot occur. The transmission line TL2 separates the pulse generator from the remote pulse head and DUT. Both current and voltage pulses are measured simultaneously, and as close as possible to the device. The I–V diagram is constructed by plotting the average voltage value of the voltage waveform over the DUT (average taken during averaging window) versus the average value of the current waveform through the DUT (average taken during

0

V

V

M

2-kV HBM simulations of the protected LNA, stressed from the RF Fig. 15. input pin (RF ) to the ground. The parameters (RF ) and ( 1) represent, respectively, a voltage overshoot on the RF input node and on the gate of the transistor M1.

averaging window). During the consecutive TLP pulses, the pulse voltage is step-wise increased, and each pulse results into one I–V point of the TLP I–V curve. The I–V characteristics were the basis for many successful ESD-protection design and technology hardening efforts [13]. Using a Berkeley short-channel IGFET model (BSIM) for each transistor and protection diode, it is possible to simulate the LNA protected in the transient mode. Fig. 15 shows the voltage and the voltage at the gate of tranat the RF input node sistor M1 simulated when 2-kV HBM stress is applied on the RF input node. The voltage overshoot on the gate M1 ( 1.6 V) does not exceed the maximum gate–oxide voltage (4.5 V). VII. CIRCUIT IMPLEMENTATION The circuits (with and without ESD protection) were fabricated on 130-nm SOI CMOS provided by ST-Microelectronics k cm substrate in order to using a high-resistivity increase the quality factor of the passive elements. The active devices (M1 and M2) used in the design are FB nMOS transistors composed of 30 fingers having a width of 2 m each. and have been The values of the on-chip spiral inductors fixed, respectively, to 6 and 7.2 nH, as explained in Section IV. giving the resonance condition is The simulated value of ) necessary to match the 0.45 pF. MIM capacitors ( and output impedance are fixed to 0.6 and 1.2 pF, respectively. Photographs of the fabricated LNAs are shown in Fig. 16. The chip dimensions of both LNAs are 1230 650 m . Both LNAs are

2828

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 16. Chip microphotographs of the fully integrated LNA: (a) without ESD protection and (b) with “plug-and-play” diodes ESD protection.

biased within 6.5-mW dc power consumption. On-chip MIM capacitors serve as dc supply decoupling. VIII. RESULTS AND DISCUSSION A. RF Measurement Results The measured -parameters of both LNAs are shown in is shown in Fig. 18. The LNA Fig. 17. The NF under 50 with ESD protection has a power gain of 13 dB at 2.4 GHz dB for a power and a good output matching consumption of 6.5 mW. Fig. 19 shows the measured real part of the input impedance without and with ESD protection). The of the LNAs ( of the unprotected LNA (64 at 2.4 GHz) is measured more important than the expected value (50 ). This increase and is mainly due to parasitic resistance of the inductors , which have been underestimated, and to the gate resistance of the transistor M1, which has been neglected in the LNA optimization. As calculated in (17), the real part of the input impedance decreases when ESD protection is added. The value is approximately 48 at 2.4 GHz for the protected LNA. of and This value is closer to the source impedance ( 10 and 13 dB) this can explain the better input matching when ESD protection is added. The NF of the LNAs are approximately 2.7 and 3.6 dB, respectively, at 2.4 GHz. A 0.9-dB increase in NF for the LNA with the ESD protection is mainly due to the parasitics of the diodes and the interconnects of the input/output ESD. The meais better than 20 dB at 2.4 GHz. sured reverse isolation Table III summarizes the performance of the ESD-protected LNA for various bias conditions. Thanks to the small parasitic

Fig. 17. Measured S -parameters of both LNAs (with and without ESD protection).

Fig. 18. Measured NF of both LNAs (with and without ESD protection).

capacitance of the diodes, minor degradations of RF performance are noted when adding the ESD protection in the circuit.

EL KAAMOUCHI et al.: 2.4-GHz FULLY INTEGRATED ESD-PROTECTED LNA IN 130-nm PD SOI CMOS TECHNOLOGY

Fig. 19. Measured real part of input impedance R without ESD protection).

of both LNAs (with and

Fig. 21. ESD protection added to V and V

2829

pads.

TABLE III SUMMARY OF THE MEASURED LNA PERFORMANCE WITH ESD PROTECTION CIRCUIT

Fig. 22. TLP test results of the LNA, when positive ESD stresses are applied: (a) between V pin and ground and (b) between V pin and ground.

Fig. 20. TLP test results of the LNA, when: (a) positive and (b) negative ESD stresses are applied between V and ground and when ESD stresses are applied (c) between RF output and ground and (d) between RF input and ground.

B. ESD Measurement Results TLP and dynamic transmission-line pulse test systems are being commercially offered as a technique to characterize the robustness of semiconductor components and circuits. Positive

and negative ESD stresses were applied to protected pads. When the DUT fails during the TLP test, one can extract a figure-ofmerit that reflects the actual ESD hardness of the DUT. Fig. 20 shows the results of the TLP test achieved when an ESD stress , , and pads. The measurements is applied on show that the pin is protected against ESD up to a 1.4-A TLP current, corresponding to 2-kV HBM stress. The input and output terminals are protected against ESD up 0.9- and 0.8-A TLP current, respectively, corresponding typically to 1.4- and 1.2-kV HBM stress. The low ESD protection level of the input and output pads is due of the small area of the diodes. Increasing the area of protection diode allows a better ESD protection circuit, but increases the parasitic capacitances of diodes, thus degrading the RF performance of the LNA. Additional protections have been added in order to protect the gates of the transistors M1 and M2 from the ESD coming from and bias access pads, as shown in Fig. 21. The pin is protected by the D6–D8 diodes from both positive and negative ESD stresses. D7 and D8 diodes have been placed in series in order to improve the threshold voltage of their equivalent bias. pin is protected diode and make it insensitive to by the D9 and D10 diodes and local clamp (LC) from both positive and negative ESD stresses. Fig. 22 shows the results of the TLP test achieved when a posand pads. The measureitive ESD stress is applied on ments show that the and pins are protected against

2830

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

TABLE IV SUMMARY OF THE PERFORMANCE AND COMPARISON WITH PREVIOUSLY PUBLISHED NARROWBAND LNA ( WITH ESD PROTECTION)

ESD stress up to 0.32- and 1.4-A TLP current, corresponding typically to up 0.5- and 2-kV HBM stress, respectively. Table IV summarizes the measurement results and compares them with previously published studies. The proposed SOI LNA with ESD protection circuit exhibits good results in term of the gain to the power consumption ratio.

IX. CONCLUSIONS A narrowband fully integrated LNA with an on-chip ESD protection was designed in a 130-nm SOI PD CMOS process. The protected LNA has 13-dB gain, less than 10-dB input reflection, and 3.6-dB NF with a power consumption of 6.5 mW. An on-chip “plug-and-play” diodes protection has been integrated in conjunction with a PC. The RF performance of the LNA is not degraded when adding the ESD protection to the circuit. However, the ESD measurements do not show the protection level expected in input and output of the circuit (2-kV HBM stress). This problem is due to the small area of the protection diodes. Increasing the area of protection diodes allows a better ESD protection circuit, but increases the parasitic capacitances of diodes, degrading the RF performance of the LNA. There is a clear tradeoff between ESD and RF performance, but it is a deliberate choice of the authors to have the best RF performance at the cost of a little less ESD protection. Thus, this ESD protection solution can be applied to any sub-130-nm RF CMOS technology with thinner gate oxides.

[5] M. Dehan, “Characterization and modeling of SOI RF integrated components,” Ph.D. dissertation, Dept. Appl. Phys., Univ. catholique de Louvain, Louvain, Belgium, 2003. [6] D. K. Shaeffer and T. H. Lee, “A 1.5-V, 1.5-GHz CMOS low noise amplifier,” IEEE J. Solid-State Circuits, vol. 32, no. 5, pp. 745–759, May 1997. [7] T.-K. Nguyen, C.-H. Kim, G.-J. Ihm, M.-S. Yang, and S.-G. Lee, “CMOS low-noise amplifier design optimization techniques,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1433–1442, May 2004. [8] M. El Kaamouchi, M. Si Moussa, J.-P. Raskin, and D. Vanhoenacker-Janvier, “A 2-mW power consumption low noise amplifier in PD SOI CMOS technology for 2.4 GHz applications,” in Proc. SiRF, Jan. 10–12, 2007, pp. 253–256. [9] M. El Kaamouchi, M. Si Moussa, P. Delatte, G. Wybo, A. Bens, J.-P. Raskin, and D. Vanhoenacker-Janvier, “A 2.4-GHz fully integrated ESD-protected low-noise amplifier in 130-nm PD SOI CMOS technology,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 2091–2094. [10] Electrostatic Discharge Sensitivity Testing—Human Body Model (HBM) Component Level, ANSI/ESD Standard STM5.1-2001, 2001. [11] T. Maloney and N. Khurana, “Transmission line pulsing techniques for circuit modeling of ESD phenomena,” in Proc. EOS/ESD Symp., Minneapolis, MN, 1985, vol. 7, pp. 49–54. [12] H. Gieser and M. Haunschild, “Very-fast transmission line pulsing of integrated structures and the charge device model,” IEEE Trans. Compon., Packag. Manuf. Technnol. C, vol. 21, no. 4, pp. 278–285, Apr. 1998. [13] J. E. Barth, K. Verhaege, L. G. Henry, and J. Richner, “TLP calibration, correlation, standards, and new techniques,” IEEE Trans. Electron. Packag. Manuf., vol. 24, no. 2, pp. 99–108, Apr. 2001. [14] D. Linten, S. Thijs, M. Iyer Natarajan, P. Wambacq, W. Jeamsaksiri, J. Ramos, A. Mercha, S. Jenei, S. Donnay, and S. Decoutere, “A 5-GHz fully integrated ESD-protected low-noise amplifier in 90-nm RF CMOS,” IEEE J. Solid-State Circuits, vol. 40, no. 7, pp. 1434–1442, Jul. 2005. [15] V. Chandrasekhar, C. M. Hung, Y. C. Ho, and K. Mayaram, “A packaged 2.4 GHz LNA in a 0.15 m CMOS process with 2 kV HBM ESD protection,” in IEEE Int. Solid-State Circuits Tech. Dig., Sep. 2002, pp. 347–350. [16] T. Liu and E. Westerwick, “5-GHz CMOS radio transceiver front-end chipset,” IEEE J. Solid-State Circuits, vol. 35, no. 12, pp. 1927–1933, Dec. 2000. [17] S. Asgaran, M. J. Deen, and C.-H. Chen, “A 4-mW monolithic CMOS LNA at 5.7 GHz with the gate resistance used for input matching,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 4, pp. 188–190, Apr. 2006. [18] C.-P. Chang, J.-A. Hou, J. Su, C.-W. Chen, T.-S. Liou, S.-C. Wong, and Y.-H. Wang, “A high gain and low supply voltage LNA for the direct conversion application with 4-kV HBM ESD protection in 90-nm RF CMOS,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 11, pp. 188–190, Nov. 2006.

ACKNOWLEDGMENT This work was performed under the frame of MEDEA T206.

REFERENCES [1] J. P. Colinge, Silicon-on-Insulator Technology: Materials to VLSI, 2nd ed. Norwell, MA: Kluwer, 1997. [2] N. Zamdmer, J. Kim, R. Trzcinski, J. O. Plouchart, S. Narasimha, M. Khare, L. Wagner, and S. Chaloux, “A 243-GHz F and 208-GHz F 90-nm SOI CMOS SoC technology with low-power millimeterwave digital and RF circuit capability,” in VLSI Technol. Tech. Symp. Dig., Jun. 15–17, 2004, pp. 98–99. [3] S. H. Voldman, ESD: Circuits and Devices. New York: Wiley, 2005. [4] S. H. Voldman, “The state of the art of electrostatic discharge protection: Physics, technology, circuits, design, simulation, and scaling,” IEEE J. Solid-State Circuits, vol. 34, no. 9, pp. 1272–1282, Sep. 1999.

Majid El Kaamouchi (S’06) was born in Berkane, Morocco, in 1978. He received the Master degree in microelectronic and microwaves from the Université des Sciences et Technologies de Lille (USTL), Lille, France, in 2003, and the M.S. degrees in applied sciences from the Université catholique de Louvain (UCL), Louvain-la-Neuve, Belgium, in 2006, and is currently working toward the Ph.D. degree in applied sciences at UCL. He is currently with the Microwave Laboratory (EMIC), UCL. His research activities interest the design and modeling of RF circuits in SOI technology for low-power low-voltage applications. He is currently involved in the design of RF front-ends for wireless applications.

EL KAAMOUCHI et al.: 2.4-GHz FULLY INTEGRATED ESD-PROTECTED LNA IN 130-nm PD SOI CMOS TECHNOLOGY

Mehdi Si Moussa (S’03–A’06) was born in Skikda, Algeria, in 1977. He received the State Engineering degree in electronics and Magister degree in microwave and communication from the Ecole Nationale Polytechnique (ENP), Algiers, Algeria, in 1999 and 2001, respectively, and the Ph.D. degree in applied sciences from the Université catholique de Louvain, Louvain-la-Neuve, Belgium, in 2006. His doctoral research concerned the design of microwave distributed amplifiers and oscillators on SOI CMOS technology. Since 2002, he has been a Research Assistant with the Microwave Laboratory (EMIC), Université catholique de Louvain (UCL). His research interests include simulation, design, and modeling of RF and microwave circuits in SOI CMOS technology for wideband and high-temperature applications. He is currently involved in the design of microwave LNAs in SOI CMOS technology for low-power high-temperature applications.

Pierre Delatte received the M.Sc. degree in electrical engineering from the Université catholique de Louvain (UCL), Louvain-la-Neuve, Belgium, in 1996. He is Co-Founder and CTO of CISSOID S.A., Louvain-la-Neuve, Belgium, a Design Center and fabless company specialized in SOI circuit design. He manages the design of analog and RF SOI circuits, as well as being involved with RF characterization and modeling of SOI devices. Prior to this, he had been with the Microelectronics Laboratory (EMIC), UCL, for four years, during which time he was involved with the study and design of delay-locked loops and phase-locked loops in SOI technology.

Geert Wybo received the M.Sc. degree in electrotechnical engineering option electronics, automation, and computer systems from the University of Ghent (UG), Gent, Belgium, in 1992. He was a Senior Software Architect for several companies, both in Belgium and Kenya, prior to joining Sarnoff Europe, Oostende, Belgium, in 2003, where he is involved in innovative ESD protection design, and mainly focused on advanced CDM protection strategies. He has coauthored approximately six peer-reviewed papers concerning on-chip ESD protection and testing.

A. Bens, photograph and biography not available at time of publication.

2831

Jean-Pierre Raskin (M’97–SM’06) was born in Aye, Belgium, in 1971. He received the Industrial Engineer degree from the Institut Supérieur Industriel d’Arlon, Arlon, Belgium, in 1993, and the M.S. and Ph.D. degrees in applied sciences from the Université catholique de Louvain, Louvain-la-Neuve, Belgium, in 1994 and 1997, respectively. From 1994 to 1997, he was a Research Engineer with the Microwave Laboratory (EMIC), Université catholique de Louvain, Louvain-la-Neuve, Belgium, where he was involved with the modeling, characterization, and realization of monolithic microwave integrated circuits (MMICs) in SOI technology for low-power low-voltage applications. In 1998, he joined the Electrical Engineering and Computer Science Department, The University of Michigan at Ann Arbor. He has been involved in the development and characterization of micromachining fabrication techniques for microwave and millimeter-wave circuits and microelectromechanical transducers/amplifiers working in hard environments. Since January 2000, he has been an Associate Professor with the Microwave Laboratory (EMIC), Université catholique de Louvain. He has authored or coauthored over 150 scientific papers. His research interests are modeling, characterization, and fabrication of SOI MOSFETs for RF and microwave applications, planar circuits at millimeterand sub-millimeter-wave frequencies, RF microelectromechanical systems (MEMS), and micromachined sensors. Dr. Raskin is an associate member of the European Microwave Association (EuMA). He is a member of the Research Center in Micro and Nanoscopic Materials and Electronic Devices, Université catholique de Louvain.

Danielle Vanhoenacker-Janvier (M’88–SM’90) received the Electrical Engineer degree and Ph.D. degree in applied sciences from the Université catholique de Louvain (UCL), Louvain-la-Neuve, Belgium, in 1978 and 1987, respectively. She is currently with the UCL, where she was an Assistant (1979–1987), Senior Scientist (1987–1994), Associate Professor (1994–2000), and Professor (since 2000) with the Microwave Laboratory. Since 2001, she has been Head of the Microwave Laboratory (EMIC), UCL. She has been involved in the study of atmospheric effects on propagation above 10 GHz for over 25 years and she is currently interested in the analysis and modeling of the mobile propagation channel and the evaluation of its impact on communication systems. In 1989, she extended her research activity to microwave circuits. She is involved in the analysis, design, and measurement of microwave planar passive and active circuits with a special interest, since 1994, in microwave ICs on SOI. She has authored over 120 technical papers and coauthored a book. She is a reviewer for various international conferences and Institution of Electrical Engineers (IEE), U.K., journals Dr. Vanhoenacker-Janvier is a member of evaluation committees for grants and projects at Innovatie door Wetenschap en Technologie (TWT) since 1997, and at Fonds door Wetenschappelijk Onderzoek (FWO) and Fonds pour la formation a la Recherche dans rindustrie et 1’Agriculture (FRIA) since 2001. She is also a reviewer for various IEEE publications.

2832

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Frequency and Phase Difference Control Using Fractional-N PLL Synthesizers by Composition of Control Data Kenichi Tajima, Member, IEEE, Ryoji Hayashi, Member, IEEE, and Yoji Isota, Senior Member, IEEE

Abstract—This paper proposes novel frequency and phase difference control of output signals in multiple fractional- PLL (F-PLL) synthesizers sharing a reference signal. In the proposed F-PLL synthesizers, frequency control and phase difference control can be performed independently through cyclic shift and composition of control data of frequency dividers. The proposed synthesizers are demonstrated in the -band, realizing highly accurate output frequency and phase difference control. The measured phase difference error from a designed value is 2 or less. Index Terms—Composition, cyclic shift, fractional- frequency synthesizers, phase control, phase-locked loops (PLLs).

I. INTRODUCTION ADAR SYSTEMS often use phased-array antenna to pursue moving targets. A phased-array antenna system changes its antenna beam shape by controlling the amplitude and the phase of RF signals fed into each antenna. As the transmitted RF signals are converted from each power-divided IF signals by mixers in a transmitter, phases of the RF signals can be controlled by phase difference between local oscillator (LO) signals generated in phase-locked loop (PLL) synthesizers [1]–[4]. In conventional microwave circuits, phase shifters [4]–[7], direct digital synthesizers (DDSs) [8]–[10], multiphase voltage-controlled oscillators (VCOs) [1], [2], and phase rotators with quadrature mixers [3], [11] are employed for phase shifting. A phase shifter has advantages in size and power consumption, but a high-resolution phase shifter has a problem in phase accuracy over a wide frequency and temperature range. A DDS can achieve very high resolution in frequency and phase because a DDS digitally generates a sinusoidal wave signal. Drawbacks of a DDS are high spur level and high power consumption. A multiphase VCO directly generates LO signals of a multiple-phase LO signal. A phase selector then selects the appropriate phase signal for a desired beam shape, but its phase resolution is limited because of the circuit scheme of a multiphase VCO. In a phase rotator with a quadrature mixer, the input signal

R

Manuscript received April 23, 2007; revised August 27, 2007. The authors are with the Mitsubishi Electric Corporation, Kamakura, Kanagawa 247-8501, Japan (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.909149

is divided in two signals with 90 phase difference. The divided signal is multiplied with dc voltage in each mixer. The phase-controlled output signal is generated by adding two multiplied signals. Since the accuracy of phase control depends on the accuracy of input dc voltages, two high-resolution D–A converters generating accurate dc voltages are necessary for each rotator. Thus, in large array systems with many phase rotators, power consumption and cost are increased as the number of D–A converters to supply dc voltage is increased. To achieve stable flexible accurate phase control and also lower power consumption compared to the DDS approach, we proposed a phase difference control technique by use of multiple fractional- phase-locked loop (F-PLL) synthesizers sharing a reference (REF) signal [12], [13]. As an F-PLL synthesizer [12]–[15] periodically changes a divide value of a frequency divider (FD) to realize fractional division of output frequency, the average of divide values corresponding to control data for the FD becomes a fractional number. When phase synchronization of an F-PLL synthesizer is established, the phase difference between the REF signal and the feedback (FB) signal corresponds to the control data stream for the FD. From this, the time difference between rising edges of the REF signal and the output signal is controllable by shifting the control data stream cyclically because the output signal is divided into the FB signal. As a result, the phase difference of the output signal of F-PLL synthesizers sharing an REF signal can be controlled through a cyclic shift of the control data of the FDs. In the conventional technique, phase difference is a function of a fraction of the average of the control data and the quantity of the cyclic shift, and output frequency is a function of the average of control data and reference frequency [12], [13], i.e., a fraction of the average of the control data is a common parameter of phase difference and output frequency. Therefore, by the conventional technique, phase difference and output frequency cannot be set up independently. Moreover, when the conventional synthesizers are in the integer mode (i.e., a fraction of the average of the control data is 0), it cannot control phase difference. This paper proposes an improved frequency and phase difference control of output signals by use of multiple F-PLL synthesizers. In the proposed F-PLL synthesizer, configuration of a control data circuit is different from that in the conventional method [12], [13]. The new control data circuit generates control data by adding a cyclically shifted control data and another control data generated by an additional fractional modulator. Examining relation between the composition of control data and phase

0018-9480/$25.00 © 2007 IEEE

TAJIMA et al.: FREQUENCY AND PHASE DIFFERENCE CONTROL USING F-PLL SYNTHESIZERS BY COMPOSITION OF CONTROL DATA

2833

Fig. 2. Configuration of the conventional control circuit [12], [13].

of an F-PLL synthesizer is given by the following equation [12], [13]: Fig. 1. Configuration of multiple F-PLL synthesizers sharing a reference signal.

difference, this paper shows that output frequency is a function of the average of the control data after composition, and phase difference is a function of the average of the cyclically shifted control data. Thus, the proposed technique can set up output frequency and phase difference independently. The circuit scheme is demonstrated with an -band F-PLL synthesizer. This paper is organized as follows. In Section II, a configuration of multiple F-PLL synthesizers is presented. Section III describes the proposed phase difference control technique by use of composition of control data. Section IV reports measurement results of developed -band F-PLL synthesizers. II. CONFIGURATION OF MULTIPLE F-PLL SYNTHESIZERS Fig. 1 shows a configuration of multiple F-PLL synthesizers sharing an REF signal. Each F-PLL synthesizer is a conventional circuit configuration [12], [13] consisting of a VCO, FD, a phase detector (PD), and loop filter (LF). Circuit parameters are the same in all the F-PLL synthesizers, thus a closed-loop transfer function of all the F-PLL synthesizers is identical. In the proposed synthesizer, control data generated from a control circuit changes phase difference between output signals. In this paper, we assume the generation of all the control data is synchronized with a reference signal. Thus, there is no time delay between each control data. This control circuit is different from the conventional one. We first describe a conventional control circuit. Next, we introduce a proposed control circuit. A. Conventional Control Circuit Fig. 2 shows a configuration of the conventional control circuit [12], [13]. The control circuit consists of two fractional modulators of the same circuit configuration (MOD P1 and MOD P2) and a reset signal control circuit. The control circuit synchronized with an REF signal generates control data of two FDs (Control Data 1 and Control Data 2) corresponding to input setting data. The output frequency of each F-PLL synthesizer , ) becomes the same because the same setting data ( , are input to each fractional modulator. The output frequency

(1) where is a frequency of an REF signal, is the average of the control data generated by the MOD P1 and P2, is the , is input data of the fractional modinteger part of is the word length of , and is the fraculator, . In the conventional control circuit shown tional part of in Fig. 2, a reset signal control circuit initializes both fractional modulators. The reset signal control circuit changes the reset timing of the fractional modulators corresponding to the setting . This reset sequence realizes the cyclic shift of the condata trol data stream. In other words, the setting data controls a quantity of cyclic shift of each control data stream. By employing the conventional control circuit, phase difference between output signals of the F-PLL synthesizers is given by the following equation [12], [13]:

(2) and are a function Equation (1) and (2) indicate both . This result suggests the of a fractional part of indepenconventional F-PLL synthesizer cannot set and dently. B. Proposed Control Circuit Fig. 3 shows a configuration of a proposed control circuit. In the proposed control circuit, one fractional modulator MOD F and two adders (ADD1 and ADD2) are added to the conventional control circuit shown in Fig. 2. Unlike MOD1 and MOD2, MOD F is not initialized. Moreover, there are no restrictions in the circuit configuration of MOD F. It can be the same as MOD P1 or MOD P2, or it can differ. In the proposed control circuit, MOD P1 and MOD P2 operate the same as the conventional one, and generate control data P1 and P2, respectively. MOD F generates control data F , ). ADD1 adds corresponding to input setting data ( , control data F and P1 to generate new control data 1, and ADD2 adds control data F and P2 to generate new control data 2. Thus, the average of new control data becomes the sum of the average

2834

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 4. Cyclic shift of control data corresponding to the reset timing. Fig. 3. Configuration of a proposed control circuit.

of control data F and the average of control data P. The output frequency of the proposed F-PLL synthesizer is given by the following equation:

(3) is determined by two independent Equation (3) indicates setting data. The proposed technique increases design flexibility as compared with (1). of III. PHASE CONTROL BY COMPOSITION OF CONTROL DATA Here, we first review the cyclic shift of control data described in [12] and [13]. Next, phase difference in the proposed circuit using by composition of control data is explained. A. Cyclic Shift of Control Data A fractional modulator outputs finite data set cyclically as a control data stream. The cyclic shift of the control data stream changes initial data at a given instant without changing the sequence. For example, when the number of control data sets is three, a control data stream is and so on. Control data when the will be changed to data stream is cyclically shifted by one. Fig. 4 shows the cyclic shift of control data and the reset timing at the fractional modulator. When a fractional modulator 2 is initialized, the fractional modulator 2 begins to genone by one as control data erate data P2. As shown in Fig. 4, fractional modulator 1 is initialized . Fractional modwhen fractional modulator 2 output is ulator 1 then begins to generate data one by one as control data P1. The data sequence of P1 and P2 as shown in Fig. 4. Yet, at time are both , the control data of P1 is , and control data P2 is

. This means that the reset procedure of fractional modulators realizes a cyclic shift of control data by two clocks. . In Fig. 4, Here, we define the amount of cyclic shift as is 2. All the control data are generated synchronizing with does not change after the reset an REF signal, therefore, procedure. Transient time and phase-shift transient are briefly described as follows. Since the proposed technique resets fractional modulators in order to perform a cyclic shift, this technique requires time for a reset procedure, as shown in Fig. 4. In addition, the reset of modulators causes frequency changes. Then F-PLL synthesizers have to re-converge on the desired frequency. The total transient time of the proposed technique is the sum of the reset procedure time and the transient time of the PLL [16] that is given by natural frequency and damping factor of the PLL. As mentioned above, with the proposed technique, a frequency change arises when the fractional modulators reset. Phase difference between output signals can be defined when output frequencies are all the same. Thus, it is difficult to show the general phase-shift transient behavior of the proposed technique. B. Composition of Control Data and Phase Control Fig. 5 shows the composition of the control data. We define new parameters to derive an equation about phase difference. At , we denote control data P1 as , control data F as , and composed data of control data P1 and control data F . Similarly, the composition data is at as . The relation between composed data and phase difference is described as follows. An equation of the phase difference between output signals is derived from the relation among an REF signal, FB signal, and output signal. Fig. 6 shows the relation of an REF signal, FB signal, and output signal of an F-PLL synthesizer. As an F-PLL synthesizer periodically changes a divide value of an FD ( to , is a period of control data) to realize fractional division of output frequency, the average of divide values corresponding to control data for FD becomes a fractional number . When phase synchronization of an F-PLL synthesizer

TAJIMA et al.: FREQUENCY AND PHASE DIFFERENCE CONTROL USING F-PLL SYNTHESIZERS BY COMPOSITION OF CONTROL DATA

2835

.. .

.. . Fig. 5. Composition of control data.

(5) (6) From (5), the summation of

is

(7) after phase synchronization is obtained by letting the left term of (7) be 0 as follows: Fig. 6. Relation of an REF signal, an FB signal, and an output signal.

is established at , the time difference between rising edges of the REF signal and an FB signal corresponds to the control and . As an output signal is divided data into the FB signal, rising edges of the FB signal and the output signal occur simultaneously. This concludes the control data , and provide time difference between rising edges of an output signal and an REF signal. Phase difbetween output signals is a function of in each ference synthesizer and is given by the following equation:

(8) Here, output signal 1 is a reference of phase difference, becomes 0, and time difference at is

(4) . An F-PLL synthesizer Now we derive an equation about controls a control voltage of a VCO to converge a summation of ( to ) on a specific value. The summation of becomes 0 when an LF with very high dc gain is used. after phase synchronization can be obtained from the summation of . First we show equations of each ( to ) as follows:

(9) Finally, phase difference between output signal 1 and output signal 2 is obtained from (4), (8), and (9) as follows:

2836

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

TABLE I CIRCUIT PARAMETERS

Fig. 7. Configuration of developed L-band F-PLL synthesizers sharing a reference signal. A pattern generator is used as a control circuit generates control data.

Fig. 8. Configuration of a fractional modulator used for this evaluation. (a) First-order delta–sigma modulator [14] for MOD P. (b) Third-order 1-bit delta–sigma fractional modulator [15] for MOD F.

rad From (10)

(10)

is a function of and the fractional part of . Since (2) and (10) are the same, the phase difference control of the proposed technique is the same as that of the conventional technique [12], [13]. Equation (3) and (10) , i.e., indicate that phase difference is independent from phase difference and output frequency can be set up indepen. dently by controlling

Fig. 9. Output spectrum of the fractional-N PLL synthesizer F-PLL2. (a) f = = 1303:125 MHz (k = 0). (c) f = f

0

1300 MHz (k = 1). (b) 1306:25 MHz (k = 1).

IV. EXPERIMENTAL RESULTS Fig. 7 shows a configuration of developed -band F-PLL synthesizers sharing a reference signal. In this measurement, a pat-

TAJIMA et al.: FREQUENCY AND PHASE DIFFERENCE CONTROL USING F-PLL SYNTHESIZERS BY COMPOSITION OF CONTROL DATA

2837

Fig. 9 shows the output spectrum of the fractional- PLL synthesizer F-PLL2. The spectrum shown in Fig. 9 is drawn on a PC, after taking measurement data from a spectrum analyzer (Agilent Technologies HP8563E) to a PC. Output frequency is changed according to . Measured output frequencies agree well with the designed values. Fig. 10 shows measurement results of the change of the phase changes from 0 to 32. Phase difference. The shift quantity difference is calculated from time difference of zero cross timing between two output signals by a digital oscilloscope (Agilent Technologies 54855A). The measured phase difference changes from 0 to 360 , and the phase difference variation is 11.25 , as shown in Fig. 10(a). Fig. 10(b) shows a comparison between designed and measured values. The measurement error from the designed value is 2 or less. This error stems from the measurement accuracy of a digital oscilloscope, and nonlinearity of a phase comparator. In addition, time resolution of the marker of the digital oscilloscope is 1.82 ps, and the measurement accuracy of the digital oscilloscope in the 1.3-GHz 360 1.82 ps 1.3 GHz . band is approximately 0.85 V. CONCLUSION

Fig. 10. Measurement results of the change of the phase difference. (a) Phase difference. (b) Measurement error.

A novel frequency and phase difference control technique of output signals in multiple F-PLL synthesizers has been presented. The proposed technique is able to control output frequency and phase difference independently by composing two control data. Developed -band F-PLL synthesizers demonstrate output frequency and phase difference control of output signals. The measured phase difference error from the designed value is 2 or less. The proposed technique achieved highly accurate phase control. REFERENCES

tern generator (Agilent Technologies 16522A) is used instead of a control circuit generating control data. Since an output signal of the F-PLL1 is used as a reference, the cyclic shift of control data 1 is not carried out. The natural frequency of each F-PLL synthesizer is 92 kHz, and the damping factor is 1. These values are chosen within limits that can establish a phase lock. Fig. 8 shows a configuration of a fractional modulator used for this evaluation. A first-order delta–sigma modulator [14] is used for fractional modulator MOD P, and third-order 1-bit delta–sigma fractional modulator [15] is used for fractional modulator MOD F. The circuit parameters summarized in MHz, , , , Table I are , , and . The design value of are 1300, 1303.125, and 1306.25 MHz from (3), and becomes rad (11.25 ) from (10). In this experiment, we calculate the output data of fractional modulators shown in Fig. 8 by using Microsoft Excel. The set to 32 is calculated of control data set corresponding to beforehand, and each control data set is saved in the pattern is generator as a text file. The text file corresponding to used for the control data of F-PLL1. The control data of F-PLL2 is manually changed by selecting the text file corresponding to . the selected

[1] H. Hashemi, X. Guan, A. Komijani, and A. Hajimiri, “A 24-GHz SiGe phased-array receiver—LO phase-shifting approach,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 614–626, Feb. 2005. [2] A. Natarajan, A. Komijani, X. Guan, A. Babakhani, and A. Hajimiri, “A 77-GHz phased-array transceiver with on-chip antennas in silicon: Transmitter and local LO-path phase shifting,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2807–2819, Dec. 2006. [3] A. Natarajan, A. Komijani, and A. Hajimiri, “A fully 24-GHz phasedarray transmitter in CMOS,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2502–2514, Dec. 2005. [4] T. Yamaji, H. Tanimoto, S. Obayashi, and Y. Suzuki, “A Si 2-GHz 5-bit LO-phase-shifting downconverter for adaptive antennas,” in VLSI Circuits Symp. Dig., 2000, pp. 66–67. [5] D. A. Willems, M. E. Coluzzi, S. S. Tantod, I. J. Bahl, M. D. Pollman, J. D. Jorgenson, E. L. Griffin, C. Andricos, and T. F. Brukiewa, “Multifunction small-signal chip set for transmit/receive modules,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 12, pp. 2007–2015, Dec. 1990. [6] S. D. Kamenopolsky, “Low cost 5-bit phase shifter for DBS phased array antennas,” in IEEE Eur. Microw. Symp. Dig., Oct. 2003, pp. 801–804. [7] S. Y. Eom, S. I. Jeon, D. G. Oh, and H. K. Park, “3-bit digital phase shifter for mobile DBS active phased array antenna system application,” in Proc. IEEE Phased Array Syst. Technol. Conf., May 2000, pp. 85–88. [8] J. Lu, M. Wu, X. Jin, and Z. Fang, “Active phased array antenna based on DDS,” in IEEE Int. Phased Array Syst. Technol. Symp., Oct. 2003, pp. 511–516. [9] L. Wang, Y. Fei, D. Guo, and N. Chen, “Direct digital synthesis application for distributed phased array radar,” in Proc. 3rd Int. Microw. Millimeter Wave Technol. Conf., 2002, pp. 755–757.

2838

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

[10] A. Garrod, “Digital modules for phased array radar,” in IEEE Int. Radar Conf., 1995, pp. 726–731. [11] S. Obayashi, O. Shibata, H. Kasami, H. Shoki, and Y. Suzuki, “An adaptive array antenna steered by IF local signal phase shifters for -band broadband fixed wireless access base station,” Trans. IEICE, vol. E84-B, no. 9, pp. 2523–2529, Sep. 2001. [12] K. Tajima and R. Hayashi, “Novel phase difference control between output signals using fractional- PLL synthesizers by cyclic shift of control data,” presented at the IEEE Int. MTT-S Int. Microw. Symp., Jun. 2007, Paper WE4B-01. [13] K. Tajima and R. Hayashi, “Novel phase control technique of LO signals by shifting of control data in a fractional- PLL synthesizer,” IEICE, Tokyo, Japan, IEICE Tech. Rep. MW2006-142, Nov. 2006. [14] B. Miller, “A multiple modulator fractional divider,” IEEE Trans. Instrum. Meas., vol. 40, no. 3, pp. 553–559, Jun. 1991. [15] T. A. D. Riley, “Delta-sigma modulation in fractional- frequency synthesis,” IEEE J. Solid-State Circuits, vol. 28, no. 5, pp. 578–583, May 1993. [16] F. M. Gardner, Phaselock Techniques. New York: Wiley, 1979.

K

N

N

N

Kenichi Tajima (M’97) received the B.S. degree in applied mathematics from Queen’s University at Kingston, Kingston, ON, Canada, in 1993. In 1993, he joined the Mitsubishi Electric Corporation, Kamakura, Kanagawa, Japan, where he has been engaged in research and development of frequency synthesizers for satellite communication systems, land mobile communication systems, and radar systems. Mr. Tajima is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

Ryoji Hayashi (M’07) received the B.S. degree in science from Kyoto University, Kyota, Japan in 1985. In 1985, he joined the Mitsubishi Electric Corporation, Kamakura, Kanagawa, Japan, where he has been engaged in research and development of modulation/demodulation technology for digital mobile communication systems and satellite communication systems. Mr. Hayashi is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

Yoji Isota (M’98–SM’05) received the B.S. and M.S. degrees in communication engineering from Osaka University, Osaka, Japan, in 1976 and 1979, respectively, and the Ph.D. degree in electrical engineering from Tohoku University, Sendai, Japan, in 2003. In 1979, he joined the Mitsubishi Electric Corporation, Kamakura, Kanagawa, Japan, where he has been engaged in the research and development of microwave and millimeter-wave circuit for antenna feed systems, monolithic microwave integrated circuits (MMICs), and solid-state power amplifiers (SSPAs). From 2002 to 2004, he was a Professor with the Research Institute of Electrical Communication, Tohoku University. He is currently the Manager of the Electro-Optics and Microwave Electronics Technology Department, Information Technology Research and Development Center, Mitsubishi Electric Corporation, Kamakura, Kanagawa, Japan. Dr. Isota is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2839

Substrate Integrated Waveguide-to-Microstrip Transition in Multilayer Substrate Yan Ding, Student Member, IEEE, and Ke Wu, Fellow, IEEE

Abstract—This paper presents a novel transition between a microstrip line and a substrate integrated waveguide (SIW) in a multilayer substrate design environment. In order to achieve a low-loss broadband response, the transition, consisting of a tapered or multisectional ridged SIW and a tapered microstrip line, is modeled and designed by simultaneously considering both impedance matching and field matching. Characteristic impedance and guided wavelength calculated by using closed-form expressions based on a transverse resonant method are used to develop our design procedure. Effective broad bandwidth is obtained in two examples developed in this study, which are validated with simulated and measured results. This transition provides a simple way to design substrate integrated circuits with buried microstrip circuits in the multilayer substrate in which any ratio of impedance transform can be anticipated. Index Terms—Microstrip line, multilayer structure, ridged waveguide, substrate integrated circuits (SICs), substrate integrated waveguide (SIW), transition.

I. INTRODUCTION ITH THE ever-increasing demand for high performances and size miniaturization of radar and wireless devices and systems, high-density microwave and millimeter-wave system integration techniques have been under intensive development. A number of hybrid integrated circuit design concepts have been proposed and demonstrated to enhance the integration at system level such as system-on-chip (SoC) and system-in-package (SiP) or system-on-package (SoP). Generally, a high-performance microwave or millimeter-wave front-end system involves high-quality analog passive components, active devices, and even digital parts. As is well known, nonplanar components generally have a lower factor against their planar counterparts. loss and a higher A hybrid approach that integrates both planar and nonplanar circuits within a single design and fabrication platform is attractive for a compact and high-performance system development. However, transitions between planar-to-nonplanar circuits are rather complicated, particularly when the operating frequency goes to the millimeter-wave frequency band and beyond, it is

W

Manuscript received April 24, 2007; revised September 19, 2007. This work was supported by the Natural Sciences and Engineering Research Council of Canada (NSERC). The authors are with the Département de Génie Électrique, Poly-Grames Research Center, École Polytechnique de Montreal, Montreal, QC, Canada H3C 3A7 (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.909878

essential to develop innovative design and fabrication techniques to achieve such hybrid integrations. Substrate integrated circuits (SICs) become known to be one of the most promising candidates, which have already been demonstrated thus far [1]. Rectangular metallic waveguides are commonly used as low-loss building parts in microwave and millimeter-wave circuit design. A substrate-integrated waveguide (SIW) or laminated waveguide was proposed and investigated with substantial theoretical and experimental results. This integrated waveguide is a synthesized rectangular waveguide embedded in a planar substrate, which is made of two rows of metallized via-holes or grooves connected with metal plates on the top and bottom sides. In this way, planar and nonplanar structures can be integrated together in the same substrate, which can be fabricated by using the same process. Approaches for designing transitions from this “planarized” substrate integrated structure to other planar circuits within a single substrate have been presented including the microstrip-to-SIW transition and the coplanar waveguide-to-SIW transition [2], [3]. The operating mechanism of the SIW is quite similar to that of a conventional rectangular waveguide, except the fact that the SIW has a lower than its air-filled metal rectangular counterpart because of the dielectric filling and volume reduction. When the operating frequency is relatively low or the substrate is relatively thin, the conductor loss will dominate the total waveguide loss. From this point of view, a thicker substrate is always preferred in order to yield a low-loss component in the design of SICs. On the other hand, both the microstrip line and microstrip-to-SIW transition are subject to more radiation and substrate mode losses on a thick substrate. One way to overcome the contradiction is to use a grounded coplanar waveguide (GCPW), which is more compatible with the thick substrate scenario [3]. The other solution to this problem is to create the SICs in a multilayer substrate. In order to reduce the volume and cost, as well as the integration density of a microwave or millimeter-wave structure, multilayer technologies have become instrumental, which have also experienced an important growth over the past decade, namely, low temperature co-fired ceramic (LTCC), multilayered thin film, multilayered printed circuit board (PCB), micro-electro-mechanical systems (MEMS) and complementary metal–oxide–semiconductor (CMOS). Quite naturally, those innovative technologies present primary choices for designing and developing multilayered SICs. A multilayer substrate can not only reduce the total loss of a system by using a thick substrate, it can also provide much more flexibility and possibility to the designer for circuit integration in both horizontal and vertical directions. In a 3-D multilayer substrate,

0018-9480/$25.00 © 2007 IEEE

2840

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

more SIW circuits can be synthesized and accommodated into different layers and the coupling among them can easily be implemented to construct novel functional and compact structures. One key step for enabling such a design platform is to develop high-performance transitions or interconnects between SIWs and other types of transmission lines or circuits embedded in or surface mounted on the multilayer substrate. Some limited case studies have already been reported on this topic [5]–[11]. The microstrip line is the most widely used planar structure in the multilayer substrate; it is critical to design a high-performance SIW-to-microstrip transition, where the microstrip line could be on any layer of the substrate. In this paper, the characteristic impedance and guided wavelength of ridged SIWs are firstly calculated by using the transverse resonance method (TRM). The results for ridged SIWs with different ratios are compared with a commercial full-wave software. The curves for those parameters are then used in finding the dimensions of initial designs. Three ridged SIW-type transitions are designed by following the design procedure proposed in [11]. Two transitions with their ridges in different layers are firstly designed in a two-layer substrate. A two-section ridged waveguide is designed for an ultra-wideband application, where the multisection impedance matching theory for generalized microwave transmission lines provided a design guideline. Simulated results of these examples are compared with measured results. II. THEORY A. SIW-to-Microstrip Transition An SIW-to-microstrip transition has been proven effective on a single-layer substrate [2]. As mentioned earlier, such a transition is subject to large radiation and substrate mode losses on a thick substrate, which may lead to a strong coupling to its neighboring integrated circuits. In order to accurately predict the entire structure response, related circuits should be analyzed together with the transition by using an electromagnetic modeling package. If the SIW-to-microstrip transition with negligible radiation is used instead, the overall design task can be reduced by carrying out simulation for each functional block in a separate manner. Several studies have been reported on the SIW-to-microstrip transitions in a multilayer substrate. In [5], the field was directly coupled from a SIW to a tapered microstrip line, which was inserted into the waveguide. No more vertical connection among different layers was required. However, this coupling type of transition requires similar vector distributions of electric and , as shown in fields on two cross sections, i.e., Fig. 1(a). It requires that the transform ratio cannot hold a large value under this condition. In another proposed SIW-to-stripline transition [7], a stripline ended with a shorted . The design via was inserted into a SIW, as shown in Fig. 1 can further be developed to a SIW-to-microstrip transition by simply removing the upper metal layer of the stripline, which is . Some metal pads can be added in the surshown in Fig. 1 rounding area of the shorted via to provide a matching mechanism. This probe type of transition is similar to the probe-coupled coaxial-to-waveguide transition that was studied in [12].

Fig. 1. Geometrical views of SIW-to-microstrip transitions. (a) Coupling type of transition. (b) Probe type of transition. (c) Ridged waveguide type of transition.

However, it is difficult to derive a general equivalent circuit for this structure and the multisectional impedance-matching theory cannot be used to directly provide a design guideline, which makes this transition difficult to design. Since the impedance definition is generally structure dependent, while the field matching is more related to two dissimilar geometries, it is critical to simultaneously make both the field and impedance well matched in transition design. Two general problems may be encountered in a SIW-to-microstrip transition design, namely: 1) a large difference in characteristic impedance value between a microstrip line and a rectangular waveguide and 2) a field mismatching between a SIW and a microstrip line, especially when the microstrip line is not located on the same plane as the top of the SIW. Thus far, one of the widely used conventional waveguide-to-microstrip transitions is related to the ridged waveguide [13]. Based on the advantages of using ridged waveguides as transitions between planar SIWs and microstrip-line circuits, a tapered ridged SIW type transition was proposed in [11]. A tapered ridged SIW and a tapered microstrip line were synthesized between a microstrip line and a SIW in our proposed SIW-to-microstrip transition. In this case, the field matching and characteristic impedance matching are balanced at the junction. The ridged waveguide extends the edge of its ridge over the tapered microstrip line. A guide has been proposed in a graphical manner to get a quick design in [11]. B. Characteristic Impedance Although the frequency-dependent field distribution is a more natural way to describe the matching where there are discontinuities in microwave circuits, it cannot be used directly in the design.

DING AND WU: SIW-TO-MICROSTRIP TRANSITION IN MULTILAYER SUBSTRATE

2841

Fig. 2. Equivalent circuit of a ridged waveguide.

As has been well documented, the characteristic impedance of a 2-D transmission line can be defined in three popular ways, which are: 1) power–current definition; 2) voltage–current definition; and 3) power–voltage definition. Three such definitions equal to each other only for a pure TEM transmission line. For a quasi-TEM transmission line such as microstrip line or a non-TEM transmission line such as a rectangular waveguide or ridged waveguide, the values obtained by using a different definition are not unique because of the arbitrary definition of voltage or current. The characteristic impedances of a ridged waveguide based on the above-stated three definitions are plotted in [11, Fig. 2]. The characteristic impedance based on the power–voltage definition is, therefore, used in our design. C. TRM for Ridged SIWs Cutoff wavelength and characteristic impedance of the fundamental mode are the most important parameters of a (ridged) waveguide for the design purposes, which are well documented. A power–voltage impedance definition of a single-ridge waveguide based on a variational technique was introduced in [14]. Spectral-domain analysis and a magnetic field integral equation (MFIE) were used to analysis the ridged waveguide in [15] and [16]. The finite-element method for both single- and doubleridged waveguides was presented in [17] and [18]. Compared with the full-wave methods mentioned above, the TRM has advantages on computational efficiency with an acceptable accuracy for specific structure dimensions. It gives analytical approximate expressions of characteristic impedance and guided wavelength [19]–[22]. Fig. 2 shows an equivalent circuit of a ridged waveguide. The admittances condition on plane gives rise to the following characteristic equation [23]:

Fig. 3. Characteristic impedances (P=V ) and wavelengths calculated with Ansoft’s HFSS package and closed-form expressions. (a) a = 6:88 mm, b = 0:508 mm, d = 0:254 mm, " = 2:33. (b) a = 22 mm, b = 1:27 mm, d = 0:254 mm, " = 2:94. (c) a = 0:762 mm, b = 1:27 mm, d = 0:254 mm, " = 2:94.

(1) A closed-form approximation for the cutoff wavelength of the dominant mode in a single-ridge waveguide is given in when (1) is [15], which provides a good initial value of solved by using an iterative method. Approximate expressions of power–voltage defined characteristic impedance at any infinite frequencies applicable to a single-ridge waveguide are documented in [22] and [23]. The closed-form expressions solved by using the TRM for power–voltage defined characteristic impedance and guided wavelength of three ridged SIWs built in multilayer substrates are compared with the results obtained by using a commercial finite-element method (FEM) package [Ansoft’s High Frequency Structure Simulator (HFSS)] in Fig. 3. Three ridged SIWs with different transform ratio are solved at 24 GHz. In Fig. 3(c), these curves are used to find the initial dimensions of

optimized designs in a graphical manner, as introduced in [11]. Since the computation using the TRM is almost computational costless, the total design procedure is greatly shortened in the meanwhile. III. DESIGN PROCEDURE AND RESULTS Three examples are considered in this study to evaluate performances of the proposed transition between the SIW and microstrip line in the multilayer substrate. All those circuits were fabricated by using a multilayer PCB technique available a the Poly-Grames Research Center, École Polytechnique de Montreal, Montreal, QC, Canada. The ridge metallic walls for the waveguide inside the structure are constructed by three thin metal grooves. These grooves are connected with a triangular metal sheet sandwiched between

2842

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 5. Simulated and measured results of a three-layer back-to-back transition.

Fig. 4. Configuration of a two-layer back-to back transition. Design parameters are transition 1: L = 5:46 mm, L = 8:61 mm, W = 3:154 mm, t = 0:254 mm, d = 1:58 mm, a = 6:88 mm, W = 0:711 mm, r = 0:3937 mm, and transition 2: L = 5:46 mm, L = 8:35 mm, W = 3:754 mm, t = 0:254 mm, d = 1:58 mm, a = 6:88 mm, W = 0:711 mm, r = 0:3937 mm.

both substrates. The top and bottom of the waveguide are connected with two rows of a via-hole array. Different tapers can be fabricated by changing the position of the via-holes or grooves. When the width of groove , the radius of via and the distance between two neighboring grooves or vias shown in Fig. 4(c) are small enough, the leakage of the field can completely be ignored. A. Example 1 A back-to-back two-layer transition is first designed and fabricated. Both substrates used in the process are Rogers 5870 with , , and mil, which . means the transform ratio In this case study, two designs are made by putting the ridged waveguide in a different substrate layer to realize two transitions. For transition 1, as shown in Fig. 4(a), the microstrip line to be connected with the SIW is located between two layers with a ground at the bottom of the entire substrate. For transition 2, as shown in Fig. 4(b), the microstrip line is located on the top of the entire substrate with the ground sandwiched between two substrate layers. The two transitions are compatible with microstrip lines placed on either of the layers in this two-layer substrate.

3-D configurations, side-sectional view, and top view of field propagation of the final transition design are shown in Fig. 5, respectively. All the design parameters are listed in this figure’s caption. With the consideration of an equivalent dimension transformation introduced in [24], the approximate formulations of the TRM mentioned still prove valid for calculating both the characteristic impedances and guided wavelength of the ridged SIW. According to the design guide proposed in [11], the initial solutions for the most essential dimensions in the transition designs, and , can be graphically founded. Compared to i.e., the final optimized dimensions, the initial dimensions obtained by using the proposed procedure in [11] are very good for both designs. Optimized solutions can then be easily obtained by fine tuning the initial designs. As shown in the field distributions, the lengths of both ridged SIW triangular taper and microstrip triangular taper are around one guided wavelength. This is because the first null of the reflection coefficient for a triangular tapered line occurs at . A thru-reflect-line (TRL) calibration was used in measurements of the circuits with an HP8510 network analyzer and a Wiltron test fixture. Simulated and measured -parameters of the transition are compared in Fig. 6. A bandwidth of 14.5% at 15-dB return loss is obtained from 23.2 to 27.1 GHz. The insertion loss is better than 1.5 dB within the passband of interest for the entire back-to-back structure. The length of one single transition is around 16.5 mm, while the total length of the back-to-back transition is 43 mm. B. Example 2 Theoretically, a SIW-to-microstrip transition with any transform ratio can easily be made by following the above-described procedure. The field will be gradually transferred from a quasi-TEM mode for a microstrip line buried in the substrate to the TE10 mode of a SIW filled with a multilayer substrate. However, the length of transition is around one wavelength, which may not be practical, as many applications have certain size limitations. Moreover, the length of the transition is related to the transform ratio . A larger transform ratio usually needs a longer transition length. Solutions to shorten the total length of

DING AND WU: SIW-TO-MICROSTRIP TRANSITION IN MULTILAYER SUBSTRATE

2843

. The thickness of the substrate is 20 mil for the two upper layers and 10 mil for the lowest layer close to the ground. The transition from the top of the SIW to the plane where the mi. A 3-D configuracrostrip line lies has a transform ratio tion and parameters of the transition are shown in Fig. 7(a)–(c). The ridges in the transition can be made by using one single groove shown in Fig. 7(c) or some via-hole arrays in Fig. 7(d). The former is used in our design for simplicity. Fig. 5 shows simulated and measured results of this designed structure. As shown in Fig. 3(b) and (c), the length of the ridged waveguide section in such a transition should be longer than 30 mm if a triangular taper matching is deployed. With the two-step ridged waveguide impedance matching circuits, the total length of the ridged waveguide section is 15 mm. IV. CONCLUSIONS

Fig. 6. Simulated and measured S -parameters of two-layer back-to-back transitions.

This paper is concerned with a new class of SIW-to-microstrip transitions fabricated on a multilayer substrate, which are proposed for the use in SICs. An easy designed two-layer transition consists of a section of a tapered ridged SIW and a tapered microstrip line to smooth structural discontinuities. Another three-layer and two-stage transition is designed for the purpose to shorten the total length of a SIW-to-microstrip transition. The power–voltage characteristic impedance and the guided wavelength are approximately calculated by using the TRM and they are used to develop a procedure for developing a set of initial design parameters. Both impedance matching and field matching are simultaneously taken into consideration in the proposed transition designs. The accuracy of design and fabrication can be improved with etching and drilling processes in multilayer technologies, which can guarantee better responses in a higher frequency range. With the classic impedance-matching technique, the transition with other types of taper or multisection taper for broadband applications can be anticipated. The proposed transition provides an effective way to integrate a SIW with buried microstrip-line circuits on a multilayer substrate. The integration of low-loss SICs becomes attractive in more performance-demanding microwave integrated circuit (MIC) or monolithic microwave integrated circuit (MMIC) applications using multilayer substrate technologies. ACKNOWLEDGMENT

Fig. 7. Configuration of a three-layer back-to back transition. Design parameters are L = 2:5 mm, L = 10 mm, L = 7:5 mm, L = 7:5 mm, W = 0:64 mm, W = 1:8 mm, W = 6 mm, W = 4 mm, h = 0:254 mm, h = 0:508 mm, h = 0:508 mm, a = 22 mm.

the transition include using other types of taper such as an exponential taper and multisection taper synthesized with additional layers. A -band back-to-back transition is designed on a three-layer substrate as a multisection impedance transformer in this case. and The substrate is a Rogers 6002 with

The authors wish to thank J. Gauthier, R. Brassard, and S. Dubé, all with the Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada, for their assistance in circuit fabrication. REFERENCES [1] K. Wu, D. Deslandes, and Y. Cassivi, “The substrate integrated circuits—A new concept for high-frequency electronics and optoeletronics,” in Proc. 6th Int. Telecommun. Modern Satellite, Cable, Broadcast. Service Conf., Oct. 1–3, 2003, vol. 1, pp. P-III–P-X. [2] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 1, pp. 68–70, Jan. 2001. [3] D. Deslandes and K. Wu, “Analysis and design of current probe transition from grounded coplanar to substrate integrated rectangular waveguides,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2487–2494, Aug. 2005.

2844

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

[4] M. P. Pozar, Microwave Engineering. New York: Wiley, 1998, ch. 3, p. 125. [5] C.-J. Lee, H.-S. Wu, and C.-K. C. Tzuang, “A broadband microstrip-towaveguide transition using planar technique,” in Proc IEEE Asia–Pacific Microw. Conf., Taipei, Taiwan, 2001, pp. 543–546. [6] Y. Rong, K. A. Zaki, M. Hageman, D. Stevens, and J. Gipprich, “Low-temperature cofired ceramic (LTCC) ridge waveguide bandpass chip filters,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2317–2324, Dec. 1999. [7] R. Valois, D. Baillargeat, S. Verdeyme, and T. Jaakola, “High performances of shielded LTCC vertical transitions from DC up to 50 GHz,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2026–2032, Jun. 2005. [8] Y. Huang and K.-L. Wu, “A broadband LTCC integrated transition of laminated waveguide to air-filled waveguide for millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1613–1617, May 2003. [9] W. D’Orazio and K. Wu, “Substrate-integrated-waveguide circulators suitable for millimeter-wave integration,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 10, pp. 3675–3680, Oct. 2006. [10] T. Kai, J. Hirokawa, M. Ando, H. Nakano, and Y. Hirachi, “Coaxialline feed for post-wall waveguide in millimeter wave band,” in IEEE AP-S Int. Symp./Joint USNC/URSI Nat. Radio Sci. Meeting, Washington, DC, Jul. 3–8, 2005, vol. 1A, pp. 631–634, Session: P8.4. [11] Y. Ding and K. Wu, “Substrate integrated waveguide-to-microstrip transition in multilayer substrate,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 1555–1558. [12] Y. Huang, K.-L. Wu, and M. Ehlert, “An integrated LTCC laminated waveguide-to-microstrip line T-junction,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 8, pp. 338–339, Aug. 2003. [13] S. S. Moochalla and C. An, “Ridge waveguide used in microstrip transition,” Microw. RF, pp. 149–153, Mar. 1984. [14] Y. Utsumi, “Variational analysis of ridged waveguide modes,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 2, pp. 111–120, Feb. 1985. [15] T. Kitazawa and R. Mittra, “Analysis of finline with finite metallization thickness,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 11, pp. 1484–1487, Nov. 1984. [16] W. Sun and C. A. Balanis, “MFIE analysis and design of ridged waveguide,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 11, pp. 1965–1971, Nov. 1993. [17] J. Helszajn and M. Mckay, “Voltage–current definition of impedance of double ridge waveguide using the finite element method,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 145, pp. 39–44, Feb. 1998. [18] M. Mckay and J. Helszajn, “Voltage-current definition of impedance of single-ridge waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 9, no. 2, pp. 66–69, Feb. 1999. [19] S. B. Cohn, “Properties of ridge waveguide,” Proc. IRE, vol. 35, no. 8, pp. 783–788, Aug. 1947. [20] T. S. Chen, “Calculation of the parameters of ridge waveguide,” IRE Trans. Microw. Theory Tech., vol. MTT-5, no. 1, pp. 12–17, Jan. 1957. [21] W. Hoefer and M. Burton, “Closed-form expressions for the parameters of finned and ridged waveguides,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 12, pp. 2190–2194, Dec. 1982.

[22] S. Hopfer, “The design of ridged waveguides,” IRE Trans. Microw. Theory Tech., vol. MTT-3, no. 10, pp. 20–29, Oct. 1955. [23] N. Marcuvitz, Waveguide Handbook, ser. MIT Radiat. Lab. 10. Boston, MA: Boston Tech. Publishers, 1964. [24] Y. Cassivi, L. Perregrini, P. Arcioni, M. Bressan, K. Wu, and G. Conciauro, “Dispersion characteristics of substrate integrated rectangular waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 333–335, Sep. 2002.

Yan Ding (S’06) received the B.E. degree from the Nanjing University of Science and Technology, Nanjing, China, in 1999, and is currently working toward the Ph.D. degree in electrical engineering at the École Polytechnique de Montréal, Montréal, QC, Canada. Her current research interests involve novel transmission structures and devices using the multilayered SIW technique and SICs design using CMOS technology.

Ke Wu (M’87–SM’92–F’01) is Professor of electrical engineering, and Tier-I Canada Research Chair in RF and millimeter-wave engineering with the École Polytechnique de Montréal, Montréal, QC, Canada. He also holds a Cheung Kong endowed chair professorship (visiting) with Southeast University, and an honorary professorship with the Nanjing University of Science and Technology, Nanjing, China, and the City University of Hong Kong. He has been the Director of the Poly-Grames Research Center. He has authored or coauthored over 515 referred papers and several books/book chapters. He has served on the Editorial/Review Boards of numerous technical journals, transactions, and letters, including being an Editor and Guest Editor. His current research interests involve SICs, antenna arrays, advanced computer-aided design (CAD) and modeling techniques, and development of low-cost RF and millimeter-wave transceivers and sensors. He is also interested in the modeling and design of microwave photonic circuits and systems. Dr. Wu is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He is a member of the Electromagnetics Academy, Sigma Xi, and URSI. He has held key positions in and has served on various panels and international committees including the chair of Technical Program Committees, International Steering Committees, and international conferences/symposia. He is currently the chair of the joint IEEE Chapters of the Microwave Theory and Techniques Society (MTT-S)/Antennas and Propagation Society (AP-S)/Lasers and Electro-Optics Society (LEOS), Montréal, QC, Canada. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006–2009 and serves as the chair of the IEEE MTT-S Transnational Committee. He was the recipient of many awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2845

Design of H-Bridge Class-D Power Amplifiers for Digital Pulse Modulation Transmitters Tsai-Pi Hung, Student Member, IEEE, Jeremy Rode, Lawrence E. Larson, Fellow, IEEE, and Peter M. Asbeck, Fellow, IEEE

Abstract—This paper presents an H-bridge class-D power amplifier (PA) for digital pulse modulation transmitters. The class-D amplifier can be driven by two- or three-level digital signals generated by a delta–sigma modulator (DSM) and provides a linear microwave output after filtering. Within the amplifier, the pull-up and pull-down devices are driven separately to improve the amplifier efficiency by minimizing the loss associated with shoot-through current. The H-bridge class-D PA system was tested with code-division multiple-access IS-95 signals at 800 MHz. Using binary DSM signals, a drain efficiency of 31% was achieved with an output power of 15 dBm and an adjacent channel power ratio of 43 dBc. With three-level DSM signals, a drain efficiency of 33% was achieved at same output power. An analysis of the factors governing amplifier efficiency is provided. Index Terms—Bandpass delta–sigma modulation (BPDSM), class-D amplifier, code-division multiple access (CDMA), complementary metal–oxide semiconductor (CMOS), radio-frequency power amplifier (RF PA).

I. INTRODUCTION

W

ITH THE rapid advance of CMOS technology, digital signal processing (DSP) techniques can be used at clock frequencies reaching into the microwave region. This permits the implementation of digital radio-frequency (RF) systems that can carry out functions which up to now have been exclusively in the domain of analog circuits [1]–[5]. In digital RF transmitters, signal processing functions such as baseband signal generation, filtering, and frequency conversion are completed in the digital domain. This digital approach increases the flexibility and programmability of the system and avoids the problems of aging, variable component values, and impedance conversion difficulties associated with many analog circuits. It is also conducive to system-on-chip implementation independent of technology node. Fig. 1 shows a possible architecture for a digital pulse modulation transmitter [6]. Via DSP techniques, the modulated baseband signals are generated, up-converted, and sent to a bandpass delta–sigma modulator (BPDSM). The BPDSM quantizes the signals into a binary format to drive the following amplifier stage. The associated quantization noise can be spectrally shaped out of band by the BPDSM. The bandpass filter

Manuscript received May 10, 2007; revised September 13, 2007. The authors are with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA 92093-0407 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909881

Fig. 1. Simplified block diagram of possible future digital RF transmitters with BPDSMs.

following the amplifier avoids power dissipation at undesired frequencies to achieve high efficiency. In addition to binary signals, digital transmitters with three-level DSMs are possible [7]. These can potentially exhibit higher amplifier efficiency by encoding more power in the desired frequency band while maintaining the signal quality. Switching amplifiers are attractive candidates for digital RF transmitters because of their potential to obtain high efficiency. However, the suitable types of switching amplifiers are limited by the fact that the digital driving signals are nonperiodic and broadband. For instance, class-E amplifiers can operate at RF frequencies efficiently by minimizing the output capacitance loss. However, the zero-voltage switching condition for compensating the output capacitance loss cannot be maintained under nonperiodic driving conditions, and thus the conventional class-E amplifier cannot achieve high efficiency when driven by the delta–sigma-modulated signals. Voltage-mode class-D switching amplifiers have the potential to maintain high efficiency when the driving signals are not periodic [8]–[15]. However, loss associated with the driving circuits, the active devices (including shoot-through current loss), and filters (poor power recycling) can degrade the performance significantly. Previously, a bandpass delta–sigma class-S amplifier was demonstrated at 10 MHz, showing 33% drain efficiency with an IM3 of 40 dBc [16]. A transformer-coupled amplifier was demonstrated at 170 MHz with a drain efficiency of 8% [17]. A class-D power amplifier (PA) with a digital modulator based on quadrature pulse modulation was also demonstrated for EDGE signals [18]. This paper reports an H-bridge class-D amplifier implemented in CMOS which can be used in digital RF transmitters based on DSM for linear and efficient amplification. The pull-up and pull-down devices of the class-D amplifiers were driven separately to minimize the loss associated with the shoot-through currents. The H-bridge amplifier achieved a drain efficiency of 62% with 800-MHz periodic signals. For code-division multiple access (CDMA) IS-95 signals, the amplifier was driven by delta–sigma-modulated signals with

0018-9480/$25.00 © 2007 IEEE

2846

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 2. Block diagram of a BPDSM for two-level DSM signals. This modulator consists of two resonators, two feedback loops, and a two-level quantizer.

a clock rate of 3.2 GHz. For two-level delta–sigma signals, a drain efficiency of 31% was achieved with an output power of 15 dBm and an adjacent channel power ratio (ACPR) of 43 dBc. The drain efficiency of the amplifier was improved to 33% by using three-level delta–sigma modulation signals while maintaining an ACPR of 43 dBc. The factors degrading the efficiency of the class-D amplifier driven by the DSM signals are considered in Section IV. Analytical expressions for output power and efficiency are provided, which allow estimation of amplifier performance. II. BPDSM SIGNALS A. Two-Level Quantization The driving signals of the H-bridge class-D amplifier were generated by a simulated BPDSM driven by CDMA-like quaternay phase-shift keying (QPSK) signals with bandwidth of 1.23 MHz and a 5.5-dB peak-to-average power ratio. The BPDSM, as shown in Fig. 2, was composed of two resonators, one two-level quantizer, and two feedback loops, running at a clock rate of 3.2 GHz. The spectrum of the output binary signals is shown in Fig. 3. The desired signals are centered at 800 MHz (rather than in the range 825–850 MHz due to limitations on our equipment for signal generation). Fig. 3 (bottom) shows an expanded view of the signal spectrum from 700 to 900 MHz. The quantization noise was spectrally shaped and removed out of band. A bandpass filter is required to further reduce the out-of-band power including the harmonics and the quantization noise. For CDMA signals, the integrated power over the occupied signal bandwidth (1.23 MHz) is defined as the in-band power. The in-band power ratio, i. e. the ratio of the in-band power to the total power contained in the digital signal, can be controlled and maximized by adjusting the feedback coefficient ratio, also in Fig. 2. defined as coding efficiency in [15] and given by Fig. 4 shows the in-band power ratio as a function of the feed. Also shown is the in-band power back coefficient ratio ratio for the three-level DSM considered below. A lower feedback coefficient ratio gives a higher ratio of the desired in-band power to the total power. DSM driving signals with a higher in-band power ratio lead to higher amplifier output power. In turn, since some loss mechanisms such as capacitance loss are independent of the output power, higher output power leads to higher amplifier efficiency. However, signal quality is degraded with increasing in-band power ratio. Fig. 5 displays the simulated ACPR and error vector magnitude (EVM) of the signals with increasing in-band power ratio. The maximum power ratio is determined by the EVM and ACPR specifications of the

Fig. 3. (top) Spectrum of the DSM signals, showing that the quantization noise is shaped and removed out of band. (bottom) Expanded spectrum of top figure from 700 to 900 MHz.

Fig. 4. In-band power ratio as a function of the feedback coefficient ratio B=A.

system, which determine the tradeoff between PA efficiency and signal quality. B. Three-Level Quantization Signals with higher in-band power ratio for a given signal quality factor (EVM or ACPR) have the potential to achieve higher amplifier efficiency. Changing to a three-level quantizer, as shown in Fig. 6, can increase the in-band power ratio. Fig. 7 shows the simulated ACPR and EVM of the three-level DSM signals as a function of in-band power ratio, with CDMA input signals. Compared with two-level DSM signals, more in-band power can be encoded in the three-level signals for given ACPR

HUNG et al.: DESIGN OF H-BRIDGE CLASS-D PAs FOR DIGITAL PULSE MODULATION TRANSMITTERS

2847

Fig. 8. Schematic of the voltage-mode class-D PA with shoot-through current suppression by separating the driver for pMOS and nMOS at the output stage. Fig. 5. Simulated ACPR and EVM for CDMA signals after passing through the DSM with a two-level quantizer as a function of in-band power ratio.

Fig. 9. (left) Schematic of a class-D PA. (right) Schematic of an H-bridge class-D PA. Fig. 6. Block diagram of a three-level BPDSM that uses a three-level quantizer.

Fig. 7. Simulated ACPR and EVM for CDMA signals after passing through the DSM with a three-level quantizer as a function of in-band power ratio.

and EVM. However, to amplify the three-level delta–sigma signals, the amplifiers are required to differentiate between three input states and generate corresponding outputs. III. H-BRIDGE CLASS-D AMPLIFIERS In a voltage-mode class-D amplifier, the output transistors are operated as switches. The switched voltage waveform is applied to a series resonator, which exhibits a high impedance at all frequencies except for the resonant frequency, thus removing the out-of-band signals such as harmonics and quantization noise. Since no current flows outside of the desired frequency band, no power is dissipated at these frequencies. Since the two devices are switched alternately, a voltage-mode class-D amplifier can be approximated as a voltage-controlled voltage source, which operates efficiently when feeding a series resonator. This

high-efficiency feature can be maintained even if it is driven by nonperiodic digital signals, as long as the reverse currents appearing in this condition can be provided by the active devices or parallel diodes during the ON state [9]. During the ON/OFF transition of the active devices, there is generally a short period of time when both pMOS and nMOS transistors are ON, resulting in a low resistance between power supply and ground. A large current (known as shoot-through current) may be induced, which can cause significant energy loss. To minimize this loss, the pMOS and nMOS were designed to have different driving circuits, as shown in Fig. 8. By modifying the pull-up and pull-down device size ratio of the drivers, the overlap of the turn-on time between the pMOS and the nMOS during the transition can be minimized. A voltage-mode class-D amplifier is suitable for DSM systems employing two-level DSM signals. As shown in Fig. 9 (left), the driving signal states correspond to the two states of the class-D amplifier operation. For example, level 1 corresponds to S1 ON and S2 OFF. Level 0 corresponds to S1 OFF and S2 ON. However, a single class-D amplifier is unable to differentiate the three driving states associated with three-level DSM signals. Thus, two class-D amplifiers were configured in an H-bridge fashion, as shown in Fig. 9 (right). Two pairs of switches operate to produce the three different driving conditions. For example, level 1 corresponds to the condition (S11, S22 ON and S12, S21 OFF). Level 1 corresponds to (S11, S22 OFF and S12, S21 ON). Level 0 corresponds to (S11, S21 OFF and S12, S22 ON). An H-bridge class-D amplifier with shoot-through current suppression was designed and implemented with 0.18- m CMOS devices, as part of the Jazz BiCMOS technology [19]. The transistor sizes of the nMOS and pMOS at the switching stage were 1.6 and 4 mm, respectively. The pull-up/pull-down

2848

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 10. Schematic of the H-bridge class-D PA.

Fig. 12. Measured dc currents for switch and driver stage as a function of frequency.

Fig. 11. Differential mode impedance (Z ) of a coaxial balun in series with two resonators and transition lines as function of frequency. Z is approximately 7 at 800 MHz.

device ratio of the drivers for nMOS and pMOS were 1:1 and 5:1, respectively. The drain power supply voltage was 2 V. Fig. 10 shows schematically the architecture of the overall of the H-bridge amplifier, which consists of two class-D ampliand fiers and a power combiner. The driving signals were complementary for two-level DSM signals and independent of each other for three-level DSM signals. A quarter wavelength of coaxial line combined the output signals and two quarter-wavelength transmission lines implemented the impedance transformation. The simulated differential-mode of the coaxial balun in series with the impedance resonators is shown in Fig. 11. of the output networks is high except for the desired signal frequency. Therefore, the out-of-band signals and quantization noise can be rejected. In this study, the coaxial balun and the matching networks were realized off-chip. Both balun and matching networks can be implemented in an integrated fashion by CMOS technology in future work. IV. AMPLIFIER MEASUREMENT RESULTS The drain efficiency and dc currents of the H-bridge class-D amplifier were measured with periodic driving signals. Fig. 12 shows that the maximum current occurs at the desired frequency (800 MHz) and drops significantly at out-of-band frequencies, as is expected from inclusion of the series resonators. Fig. 13 illustrates the drain efficiency as a function of frequency. Also

Fig. 13. Measured drain efficiency as a function of frequency.

Fig. 14. Measured PAE and output power as a function of frequency.

shown is the efficiency simulated for the amplifier using Agilent ADS modeling of the transistors and matching components. The power-added efficiency (PAE) and output power are shown in Fig. 14. The peak drain efficiency, PAE, and output power were 62%, 45%, and 21 dBm, respectively. Here, the drain efficiency considers the switching stage power consumption only. The PAE quoted here considers the total dc power consumed by both the driver and switching stage (since the input power to the driver is negligible in an integrated CMOS system). For characterization of the amplifier for CDMA applications, a CDMA-like QPSK signal with a 1.23-MHz symbol rate and a 5.5-dB peak-to-average ratio was up-sampled and fed to a

HUNG et al.: DESIGN OF H-BRIDGE CLASS-D PAs FOR DIGITAL PULSE MODULATION TRANSMITTERS

2849

Fig. 17. Measured ACPR and drain efficiency of the CMOS H-bridge amplifier for two-level DSM signals with different in-band power ratios. Fig. 15. Measured input and output spectrum for two-level DSM signals with in-band power ratios of 30% and 24%, respectively.

Fig. 16. Measured amplifier output spectrum with DSM signals.

BPDSM in MATLAB. The resulting modulated binary pattern with a length of 12 Mb was stored in an Agilent 81134A pulse pattern generator, which outputs two complementary binary signals with an amplitude of 2 V. These two complementary signals drove the two class-D PAs of the H-bridge amplifier. The input-signal ACPR was measured after combining the differential signals with a quarter-wave coaxial combiner. The drain efficiency and the ACPR of the PA were measured for CDMA signals with different in-band power ratios. For the DSM signals with an in-band power ratio of 24%, the amplifier obtained a drain efficiency of 26% with an ACPR of 49 dBc. For DSM signals with an in-band power ratio of 30%, a drain efficiency of 31% was achieved with an ACPR of 43 dBc. The amplifier output spectra are shown in Fig. 15; both cases meet the CDMA IS-95 ACPR specification [20] of 42 dBc. Fig. 16 shows the output spectrum over a wide frequency range from 10 MHz to 5 GHz. The out-of-band signals were mainly of 6. rejected by the output resonator which has a loaded The residual out-of-band emissions will be further rejected by the duplexer used in front of the antenna. The production of spurious signals within the receive band of a CDMA transceiver remains a problem; however, it could possibly be addressed with an adaptive duplexer filter [21].

Fig. 17 displays the measured ACPR of the input and output of the PA and the drain efficiency. Higher efficiency could be obtained by increasing the encoded in-band power ratio, although the signal quality was degraded at the same time due to the characteristics of the DSM. This signal quality degradation limits the amplifier efficiency in digital RF transmitters. It is noteworthy that, in order to provide power control as needed in CDMA transmitters, the in-band power can be varied over an appreciable range ( 20 dB) during the generation of ratio. To achieve the large the DSM signal by varying the power control range of 70 dB needed in many CDMA systems, however, and to optimize efficiency, it is expected that variation could be used (potentially tosupply voltage gether with selectable output attenuation at very low power). The H-bridge amplifier was also measured with three-level DSM signals. Because each class-D amplifier can only differentiate two driving levels, the three-level DSM signals have to and , and be decomposed into two channel signals each channel outputs two-level DSM signals, feeding to different branches of the H-bridge class-D amplifier separately. Both DSM data streams were generated in MATLAB and uploaded to the pulse pattern generator. Fig. 18 shows a comparison of the amplifier efficiency using two- and three-level DSM signals. The system with a three-level DSM shows an efficiency enhancement from 31% to 33% for CDMA signals at an output power of 15 dBm. The ACPR was measured with three-level DSM signals, as shown in Fig. 19. The large ACPR degradation at the low-power region is believed to be related to effects such as imbalance between rise and fall times, mismatch between the two amplifiers, and nonideal common-mode impedance. These effects are less important for two-level DSM signals due to differential operation. In order to gain insight into the power dissipation of the amplifier, Fig. 20 plots the dc power consumption of the H-bridge class-D PA as a function of the in-band power contained in the input two-level DSM waveforms. The figure shows that the output power linearly increases with the input in-band power. The power consumption at the switch stage gradually increases with the measured input in-band power while the power consumption at the driver stage stays almost constant. The overall

2850

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

with output power. The ratio of different loss mechanisms at different output power levels is shown by the analysis in Section V.

V. VOLTAGE-MODE CLASS-D AMPLIFIER-EFFICIENCY ANALYSIS AND ESTIMATION

Fig. 18. Measured drain efficiency as function of output power for two- and three-level DSM signals.

The efficiency of the voltage-mode class-D amplifier for DSM inputs is closely related to that for operation with conventional narrowband inputs. Because of the resonator load, the amplifier sees a high load impedance at harmonic and out-of-band frequencies with DSM inputs. Therefore, the class-D amplifier only delivers output current for the desired signals at the fundamental frequency. The amplifier is driven by signals with a duty ratio in general not equal to 50%, which sometimes requires reverse currents to flow through the switches. As long as the devices can provide the reverse current required in this condition, the amplifier efficiency is ideally independent of the output power. However, loss mechanisms such as the output capacitance loss remain almost constant for different output power levels, degrading the amplifier efficiency for lower output power. Factors degrading the class-D amplifier efficiency—apart from shoot-through current loss—are considered next. These , overlap of current and include the ON-state resistance voltage during switching transition, device output capacitance , and parasitic resistance of the resonator. First, we consider a single class-D amplifier driven by periodic signals. A. Single Class-D Amplifier Driven by Periodic Signals With Non-50% Duty Ratio

Fig. 19. Measured amplifier input and output ACPR as a function of output power for three-level DSM signals.

Fig. 20. Measured power consumption at switch and driver stage and output power as a function of output power.

power consumption increases because of switch stage loss contributions such as ON-state resistance loss which increases

Fig. 21 shows the time-domain voltage waveform of Fig. 8 , where is the output as a function of defined as frequency. The voltage waveform differs from idealized case because of the ON-state resistance and nonzero transition time. can be expressed as

(1) is the dc supply voltage, defines the ON-time duty where ratio in radians, is the ON–OFF transition time in radians (asis the ON-state resistance, and is sumed to be symmetric), . the amplitude of the output current Due to the high- series resonator, the load voltage waveform . By using is only the fundamental Fourier component of Fourier analysis, the output voltage waveform can be written as

(2)

HUNG et al.: DESIGN OF H-BRIDGE CLASS-D PAs FOR DIGITAL PULSE MODULATION TRANSMITTERS

2851

Fig. 22. Overlap voltage and current waveforms across the p-channel transistor during the transition.

To calculate the amplifier efficiency, the dc power consumption can be estimated by

(7) is the output power, is the loss due to the is the loss associresistance of the devices, is the loss due to the output caated with transitions, and pacitance of the devices. For high-efficiency amplifiers, these contributions are additive to a close approximation. and , can be obtained The first two terms in (7), by deriving the dc term of the current , which corresponds to the current flowing through the p-channel device assuming zero transition time and zero output capacitance. Total power and can be written as for where

ON-state

Fig. 21. Class-D amplifier voltage and current waveforms for efficiency estimation.

(8) is a function of the output voltage at the load, i.e.,

(3) Therefore,

can be written from (2) and (3) as

comes from the overlap of voltage and current waveform across the device during the transition. The shoot-through is defined as current loss is minimized and ignored here. the current level when the transition occurs. Fig. 22 shows the overlap voltage and current waveforms across the p-channel transistor during the transition. The loss associated with the overlap can be written as

(4) (9)

From (4), the amplifier output power can be found to be where . The device output capacitance loss is written as

, which can be

(5) From (5), the amplifier output power decreases with inand transition time. For the amplifier driven by creasing with ideal turn-on resistance 50% duty-ratio signals and transition time , the amplifier generates the maximum output power, and (5) can be simplified as

where is the output frequency. With the output power and the dc power from (4) and (6), the amplifier efficiency can be obtained as

(6)

(11)

(10)

2852

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

TABLE I CIRCUIT PARAMETERS USED IN THE ANALYTICAL RESULTS

B. H-Bridge Class-D Amplifier Driven by Periodic Signals With Non-50% Duty Ratio In (1)–(11), we considered a class-D amplifier and the loss associated with the transistor only. To expand the equations for an H-bridge amplifier with loss associated with passive components, issues such as nonideal of the inductor and the capacitor at the output and output combiner loss are considered. The output power for an H-bridge amplifier can be written as

Fig. 23. Comparison of the drain efficiency as a function of duty ratio.

(12) is the parasitic resistance due to the finite of the where is the combiner loss inductor and capacitor at the output and in dB. in (12) is defined as the differential load impedance. Considering the parasitic resistance at the output, the output current amplitude can be expressed as

(13) The total dc power consumption for the combined amplifier with the two class-D amplifier components is Fig. 24. Comparison of the output power as a function of duty ratio.

(14) where , , and are the same as (8)–(10) except that is replaced by (13). The efficiency can be obtained by dividing the output power (12) by total dc power consumption (14). To validate the analytical equations above, the results were compared with simulations and measurements when the H-bridge class-D amplifier is driven by the periodic signals with different duty ratios. The transistor model used for simulation was obtained from the foundry [19]. The circuit parameters , transition time, and output casuch as supply voltage, pacitance are shown in Table I. The transition time and were estimated by using transient the output capacitance includes both simulation. The effective output capacitance drain-to-source capacitance and drain-to-gate capacitance and coincides with the OFF-state capacitance defined in [15]. By applying the estimated circuit parameters to (12) and (14), the efficiency and output power for different duty ratios are obtained as shown in Figs. 23 and 24, respectively. Fig. 25 shows drain efficiency for different output power levels. The analytical and simulated results show good agreement with the measurements. To analyze the loss associated with the transistors, each power , , and , can be calculated loss factor, including

Fig. 25. Comparison of drain efficiency as a function of output power.

separately. First, the total power loss is defined as the differin (5) and in (7). can be calculated ence between by subtracting (8) from (5). and can be obtained from (9) and (10), separately. Fig. 26 shows the contribution of each power loss component divided by total power loss, as a obtained with different duty ratios. function of normalized The efficiency degradation is dominated by the capacitance loss

HUNG et al.: DESIGN OF H-BRIDGE CLASS-D PAs FOR DIGITAL PULSE MODULATION TRANSMITTERS

Fig. 26. Power loss ratio for each loss factor as a function of duty ratio.

Fig. 27. Measured amplifier drain efficiency as a function of output power.

, which is independent of output power. The ratio of over total power loss decreases with duty ratio due to the fact that smaller currents flow through the transistor at lower output power level. C. H-Bridge Class-D Amplifier Driven by DSM Signals Fig. 27 shows the measured amplifier efficiency as function of output power for two-level DSM signals, three-level DSM signals, and periodic signals with different duty ratios. The results show that the efficiency of the amplifier driven by the DSM signals is close to that for the amplifier driven by non-50% duty-ratio signals with the same output power. To further justify this result, possible loss mechanisms differentiating the two situations are discussed below. 1) Output Capacitance Loss: Fig. 26 indicates that the output capacitance loss dominates the efficiency degradation in the low output power region. The capacitance loss depends on the average number of transitions per cycle, assuming the voltage drop due to ON-state resistance can be ignored due to the low current flowing through the transistors. Periodic signals have two transitions per cycle. For the generated DSM signals (when the streams are longer than 1 Mb avoid statistical fluctuations), the average number of transitions per cycle is also very close to

2853

Fig. 28. Estimated drain efficiency as a function of output power with reduced = 0:7 , capacitance and transition time. (Based on f = 800 MHz, R R = 7 , V = 2 V, and no output circuit loss.)

two, which leads to the same capacitance loss as for the periodic driving condition. 2) Loss Associated With Out-of-Band Signals: For the same desired output power, the amplifier driven by DSM signals consumes additional dc power due to generation of nonrecycled out-of-band signals compared with the amplifier driven by periodic signals. The loaded of the output resonator determines the out-of-band signal rejection. A higher loaded can reduce the undesired power consumption due to the out-of-band sigfor the resonator is dependent on the nals. The choice of bandwidth desired as well as by the signal and technology constraints. For the measured H-bridge amplifier, the output resonator has a loaded of 6, which leads to only a small differbetween the efficiency of the DSM and the ence periodic case. 3) Overlap Loss: The loss due to current and voltage overlap during the transition is a function of the amplitude of the currents when the transition occurs. For periodic signals, the curis given in (9), which only depends on duty rent amplitude ratio and the amplitude of the load current ; for a given is a constant. However, for DSM signals, the output power, possible current levels at the switching instants depend on the phase difference between the switched voltage waveform and the load current . In general, the overlap loss will be different for these different cases. For amplifiers with a short transition time, however, the average overlap loss is expected to be close to that of the periodic signals. If the differences highlighted in the preceding paragraphs are neglected, the efficiency of the voltage-mode class-D amplifier for DSM inputs is similar to that for operation with conventional narrowband inputs. This provides a simple way to estimate the amplifier efficiency with DSM signal driving signals. In addition to minimizing the loss associated with the passive components, active device improvements can also improve amplifier efficiency. Transistors based on silicon-on-insulator (SOI) technology can reduce the capacitance loss. Shorter gatelength transistors with a stacked-transistor technique [22] can potentially reduce the transition time, thus lowering the overlap loss. The potential efficiency enhancement from these steps can

2854

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

be estimated by using the analytical equations (12) and (14). Fig. 28 shows the drain efficiency as a function of output power for different values of output capacitance and delay. At an output power of 15 dBm, amplifier efficiency can be improved from 42% to 63% by reducing the capacitance from 4.7 to 1.2 pF. By (62.5 ps) to further reducing the transition time for (31.25 ps), the amplifier efficiency can be improved to 70%. The results demonstrate the potential benefit of implementing the class-D DSM amplifier with advanced technology. VI. CONCLUSION An H-bridge class-D amplifier for DSM CDMA signals was demonstrated at 800 MHz. The amplifier efficiency improved for DSM signals with higher encoded in-band power ratio. A drain efficiency of 31% was achieved with an ACPR of 43 dBc for two-level DSM signals, with an in-band power ratio of 30%. An improved drain efficiency of 33% was achieved with an ACPR of 43 dBc for three-level DSM signals. The efficiency analysis shows the contribution of different loss mechanisms as a function of output power. By reducing the capacitance associated with the transistors, the amplifier efficiency can be improved significantly, especially in the low-power region. The results demonstrate the feasibility and potential of using the H-bridge class-D amplifier in digital RF transmitters. REFERENCES [1] P. Wagh, P. Midya, P. Rakers, J. Caldwell, and T. Schooler, “An alldigital universal RF transmitter,” in Proc. IEEE Custom Integr. Circuits Conf., Oct. 3–6, 2004, pp. 549–552. [2] B. F. Logan, Jr., “Click modulation,” Bell Lab. Tech. J., vol. 63, no. 3, pp. 401–424, Apr. 1984. [3] Y. Zhou and J. Yuan, “10-bit wideband CMOS direct digital RF amplitude modulator,” IEEE J. Solid-State Circuits, vol. 38, no. 7, pp. 1182–1188, Jul. 2003. [4] R. B. Staszewski, J. L. Wallberg, S. Rezeq, C.-M. Hung, O. E. Eliezer, S. K. Vemulapalli, C. Fernando, K. Maggio, R. Staszewski, N. Barton, N.-C. Lee, P. Cruise, M. Entezari, K. Muhammad, and D. Leipold, “All-digital PLL and transmitter for mobile phones,” IEEE J. SolidState Circuits, vol. 40, no. 12, pp. 1182–1188, Dec. 2005. [5] W. T.-F. Chen, M. Corsi, R. C. Jones, and M. D. Score, Texas Instruments Incorporated, Dallas, TX, , “Modulation scheme for filterless switching amplifiers,” U.S. Patent 6 211 728, Apr. 3, 2001. [6] P. M. Asbeck, L. E. Larson, and I. G. Galton, “Synergistic design of DSP and power amplifier for wireless communications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 11, pp. 2163–2169, Nov. 2001. [7] J. Rode, T.-P. Hung, and P. M. Asbeck, “Multilevel delta–sigma based switching power amplifiers systems,” presented at the IEEE Power Amplifiers for Wireless Commun. Top. Workshop, San Diego, CA, 2006, unpublished. [8] S. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 1999. [9] M. Albulet, RF Power Amplifiers. Atlanta, GA: Noble, 2001. [10] W. J. Chudobiak and D. F. Page, “Frequency and power limitations of class-D transistor amplifiers,” IEEE J. Solid-State Circuits, vol. SSC-4, no. 1, pp. 25–37, Feb, 1969. [11] S. El-Hamamsy, “Design of high-efficiency class-D power amplifier,” IEEE Trans. Power Electron., vol. 9, no. 5, pp. 297–308, May 1994. [12] J. S. Chang, M. T. Tan, Z. Cheng, and Y. C. Tong, “Analysis and design of power-efficient class-D amplifier output stages,” IEEE Trans. Circuits Syst. I, Appl. Fundam. Theory, vol. 47, no. 6, pp. 897–902, Jun. 2000. [13] E. Gaalaas, B. Y. Liu, N. Nishimura, R. Adams, and K. Sweetland, “Integrated stereo class-D amplifier,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2388–2397, Dec. 2005. [14] M. Berkhout, “An integrated 200-W class-D audio amplifier,” IEEE J. Solid-State Circuits, vol. 38, no. 7, pp. 1198–1206, Jul. 2003.

16

[15] T. Johnson and S. P. Stapleton, “RF class-D amplification with bandpass sigma-delta modulator drive signals,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 12, pp. 2507–2520, Dec. 2006. [16] M. Iwamoto, A. Jayaraman, G. Hanington, P. F. Chen, A. Bellora, W. Thornton, L. E. Larson, and P. M. Asbeck, “Bandpass delta–sigma class-S amplifier,” Electron. Lett., vol. 36, no. 12, pp. 1010–1012, Jun. 2000. [17] J. Sommarek, A. Virtanen, J. Vankka, and K. Halonen, “Comparison of different class-D power amplifier topologies for 1-bit bandpass delta–sigma D/A,” in Proc. Norchip Conf., Nov. 2004, pp. 8–9. [18] P. Wagh, P. Midya, P. Rakers, J. Caldwell, and T. Schooler, “An alldigital universal RF transmitter,” Proc. IEEE Custom Integrat. Circuits Conf., pp. 549–552, Oct. 2004. [19] “Jazz 0.18 m SiGe BiCMOS SBC18PT electrical specification,” Jass Semiconduct., Newport Beach, CA, 2005. [Online]. Available: http:// www.jazzsemi.com [20] Mobile Station-Base Station Compatibility Standard for Dual-Mode WideBand Spread-Spectrum Cellular Systems, TIA/EIA Standard IS-95, 1993. [21] T. O’Sullivan, R. A. York, B. G. Galton, and P. M. Asbeck, “Adaptive duplexer implemented using single-path and multipath feedforward techniques with BST phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 106–114, Jan. 2005. [22] J. Jeong, S. Pornpromlikit, P. M. Asbeck, and D. Kelly, “A 20-dBm linear RF power amplifier using stacked silicon-on-sapphire MOSFETs,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 12, pp. 684–686, Dec. 2006. [23] T.-P. Hung, J. Rode, L. E. Larson, and P. M. Asbeck, “H-bridge class-D power amplifiers for digital pulse modulation transmitters,” in IEEE Int. MTT-S Microw. Symp. Dig., Jun. 2007, pp. 1091–1094. Tsai-Pi Hung (S’04) received the B.S. degree in electrical engineering from National Central University, Jhongli, Taiwan, R.O.C., in 1999, the M.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 2001, and is currently working toward the Ph.D. degree at the University of California at San Diego, La Jolla. His research interests include millimeter-wave microstrip antennas and power-amplifier integrated circuits for wireless communications.

Jeremy Rode revived the B.S. and M.S. degrees from the University of California at San Diego (UCSD), La Jolla, and is currently working toward the Ph.D. degree at UCSD. His research interests include all-digital radios, high-efficiency amplifiers, and data converters.

Lawrence E. Larson (S’82–M’86–SM’90–F’00) received the B.S. and M.Eng. degrees in electrical engineering from Cornell University, Ithaca, NY, in 1979 and 1980, respectively, and the Ph.D. degree in electrical engineering and M.B.A. degree from the University of California at Los Angeles (UCLA), in 1986 and 1996, respectively. From 1980 to 1996, he was with Hughes Research Laboratories, Malibu, CA, where he directed the development of high-frequency microelectronics in GaAs, InP, and Si–SiGe and microelectromechanical systems (MEMS) technologies. In 1996, he joined the faculty of the University of California at San Diego (UCSD), La Jolla, where he is the Inaugural Holder of the Communications Industry Chair. He is currently Director of the UCSD Center for Wireless Communications. During the 2000–2001 academic years, he was on leave with IBM Research, San Diego, CA, where he directed the development of RF integrated circuits (RFICs) for third-generation (3G) applications. During the 2004–2005 academic year, he was a Visiting Professor

HUNG et al.: DESIGN OF H-BRIDGE CLASS-D PAs FOR DIGITAL PULSE MODULATION TRANSMITTERS

with the Technical University of Delft, Delft, The Netherlands. He has authored or coauthored over 250 papers. He holds 31 U.S. patents. Dr. Larson was the recipient of the 1995 Hughes Electronics Sector Patent Award for his research on RF MEMS technology. He was corecipient of the 1996 Lawrence A. Hyland Patent Award of Hughes Electronics for his research on low-noise millimeter-wave HEMTs, the 1999 IBM Microelectronics Excellence Award for his research in Si–SiGe heterojunction bipolar transistor technology, and the 2003 IEEE Custom Integrated Circuits Conference Best Invited Paper Award.

2855

Peter M. Asbeck (M’75–SM’97–F’00) received the B.S. and Ph.D. degrees from the Massachusetts Institute of Technology, Cambridge, in 1969 and 1975, respectively. His professional experience includes affiliations with the Sarnoff Research Center, Princeton, NJ, and Philips Laboratory, Briarcliff Manor, NY. In 1978, he joined the Rockwell International Science Center, Thousand Oaks, CA, where he was involved in the development of high-speed devices and circuits using III–V compounds and heterojunctions. He pioneered the effort to develop heterojunction bipolar transistors (HBTs) based on GaAlAs–GaAs and InAlAs–InGaAs materials. In 1991, he joined the University of California at San Diego, La Jolla, as a Professor with the Department of Electrical and Computer Engineering. His research has led to over 300 publications. Dr. Asbeck was the recipient of the 2003 Sarnoff Award for his research on HBTs.

2856

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

A 2-D Phase-Detecting/Heterodyne-Scanning Retrodirective Array Monte K. Watanabe, Student Member, IEEE, Ryan N. Pang, Student Member, IEEE, Brandon O. Takase, Student Member, IEEE, Justin M. Akagi, Student Member, IEEE, Grant S. Shiroma, Student Member, IEEE, and Wayne A. Shiroma, Member, IEEE

Abstract—A 2-D retrodirective array based on phase detection and heterodyne scanning is presented. The system integrates two single voltage-controlled oscillator phase-shifting networks that are autonomously controlled via a phase-detecting array and control circuit that eliminates the 4 path loss typical of other retrodirective arrays. Retrodirectivity is reported for angles of 0 , 15 , and 15 at a transmitting frequency of 6.5 GHz.

+

Index Terms—Phased arrays, retrodirective arrays.

I. INTRODUCTION

P

HASED ARRAYS and smart antennas are used in a variety of military and commercial applications including radar, mobile communications, and satellite broadcasting. These systems require user control and/or digital processing for beam steering and beam forming, making them overhead-intensive systems. In certain niche applications, such as RF identification (RFID) and automatic pointing systems, such overhead is unnecessary, making it more attractive to use simpler less expensive solutions. One class of phased arrays that falls into this category is the retrodirective array [1]. Like a conventional phased array, the directive beam of a retrodirective antenna points toward its intended receiver. Unlike the conventional phased array, the retrodirective antenna requires no prior knowledge of the target direction. Retrodirective antennas are able to sense the direction of an incoming interrogating signal and send a reply in that same direction. Beam steering is performed completely autonomously at the hardware level, eliminating the need for complex digital processing or software algorithms. This feature makes it attractive for creating secure, efficient, and directive systems for satellite communications, wireless local area networks, terrestrial peer-to-peer communications, or covert battlefield communications without adding additional processing or system design strain. This paper presents the first 2-D retrodirective array that is not roundtrip path loss plaguing most arrays susceptible to the demonstrated to date. It uses a simple phase-detection scheme for determining the interrogator position, a heterodyne-scanned

Manuscript received May 2, 2007; revised September 19, 2007. This work was supported in part by Oceanit. The authors are with the Department of Electrical Engineering, University of Hawaii at Manoa, Honolulu, HI 96822 USA (e-mail: montew@hawaii. edu; [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.909883

Fig. 1. Block diagram of the 2-D retrodirective system showing the three major modules: E -plane and H -plane phase detectors, steering control circuit, and 2-D heterodyne-scanned array.

array for controlling the retrodirected beam direction, and a control circuit that links these two modules. The basic architecture is shown in Fig. 1. II. BACKGROUND A. Frequency-Scanned and Heterodyne-Scanned Arrays One of the drawbacks in conventional phased-array antenna systems, especially large ones, is the cost and complexity associated with individual phase shifters at each radiating element. An alternative method of beam steering that requires no phase-shifter components other than fixed delay lines between radiating elements is the frequency-scanned array [2]. In this method, beam steering is achieved by tuning the frequency of a voltage-controlled oscillator (VCO), which causes a phase progression across the array. However, this method results in a beam whose transmit frequency changes depending upon steering direction. The frequency-scanned array is well suited for radar applications, but most communication systems require a constant transmit frequency. For such systems, heterodyne mixers can be inserted at each element, as shown in Fig. 2 [2], [3]. The RF transmit signal appearing at each antenna element is a result of mixing an IF signal and a local oscillator (LO) signal, both of which are fed to each mixer via feed networks. A set of delay lines is incorporated into the LO feed network so that

0018-9480/$25.00 © 2007 IEEE

WATANABE et al.: 2-D PHASE-DETECTING/HETERODYNE-SCANNING RETRODIRECTIVE ARRAY

Fig. 2. Heterodyne-scanned array. The phase-shifting network consists of one VCO that controls the beam direction and a second VCO to maintain a constant RF transmit frequency. Beam direction is controlled by the LO source frequency ,f , and f ). (f

the LO source controls the beam direction, as in a standard frequency-scanned array. As the LO source frequency varies, the IF frequency must also be varied such that the difference between these frequencies, i.e., the RF transmit frequency, remains the same. The drawback of this approach is that it requires the adjustment of two VCOs to steer the beam and maintain a constant RF transmit frequency. Nevertheless, several arrays have been based on this architecture [4]–[7]. Extending this method to two dimensions results in the general architecture shown in Fig. 3 [8], which combines two heterodyne-scan phase-shifting networks that provide progressive phase delays across each row and column. The phase progression across the rows control steering in the -plane, while the phase progression across the columns control steering in the -plane. A mixer at each antenna element sums the phases from each of the phase-shifting networks, producing a signal that contains the phase steering components for both the and -planes. If the phase-shifting networks are based on the heterodyne-scanned method of Fig. 2, it is easy to see that this now requires the simultaneous adjustment of four VCOs to steer the beam and maintain a constant RF transmit frequency. Obviously, this design is impractical to implement. This paper incorporates an improved design for the phaseshifting network that reduces the number of VCOs by a factor of two, making it much more practical to implement. The concept was initially demonstrated in one dimension in [9], but this paper reports the first known experimental verification that the heterodyne-scanned technique is feasible for two dimensions, making this array a candidate for various commercial and military applications in which cost and simplicity are paramount.

2857

Fig. 3. 2-D heterodyne-scanned steering array. One phase-shifting network sets the column phases associated with steering in the H -plane, and a second phase-shifting network sets the row phases associated with steering the array in the E -plane. A phase-addition circuit combines the H - and E -plane phases for 2-D steering.

B. Self-Phasing Arrays Reducing the number of user-controlled VCOs is an important step, but this paper goes further in eliminating user control altogether. This is possible by transforming the system from a user-controlled phased array to an autonomous self-phasing array, also known as a retrodirective array. Most retrodirective arrays demonstrated to date [1], [10] are based on the heterodyne method [11] in which an incoming RF signal at each element is mixed with an LO signal at twice the frequency. The major shortcoming of this architecture is that the retrodirected signal strength at the output of the array is entirely dependent on the distance from the interrogator, making these roundtrip path loss. Two methods arrays susceptible to an have been demonstrated for reducing the roundtrip dependence, improving the path loss to just : an array incorporating phaselocked loops (PLLs) [12], and an array incorporating phase detection and phase shifting [13]. Unfortunately, the disadvantage of both approaches is the size, cost, and complexity associated with the PLL or phase shifters at each element of the array. In [13], a further complication for large arrays is the requirement for each phase shifter to have its own control voltage. The retrodirective array in [14] adopted the phase-detection technique of [13], resulting in a simpler and more efficient design, but it was limited to 1-D operation. In fact, the vast majority of retrodirective arrays demonstrated to date are also limited to just 1-D steering. 2-D steering is critical for applications such as inter-satellite communications [15], where relative positions of the satellites are affected by satellite altitude/attitude and orbit inclination. Only a limited number of

2858

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2-D retrodirective arrays have been demonstrated to date (e.g., roundtrip [16] and [17]), but they are all susceptible to the path loss.

III. RETRODIRECTIVE ARRAY DESIGN AND IMPLEMENTATION This paper not only incorporates a simplified phase-shifting network that reduces the number of VCOs in the conventional heterodyne-scanned architecture, as described in Section II-A, but also eliminates user control of those VCOs by converting it to a retrodirective array that is capable of steering in two dimensions. Moreover, this architecture RF decouples the receiver and transmitter as in [12] and [13] to minimize the path loss to an dependency. This is the first known array to achieve all of these functions. The system consists of the three major modules illustrated in Fig. 1. The incoming interrogating signal is received by the phase-detecting array that consists of independent - and -plane phase detectors. When this system is interrogated, the -plane phase detector outputs an error voltage proportional to the -plane detected phase difference at its input. Simultaneously, the -plane phase detector outputs an error voltage proportional to the -plane phase detected at its input. Each error voltage is then passed as an input to the control-circuit module that contains two independent steering-control circuits: an LO phase-shifting network and an IF phase-shifting network. The control circuit varies the VCO tuning voltage based on the input phase detector error voltage, which creates a phase gradient in each phase-shifting network. A phase-addition circuit is then used to add the - and -plane phase gradients from the LO and IF networks to steer the 2-D heterodyne-scanned array.

2

Fig. 4. Layout of the 4 4 element 2-D frequency-scanned array. The array is comprised of LO and IF phase-shifting networks, phase-addition circuit, power dividers, and the antenna array.

Fig. 5. Schematic of the IF phase-shifting network.

A. 2-D Heterodyne-Scanned Array Fig. 4 shows the layout of the 4 4 element 2-D heterodyne-scanned array transmitting at 6.5 GHz. It is composed of 4.0-GHz LO and 2.5-GHz IF phase-shifting networks, a phaseaddition circuit, and the antenna array. Beam steering in the -plane is controlled by the LO tuning voltage, while the IF tuning voltage controls the steering in the -plane. The IF phase-shifting network is shown in Fig. 5. The number of VCOs required in the standard 2-D heterodyne-scanned architecture (Fig. 2) is reduced by a factor of two by replacing the source VCO with a mixer and a fixed-frequency source [9]. The 2.5-GHz IF signal, which could be modulated to carry data, is applied to the IF port of the Hittite HMC220 mixer, which is mixed with the 5.8–6.8-GHz LO signal provided by the Hittite HMC358 VCO. The resultant 8.3–9.3-GHz RF signal passes through a one-to-four way Wilkinson power divider and to the RF port of the four Hittite HMC220 mixers at the output of the IF phase-shifting network through subminiature A (SMA) cables underneath the board. The VCO also supplies the LO signal for these mixers through the power amplifier (Hittite HMC407) and delay-line network. As the VCO’s tuning voltage changes the LO frequency, a progressive phase shift is induced on the LO signal. The IF phase-shifting network is fabricated on Rogers

Fig. 6. Prototype IF phase-shifting network.

RT/duroid 6010.2LM (thickness: 0.635 mm, shown in Fig. 6.

) and is

WATANABE et al.: 2-D PHASE-DETECTING/HETERODYNE-SCANNING RETRODIRECTIVE ARRAY

Fig. 7. Schematic of the LO phase-shifting network. Filters suppress unwanted mixing harmonics prior to amplification.

The design of the LO phase-shifting network shown in Fig. 7 is similar to the IF phase-shifting network, except that filters are inserted after each mixer to suppress the unwanted harmonics and VCO leakage from entering the amplifier stages. An M/A-COM M53C mixer at the input upconverts the 4.0-GHz LO signal to 9.8–10.8 GHz, which then travels through the -band one-to-four way Wilkinson power divider via an waveguide high-pass filter. The Wilkinson power divider is fabricated on Rogers RT/duroid 5880 (thickness: 0.508 mm, ). The components used for the output mixers, VCO, and VCO amplifier are the same as the IF phase-shifting network and are fabricated on Rogers RT/duroid 6010.2LM ). Connected to the four output (thickness: 0.635 mm, mixers are coupled-line bandpass filters, fabricated on Rogers ). A two-stage RT/duroid 5880 (thickness: 0.787 mm, power amplifier (Hittite HMC327) boosts the power level of the output LO signal from the LO phase-shifting network so that it is sufficient to power the 16 mixers that comprise the phase-addition circuit. The IF and LO phase-shifting networks both employ a network of series-connected branchline couplers and phase-delay lines [9]. The power coupling ratio of the coupled ports is designed so that the power is divided equally amongst the four mixers. The delay lines are designed to provide 720 of phase GHz. shift at the VCO’s center frequency, When the VCO is tuned through its 5.8–6.8-GHz range, the electrical length of the delay line is changed, thereby altering the amount of phase shift and providing a frequency-dependent, progressive phase delay across the output of the networks. The of the IF phase-shifting netphase delays at output ports of the LO phase-shifting network are work and

(1) (2)

2859

Fig. 8. Measured VCO output frequency V , where f f .

=

f

versus VCO tuning voltage

Fig. 9. Schematic of a single board in the phase-addition circuit’s mixer array.

Fig. 10. Four-element phase-addition circuit. The 16-element phase-addition circuit is made up of four of these four-element boards.

where

is the IF-network VCO output frequency, is the LO-network VCO output frequency, corresponds to the IF phase-shifting network output port number, and corresponds to the LO phase-shifting network output port number. Fig. 8 shows the measured VCO output frequency versus VCO tuning voltage. These values of VCO output frequency can be used with (1) and (2) to calculate the IF and LO phase delays, respectively. The 16-element phase-addition circuit consists of four boards, each containing four mixers (Figs. 9 and 10). The schematic shows Hittite HMC488 mixers that add the frequency and phases of the 2.5-GHz IF signal to the 4.0-GHz LO

2860

Fig. 11. 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 13. E -plane phase detector error voltage V of the phase detector at 1.2 GHz.

versus phase difference '

Fig. 14. H -plane phase detector error voltage V of the phase detector at 1.2 GHz.

versus phase difference '

2 4 element antenna array.

Fig. 12. Four-element phase-detecting array. The pair aligned with the x-axis detects the phase in the E -plane, while the phase in the H -plane is detected using the pair aligned with the y -axis.

signal producing a 6.5-GHz RF signal. A series one-to-four way power divider feeds the LO signal to each of the four mixers from the LO phase-shifting network. Since the LO signal is a constant 4.0 GHz, no phase shift is induced by the series network. The IF signal from the IF phase-shifting network is applied to the mixers through one-to-four way Wilkinson power dividers. Both the phase-addition circuit and Wilkinson power dividers are fabricated on Rogers RT/duroid 6010.2LM ). (thickness: 0.635 mm,

The heterodyne-scanning circuit insertion loss can be found by summing the various mixer conversion gains and power divider insertion losses in the IF-signal path. The 16-element heterodyne-scanning circuit has an insertion loss of 25.8 dB. For practical applications, amplifiers can be added at the output of the phase addition circuit to increase the circuit gain. 4 element probe-fed The antenna array (Fig. 11) is a 4 patch antenna array fabricated on Rogers RO3203 (thickness: ). The antenna elements are uniformly 0.762 mm, spaced one-half wavelength apart (2.31 cm at 6.5 GHz). B. Phase-Detecting Array Determining the interrogator’s direction of arrival requires knowledge of the received phase difference on the incident array in two orthogonal directions. The 1.2-GHz interrogator signal is received by two pairs of two-element probe-fed patch antenna arrays fabricated on Rogers RO3010 (thickness: ). Shown in Fig. 12, the pair aligned with 0.635 mm,

WATANABE et al.: 2-D PHASE-DETECTING/HETERODYNE-SCANNING RETRODIRECTIVE ARRAY

Fig. 15. Schematic of the phase-detecting array and control circuit used for both E - and H -plane phase detection and LO and IF VCO tuning.

2861

Fig. 17. IF phase-shifting network VCO tuning voltage V versus H -plane phase detector error voltage V from the fabricated IF control circuit.

Fig. 18. Measurement setup for the bistatic radiation patterns. The interrogator horn is fixed at 0 , 15 , and 15 , while a second receive horn is swept from  60 . 60

0

Fig. 16. LO phase-shifting network VCO tuning voltage V versus E -plane phase detector error voltage V from the fabricated LO control circuit.

the -axis is used to detect the direction of the interrogator in the -plane, while the pair aligned with the -axis is used to detect the direction of the interrogator in the -plane. This allows each phase detector to resolve the received phase difference in each plane. Referring to Fig. 1, the received phase , and two difference between the two -plane elements, -plane elements, , is (3) (4) where each pair of antennas is spaced (12.5 cm at 1.2 GHz), and the interrogating signal arrives from direction ( , ), as shown in Fig. 1.

 +

+

0

to the detected To relate the phase detector error voltage and , each phase detector was evaluated phase difference over the 60 to 60 phase difference range. Figs. 13 and 14 show the measured relationship between the input phase difference and output error voltage for the - and -plane phase detectors, respectively. The antenna array is connected to a Hittite HMC439 phase detector through Mini-Circuits ZKL-1R5 amplifiers shown in Fig. 15. The amplifiers boost the interrogating signal to the level required by the phase detector. A linear curve fit was applied to the -plane phase detector error voltage versus phase difference graph, which yielded sufficient correlation. A fourth-order polynomial curve fit was carried out on the -plane phase detector error voltage versus phase difference graph to provide better correlation than lower order fits. The general form of the equation relating phase deto detected phase difference is tector error voltage

(5) [V/V] is the gain of the phase detector’s differential where V/deg is the coefficient of the th-order term amplifier,

2862

Fig. 19. H -plane (' 0 . (b) Interrogator at

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

= +90 , -plane) radiation patterns. (a) Interrogator at +15 . (c) Interrogator at 015 .

of the polynomial fit, and zero-phase difference point.

[V] is the error voltage at the

C. Control Circuit from the The control circuit translates the error voltage phase detector so that it can accurately point the array’s beam back in the direction of the interrogator by controlling the tuning of the VCOs in the IF and LO phase-shifting netvoltage works. The phase difference created between the output ports of the LO and IF feed networks can be translated into a corresponding phase detector error voltage by inserting the values and into (5). Separate graphs for LO ( -plane) and of IF ( -plane) control circuits are created by plotting the VCO tuning voltage versus phase detector error voltage yielded from and into (5). The LO-steering the previous insertion of control circuit requires a third-order polynomial fit to match the theoretical plot and is shown in Fig. 16. The IF-steering control

=0

Fig. 20. E -plane (' ,  -plane) radiation patterns. (a) Interrogator at 0 . (b) Interrogator at 15 . (c) Interrogator at 15 .

+

0

circuit requires a fifth-order polynomial curve fit, shown in Fig. 17, to match the theoretical plot because the modeled fit of the -plane error voltage versus phase difference graph used a more complicated fourth-order polynomial than the linear -plane error voltage versus phase difference curve fit. The general form of the polynomial transfer function curve fit relating VCO tuning voltage to phase detector error voltage is (6) V/V is the coefficient of the th-order term in the where [V] is the broadside tuning polynomial curve fit and voltage. The error voltage from the phase detector is directly fed through a fifth-order polynomial circuit consisting of multipliers, amplifiers, and summers. Analog Devices AD633 are used for the multiplication, while standard LM741 operational amplifier circuits are used for the amplification and summing operations. The entire control circuit is realized on a prototyping breadboard.

WATANABE et al.: 2-D PHASE-DETECTING/HETERODYNE-SCANNING RETRODIRECTIVE ARRAY

IV. EXPERIMENTAL RESULTS The retrodirective property of the array is confirmed through bistatic radar cross section measurements in which the position of a 1.2-GHz interrogating horn is fixed, while a second receiving horn is mounted on a computer-controlled rotating 60 arm, measuring the 6.5-GHz signal from 60 (Fig. 18). Measurements were conducted for interrogating angles of 0 , 15 , and 15 . To confirm self-steering in two dimensions, bistatic radiation patterns are taken in two orthogonal planes (i.e., - and -plane). Fig. 19 shows the theoretical and measured bistatic radiation patterns in the -plane for interrogation angles of 0 , 15 , and 15 . Theoretical bistatic measurements were calculated using the method from [16]. The -plane bistatic radiation patterns are shown in Fig. 20. In each case, the array successfully points its beam in the direction of the interrogator. The shallowness of some of the nulls in the measured radiation patterns is due to an amplitude imbalance across the array caused by fabrication limitations of the mixer feed networks. Nevertheless, the system does demonstrate 2-D self steering indicative of proper phasing of the elements across the transmit array.

V. CONCLUSION A 2-D retrodirective array based on phase detection and hetpath loss charerodyne scanning has been presented. The acteristic has been eliminated by integrating two single-VCO phase-shifting networks with a phase-detecting array and associated autonomous control circuitry. Retrodirectivity has been reported for angles of 0 , 15 , and 15 at a transmitting frequency of 6.5 GHz.

ACKNOWLEDGMENT The authors would like to thank J. D. Roque, Pearl Harbor Naval Shipyard, Honolulu, HI, for valuable discussions.

REFERENCES [1] R. Y. Miyamoto and T. Itoh, “Retrodirective arrays for wireless communications,” IEEE Micro, pp. 71–79, Mar. 2002. [2] M. Skolnik, Introduction to Radar Systems, 2nd ed. New York: McGraw-Hill, 1980. [3] E. Pels and W. Liang, “A method of array steering by means of phased control through heterodyning,” IRE Trans. Antennas Propag., vol. AP-10, no. 1, p. 100, Jan. 1962. [4] M. Kim, J. B. Hacker, A. L. Sailer, and J. H. Hong, “A heterodyne-scan phased array antenna,” IEEE Microw. Guided Wave Lett., vol. 9, pp. 535–537, Dec. 1999. [5] T. Nishio, Y. Wang, and T. Itoh, “A novel -band frequency-controlled beam-steering quasi-Yagi array with mixing frequency compensation,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 1345–1348. [6] T. Nishio, H. Xin, Y. Wang, and T. Itoh, “A frequency-controlled active phased array,” IEEE Microw. Wireless Compon. Lett., vol. 14, pp. 115–117, Mar. 2004. [7] T. Nishio, Y. Wang, and T. Itoh, “A frequency-controlled beam-steering array with mixing frequency compensation for multichannel applications,” IEEE Trans. Antennas Propag., vol. 52, no. 4, pp. 1039–1048, Apr. 2004.

K

2863

[8] H. T. Friis and C. B. Feldman, “Multiple unit steerable antenna,” Proc. IRE, vol. 25, no. 7, pp. 841–917, Jul. 1937. [9] J. D. Roque, G. S. Shiroma, and W. A. Shiroma, “A full duplex, singlefrequency-controlled phased array,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 2006, pp. 453–456. [10] W. A. Shiroma, R. Y. Miyamoto, G. S. Shiroma, A. T. Ohta, M. A. Tamamoto, and B. T. Murakami, “Retrodirective systems,” in Wiley Encyclopedia of RF and Microwave Engineering, K. Chang, Ed. New York: Wiley, Feb. 2005. [11] C. Y. Pon, “Retrodirective array using the heterodyne technique,” IEEE Trans. Antennas Propag., vol. AP-12, no. 12, pp. 176–180, Dec. 1964. [12] N. B. Buchanan and V. F. Fusco, “Triple mode PLL antenna array,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 1691–1694. [13] G. S. Shiroma, R. Y. Miyamoto, and W. A. Shiroma, “A full-duplex dual-frequency self-steering array using phase detection and phase shifting,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 128–134, Jan. 2006. [14] M. K. Watanabe, G. S. Shiroma, B. O. Takase, J. M. Akagi, and W. A. Shiroma, “A retrodirective array based on phase detection and frequency scanning,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, pp. 1715–1718. [15] B. T. Murakami, M. A. Tamamoto, A. T. Ohta, G. S. Shiroma, R. Y. Miyamoto, and W. A. Shiroma, “Self-steering antenna arrays for distributed picosatellite networks,” in Proc. 17th Annu. AIAA/Utah State Univ. Small Satellites Conf., Logan, UT, Aug. 2003, [CD ROM]. [16] G. S. Shiroma, R. Y. Miyamoto, and W. A. Shiroma, “A 16-element two-dimensional active self-steering array using self-oscillating mixers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2476–2482, Dec. 2003. [17] B. T. Murakami, J. D. Roque, S. S. Sung, G. S. Shiroma, R. Y. Miyamoto, and W. A. Shiroma, “A quadruple subharmonic phase-conjugating array for secure picosatellite crosslinks,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 1687–1690.

Monte K. Watanabe (S’06) received the B.S. degree in electrical engineering from the University of Hawaii at Manoa, in 2007, and is currently working toward the M.S. degree in electrical engineering at the University of Hawaii at Manoa. His research interests include microwave circuits, phased arrays, and antennas. Mr. Watanabe was a member of the 2007 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Steering Committee.

Ryan N. Pang (S’03) received the B.S. degree in electrical engineering from the University of Hawaii at Manoa, in 2005, and is currently working toward the M.S.E.E. degree at the University of Hawaii at Manoa. His research includes microwave circuits, phased arrays, and retrodirective arrays. Mr. Pang was the finance vice chair on the Steering Committee for the 2007 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

Brandon O. Takase (S’04) received the B.S. degree in electrical engineering from the University of Hawaii at Manoa, in 2005, and is currently working toward the M.S. degree in electrical engineering at the University of Hawaii at Manoa. His research includes microwave circuits and phased arrays. Mr. Takase was a member of the 2007 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Steering Committee.

2864

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Justin M. Akagi (S’03) received the B.S. degree in electrical engineering from the University of Hawaii at Manoa, in 2005, and is currently working toward the M.S.E.E. degree at the University of Hawaii at Manoa. His research includes microwave circuits, phased arrays, and small satellites. Mr. Akagi was a member of the 2007 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Steering Committee.

Grant S. Shiroma (S’00) received the B.S. and M.S. degrees in electrical engineering from the University of Hawaii at Manoa, in 2002 and 2004, respectively, and is currently working toward the Ph.D. degree in electrical engineering at the University of Hawaii at Manoa. His research interests include microwave circuits and phased arrays. Mr. Shiroma was a member of the 2007 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium

(IMS) Steering Committee. He was the recipient of the 2004 IEEE MTT-S Graduate Fellowship Award.

Wayne A. Shiroma (S’85–M’87) received the B.S. degree from the University of Hawaii at Manoa, in 1986, the M.Eng. degree from Cornell University, Ithaca, NY, in 1987, and the Ph.D. degree from the University of Colorado at Boulder, in 1996, all in electrical engineering. In 1996, he joined the University of Hawaii at Manoa, where he is currently an Associate Professor of electrical engineering and Co-Director of the Hawaii Space Flight Laboratory. He also served as a Member of the Technical Staff with Hughes Space and Communications, El Segundo, CA. His research interests include microwave circuits, antennas, and small satellites. Dr. Shiroma is a member of the IEEE MTT-S Administrative Committee. He was general chair for the 2007 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2865

Equivalent-Circuit Models for the Design of Metamaterials Based on Artificial Magnetic Inclusions Filiberto Bilotti, Senior Member, IEEE, Alessandro Toscano, Member, IEEE, Lucio Vegni, Member, IEEE, Koray Aydin, Student Member, IEEE, Kamil Boratay Alici, and Ekmel Ozbay

Abstract—In this paper, we derive quasi-static equivalent-circuit models for the analysis and design of different types of artificial magnetic resonators—i.e., the multiple split-ring resonator, spiral resonator, and labyrinth resonator—which represent popular inclusions to synthesize artificial materials and metamaterials with anomalous values of the permeability in the microwave and millimeter-wave frequency ranges. The proposed models, derived in equivalent circuits, represent an extension of the terms of models presented in a recent publication. In particular, the extended models take into account the presence of a dielectric substrate hosting the metallic inclusions and the losses due to the finite conductivity of the conductors and the finite resistivity of the dielectrics. Exploiting these circuit models, it is possible to accurately predict not only the resonant frequency of the individual inclusions, but also their quality factor and the relative permeability of metamaterial samples made by given arrangements of such inclusions. Finally, the three models have been tested against full-wave simulations and measurements, showing a good accuracy. This result opens the door to a quick and accurate design of the artificial magnetic inclusions to fabricate real-life metamaterial samples with anomalous values of the permeability. Index Terms—Artificial magnetic inclusions, labyrinth resonators, metamaterials, miniaturization, multiple split-ring resonators, split-ring resonators.

I. INTRODUCTION RTIFICIAL materials exhibiting anomalous values of the permeability, (e.g., materials with values of the relative permeability greater than one [1], with negative values of the permeability: the so-called mu-negative materials [2], with a zero value of the permeability, with an absolute value of the relative permeability less than one: the so-called mu-near-zero materials [3]) are of interest in many applications at different frequency ranges. Such materials are usually obtained at microwaves by printing suitable metallic resonating inclusions on supporting dielectric boards and stacking the boards to form a medium.

A

Manuscript received May 2, 2007; revised July 27, 2007. This work was supported by the European Union under the Network of Excellence METAMORPHOSE. F. Bilotti, A. Toscano, and L. Vegni are with the Department of Applied Electronics, University of “Roma Tre,” Rome 00146, Italy (e-mail: bilotti@ uniroma3.it). K. Aydin, K. B. Alici, and E. Ozbay are with the Department of Physics and the Department of Electrical and Electronics Engineering, Nanotechnology Research Center, Bilkent University, Bilkent 06800, Turkey. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909611

The inclusions react to the impinging magnetic field, and provided that the dimensions of and the separation between the inclusions are small compared to the wavelength, the magnetic response of the artificial material can be expressed in terms of a macroscopic permeability function [4]–[6]. In most cases, the main reason to employ artificial magnetic metamaterials in the design of transmissive and radiating microwave components is related to the possibility of squeezing the component dimensions [6]–[10] by using electrically small samples of artificial magnetic materials (planar slabs, cylindrical shells, rods, etc.). Since in some cases [6]–[9] there is no theoretical limitation for the achievable miniaturization (i.e., the dimensions of the materials can be, in principle, even infinitesimal), the only intrinsic limit is represented by the dimensions of the inclusions used to fabricate the needed metamaterial samples. However, the most common type of resonator used to achieve anomalous values of the permeability at microwaves, the split-ring resonator [11], exhibits a physical , which may represent, thereby, dimension of the order of a significant limitation. In order to overcome this drawback and reduce the dimensions of the resonant inclusions, multiple split-ring and spiral resonators may be used. In [12], we have presented suitable circuit models for a quick design of both of these artificial magnetic inclusions. Such models are limited to the ideal cases of: 1) absence of losses and 2) resonators immersed in air. Moreover, the models presented in [12] are able to accurately predict only the resonant frequency of the individual inclusions without giving any information about their quality factor, which may give a good indication of the bandwidth of operation of the metamaterial constituted by those inclusions. Finally, the circuit models proposed in [12] do not give the designer any information on how to get a specific value of the real part of the permeability needed to fabricate a given component and on the losses related to the imaginary part of the permeability in realistic layouts. The aim of this paper is to present a significant extension of the work done in [12], proposing complete circuit models that also take into account the presence of a dielectric substrate where the inclusions are printed on and the losses both in the dielectrics and metallic conductors. Moreover, in this paper, we compare the analytical results obtained from the presented models and both the full-wave simulations and measurements. On the other hand, when moving towards higher microwave frequencies, miniaturization of the inclusions might not be an

0018-9480/$25.00 © 2007 IEEE

2866

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

issue any more. Sometimes, indeed, miniaturization has to be avoided, due to the technological limitations to print sufficiently small inclusions. In this case, new concepts have to be employed in the design of the inclusions and a possible solution consists in the employment of the so-called labyrinth resonators [13], i.e., inclusions characterized by multiple splits along the ring strips. The labyrinth resonator has been experimentally investigated in [13], while in [14], for the first time, we have derived an accurate analytical model of this type of inclusion based again on a suitresonant circuit. Also in this case, the model is limited able to a labyrinth resonator immersed in air and made by an ideal conductor. In this paper, we extend the formulation presented in [14] and propose a complete model, which takes into account the presence of the dielectric substrate and the losses in the conductors and dielectrics. Also in this case, the analytical model is tested through a proper comparisons with full-wave numerical results and the measurements. This paper is organized as follows. In Section II, we present the extended analytical models of the multiple split-ring, spiral, equivaand labyrinth resonators in terms of suitable lent circuits, validated through the comparison with proper full-wave numerical simulations and experimental measurements. In Section III, we analytically derive the quality factor of the isolated inclusions proposed in Section II. A discussion of the quality factor is also conducted with the perspective of the possible applications in real-life artificial magnetic metamaterials. Finally, an analytical representation of the macroscopic permeability function of a given arrangement of resonators is derived and compared with the permeability numerically retrieved through the scattering parameters [15], [16]. II. MULTIPLE SPLIT-RING, SPIRAL, AND LABYRINTH RESONATORS: ANALYTICAL MODEL, DESIGN, AND EXPERIMENTAL VERIFICATION A. Multiple Split-Ring Resonators In [12], it has been shown that the electromagnetic behavior in the quasi-static regime (i.e., when the inclusions are much smaller than the operating wavelength, which is, indeed, the case when artificial magnetic inclusions are used to fabricate metamaterial samples) of the lossless multiple split-ring resseries onator immersed in air is described by the equivalent circuit depicted in [12, Fig. 1(b)]. The presence of a dielectric substrate, upon which the multiple split-ring resonator is printed [see Fig. 1(a)], does not affect the inductance. Therefore, its expression is the same as the one reported in [12]

Fig. 1. (a) Sketch and geometrical dimensions of a multiple split-ring resonator. (b) Quasi-static equivalent circuit model of the multiple split-ring resonator depicted in (a).

The expression of the capacitance is also given by the formula derived in [12] (2) but this time, the per-unit-length capacitance between two parallel strips having width and separation in the presence of a dielectric substrate of height and relative permittivity differs from the one given in [12] and reads

(1)

(3)

is the vacuum permeability, is the side length of the where external ring, is the width of the strips, is the separation between two adjacent strips, is the average strip length calculated over all the rings, and is the so-called filling ratio [12].

where is the vacuum permittivity, is the complete elliptic , and the effective relaintegral of the first kind, related to the dielectric filling the substrate tive permittivity is given by (4)

BILOTTI et al.: EQUIVALENT-CIRCUIT MODELS FOR DESIGN OF METAMATERIALS BASED ON ARTIFICIAL MAGNETIC INCLUSIONS

This formula has been heuristically determined through a proper fit of different sets of numerical data under the assumption that the substrate thickness is not very large compared to the inclusion details (i.e., .1 In particular, four constraints have been imposed to derive (4), , the effective which are: 1) when ; 2) a permittivity is expected to be a linear function of further increase of the substrate thickness should correspond to a smoother growing of the effective permittivity; 3) should go to 1 when the thickness of the dielectric substrate should go to 1 when the substrate is filled vanishes; and 4) by air. Formula (4) satisfies all four of these constraints in the range of validity. Although mutual interactions between nonadjacent segments and rings are neglected, (1) and (2) describe in an accurate manner the behavior of the multiple split-ring resonator in the quasi-static limit when perfect conductors and dielectrics are considered [12]. In the case of lossy conductors and dielectrics, the correct equivalent circuit of the multiple split-ring resonator is now the one reported in Fig. 1(b). We added a series resistance to take into account the losses in the conductor and a shunt resisto describe the losses in the dielectric substrate. tance The equivalent resistance is in series with the inducand, thus, should be calculated along the same tance domain (i.e., the equivalent loop described in [12]). Inductance in (1) can be cast in the form , where is a per-unit-length inductance and is the average length of the loop with being a correction function depending on the filling ratio. Therefore, the total series resistance can be cast in the same form as , where is the per-unit-length resistance, with being the electrical resistivity of the metal and being the thickness of the metallic strips. Thereby, the final expression of the total series resistance reads (5) The shunt resistance is in parallel with the total caand can be determined as follows. Let us conpacitance sider at first the most external pair of rings. Following the discussion about the current and voltage distribution on the rings referred in [12], the conductances associated to the two symmetrical halves of the ring pair are connected in series to each other. Therefore, the total conductance of the most external pair of , where is the rings is given by total length of the gap between the two rings and is the per-unit-length conductance, with being the conductivity of the dielectric substrate. The shunt resistance associated to the . most outer pair of rings is thus given by When adding the other inner rings, the shunt resistances associated to each pair of adjacent rings are all connected in parallel. However, since these resistances are not equal to each other, due to the different lengths of the rings, it is not straightforward to derive a formula for the total equivalent shunt resis1When talking about metamaterials, in fact, we should keep in mind that the separation between the inclusions is assumed to be much smaller than the wavelength, as it happens also for the inclusion dimensions.

2867

Fig. 2. Resonant frequency of a multiple split-ring resonator as a function of the number of rings N . In the inset, we show the experimental setup used to measure the transmission features and, thus, the resonant frequency of the isolated inclusion. Data: ` = 8 mm, w = 0:1 mm, s = 0:1 mm, t = 30 m, h = 0:2 mm, " = 3:85; tan  = 0:01;  = 0:017 1 10

m.

tance . We just know that this resistance should have the , with being a decreasing funcform tion of . Under the assumption that is not too close to the maximum number of the rings allowable for a given inclusion,2 has been determined through a a suitable expression for . Thereproper fitting of numerical data as fore, the final expression for is given by (6) Using (1)–(6) and the circuit representation depicted in Fig. 1(b), it is now possible to analytically calculate the resonant frequency of the individual inclusion. The comparison with the values obtained through the full-wave numerical results performed through CST Microwave Studio and the measurements is reported in Fig. 2. The inset of Fig 2 shows how the measurements have been performed. As already done in [13] for a different type of resonator, the isolated multiple split-ring resonator has been placed between two electrically small monopole antennas connected to the HP-8510C network analyzer to measure the transmission coefficient. The antennas and resonator are arranged in such a way that the magnetic field produced in the near zone by the antennas excites the multiple split-ring resonator (see the inset of Fig. 2). In order to calibrate the network analyzer, we measured at first the transmission spectra in free space (i.e., without the multiple split-ring resonator unit cell). We then have inserted the multiple split-ring resonator unit cell between the monopole antennas, and we performed the transmission measurements by maintaining the distance between the transmitter and receiver monopole antennas fixed. 2The reader may find in [12] a quantitative definition of the maximum number of rings that a given inclusion can host. It should be noticed that the assumption adopted here is in line with the practical employment of the inclusions in metamaterial samples. As already pointed out in [12] and later in this paper, the number N of the rings to be used depends on the filling ratio and it is far from the maximum.

2868

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

The numerical simulation of the behavior of the inclusion has been performed in two ways, which are: 1) we have considered a plane wave impinging on the isolated inclusion depicted in Fig. 1(a) with the impinging magnetic field aligned along the axis of the magnetic inclusion (in this case, in order to detect the magnetic resonant frequency of the inclusion, a magnetic field probe revealing the normal component of the -field with respect to the multiple split-ring resonator has been placed in the center of the inclusion) and 2) we have simulated the experimental setup previously described with the two antennas and the multiple split-ring resonator in the middle). The simulations performed through these two methods return very close values of the resonant frequency (the discrepancy is always within a couple of percentage points). The good agreement between measured, simulated, and analytical data is well evident from Fig. 2. In addition, in Fig. 2, the expected saturation of the resonant frequency (see [12]) is also evident. From the practical point of view, we learn that a few rings are enough to obtain a good reduction of the resonant frequency, giving a typical miniaturization rate of the order of in the linear dimensions of the inclusion. B. Spiral Resonators Further miniaturization may be obtained using the spiral resonator [17], [18] depicted in Fig. 3(a). Such an inclusion, which is the planar version of the “Swiss roll” (i.e., a metallic sheet wound as a coil to form a cylindrical resonator) proposed in [11] is currently used in several experimental layouts (including low-profile antennas [19] and ultrathin microwave absorbers [20]) that foresee the employment of magneto-dielectrics and mu-negative metamaterials. In parallel to what has been done for the multiple split-ring resonator, we have already derived in [12] an accurate equivalent model for the lossless isolated spiral resonator immersed in air. The presence of a dielectric substrate affects only the distributed capacitance between the turns of the spiral and, thus, the final expressions of the total inductance and capacitance read as follows: (7)

Fig. 3. (a) Sketch and geometrical dimensions of a spiral resonator. (b) Quasistatic equivalent circuit model of the spiral resonator depicted in Fig. 3(a).

Now assuming the presence of the losses in the metallic conductor and in the dielectric, the equivalent-circuit model of the spiral resonator is the one depicted in Fig. 3(b). The series resistance taking into account the losses in the conductor has been determined analogously to the multiple split-ring resonator case and it is given by

(8) (9) where this time is the number of the turns of the spiral, is the side length of the external turn, is the width of the strips, is the separation between two adjacent turns, is defined as for the multiple split-ring resonator, and is the average length of the spiral turn. It is worth noticing that, as in the case of the multiple splitring resonator, we have also considered in this case the squared version of the spiral resonator. Anyway, the same formulation also applies to the circular counterparts, simply by changing the length of the straight segments with the length of the circular ones.

In the same way, the shunt resistance, which takes into account the dissipation in the lossy dielectric, can be written as (10) Also in this case, from the equivalent circuit depicted in Fig. 3 and (7)–(10), it is possible to analytically derive the resonant frequency of the spiral resonator. A comparison between the results obtained using the proposed analytical model, the full-

BILOTTI et al.: EQUIVALENT-CIRCUIT MODELS FOR DESIGN OF METAMATERIALS BASED ON ARTIFICIAL MAGNETIC INCLUSIONS

2869

Fig. 4. Resonant frequency of a spiral resonator as a function of the number of the turns N . Data: ` = 8 mm, w = 0:1 mm, s = 0:1 mm, t = 30 m, h = 0:2 mm, " = 3:85; tan  = 0:01;  = 0:017 1 10

m.

wave simulations carried out through CST, and the measurements is reported in Fig. 4. A good agreement between the different sets of data is observed. Please also note in this case the saturation behavior obtained when increasing the number of the turns. Again, there is no need to fill in the entire free area in the center of the resonator. The , which achievable miniaturization here is of the order of is a useful result for a lot of interesting applications. C. Labyrinth Resonators When metamaterials with anomalous values of the permeability are to be designed for the higher microwave frequencies, miniaturization is not always desired. To this end, a suitable inclusion, called the labyrinth resonator, has been proposed in [13]. The details on how to derive from the circuit model the total inductance and capacitance when the inclusion is in free space and losses are neglected have already been reported in [14]. The inductance is not affected by the presence of the dielectric substrate [see Fig. 5(a)] and should be the same as in [14]. However, we found out that, in parallel to what happens for the multiple split-ring and spiral resonators [see (1) and (7)], it is better to replace the total external length of the inclusion with the average length of the ring as (11) The total capacitance of the labyrinth resonator is given by two contributions, which are: 1) the distributed capacitance as for the previous inclusions and 2) the capacitances associated to the cuts. In the case of the labyrinth resonator, in fact, it is not advised to neglect the cut capacitances anymore. Since the effect of the distributed capacitance is very much reduced in the labyrinth resonator, the length of the gap starts playing a significant role. The gap capacitance, in fact, may be of the same order of magnitude of the total distributed capacitance. Considering the series and parallel connections of the capacitances in Fig. 5(b), the first contribution is given by the sum of

Fig. 5. (a) Sketch and geometrical dimensions of a labyrinth resonator. (b) Quasi-static equivalent-circuit model of the labyrinth resonator depicted in (a).

the distributed capacitances between any pair of adjacent rings as (12) where this time is the number of the concentric rings, is the side length of the external ring, is the width of the strips, is the separation between two adjacent rings, is the length of is defined as for the multiple split-ring and the the cuts, and spiral resonator. The second contribution, instead, is given by the sum of the gaps as capacitances of the

(13) where the usual formula of the capacitance between two printed strips with separation and width has been amended here by using (4).

2870

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 6. Resonant frequency of a labyrinth resonator as a function of the gap length g . Data: ` = 5:65 mm, w = 0:9 mm, s = 0:2 mm, t = 30 m, h = 1:6 mm, " = 3:85; tan  = 0:01;  = 0:017 1 10

m.

Finally, since it can be shown that the gap capacitance is connected in parallel to the distributed capacitance [11], the total capacitance is given by (14) The series resistance of the labyrinth resonator is obtained in the same way as for the previous inclusions as (15) The shunt resistance is instead given by the two following contributions: (16) (17) where the average length of the ring reads

Fig. 7. Quality factor of individual multiple split-ring and spiral resonators as a function of the number of the rings/turns N . The quality factor is normalized to the one of the split-ring resonator with the same space occupancy (i.e., the multiple split-ring resonator with N = 2). Simulated results are from [12]. Data: ` = 5 mm, w = 0:1 mm, s = 0:1 mm, t = 30 m, h = 0:2 mm,

m. " = 1:01; tan  = 0:001;  = 0:017 1 10

III. QUALITY FACTOR AND PERMEABILITY FUNCTION A. Quality Factor of the Isolated Inclusion One of the main issues related to real-life metamaterials is their inherent narrow bandwidth of operation. The bandwidth of the fabricated metamaterial is strongly related to the resonance behavior of the inclusions used to implement the material. Since the resonant behavior of the inclusions can be easily derived from the accurate resonant circuits we have presented in Section II, it is also possible to analytically express the expected operative bandwidth of a metamaterial made up by a certain set of inclusions. A good estimation of the bandwidth may be derived as the inverse of the quality factor related to the resonance of the individual inclusion. Considering generic equivalent circuit representations as the ones proposed in Section II, the quality factor in the presence of the losses both in the dielectric substrate and metallic conductor can be written as [21]

(18) (20) , which is the resistance associated to the dielectric losses between the strips, has been calculated as for the multiple split, which represents the ring and the spiral resonator, while dielectric losses in the cuts, is derived straightforwardly from conthe definition of resistance and considering that all the tributions are equal and all connected in parallel. The final expression of the equivalent shunt resistance thus reads (19)

where is the quality factor related to the losses in the metallic conductor and is given by with

is the quality factor related to the losses in the dielectric substrate and is given by with

Again, using the equivalent circuit depicted in Fig. 5(b) and (11)–(14), it is possible to analytically derive the resonant frequency of the individual labyrinth resonator. In Fig. 6, we show the comparison between the results obtained through the model proposed here, CST full-wave simulations, and measurements.

(21)

(22)

with being the angular resonant frequency of the circuit. In Fig. 7, we show the behavior of the quality factor of individual multiple split-ring and spiral resonators as a function

BILOTTI et al.: EQUIVALENT-CIRCUIT MODELS FOR DESIGN OF METAMATERIALS BASED ON ARTIFICIAL MAGNETIC INCLUSIONS

2871

of the number of rings/turns . The quality factor is normalized to the one of the split-ring resonator with the same space occupancy. The comparison between the analytical evaluation of the quality factor using the proposed circuit models and the numerical results presented in [12] clearly shows the accuracy of the present formulation. It is worth noticing that, as expected, in the cases of multiple split-ring and spiral resonators, the electrically smaller the inclusions (i.e., the higher the miniaturization rate is), the higher the and the narrower the operation bandwidth of the metamaterial. This is, of course, an unavoidable optimization issue, whose solution is left to the designer and depends on the specific application for which the metamaterial has to be tailored. B. Equivalent-Circuit Model and Permeability Function Here we present a compact and accurate formulation for the effective permeability of a medium densely filled with multiple split-ring, spiral, and labyrinth resonators. As a first-order approximation, the permeability function is derived using the Clausius–Mosotti [10] equation as

Fig. 8. Relative permeability of a multiple split-ring resonator medium as a function of frequency. Comparison between the analytical model proposed in this paper and the direct extraction from S -parameters. Data: N = 12; ` = 8 mm, w = 0:1 mm, s = 0:1 mm, t = 30 m, h = 0:2 mm, " = 3:85; tan  = 0:01;  = 0:017 1 10

m, n = 1=8:8 mm 2 8.8 mm 2 4.2 mm.

(23)

where is the number of inclusions per unit volume and mm is the magnetic polarizability of the individual magnetic inclusion defined as [22] (24) The effective impedance associated to the individual magnetic inclusion can be determined through the proposed circuits shown in Figs. 1(b), 3(b), and 5(b) for the multiple split-ring, spiral, and labyrinth resonator, respectively,

Fig. 9. Relative permeability of a spiral resonator medium as a function of frequency. Comparison between the analytical model proposed in this paper and the direct extraction from S -parameters. Data: N = 12; ` = 8 mm, w = 0:1 mm, s = 0:1 mm, t = 30 m, h = 0:2 mm, " = 3:85; tan  = 0:01;  = 0:017 1 10 m, n = 1=8:8 mm 2 8.8 mm 2 4.2 mm.

IV. CONCLUSION

with

(25)

The analytical expression of the permeability derived through (23) is compared here with the permeability function derived through a direct numerical retrieval from the calculated scattering parameters [15], [16]. The corresponding results for the case of a multiple split-ring and a spiral resonator are reported in Figs. 8 and 9, respectively. The results obtained through the employment of analytical formula (23), which is based on the models derived in Section II, are in a rather good agreement with the numerical data retrieved from the calculated scattering parameters.

In this paper, we have presented accurate analytical circuit models for the design of different magnetic inclusions, such as the multiple split-ring, spiral, and labyrinth resonators, to implement real-life metamaterials with anomalous values of the permeability. Starting from existing models considering only lossless metallic conductors and inclusions immersed in air, we have derived a complete formulation, which is able to take into account the presence of a dielectric substrate, where the inclusions are printed on and the presence of the losses in both the dielectric substrate and in the metallic conductor. The extended models presented here are able to predict with a very good accuracy the resonant frequency of individual multiple split-ring, spiral, and labyrinth resonators. Moreover, the complete circuit model allows to derive an analytical expression of the quality factor of the individual inclusions, thus giving an estimation of the bandwidth of operation

2872

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

of the corresponding metamaterial sample. The circuit models presented here also allow to analytically determine an expression of the permeability function, which has been shown to be in good agreement with the commonly used numerical retrieval techniques. The results obtained through the employment of the proposed models have been compared to both full-wave numerical results and to the measurements, showing good agreement. REFERENCES [1] H. Mosallaei and K. Sarabandi, “Magneto-dielectrics in electromagnetics: Concept and applications,” IEEE Trans. Antennas Propag., vol. 52, no. 6, pp. 1558–1567, Jun. 2004. [2] A. Alù and N. Engheta, “Pairing an epsilon-negative slab with a mu-negative slab: Anomalous tunneling and transparency,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2558–2570, Oct. 2003. [3] A. Alù, F. Bilotti, N. Engheta, and L. Vegni, “Compact leaky-wave components using metamaterials,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 12–17, 2005, [CD ROM]. [4] A. Ishimaru, L. Seung-Woo, Y. Kuga, and V. Jandhyala, “Generalized constitutive relations for metamaterials based on the quasi-static Lorentz theory,” IEEE Trans. Antennas Propag. (Special Issue), vol. 51, no. 10, pp. 2550–2557, Oct. 2003. [5] L. Landau and E. M. Lifschitz, Electrodynamics of Continuous Media. Oxford, U.K.: Pergamon, 1984. [6] F. Bilotti, “Application of metamaterials for miniaturized components,” presented at the Metamater. Ind., Jouy-en-Josas, France, Nov. 28–30, 2005, Short Course for Ind. and SMEs. [7] A. Alù, F. Bilotti, N. Engheta, and L. Vegni, “Sub-wavelength, compact, resonant patch antennas loaded with metamaterials,” IEEE Trans. Antennas Propag., vol. 55, no. 1, pp. 13–25, Jan. 2007. [8] R. W. Ziolkowski and A. D. Kipple, “Application of double negative materials to increase the power radiated by electrically small antennas,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2626–2640, Oct. 2003. [9] N. Engheta, “An idea for thin subwavelength cavity resonators using metamaterials with negative permittivity and permeability,” IEEE Antennas Wireless Propag. Lett., vol. 1, no. 1, pp. 10–13, 2002. [10] F. Martín, F. Falcone, J. Bonache, T. Lopetegi, R. Marqués, and M. Sorolla, “Miniaturized coplanar waveguide stopband filters based on multiple tuned split ring resonators,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 511–513, Dec. 2003. [11] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2075–2081, Nov. 1999. [12] F. Bilotti, A. Toscano, and L. Vegni, “Design of spiral and multiple split-ring resonators for the realization of miniaturized metamaterial samples,” IEEE Trans. Antennas Propag., to be published. [13] K. Aydin, I. Bulu, K. Guven, M. Kafesaki, C. M. Soukoulis, and E. Ozbay, “Investigation of magnetic resonances for different split-ring resonator parameters and designs,” New J. Phys., vol. 7, no. 168, pp. 1–15, 2005. [14] F. Bilotti, A. Toscano, L. Vegni, K. Aydin, K. M. Alici, and E. Ozbay, “Theoretical and experimental analysis of magnetic inclusions for the realization of metamaterials at different frequencies,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 3–8, 2007, pp. 1835–1838. [15] D. R. Smith, D. C. Vier, T. Koschny, and C. M. Soukoulis, “Electromagnetic parameter retrieval from inhomogeneous metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 71, pp. 1–11, 2005, 036617. [16] X. Chen, T. Gregorczyk, B.-I. Wu, J. Pacheo, Jr., and J. A. Kong, “Robust method to retrieve the constitutive effective parameters of metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 70, pp. 1–7, 2004, 016608. [17] K. Buell, H. Mosallaei, and K. Sarabandi, “A substrate for small patch antennas providing tunable miniaturization factors,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 135–146, Jan. 2006. [18] J. D. Baena, R. Marques, F. Medina, and J. Martel, “Artificial magnetic metamaterial design by using spiral resonators,” Phys. Rev. B, Condens. Matter, vol. 69, pp. 1–5, 2004, 014402. [19] F. Bilotti, A. Alù, N. Engheta, and L. Vegni, “Miniaturized circular patch antenna with metamaterial loading,” in Proc. 1st Eur. Antennas Propag. Conf., Nice, France, Nov. 6–10, 2006, [CD ROM].

[20] F. Bilotti, A. Alù, N. Engheta, and L. Vegni, “Compact microwave absorbers utilizing single negative metamaterial layers,” in Proc. IEEE AP-S Int. Symp./USNC/URSI Nat. Radio Sci. Meeting, Albuquerque, NM, 2006, [CD ROM]. [21] S. Ramo, J. R. Whinnery, and T. Van Duzer, Fields and Waves in Communication Electronics. New York: Wiley, 1994. [22] P. Ikonen, “Artificial electromagnetic composite structures in selected microwave applications,” Ph.D. dissertation, Radio Lab., Helsinki Univ., , Helsinki, Finland, 2007.

Filiberto Bilotti (S’97–M’03–SM’06) was born in Rome, Italy, on April 25, 1974. He received the Laurea (summa cum laude) and Ph.D. degrees in electronic engineering from the University of “Roma Tre,” Rome, Italy, in 1998 and 2002, respectively. Since 2002, he has been with the Department of Applied Electronics, University of “Roma Tre,” where he is currently an Assistant Professor of electromagnetic field theory. He has authored or coauthored approximately 200 papers in international journals and conference proceedings. Since 2003, he has been a Technical Reviewer of the European Community for scientific projects in the fields of metamaterials and antennas. He is a member of the Editorial Board of Metamaterials and a Technical Reviewer for major international journals related to electromagnetic field theory. His main research interests are microwave applications of complex media, metamaterials and metasurfaces, analysis and synthesis of planar and conformal integrated components and phased antenna arrays, and development of improved numerical algorithms for an efficient analysis of printed antennas and circuits. Dr. Bilotti has been a national expert of the European actions COST260 and COST284 on antenna technology and design (1999–2006). Since 2004, he has been a member of the governing bodies of METAMORPHOSE, the European Network of Excellence on Metamaterials, where he acts as the coordinator of spreading activities, with a particular emphasis on training events. He is also a member of the Steering Committee, European Doctoral School on Metamaterials. He served as a member of the Technical Program, Steering Committee, and Organizing Committee of several national and international conferences and training events related to metamaterials, and as organizer and chairman of special sessions focused on the applications of metamaterials at microwave frequencies. He was the recipient of the 2007 Raj Mittra Travel Grant Senior Researcher Award.

Alessandro Toscano (M’90) was born in Capua, Italy, on June 26, 1964. He received the Electronic Engineering degree and Ph.D. degree from the University of Rome “La Sapienza,” Rome, Italy, in 1988 and 1993, respectively. Since 1993, he has been with the Department of Applied Electronics, University “Roma Tre,” Rome, Italy, where he is currently an Associate Professor. His research interests are microwave and millimeterwave circuits and antennas, electromagnetic compatibility, and general techniques in electromagnetics of complex material media such as metamaterials.

Lucio Vegni (M’73) was born in Castiglion Fiorentino, Italy, on June 20, 1943. He received the Electronic Engineering degree from the University of Rome, Rome, Italy. Following a period as an Antenna Designer with Standard Elektrik Lorenz, Stuttgart, Germany, he joined the Istituto di Elettronica, University of Rome, where he was a Researcher in applied electronics. From 1976 to 1980, he was Researcher Professor of applied electronics with the University of L’Aquila. From 1980 to 1985, he was a Researcher Professor of applied electronics, and from 1985 to 1992, he was an Associate Professor of electromagnetic compatibility with the University of Rome “La Sapienza.” Since 1992, he has been with the University of “Roma Tre,” Rome, Italy, where he is currently a Full Professor of electromagnetic field theory. He has authored or coauthored over 500 international papers appearing in journals,

BILOTTI et al.: EQUIVALENT-CIRCUIT MODELS FOR DESIGN OF METAMATERIALS BASED ON ARTIFICIAL MAGNETIC INCLUSIONS

transactions, and conferences. His research interests are in the areas of microwave and millimeter-wave circuits and antennas with a particular emphasis on electromagnetic compatibility problems. He was specifically active in studies on partial coherence radio links with particular attention on multipath electromagnetic propagation effects up until 1977. He then moved to the area of integrated microwave circuits, where he studied the electromagnetic modeling of microstrip planar circuits and antennas. In cooperation with industry, he was engaged in the development of integrated microstrip antennas for satellite applications and in study of radiating system electromagnetic compatibility problems from 1985 to 1990. Since 1990, he has been actively involved with theoretical and numerical aspects of new planar antennas modeling involving unconventional materials. In these recent studies, he has offered new contributions to equivalent-circuit representations of planar microwave components and new variational formulations for their numerical simulations. Finally, in the area of unconventional materials, he has given noteworthy contributions to the study of chiral and omega grounded dielectric slab antennas. His recent research activities are in the field of metamaterials. Prof. Vegni has been the organizer and chairman of the second and third edition of the International Workshop on Metamaterials and Special Materials for Electromagnetic Applications and TLC, Rome, Italy (2004 and 2006). He is the local chairman of Metamaterials 2007—The First International Congress on Advanced Electromagnetic Materials in Microwaves and Optics, Rome, Italy (2007). He is a member of the European Chiral Group, the Network of Excellence METAMORPHOSE, and the Italian Electrical and Electronic Society (AEI).

Koray Aydin (S’03) was born in Ankara, Turkey, on April 10, 1980. He received the B.S. and M.S. degrees in physics from Bilkent University, Ankara, Turkey, in 2002 and 2004, respectively, and is currently working toward the Ph.D.. degree in physics at Bilkent University. His M.S. thesis focused on the characterization of left-handed metamaterials and negative refractive media. He has authored or coauthored 28 refereed journal papers and 26 conference proceedings and abstracts. His research activities are conducted at the Nanotechnology Research Center, Bilkent University, Bilkent, Turkey. His research interests are left-handed metamaterials, sub-wavelength imaging and resolution, resonances in split-ring resonator structures, tunable metamaterials and negative refractive index in photonic crystals. He is listed in Who’s Who in Science and Engineering. Mr. Aydin is a member of the Optical Society of America (OSA), the IEEE Antennas and Propagation Society (IEEE AP-S), and The International Society for Optical Engineers (SPIE). He was the recipient of a 2007 SPIE Educational Scholarship.

2873

Kamil Boratay Alici was born in Sivas, Turkey, on January 12, 1981. He received THE B.S. degree in physics from Bilkent University, Ankara, Turkey in 2004, and is currently working toward the Ph.D. degree at Bilkent University. He has authored or coauthored eight papers in scientific journals. His scientific interests are electromagnetic metamaterials: antenna and superlens applications, acoustic metamaterials, and negative refraction in photonic crystals. Mr. Alici was the recipient of the Undergraduate Scholarship (2001–2004), and Graduate Scholarship (2004–2009) of The Scientific and Technological Research Council of Turkey (TUBITAK).

Ekmel Ozbay was born in Ankara, Turkey, on March 25, 1966. He received the B.S. degree in electrical engineering from the Middle East Technical University, Ankara, Turkey, in 1983, and the M.S. and Ph.D. degrees in electrical engineering from Stanford University, Stanford, CA, in 1989 and 1992, respectively. His doctoral dissertation concerned high-speed resonant tunneling and opto-electronic devices. From 1992 to 1994, he was a Scientist with the Department of the Environment (DOE), Ames National Laboratory, Iowa State University, where he was involved in the area of photonic-bandgap materials. In 1995, he joined Bilkent University, Ankara, Turkey, where he is currently a Full Professor with the Department of Electrical and Electronics Engineering and the Department of Physics. He is the Founding Director of the Nanotechnology Research Center, Bilkent University. He has authored or coauthored over 320 papers in scientific journals, conference proceedings, and books. His research involves nanophotonics, metamaterials, MOCVD growth and fabrication of GaN-based electronic and photonic devices, photonic crystals, and high-speed opto-electronics. He has been a Topical Editor of Optics Letters since 2002. Dr. Ozbay was the recipient of the 1997 Adolph Lomb Medal of the Optical Society of America and the 2005 European Union Descartes Science Award.

2874

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Broadband and Compact Coupled Coplanar Stripline Filters With Impedance Steps Ning Yang, Member, IEEE, Christophe Caloz, Senior Member, IEEE, Ke Wu, Fellow, IEEE, and Zhi Ning Chen, Senior Member, IEEE

Abstract—Novel broadband and compact stepped-impedance coupled coplanar stripline bandpass filters are presented, analyzed theoretically, and demonstrated experimentally. These filters are based on impedance step, capacitive gap, broadside coupling, and inductive shorted strip discontinuities, which are modeled in terms of impedance ( -) and admittance ( -) inverters. Broadside coupled coplanar stripline is analyzed for the first time by the even-/odd-mode decomposition technique using the finite-element method. The broadband and compact nature of the filters is explained from the discontinuities and the coupled line structures. Specifically, cross coupling is used to enhance the selectivity of both the low and high cutoffs, leading to triplet and quadruplet cross-coupled broadband filters with finite-frequency transmission zeroes. Due to their broad bandwidth, compact size, differential configuration, and low fabrication cost, the proposed filters represent excellent solutions for codesigned RF and microwave systems such as ultra-wideband transceivers. Index Terms—Broadband filter, coplanar stripline (CPS), coupled line, even mode, impedance step, inverter, odd mode.

I. INTRODUCTION

B

ROADBAND filters have recently drawn significant research interest due the current context of ever-increasing data-rate requirements and time-domain electromagnetic applications. Parallel coupled transmission-line half-wavelength filters have found wide applications in microwave systems. Their parallel strips provide large coupling for a small spacing between resonators, and the filters using them can achieve up to 50% bandwidth [1]. Such filters can be implemented in various alternative configurations. Interdigital filters using folded coupled lines were presented in [2]. A broadband filter consisting of two parallel-conductor shorted-circuit spurline resonators was proposed in [3]. A bandpass filter with increased bandwidth obtained from resonator coupling enhancement with three-line coupling sections was reported in [4]. Another approach enhancing coupling based on apertures etched in the microstrip ground plane was described in [5]. In [6], a doublelayer coupled stripline resonator structure was applied to realize Manuscript received May 2, 2007; revised July 9, 2007. This work was supported in part by the Natural Sciences and Engineering Research Council (NSERC) of Canada. N. Yang, C. Caloz, and K. Wu are with the Département de Génie Électrique, Poly-Grames Research Center, École Polytechnique de Montreal, Montreal, QC, Canada H3C 3A7 (e-mail: [email protected]; [email protected]; [email protected]). Z. N. Chen is with the Institute for Infocomm Research, Singapore 117674 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909609

miniature broadband bandpass filters. A multimode steppedimpedance microstrip line resonator, combined with tight edge coupling in the input/output stages, was presented in [7] to design a broadband filter. Moreover, impedance steps have also been used in broadband filters and impedance matching systems. For instance, half-wavelength resonator waveguide filters based on impedance steps with very wideband performance were extensively described in [1]. In addition to the broad bandwidth, another trend in modern microwave wireless systems is hardware miniaturization. Such miniaturization requires a codesign approach, where different elements in a complex circuit are designed specifically to meet the requirements of their immediate environment, leading to optimal overall performance of the circuit. In particular, many RF components such as amplifiers, mixers, and antennas are designed with balanced inputs/outputs. In this context, balanced transmission lines and filters are highly desirable in order to minimize transition or balun loss and maximize bandwidth performance. Due to its balanced and uniplanar natures, the coplanar stripline (CPS) [8] represents an ideal platform for differential circuits [9]–[12]. In [14], a matched wideband antenna integrated with broadside and four edge-coupled coupled-line differential mode filters is demonstrated. CPS is useful for designing balanced circuits, such as mixers, differential amplifiers, and feeds for printed antennas and modulators, and is widely used as interconnects in high-speed digital circuits and integrated electrooptic components. Thus, it exhibits several favorable characteristics for codesigned system, including the possibility of suppressing baluns and transitions, thereby reducing cost and enhancing performances. Like the CPW, the CPS is a uniplanar structure and, hence, offers flexibility in the design of planar microwave and millimeter-wave circuits, in which, the components and devices can be surface-mounted in series and/or shunt without resorting to via holes. Furthermore, a CPS can achieve higher characteristic impedances than a CPW and a microstrip can by a simple increase of the distance between the two strips. Finally, it is convenient to implement broadside-coupled transmission lines, which is very useful in designing a wide-bandwidth filter, as will be shown in this study. CPS may support surface-wave and leaky-wave modes if the substrate is electrically thick, however, these parasitic effects, as in other open planar structures, can be avoided by setting the cutoff frequency of these modes above the operation frequency range [8]. However, most CPS filters reported to date have essentially been narrowband [11]–[13]. Filling this gap is the purpose of this study. In our previous paper [19], we presented three

0018-9480/$25.00 © 2007 IEEE

YANG et al.: BROADBAND AND COMPACT COUPLED CPS FILTERS WITH IMPEDANCE STEPS

novel designs of broadband and compact CPS filters, based on high-low impedance steps, edge-coupled CPS, and resonator cross coupling. In this paper, the impedance-step, gap-coupling, and shorted-strip CPS discontinuities are analyzed theoretically, and their equivalent - and -inverter parameters are extracted versus frequency and as the function of the layout parameters. A novel broadside coupled-line CPS is investigated for the first time with even-/odd-mode analysis. Symmetrically coupled CPS combined with the CPS discontinuities described above, is utilized to design broadband and high-selectivity bandpass filters. A detailed synthesis of these filters along with appropriate tuning procedures is presented. Compared with the edge-coupled CPS filter in [19], these filters, in addition to their intrinsic balanced nature and broadband operation, provide sharp wide stopband and compact circuit size. The organization of this paper is as follows. Section II recalls the fundamental characteristics of CPS and describes the impedance-step, gap-coupling, and inductive shorted-strip discontinuities in terms of their equivalent impedance and admittance inverters. In Section III, a novel broadside coupled CPS is proposed and analyzed with the even-/odd-mode approach based on the quasi-static finite-element method (FEM). In Section IV, two wideband CPS filters are designed with double high–low impedance transitions, gap coupling, and broadside coupled CPS sections. A complete theoretical analysis followed by synthesis procedures is given. In Section V, the gap coupling between the CPS resonators is applied to form triplet and quadruplet cross-coupled broadband filters with finite-frequency transmission zeroes, which is used to enhance the selectivity in the lower and upper cutoffs. The theory and synthesis procedures of these filters are validated by the simulations and measurements. II. CHARACTERISTIC OF CPS Since the CPS filters have inherent discontinuities in the design, their analysis will first be presented here, and their use will become apparent in subsequent sections. After a brief recall on CPS, the three types of discontinuities used will be discussed: impedance step, capacitive gap coupling, and inductive shorted strip. A. Transmission-Line Characteristics CPS offers several advantages over microstrip fabrication. In planar fabrication technology, process limitations impose certain restrictions on the conductor’s minimum width and separation. This usually confines the range of the transmission line’s characteristic impedance. For microstrip fabrication, the highest attainable impedance is approximately 110 , limited by the narrowest conductor width [8]. However, CPS can achieve higher characteristic impedances by varying not only the conductor width but also the separation between the strips, where the highest impedance can reach approximately 250 [8]. Fig. 1 shows a cross-sectional view of a CPS structure, with the - and -field distributions. CPS can be regarded as the complementary structure of a coplanar waveguide (CPW). By using a conformal mapping method, the expression of the

2875

Fig. 1. Field distributions in the cross section of a CPS.

Fig. 2. Equivalence between an impedance step and an impedance inverter.

effective dielectric constant and characteristic impedance of a CPS have been derived in closed form and are available in [8]. B. Discontinuities First of all, the impedance step as shown in Fig. 2 is discussed. This structure, along with its induced transmission-line discontinuity, is equivalent to an ideal impedance -inverter. They are related by junction VSWR, where both circuits exhibit the same coupling level at the transition junction [1]. The junction VSWR to for the impedance step of Fig. 1 from a low impedance of is given by a high impedance of (1) where represents the reflection coefficient at the discontinuity junction. On the other hand, the junction VSWR for the ideal impedance -inverter with the characteristic impedance of , as shown in Fig. 2, is obtained by (2) , and stand for the input impedance looking where into the inverter and the characteristic impedances of the conwhen necting transmission lines, respectively, and choose and choose when , which enforces the VSWR always to be bigger than one. Equating (1) and (2) yields (3) In (3), the high-to-low impedance ratio is defined as the impedance ratio. Since the electrical length of an impedance step is zero, it operates as an impedance inverter over all frequencies, while an ideal 90 impedance inverter is only valid over a small bandwidth. However, (1) does not consider the discontinuity electromagnetic effects in the step impedance and only gives an approximation corresponding to an idealized situation. For practical filter design, it is suggested to use a full-wave simulator to select the step impedance for the same

2876

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 3. Equivalence between a capacitive gap and an admittance inverter.

Fig. 6. Normalized K -inverter impedance versus frequency extracted by fullwave analysis for different gap sizes g and length of the shorting strip, with w = 1 mm and " = 10:2; h = 1:27 mm. Fig. 4. Normalized J -inverter admittance versus frequency extracted by fullwave analysis for different gap sizes g with w = 1 mm, s = 0:3 mm, and " = 10:2; h = 1:27 mm.

Fig. 5. Equivalence between an inductive shorting strip and an impedance inverter.

insertion loss as the original inverter circuit, where the coupling coefficient is inversely proportional to the impedance ratio. The second discontinuity investigated is the capacitive gapcoupled CPS structure, as shown in Fig. 3, which can be likened to a -inverter. The -admittance values are extracted from full-wave simulations by equating the ABCD matrix of the gap at ports – with an ideal -inverter [14]. Its normalized value for various strip separations is plotted in Fig. 4 versus frequency and for different gap widths. As increases, the characteristic impedance of the coupled line increases too, along with the equivalent normalized value. The third type of discontinuity is the strip discontinuity shown in Fig. 5. The shorted strip between the CPS conductors, modeled by a shunt inductance, can be used as a -inverter. The -inverter impedance values are extracted in a similar way as in the case of the gap discontinuity. Its normalized values versus frequency for various shapes and lengths of the shorting strips are plotted in Fig. 6. As and the length of the shorting strip increase, the equivalent value also increases. III. BROADSIDE-COUPLED CPS STRUCTURE To the best of the authors’ knowledge, the broadside-coupled CPS has not been covered in any literature. The even-/odd-mode approach will now be used to analyze coupled CPS for the case of symmetrically coupled lines, that is, identical lines of equal characteristic impedances. The problem of asymmetric coupled lines may be solved by using the more complex - and -modes

Fig. 7. Perspective view of symmetrically broadside-coupled CPSs (1–1’ and 2–2’ are the two ports of the CPS on the top layer, and 3–3’ and 4–4’ are the two ports of the CPS on the bottom layer).

[16], in contrast to the even and odd modes in typical symmetrically coupled lines. Fig. 7 shows a 3-D transparent view of a pair of symmetrically coupled CPS structure. 1–1’ and 2–2’ are the ports of the CPS on top of the substrate, while 3–3’ and 4–4’ are the other CPS ports on the bottom of the substrate, where broadside coupling takes place between the top and bottom layers. Fig. 8(a) is the cross-sectional view with -field distribution of the coupled CPS when the two CPS lines are evenly excited, corresponding to an equivalent magnetic wall along the center of the substrate. Its equivalent capacitance network is shown in Fig. 8(b). The odd-mode excitation case is shown in Fig. 8(c), corresponding to an electrical wall placed along the center of the substrate, thereby resulting in an equivalent capacitance network shown in Fig. 8(d). In order to electrically characterize the broadside-coupled CPS structure, the even- and odd-mode capacitances have to be determined by employing a capacitance matrix that describes the coupling phenomena occurring between the various conductors. The capacitance matrix can be generated with a 2-D quasi-static field solver or by conformal mapping analysis. In this paper, Ansoft Maxwell 2-D, which solves the Laplace equation based on a 2-D FEM, is used to extract the capacitance matrix of the coupled pairs. By using the model shown in Fig. 9, the problem is simplified with an electric wall placed in the

YANG et al.: BROADBAND AND COMPACT COUPLED CPS FILTERS WITH IMPEDANCE STEPS

2877

defined as (5) and are the per-unit-length charges on the two where and are the potential on each conductor, conductors and is directly obtained from the stored electrostatic energy. For the symmetrically coupled lines, both the per-unit-length self-capacitances and mutual capacitances are equal, i.e., and . Equation (5) may be rewritten as (6) (7) Therefore, the self-capacitance and mutual capacitance have the following relationships with the capacitances shown in Figs. 8 and 9: (8) (9) where represents the capacitance between one of the strip conductors and the virtual ground (0-V potential by symmetry) represents in the absence of the other strip conductor, while the capacitance of the two strip conductors in the absence of the ground conductor. With even-mode excitation, as shown in Fig. 8(a) and (b), the even-mode capacitance is obtained as (10) For odd-mode excitation as shown in Fig. 8(c) and (d), the oddmode capacitance is obtained as Fig. 8. Even- and odd-mode excitations and electrical field distributions of the broadside-coupled CPSs and equivalent circuits. (a) Even-mode excitation and field. (b) Corresponding capacitance network. (c) Odd-mode excitation and field. (d) Corresponding capacitance network.

(11) For TEM transmission lines, the electrical characteristics of the coupled lines can be determined from the above obtained capacitances and the velocity of the propagation in the line. However, the propagation for CPS is related to a scenario of a quasi-TEM because the medium is inhomogeneous and the phase velocities of the even and odd modes are therefore different. If the substrate of the coupled CPS structure is replaced , it becomes a pure TEM line, and we obtain by air (12)

Fig. 9. Simplified configuration for 2-D FEM analysis.

symmetrical plane of the coupled structure. The FEM utilizes a discrete calculation space to solve the potentials at any point with given boundary conditions (e.g., a specified potential at the strips and zero potential at the ground, electric wall, and 2 capacitance for a boundary of the space). Finally, the 2 system of two conductors

where is the speed of light, and are the per-unitlength even- and odd-mode capacitances of the coupled lines, which can be extracted from the above-mentioned quasi-static and are the per-unit-length even- and oddmethod, and mode inductances, which are equal for any nonmagnetic dielec. By solving (12) for tric materials ( and , the even- and odd-mode characteristic impedances are obtained as (13)

(4)

2878

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Similarly, the effective propagation constants are expressed as (14) from which the effective dielectric constant of the coupled CPS is obtained as (15) Since the medium of the CPS structure considered here is inhomogeneous and only quasi-TEM, a coupled line of length of has dissimilar even- and odd-mode electrical lengths given by (16) and are responsible for nonperfect isolation in a coupled-line coupler application. Finally, the voltage coupling coefficient, which corresponds to the maximum coupling level, is given by [16] (17) The even- and odd-mode characteristic impedances, along with the effective dielectric constants of the coupled CPS are plotted in Fig. 10(a) and (b) versus the normalized strip width and gap between the strips. If the CPS structure is perfectly symmetric, it supports only the differential mode described above. Therefore, only this differential mode is considered in this paper. As in any symmetric differential structure, a weak parasitic common mode may be excited in practical implementations. In order to complete the electrical characterization of the broadside-coupled CPS structure, the impedance and admittance matrices are to be derived. A simple approach is to represent a pair of symmetrically coupled lines in an inhomogeneous dielectric medium for the structure shown in Fig. 7 by a four-port coupler, as shown in Fig. 11. The corresponding impedance parameters are derived in [15] and given as

Fig. 10. Even- and odd-mode parameters for coupled CPS. (a) Characteristic impedances: " = 10:2; to w=h =0.3 to 1.6, and g=h =0.15 to 1.25. (b) Effective dielectric constants " = 10:2; w=h = 0.3 to 1.6, and g=h = 0.15 to 1.25.

Fig. 11. Symmetrically coupled transmission lines.

(18a)

(18b)

(18c) Fig. 12. Layout of the edge-coupled impedance-step CPS filter. From [19].

(18d) A. Stepped-Impedance Gap-Coupled Filter IV. BROADBAND CPS FILTERS This section presents and analyzes three impedance-step CPS filters based on gap-coupled and broadside-coupled resonators.

Fig. 12 shows the layout of the first proposed gap-coupled CPS filter. This filter includes a double transition from a low-impedance port to a high-impedance transmission-line section and a gap in the center of the high-impedance line

YANG et al.: BROADBAND AND COMPACT COUPLED CPS FILTERS WITH IMPEDANCE STEPS

2879

Fig. 14. Variation of the normalized odd-mode resonance frequency (f =f ) with C and Z . From [19].

Fig. 13. Equivalent circuits for the high-impedance-section resonator in Fig. 12. (a) Global. (b) Even (e). (c) Odd (o). From [19].

section. A standing wave, which is a result of any discontinuity along a transmission line, is subsequently generated in the high-impedance section sandwiched between the two low-impedance sections. The external coupling of the filter is realized by the stepped impedance coupling. As explained in Section II-B, the impedance step is equivalent to a -inverter. Compared with other kinds of inverters, such as capacitors and inductors, the coupling of this inverter very strong, because the transmission level is high, having values around 0.5–1.2 dB for an impedance ratio of 2:1 to 3:1. To determine the resonant frequency of the high-impedance section, a loose coupling mechanism, which does not greatly load the circuit to yield influence on the resonant frequency, is required for external excitation. From Section II-B, the coupling level is proportional to the inverse of the impedance ratio. Thus, an infinite impedance ratio is required in the ideal case, indicating that the low-impedance section should have zero impedance, i.e., a short circuit. Therefore, the high-impedance section is terminated with a short circuit, as shown in Fig. 13(a). The and odd circuits are shown in Fig. 13(b) equivalent even and (c), respectively. For the even mode, the input impedance looking into the open-ended circuit is

is the capacitance of the coupling gap. The correwhere sponding odd-mode resonance frequency is given by the condition (22) which indicates that the odd-mode resonance frequency depends on both the characteristic impedance of the line and on the value of the capacitance. Fig. 14 plots the variation of odd-mode resonant frequency versus the high-impedance-secand coupling capacitance . tion impedance In the case of the structure of Fig. 12, the even-mode resonance given in (20) is constant (quarter wavelength), while the odd-mode resonance varies with both coupling capacitance and transmission-line impedance, as seen in (22). The two effects are seen in Fig. 14. First, as the coupling capacitance increases for given impedance, is shifted to lower frequencies. Second, for a given coupling capacitance, is shifted to lower frequencies as impedance is increased. From these analyses, the high-impedance section can be regarded as a dual-mode resonator, and the filter is a structure where the resonator is externally excited with two impedance steps. The even- and odd-mode reflection coefficients of the filter are given by

(19) where is the phase constant of the CPS line. Thus, the coris given by the responding even-mode resonance frequency condition , i.e.,

(23) respectively, where is the port’s impedance. This yields the following expression for the return loss [16]:

(20) (24) which indicates that the line is a quarter-wavelength resonator, irrelevant to its characteristic impedance. For the odd mode, the input impedance looking into the short-ended circuit is (21)

showing that matching is achieved under the condition (25)

2880

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 15. Equivalent circuit for the prototype of the filter in Fig. 12.

Substituting (19) and (21) into this expression and defining the yields the frequency of perfect impedance ratio matching for this filter in Fig. 12, which is given as (26) This transcendental equation has zero, one, or two solutions, depending on the parameters and , and represents a tradeoff between in-band insertion loss and bandwidth. The filter can be synthesized with traditional filter design techniques, where, as illustrated in Section II-B, the impedance steps and capacitive coupling gap work as - and - inverters, respectively. Thus, the filter in Fig. 12 can be represented by alternative - and -inverters along with quarter-wavelength resonators, as shown in Fig. 15. The synthesis equations are then as follows [1]: (27a) (27b) (27c) where

is the fractional bandwidth defined by and are element values of the low-pass filter prototype, and, since the two resonators are quarter-wavelength, we have the susceptance slope parameters and reactance slope parameters . Substituting (3) into (27a) and (27c), the characteristic impedances of high-impedance sections are obtained; the normalized -inverter admittance is achieved directly from (27b). Then, the coupling gap parameters are decided from full-wave simulation and the methods explained in Section II-B. From (27), it may be inferred that three unique characteristics make the proposed filter structure wideband. First, the reactance and susceptance slope parameters are half of the values of those for a corresponding half-wavelength resonator. For this reason, with the same coupling, the bandwidth of this filter doubles that of a filter with half-wavelength resonators. Second, the CPS resonators can take very small susceptance slope parameters by increasing the characteristic impedance, so that coupling requirement for -inverter admittance can be greatly eased for the same bandwidth. Finally, high values can be achieved by the stepped impedance coupling, which directly leads to a wide bandwidth. However, the synthesis method based on - (or -) inverters is only valid for a relatively narrowband range (smaller than 30%) when compared to with wideband range of this filter. To design a wideband filter over 50% fractional bandwidth,

Fig. 16. (a) Prototype of an edge-coupled CPS filter (ruler unit: cm). (b) S -parameters by circuit model, full-wave simulation, and measurement. W = 3 mm, W = 1:15 mm, L = 7:85 mm, S = 0:2 mm S = 0:2 mm, and S = 3:9 mm.

circuit simulations and full-wave simulations are required to account for the effects of discontinuities and to optimize the whole structure. The fractional bandwidth of this filter , using a two-order 0.5-dB-equal-ripple (Chebyis shev) low-pass filter prototype, and the element values are , and . This filter is designed with RT/Duroid 6010 substrate with dielectric constant of 10.2 and thickness of 1.27 mm. The prototype is shown in Fig. 16(a), and the circuit simulated results (ADS Schematic) and full-wave simulations (IE3D) along with measured -parameters are shown in Fig. 16(b). The measurements were performed on a probe station with G-S probe and TRL calibration. The measured results display some ripples in the pass band. These spikes are not present in the theoretical and full-wave simulation results. They are probably produced by calibration errors or by common-mode excitation in the G-S probe due to the proximity of metallic mechanical supports of the probe station. B. Broadside-Coupled Filter The bandwidth of the filter in the previous section is mainly restricted by the coupling level of the two quarter-wavelength resonators. In order to yield a much wider bandwidth, a tight coupling between the resonators is desired. The symmetrically coupled CPS analyzed in Section III can be applied to the broadband CPS filter design. Fig. 17(a) shows a top-view of a stepped impedance coupled CPS section, along with its equivalent circuit in Fig. 17(b). The coupling structure in Fig. 17(b) can be analyzed by imposing an open circuit on ports 2 and 3 of the symmetrically coupled CPS structure of Fig. 11. From the definition of

YANG et al.: BROADBAND AND COMPACT COUPLED CPS FILTERS WITH IMPEDANCE STEPS

Fig. 17. (a) Layout of a two-order symmetrically broadside-coupled CPS filter. (b) Equivalent circuit.

2881

Fig. 18. Image impedance of the coupled CPS section.

impedance matrix, the four-port -matrix parameter formulated in (18a)–(18d) is reduced to a 2 2 matrix given as Fig. 19. Equivalent circuit for the prototype of filter shown in Fig. 17.

(28) From the reduced -matrix, the frequency behavior of the filter in Fig. 17 can be obtained by using the image impedance method. Thus, the image impedance in term of the -parameters is given in (29), shown at the bottom of this page [16]. is a real number indicates a The frequency range in which is imaginary passband of the filter, while the range in which indicates a stopband. The calculated image impedances for both the homogeneous medium and inhomogeneous medium are displayed in Fig. 18. For homogeneous medium, when long ( ) at , this the coupled lines are indicates a passband delimited by two cutoff frequencies and ; however, when becomes imaginary with an infinite value, which indicates a maximum attenuation (attenuation pole). However, the coupled frequency at 2 from (16). Thus, CPS is not perfectly TEM, since as shown in Fig. 18, approaches at a frequency far below . Therefore, the broadside-coupled CPS filter of Fig. 17 displays a high selectivity in the upper cutoff. This as transmission zero frequency is obtained by making follows: (30) Substituting 18(a)–(d) into (30) yields (31)

which shows that a transmission zero exists at a frequency where the even- and odd-mode electrical lengths satisfy the condition , since is always larger than . Fig. 18 also shows a spurious passband ( is real) between and the harmonic passband . However, from the definition of image impedance, we know that the filter is matched if . At a frequency close to , to port impedance long, can and with a coupled section of approximately be approximately equivalent to the inhomogeneous situation: . Thus, the filter is matched at the band if is satisfied. However, the filter is mismatched at this band, since the image impedance in the spurious is much higher than in the first passband. passband , but with Thus, the filter still exhibits a wide stopband up to only a slight degradation in attenuation levels. As shown in the equivalent circuit of the filter in Fig. 19, the coupled-line section acts simultaneously as two quarter-waveinverter, similar to length resonators and one admittance traditional parallel coupled-line half-wavelength resonator filters. The difference is that the traditional parallel coupled-line filter employs half-wavelength resonators, while this filter is designed with quarter-wavelength resonators, thus reducing the filter size by half. The resonators are alternately coupled with external ports by - and - inverters. The even- and odd-mode impedances are related with the -inverter admittance by [16] (32a) (32b)

(29)

2882

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 20. Simulated results by both circuit simulator and full-wave simulation. W = 1:6 mm, W = 0:45 mm, L = 7:8 mm, S = 0:15 mm, and = 2:4 mm. S

The design equations are the same as (27a)–(27c) with the reactance slope and susceptance slope parameters expressed by and , respectively. This gives an initial design, and then a circuit simulator is used for optimizing the filter performance. The Chebyshev response filter is designed with RT/Duroid 6010 substrate with a dielectric constant of 10.2 and thickness of 1.27 mm. Fig. 20 gives the ADS simulated -parameters and those by full-wave simulation. As expected, a transmission zero exists at around 6 GHz. The -parameters by full-wave simulation are shifted to a lower frequency compared with that from circuit model analysis due to some discontinuity effects of the impedance steps; in addition, open end effects of the coupled lines are not considered in the circuit simulations. The measurement is not conducted since, from Fig. 17, it is impossible to directly probe the circuit’s input and output ports as they are on different layers. For higher order (odd number) filters, the port can be arranged on the same side of the printed circuit board (PCB), which gives some flexibility for system design.

Fig. 21. Layout and equivalent circuit model of the third-order filter. (a) Layout. (b) Transmission-line model. (c) Corresponding trisection cross-coupled representation, where each node represents a resonator.

Fig. 22. Equivalent circuit for the cross-coupled third-order filter of Fig. 14.

Since the cross coupling is weak, we can start the design from the filter without considering the cross coupling. The synthesis equations are [1] (33a)

V. COMPACT AND ENHANCED-SELECTIVITY CONFIGURATIONS A. Third-Order Filter With Enhanced Higher Cutoff Selectivity A third-order CPS bandpass filter is proposed in Fig. 21(a), which is composed of two stepped-impedance sections and two broadside-coupled CPS sections. The detailed equivalent circuit is shown in Fig. 21(b). The addition of the broadside-coupled strips along the high-impedance section of the filter in Fig. 17 generates one additional transmission pole, which transforms the filter into a triplet cross-coupled filter [18], as represented in Fig. 21(c), where the direct and cross-coupled paths are represented by solid and dashed lines, respectively. In Fig. 21(b), the cross coupling between resonators 1 and 3 is through the edge gap coupling, while the direct coupling between resonators 1, 3, and 2 is through the broadside coupling. Due to the capacitive nature of the cross coupling, an additional transmission zero appears towards the upper side of the band and may therefore be designed to enhance the selectivity of the higher cutoff. The equivalent circuit with alternative - and -inverters and quarter- and half-wavelength resonators is shown in Fig. 22.

(33b) (33c) (33d) Since the first and third resonators are quarter-wavelength, while the second resonator is half-wavelength, we have the susand ceptance slope parameters . Combined with reactance slope parameters (3) and (32a) and (32b), the filter can be synthesized from the low-pass filter prototype. This only gives approximate design parameters of the filter; a circuit optimization by ADS is required to include the effects of the cross-coupling capacitance and the three sections of short high-impedance transmission lines as shown in Fig. 21(b). The cross coupling by the

YANG et al.: BROADBAND AND COMPACT COUPLED CPS FILTERS WITH IMPEDANCE STEPS

Fig. 23. (a) Top view (ruler unit: cm) and (b) bottom view of the prototype of the designed cross-coupled third-order CPS filter. (c) Simulated and measured S -parameters. W = 1:8 mm, W = 0:8 mm, L = 6:5 mm, S = 0:15 mm, S = 1:1 mm, and S = 3:9 mm.

capacitance introduces a transmission zero in the upper stopband, which can be adjusted by the capacitive coupling value. In practical applications, a lumped capacitor can be surfacemounted on the circuit to freely control the transmission zero frequency. The three short transmission-line sections also add design flexibility in the optimization process. The filter is again designed with RT/Duroid 6010 substrate with a dielectric constant of 10.2 and thickness of 1.27 mm. A Chebyshev response filter with 60% fractional bandwidth centered at 4.2 GHz is designed, simulated, and fabricated. The picture of the prototype and full-wave simulated and measured -parameters are shown in Fig. 23. Full-wave simulations were carried out by using the moment of methods (IE3D), while the measurements were performed on a probe station with G-S probe and TRL calibration. An additional transmission zero is observed at 8 GHz in the results in addition to the one caused by the cross coupling (6.3 GHz). This transmission zero is due to the unequivalent phase velocities of the even and odd modes, which has already been explained in Section II-B. Around 9 GHz, a spurious passband occurs. This is because the second resonator of the filter is half-wavelength, and its first harmonic is at twice the fundamental resonant frequency. B. Fourth-Order Filter With Enhanced Lower and Higher Cutoffs Selectivity To further improve the performance of the filter without increasing the form factor of the whole structure, a fourth-order filter is proposed in Fig. 24(a). In the previous third-order design, a half-wavelength transmission line is coupled to the other two quarter-wavelength resonators by broadside-coupled line

2883

Fig. 24. Layout and equivalent circuit model of a fourth-order filter. (a) Layout. (b) Transmission-line model. (c) Corresponding quad-section cross-coupled representation, where each node represents a resonator.

sections. In this design, this resonator is split into two quarterwavelength resonators by a shunt quasi-lumped inductor. This shunt inductance can easily be implemented by a chip inductance due to the uniplanar structure of the CPS or it can be realized by a printed narrow strip shorting the two signal lines. The equivalent circuit of the entire circuit is shown in Fig. 24(b). The filter has been transformed into a quadruplet cross-coupled filter [18], as represented in Fig. 24(c). Due to the additional degree of freedom, this structure can provide both upper and lower cutoff selectivity enhancement by a proper design. In addition, it has a higher order than the filter in Fig. 23, without occupying a larger footprint. Consequently, this filter is extremely compact compared with typical bandpass filters. The equivalent circuit with alternative - and -inverters and quarter-wavelength resonators is shown in Fig. 25. The initial design of this fourth-order filter follows the same synthesis procedure as shown in Section V-A, except that an additional -inverter is required and all of the resonators are quarter-wavelength. First, the circuit parameters are found without considering the capacitive coupling effect, since this coupling is generally weak compared with the direct path. Then, circuit optimization is required to consider the cross coupling and the interconnecting transmission-line sections [see Fig. 24(b)]. Finally, full-wave simulation is carried out to fine tune the circuit, considering the discontinuity effects. To design a broadband filter, in Fig. 24, which is equivalent to the inverter impedance of a shorting strip from Section II-B, needs to be large to provide sufficient coupling between resonators 2 and 3. This inverter is realized by a meandered shorting strip as shown in Fig. 24(a). The extraction of the equivalent -inverter impedance of this

2884

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 25. Equivalent circuit for the cross-coupled fourth-order filter of Fig. 24.

-inverters. Broadside coupled CPSs have been analyzed for the first time by the even-/odd-mode decomposition approach using a quasi-static method. The broadband and compact nature of the filters has been explained from the discontinuities and the coupled line structures utilized. Specifically, cross coupling has effectively been used to enhance the selectivity of both the low and high cutoffs. In addition to their broad bandwidth and compact size, the proposed CPS filters have a differential input/output configuration, which provides an attractive solution for the codesign with other differential RF components and printed antennas, which require differential line interface. Therefore, these filters should find applications in various broadband systems requiring high integration and compact footprint, such as ultra-wideband (UWB) transceivers. ACKNOWLEDGMENT The authors would like to thank S. Abielmona, Poly-Grames Research Center, École Polytechnique de Montreal, Montreal, QC, Canada, for assistance in the preparation of the manuscript, R. Brassard, Poly-Grames Research Center, École Polytechnique de Montreal, for fabrication of the prototypes, and A. Patrovsky, Poly-Grames Research Center, École Polytechnique de Montreal, or help with the probe-station measurements. The authors would also like to thank Zeland Software, Fremont, CA, for donating IE3D licenses and the Ansoft Corporation, Pittsburgh, PA, for providing the Maxwell solver. REFERENCES

Fig. 26. (a) Top view (ruler unit: cm) and (b) bottom view of the prototype of the designed cross-coupled fourth-order CPS filter. (c) Simulated and measured S -parameters. W = 1:75 mm, W = 1 mm, L = 8:15 mm, S = 0:2 mm, S = 1:9 mm, and S = 1:7 mm.

kind of discontinuity has already been numerically studied in Section II-B. The Chebyshev response filter with 80% fractional bandwidth centered at 3.2 GHz is designed and fabricated with RT/Duroid 6010 substrate [see Fig. 26(a) and (b)]. The -parameters obtained by both full-wave simulation and measurement are shown in Fig. 26(c). Since all of the resonators are quarter-wavelength, the first spurious passband occurs only at three times the center frequency. Along with the three finite frequency transmission zeros generated, wide and deep stopband is observed. VI. CONCLUSION Several broadband and compact stepped-impedance coupled CPS filters have been presented, analyzed theoretically, and demonstrated experimentally. These filters are based on impedance-step, capacitive-gap, and inductive shorted-strip discontinuities, which have been modeled in terms of - and

[1] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Boston, MA: Artech House, 1964. [2] J. A. G. Malherbe, Microwave Transmission Line Filters. Boston, MA: Artech House, 1979. [3] C. Nguyen, “Development of new miniaturized bandpass filter having ultrawide bandwidth,” Electron. Lett., vol. 30, no. 10, pp. 767–768, May 1994. [4] J. T. Kuo and E. Shih, “Wideband bandpass filter design with threeline microstrip structures,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 149, no. 56, pp. 243–247, Dec. 2002. [5] L. Zhu, H. Bu, and K. Wu, “Aperture compensation technique for innovative design of ultra-broadband microstrip bandpass filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2000, vol. 1, pp. 315–318. [6] Y. C. Zhang, K. A. Zaki, A. J. Piloto, and J. Tallo, “Miniature broadband bandpass filters using double-layer coupled stripline resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3370–3377, Aug. 2006. [7] W. Menzel, L. Zhu, K. Wu, and F. Bogelsack, “On the design of novel compact broadband planar filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 364–370, Feb. 2003. [8] K. C. Gupta, R. Garg, I. Bahl, and P. Bhartia, Microstrip Lines and Slotlines, 2nd ed. Boston, MA: Artech House, 1996. [9] C.-W. Chiu, “Equivalent circuit parameters of coplanar stripline discontinuities,” Proc. Inst. Elect. Eng.—Microw. Antennas, Propag., vol. 149, no. 1, pp. 11–16, Feb. 2002. [10] M. Riaziat, R. Majidi-Ahy, and I. J. Feng, “Propagation modes and dispersion characteristics of coplanar waveguides,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 3, pp. 245–251, Mar. 1990. [11] R. N. Simons, N. I. Dib, and L. P. B. Katehi, “Modeling of coplanar stripline discontinuities,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 5, pp. 711–716, May 1996. [12] L. Fan and K. Chang, “Uniplanar power dividers using coupled CPW and asymmetrical CPS for MIC’s and MMIC’s,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2411–2420, Dec. 1996. [13] Y.-H. Suh and K. Chang, “Coplanar stripline resonators modeling and applications to filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 5, pp. 1289–1296, May 2002.

YANG et al.: BROADBAND AND COMPACT COUPLED CPS FILTERS WITH IMPEDANCE STEPS

[14] A. Saitou, H. Aoki, N. Satomi, K. Honjo, K. Sato, T. Koyama, and K. Watanabe, “Ultra-wideband differential mode bandpass filters embedded in self-complementary antennas,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 717–720. [15] L. Zhu and K. Wu, “Accurate circuit model of interdigital capacitor and its application to design of new quasi-lumped miniaturized filters with suppression of harmonic resonance,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 3, pp. 347–356, Mar. 2000. [16] G. I. Zysman and A. K. Johnson, “Coupled transmission line networks in an inhomogeneous dielectric medium,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 10, pp. 753–759, Oct. 1969. [17] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005. [18] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [19] N. Yang, C. Caloz, K. Wu, and Z. N. Chen, “Broadband and compact double stepped-impedance CPS filters with coupled-resonance enhanced selectivity,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, pp. 755–758.

Ning Yang (M’03) was born in Taixin, Jiangsu Province, China, in December 1976. He received the B.Eng. and Ph.D. degrees from Southeast University, Nanjing, China, in 1998 and 2004, respectively. He began his career as a Research Engineer with the Institute for Communications Research, Singapore, in November 2001, and later became an Associate Scientist with the Institute for Infocomm Research (I R), Singapore, where he conducted research on RFID systems, small and wideband antennas/circular polarized array for RFID and mobile devices, electromagnetic bandgap structures, and planar integrated UWB devices. In 2004, he was with the Center for Wireless Communications (CWC), National University of Singapore, Singapore, where he completed his doctoral thesis. From 2005 to 2006, was with the PCS Section, Motorola, as a Senior RF Engineer to develop mobile devices. Since October 2006, he has been a Post-Doctoral Research Fellow with the Département de Génie Électrique, Poly-Grames Research Center, École Polytechnique de Montreal, Montreal, QC, Canada. His current research interests include substrate integrated metamaterials waveguides, leaky-wave antenna arrays, distributed amplifiers, and thin-film ferroelectric/ferromagnetic components.

Christophe Caloz (S’99–M’03–SM’06) received the Diplôme d’Ingénieur en Électricité and Ph.D. degree from the École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, in 1995 and 2000, respectively. From 2001 to 2004, he was a Post-Doctoral Research Engineer with the Microwave Electronics Laboratory, University of California at Los Angeles (UCLA), where he conducted research on microwave devices, antennas and systems, photonic bandgap (PBG) structures, and electromagnetic metamaterials. In June 2004, he joined the Département de Génie Électrique, École Polytechnique de Montreal, Montreal, QC, Canada, where he is currently an Associate Professor, a member of the Poly-Grames Research Center, and the Holder of a Canada Research Chair (CRC) entitled “Future Intelligent Radio-frequency Metamaterials” (FIRMs), associated with a novel Canadian Foundation for Innovation (CFI) infrastructure. He is also the Holder of the Natural Sciences and Engineering Research Council of Canada (NSERC) Strategic Project Grant “Novel Ultra-Wideband (UWB) Front-End Transceiver Systems.” He has authored or coauthored 200 technical conference, letter, and journal papers, among which 35% were invited papers (over 45% of conference papers). He holds several patents. He authored the first unified textbook on metamaterials, entitled Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications (IEEE Press, 2005). He has also authored three book chapters. He was the Guest Editor of the March–April 2006 “Special Issue on Metamaterials” of the International Journal for Numerical Methods (IJNM). He is a member of the Editorial Board of the IJNM, the International Journal of RF and Microwave Computer-Aided Engineering (RFMiCAE), and Metamaterials. He also serves as a reviewer for Applied and Wireless Components Letters, Electronic Letters, the Journal of Applied Physics, Applied Physics Letters, the Journal of Optics, the New Journal of Physics,

2885

and other international periodicals. His current research interests include novel metamaterials for millimeter-wave and optical applications, nonlinear and active devices, thin-film/bulk ferroelectric and ferromagnetic components, UWB systems, terahertz technology, and numerical methods. Dr. Caloz is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Technical Coordinating Committee (TCC) MTT-15 and the chair of the Commission D (Electronics and Photonics) of the Canadian Union de Radio Science Internationale (URSI). He serves as a reviewer for many journals including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE MICROWAVE AND WIRELESS COMPONENT LETTERS,and the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He has participated in 15 courses, tutorials, and workshops around the world over the past three years. He has organized several focused sessions and workshops at international conferences. He was the Technical Program Committee (TPC) chair of the International Symposium of Signals, Systems and Electronics (ISSSE), École Polytechnique de Montréal, July 30–August 2, 2007. He was the recipient of the 2007 IEEE MTT-S Outstanding Young Engineer Award. In March 2004, he was the recipient the University of California at Los Angeles (UCLA) Chancellor’s Award for Postdoctoral Research.

Ke Wu (M’87–SM’92–F’01) is Professor of electrical engineering, and Tier-I Canada Research Chair in RF and millimeter-wave engineering with the École Polytechnique de Montréal, Montréal, QC, Canada. He also holds a Cheung Kong endowed chair professorship (visiting) with Southeast University, and an honorary professorship with the Nanjing University of Science and Technology, Nanjing, China, and the City University of Hong Kong. He has been the Director of the Poly-Grames Research Center. He has authored or coauthored over 515 referred papers and several books/book chapters. He has served on the Editorial/Review Boards of numerous technical journals, transactions, and letters, including being an Editor and Guest Editor. His current research interests involve substrate integrated circuits (SICs), antenna arrays, advanced computer-aided design (CAD) and modeling techniques, and development of low-cost RF and millimeter-wave transceivers and sensors. He is also interested in the modeling and design of microwave photonic circuits and systems. Dr. Wu is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He is a member of the Electromagnetics Academy, Sigma Xi, and URSI. He has held key positions in and has served on various panels and international committees including the chair of Technical Program Committees, International Steering Committees, and international conferences/symposia. He is currently the chair of the joint IEEE Chapters of the Microwave Theory and Techniques Society (MTT-S)/Antennas and Propagation Society (AP-S)/Lasers and Electro-Optics Society (LEOS), Montréal, QC, Canada. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006–2009 and serves as the chair of the IEEE MTT-S Transnational Committee. He was the recipient of many awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award.

Zhi Ning Chen (M’99–SM’05) received the B.Eng., M.Eng., and Ph.D. degrees from the Institute of Communications engineering (ICE), Nanjing, China, in 1985, 1988, and 1993, respectively, and the Do.E. degree from the University of Tsukuba, Tsukuba, Japan, in 2003. Since 1988, he has been with the Institute for Communications Engineering, Southeast University, City University of Hong Kong, with teaching and research appointments including Teaching Assistant, Lecturer, Associate Professor, Research Fellow, and Post-Doctoral Fellow. From 1997 to 1999, he was with the University of Tsukuba as a Research Fellow through a fellowship awarded by the Japan Society for Promotion of Science (JSPS). In 2001 and 2004, he visited the University of Tsukuba again, this time sponsored by an Invitation Fellowship Program (senior level) of JSPS. In 2004, he conducted research at the Thomas J. Watson Research Center, IBM, Yorktown, NY, as an Academic Visitor. In 1999, he joined the Institute for Infocomm Research, Singapore, as Member of Technical Staff (MTS) and then became Principal MTS. He is currently a Principal Scientist and Department Manager for Radio Systems, Institute for Infocomm Research, Singapore. He is

2886

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

concurrently appointed an Adjunct Associate Professor with the National University of Singapore and Nanyang Technologies University, a Concurrent Professor with Nanjing University, and an Adjunct Professor with the EM Academy at Zhejiang University and Southeast University. He submitted the first proposal on UWB technology to Thematic Strategic Research Program of Agency of Science, Technology and Research (A*Star), Singapore, in 2002, and now is leading one of projects of the program UWB Technologies and Pervasive Computing. He is coordinating the joint projects of A*Star and DSTA in the fields of Microwave and Electromagnetics. Since 1990, he has authored or coauthored over 190 technical papers published in international journals and presented at international conferences. He holds three patents with 11 patents pending. He authored Broadband Planar Antennas (Wiley, 2005), coedited UWB Communications (Wiley, 2006), and edited Antennas for Portable Devices (Wiley, 2007). In addition, he has contributed chapters to two books about antennas. He is the Editor for Field of Microwaves, Antennas and Propagation for the International Journal on Wireless and Optical Communications (IJWOC). He has edited special issues for IJWOC, the International Journal of Antennas and Propagation, and the IEICE Transaction on Communications and has also reviewed many papers for prestigious journals and conferences. His main research interests in-

clude applied electromagnetics as well as antenna theory and design. Particularly, he focuses on small and broadband antennas and arrays for wireless communications systems, such as WLAN/WiFi/WiMAX, multiinput multioutput (MIMO) and UWB systems, and RF imaging systems. Dr. Chen founded the IEEE International Workshop on Antenna Technology (IEEE iWAT) and, as general chair, organized the IEEE iWAT: Small Antennas and Novel Metamaterials, 2005, Singapore. He is chairing the iWAT Steering Committee for future iWAT events. He was invited to give keynote speeches about UWB and antennas at Loughborough Antennas and Propagation, U.K., in 2005 and 2007, as well as at the China–Ireland International Conference on Information, Communication and Electronics in 2007. He is a chair for the Subcommittee of Emerging Technology at the 2006 IEEE Radio and Wireless Symposium. He was invited to give talks at UWB technology workshops of 2003–2004 IEEE Radio and Wireless Conference. He has also organized and chaired many special sessions at many international events and served many international conferences as a member of the Technical Program Committee or International Committee.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2887

Broadband Dielectric Characterization of Tumorous and Nontumorous Breast Tissues Usman A. Khan, Student Member, IEEE, Nawaf Al-Moayed, Student Member, IEEE, Nicholas Nguyen, Konstantin A. Korolev, Mohammed N. Afsar, Fellow, IEEE, and Stephen P. Naber

Abstract—The broadband dielectric properties of tumorous and nontumorous tissues were analyzed at the millimeter-wave and terahertz frequencies. Ex-vivo measurements were obtained using the backward-wave oscillator-based free-space quasi-optical spectroscopy and dispersive Fourier transform spectroscopy methods. Both techniques were modified to yield the real and imaginary parts of permittivity, absorption coefficient, refractive index, and transmission data over an extended frequency range from 30 to 900 GHz. Results reveal characteristic signatures of breast tissues and display a significant difference in the electromagnetic response of tumorous and nontumorous tissues. The techniques employed in this study provide prospects for extending ex-vivo measurements to in-vivo breast cancer detection and diagnostics. Index Terms—Breast tissues, cancer detection, complex permittivity, dispersive Fourier transform spectroscopy, millimeter wave, terahertz.

I. INTRODUCTION

T

HE BROADBAND electric characteristics of human tissues have been of great interest since Schwan conducted early in-vitro studies on tissues and cells [1]–[4]. Dielectric studies and imaging of tissues are the most common techniques for cancer detection. In recent years, Popovic´, Okoniewski, Souvorov, M. A. Stuchly, and S. S. Stuchly have developed several new methods using confocal systems, capacitive sensors, antennas, and probes [5]–[16]. Li et al. explored microwave imaging through space–time beam forming in multilayer breast phantoms [17], [18]. Complex natural resonances have also been proposed to be used in complement to ultrasound for breast tissue characterization and detection [19]. However, research limited to microwave spectroscopy or imaging has several disadvantages. Probe and sensor measurements are extremely sensitive to surface-level modulations, external environmental conditions, and the probe–sample interface. Practical implementation of microwave projection-type tomography algorithms and a clinically viable microwave imaging system has also proven to be extremely difficult. Moreover, while Manuscript received May 2, 2007; revised September 6, 2007. U. A. Khan, N. Al-Moayed, N. Nguyen, and M. N. Afsar are with the High Frequency Materials Measurement and Information Center, Department of Electrical and Computer Engineering, Tufts University, Medford, MA 02155 USA. K. A. Korolev is with the High Frequency Materials Measurement and Information Center, Department of Electrical and Computer Engineering, Tufts University, Medford, MA 02155 USA, and also with the Extremely High Frequency Medical and Technical Association, Moscow, 125009, Russian Federation. S. P. Naber is with the Department of Pathology, Tufts—New England Medical Center, Boston, MA 02111 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909621

microwave radiation provides deeper radiation penetration depths compared to terahertz and submillimeter-wave signals, microwaves also suffer from a lack of resolution. This limits the utilization of microwave techniques for precise high-resolution dielectric characterization of tissues. Hence, complete comprehension of human tissue spectroscopy must involve a broadband approach where microwave radiation can achieve penetration and low-power millimeter, submillimeter, and terahertz waves can be utilized for resolution purposes. This study completes one step in this process by examining the dielectric characteristics of tumorous and nontumorous (normal) breast tissues at the millimeter-wave and terahertz frequencies. Data in this study can be supplemented with microwave dielectric data that have already been published extensively in literature [5]–[18]. There is currently little or no literature available for the dielectric properties of breast tissues at frequencies greater than the microwave range. The backward-wave oscillator-based free-space quasi-optical spectroscopy was employed to obtain transmission data from 30 to 120 GHz. This technique provides relatively high output power for coherent millimeter-wave radiation, which can be advantageous while studying highly absorbing tissues. Data for a large part of the frequency spectrum from 200 GHz to 0.9 THz was acquired by employing dispersive Fourier transform spectroscopy. This high-precision technique yields dielectric data with a sensitivity of 10 , thus providing accuracy and resolution [20]–[25] at extremely low powers. In addition, dispersive Fourier transform spectroscopy can accommodate various tissue shapes, sizes, and compositions. Sample holders were designed precisely to the dimensions of each tissue and were constructed for the Fourier transform and free-space quasi-optical spectrometers. Since the experimental setup and electromagnetic source power intensity vary at the millimeter-wave and terahertz ranges, our results for the different spectral ranges and techniques are not expected to “link up”; however, a general trend in the broadband dielectric behavior of the breast tissues is anticipated. This paper shall discuss the utilization of the backward-wave oscillator-based free-space quasi-optical spectroscopy and dispersive Fourier transform spectroscopy techniques for dielectric characterization of nontumorous and tumorous breast tissues at high frequencies. II. MEASUREMENT TECHNIQUE AND EXPERIMENTAL SETUP Breast tissues were obtained courtesy of the Tufts—New England Medical Center (NEMC), Boston, MA. After being removed from the donors’ bodies, the tissues were fixed and preserved in 10% formalin containers and subsequently transported to the High Frequency Materials Measurement and

0018-9480/$25.00 © 2007 IEEE

2888

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Information Center for analysis. No information is currently available on the background of the tissue donors. The samples were cut to a size of approximately 2 1 0.5 cm . A. Higher Power Backward-Wave Oscillator-Based Free-Space Quasi-Optical Spectroscopy Millimeter-wave in-vitro transmittance measurements of nontumorous and tumorous breast tissues were obtained by employing a free-space quasi-optical spectrometer. -, -, extended -, and -band high-vacuum backward-wave oscillators have been applied as sources of coherent radiation. Associated millimeter-wave components for each band such as isolators, modulators, directional couplers, GaAs Schottky diode detectors, lenses, and horn antennas have been tuned to operate from 30 to 120 GHz. Utilizing backward-wave oscillator tubes as sources of millimeter-wave radiation offers several advantages. Firstly, backward-wave oscillator tubes provide much greater millimeter-wave output power (10–30 mW) compared to other sources in this spectral range such as globar, mercury vapor lamp, and photoconductive Auston-switch-based terahertz generators [26]. It is important to note that backward-wave oscillator-generated output power satisfies the safety standard requirements (e.g., IEEE Standard C95.1-1999) and is well below the hazardous level of millimeter-wave radiation [11], [12]. Another advantage of employing this technique is that the backward-wave oscillator-based spectrometer has been used successfully for determining the dielectric properties of various materials including highly absorbing substances [27]. This advantage is crucial since breast tissue structure is similar to water containing substances with very high absorption levels. Typically, these characteristics make it extremely difficult to detect any transmitted millimeter-wave signals through the tissue. Backward-wave oscillator-based spectroscopy was employed to measure transmittance, which can be defined as the ratio of power passing through a sample to the power incident on the sample. The measured transmitted signal level for various dielectric, polymeric, and amorphous materials usually varies from zero (opaque material or very high loss) up to one (no losses in the material). For relatively transparent materials prepared in the form of a flat parallel slab or disc, the transmittance is an oscillating function of frequency due to multiple reflections of the electromagnetic wave on the sample interface [27]. The refractive index can be evaluated from the distance between two maximum points in the wave and the absorption index can be evaluated from the amplitude of the transmittance level in the peak. The transmittance can be expressed as (1) where

Fig. 1. Block diagram of the free-space quasi-optical millimeter-wave spectrometer equipped with the tunable high-power backward-wave oscillator (a modulator and an isolator are placed with the tube). Tissue samples were placed in specially constructed specimen holders consisting of plane-parallel transparent Mylar walls.

where and are the refractive index, absorption index, and thickness of a sample, respectively. is the frequency and is and are the the speed of light. is proportional to . power transmittance, reflectance, phase, and loss factor of the transmitted wave, respectively [27]. The transmittance oscillations are produced by the squared sinusoid in the denominator of (1). For materials with high levels of absorption, such as cadmium cm) germanium, the perisulfide and high-resistivity ( 50 odic structure of the transmittance spectra is usually not clear or resolvable [28]. Furthermore, in the case of excised breast tissues, the small dimensions may lead to a lack of resolution in the periodic millimeter-wave transmittance [29]. In such cases, the dielectric permittivity cannot be obtained from the transmission spectra. However, the level of transmittance can yield information on the absorption properties. To conduct the measurements, planar samples of breast tissue were placed in specially made sample containers with plane-parallel transparent Mylar walls. The Mylar walls help hold the sample in place and compress the tissue to maintain a flat surface. The registered transmittance is not affected by the negligible thickness of the Mylar walls. The effect of 10% formalin was minimized by letting the tumorous and nontumorous samples dry for a few minutes. Since 10% formalin has a significantly higher evaporation rate than water, the water contents of the tissues are not significantly affected [20]. The contents of the tissues were reexamined by the Department of Pathology and Histology Unit, Tufts—NEMC and were found to be unaffected. Each test was conducted under room-temperature conditions with 25.6% relative humidity. As can be seen in Fig. 1, a couple of horn antennas and a set of polyethylene lenses have been used to form and maintain a Gaussian beam, as well as to focus the beam onto the sample. Two consecutive frequency sweeps with and without the sample in the quasi-optical path have been made and the transmittance spectra has been recorded. Details of the backward-wave oscillator-based free-space millimeter-wave quasi-optical spectroscopy technique including possible sources of error have been discussed earlier [26], [29]. B. Dispersive Fourier Transform Spectroscopy

(2) and (3)

Dispersive Fourier transform spectroscopy was implemented using a two-beam polarizing interferometer to acquire the dielectric properties from 200 to 900 GHz. In this system, a mercury-vapor lamp provides the source of radiation. The lamp and the rest of the system can become extremely hot and were

KHAN et al.: BROADBAND DIELECTRIC CHARACTERIZATION OF TUMOROUS AND NONTUMOROUS BREAST TISSUES

2889

Fig. 3. Three different annulus-shaped aluminum plates precisely cut to the exact size of the breast tissue for dispersive Fourier transform spectroscopy measurements.

Fig. 2. Two beam polarizing interferometer setup consists of a beam splitter, phase modulator unit, sample holder, micrometer-backed moving mirror, fixed mirror, source, and helium-cooled detector.

cooled at 24 C by conducting tubes connected to distilled water-coolant banks. Radiation from the lamp is collimated by two mirrors and polarized using a wire-grid polarizer/analyzer. The polarized radiation is then split by tungsten wire-grid beam splitters and sent to the sample chamber and a micrometer-backed scanning mirror [20], [21], [23]. In the sample chamber, the radiation traverses the sample and reflects back from a fixed mirror on the other side of the sample, as shown in Fig. 2. A phase modulator assembly is used to modulate the signal heading towards the micrometer-backed scanning mirror. After reflecting off the scanning mirror and the fixed mirror, the parted beams recombine and are collected by a liquid helium-cooled indium antimonide (InSb) detector. The reference run conducted includes the Mylar-backed sample holder in the sample chamber. This yields a distorted reference , which already takes into account the interferogram, i.e., effect of the aluminum disk and Mylar layer. A subsequent run with the tissue now in place is performed providing the inter. The multiple reflections in the interferograms ferogram are nonexistent due to the relatively lossy nature of tissues, and can be expressed as a sum of their thus both reflection and single transmission signatures, as shown in (4). A double-sided Fourier transform of the interferograms yields and , respectively. Using Maxwell’s equations, one can obtain the refractive index (5), absorption coefficient (6), and complex permittivity (7) (4)

(5) (6) (7) is the sample thickness, is the frewhere is the shift, indicates the phase of the contents within the quency, and and are the Fourier transforms of the parentheses.

Fig. 4. Transmittance signal through nontumorous (normal) and tumorous breast tissues as a function of frequency obtained using extended V -band backward-wave oscillator-based free-space quasi-optical spectrometer. Note that the nontumorous tissue is almost 40 times more transparent than the tumorous tissue.

sample and reference interference pattern, respectively. is the ratio of and [30], [31]. Custom-designed sample holders were prepared for dispersive Fourier transform spectroscopy measurements. The sample holders are 3-in-diameter aluminum plates shaped like an annulus, as shown in Fig. 3. Instead of having a circular cavity in the center, the opening is cut precisely to the dimensions of the supplied breast tissue. The rectangular hole is then covered by a thin layer of stretched mylar (thickness: 0.019 cm 0.001 cm) on one side so that the tissue may rest on a flat surface. III. RESULTS The average results obtained from testing five samples of nontumorous breast tissues and five samples of tumorous breast tissues at room temperature are discussed here. Millimeter-wave transmission spectra of nontumorous and tumorous breast tissues are exhibited in Fig. 4. Both sets of tissues show relatively high absorption levels in this frequency range. For highly absorbing materials like breast tissues with millimeter-wave transmittance below 10%, the periodic structure is not clear or absent and dielectric permittivity cannot be calculated from the transmission channel method [27]. In the future, the unbalanced bridge approach can provide phase information, which can help determine the dielectric permittivity [28]. A limitation for the calculation of dielectric permittivity is the dimensions of the tissue sample including thickness and parallelism of the surfaces. The combined effects of diffraction and displacement of the focal plane induced by the sample give rise to the following and . is the width of the sample, restrictions: is the sample thickness, and is the millimeter wavelength

2890

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 5. Absorption coefficients of tumorous and nontumorous tissues from 200 to 900 GHz are shown. Peaks can be observed at 311, 460, 732, and 787 GHz (from [33]).

[29]. The examined breast tissue samples do not satisfy these requirements; hence, the periodic structure cannot be resolved. However, as can be seen from Fig. 4, the tumorous tissue is almost 40 times less transparent in millimeter waves than the nontumorous one. This anticipated difference in absorption levels can be attributed to the large concentration of calcium deposits in tumorous tissues. In the millimeter-wave frequency range, tumorous tissues become almost nontransparent (opaque) due to the presence of calcium in tissue cells. Furthermore, a possible explanation for the higher absorption levels in millimeter waves is that the backward-wave oscillator tubes generate significant amounts of continuous wave power compared to other techniques. Consequently, the higher emitted power warms up the tissues leading to increments in the levels of undesirable elements such as calcium deposits. X-ray mammography studies have also shown significant differences between nontumorous and tumorous tissues due to microcalcifications [32]. Millimeter-wave measurements were obtained using backward-wave oscillator tubes with various output powers in the same frequency range. The level of transmitted signal detected for normal tissues increased almost linearly with increasing output power. For tumorous breast tissues, this transmission behavior is greater than linear. The reason for this peculiarity in transmittance dependence is not yet clear. A more systematic and detailed study of breast tissues, including statistical results, needs to be conducted to clarify millimeter-wave breast tissue permittivity characteristics. Figs. 5–7 show the data obtained from 200 to 900 GHz using dispersive Fourier transform spectroscopy. Millimeter-wave and terahertz measurements had a 4% and 6% spread for nontumorous and tumorous tissues, respectively. As can be seen in Fig. 5, the absorption coefficient of tumorous and nontumorous tissues is significantly different across the entire spectrum. Unlike the microwave frequencies [16], [34], where the relative permittivity of nontumorous tissues is very close to tumorous tissues, at the millimeter-wave and terahertz frequency ranges, one can observe that tumorous tissues are 2–4 Np/cm more absorbing than nontumorous tissues. The refractive index, presented in Fig. 6, repeats a similar pattern. In both Figs. 5 and 6, it should be noted that peaks are present around 311 and 460 GHz. The peak around 311 GHz is characteristic of all breast tissues and the peak around 460 GHz

Fig. 6. Refractive indices of tumorous and nontumorous tissues from 200 to 900 GHz are plotted. Peaks can be observed at 329 GHz and a dip at 476 GHz for nonmalignant tissues (from [33]).

Fig. 7. Complex real and imaginary permittivity of tumorous and nontumorous breast tissues from 200 to 900 GHz are plotted (from [33]).

is due to 10% formalin, which is used to fix and preserve all the tissues [20]. Corresponding peaks are present in Fig. 7, which exhibits the complex real and imaginary permittivity of nontumorous and tumorous breast tissues; however, the signatures are not that apparent due to the scale and broad range of the graph. Further testing will help determine whether the 311-GHz frequency signature is unique only to breast tissues or can also be a spectral characteristic of other human tissues. The 732-GHz peak seen in Fig. 5 was present in all nontumorous tissues; however, the slight peak at 787 GHz was only acquired in some tumorous tissues, thus indicating the possibility of a localized resonance process due to intermolecular vibrations in certain tissues. Intramolecular vibration peaks appear at much higher frequencies [35]. The existence of air gaps and cavities are unlikely and should not have an effect on the dielectric properties at these frequencies. The imaginary permittivity shown in Fig. 7 indicates the lossy nature of the tissues. It is interesting to note that the loss decreases at higher frequencies and that both the nontumorous and tumorous tissues have almost the same loss. The real permittivity for tumorous tissues is slightly greater than that of the nontumorous tissues across the spectrum. It is difficult to compare results in this study to other published work since little or no information exists on the dielectric properties of breast tissues at millimeter-wave and terahertz frequencies. At the microwave frequencies, Lazebnik et al., Chaudhary et al., and Campbell and Land [36]–[38] have conducted extensive studies on nontumorous breast tissues. Due to the heterogeneous nature of breast tissues, a large spread is

KHAN et al.: BROADBAND DIELECTRIC CHARACTERIZATION OF TUMOROUS AND NONTUMOROUS BREAST TISSUES

2891

semicircle in Fig. 8 may vary depending on the water and fat content of the examined tissue specimen. The static permittivity of high-water content breast tissues can be estimated around 60 by extrapolating data at lower frequencies. It is a well-known fact that water has a static permittivity of approximately 81 [39], [40]. Since breast tissues contain more fat, which is less dense than water, it is expected that the static permittivity or low-frequency permittivity will be less than 80. IV. CONCLUSION

Fig. 8. Cole–Cole plots for tumorous and nontumorous tissues are shown. High-frequency millimeter-wave and terahertz data are shown in the expanded window at the top. In the bottom window, microwave data obtained from [36] is connected to the millimeter-wave and terahertz data obtained in this study using a dashed line. The horizontal bars represent the spread in data values. The nontumorous tissue exhibits a semicircular pattern, which indicates a Debye-type relaxation process. Millimeter-wave data in the top window illustrates a circular pattern, which is a clear indication of a resonance process. NT and T represent nontumorous and tumorous tissues, respectively.

expected if a large number of specimens are examined. Microwave coaxial probe data for breast tissues with high-water content and 0%–30% adipose tissue similar to the breast tissues tested in this study were obtained from [36]. This data is presented in Fig. 8 with the high-frequency dispersive Fourier transform data for normal breast tissues examined in this study. A dispersion model shown in the form of a Cole–Cole plot in Fig. 8 clearly exhibits a semicircular pattern. Dispersion in the millimeter-wave and terahertz data cannot be seen due to the scale of the plot and, hence, a zoomed-in window has been provided to display the dielectric behavior at higher frequencies. The semicircular curve fitted for nontumorous tissues indicates a pure Debye-type relaxation process. The horizontal bars indicate the spread in relative permittivity values for high-water content nontumorous breast tissues examined in [36]. Due to a lack of published data on the complex permittivity values of tumorous tissues, no dispersion model can be provided for tumorous tissues at the lower frequencies. In the millimeterwave and terahertz range, resonance behavior can be seen by the circular patterns shown in the expanded window. A very distinct dispersion is noticeable between tumorous and nontumorous tissues at millimeter-wave and terahertz frequencies demonstrating the excellent resolution of the dispersive Fourier transform system. It is expected that the shape and width of the

This study successfully examined the broadband dielectric properties of nontumorous and tumorous breast tissues using multiple techniques. The data acquired demonstrates how the dielectric behavior of tissues varies with frequency. Backwardwave oscillator-based free-space quasi-optical spectroscopy revealed significantly greater absorption in tumorous tissues compared to nontumorous tissues. Furthermore, dispersive Fourier transform spectroscopy results yielded characteristic signatures of the 10% formalin-fixed tissues at 311 and 460 GHz, and possibly 732 and 787 GHz. Results from both techniques can be supplemented with microwave dielectric data to provide a complete spectral analysis of breast tissues. In addition, Debye and Poley absorption processes maybe understood by drawing Cole–Cole plots over a broad frequency range. The results presented in this study indicate that dispersive Fourier transform spectroscopy can be used effectively to differentiate and characterize tumorous and nontumorous breast tissues. While the promising results and low source radiation power make dispersive Fourier transform spectroscopy a very attractive technique for adoption by the scientific community for cancer detection, more research needs to be completed. Studies need to be done keeping track of the donor biographical information, water content, calcium deposits, and fat content of the tissues. Further tests can verify whether dispersive Fourier transform spectroscopy could be used to differentiate benign and malignant tissues. Other human and animal tissues can also be examined. Backward-wave oscillator-based free-space quasi-optical spectroscopy was found to have some limitations in determining the dielectric properties of tissues due to high levels of absorption. However, transmission results can be utilized to differentiate tumorous and nontumorous tissues. More studies are planned to evaluate the precise effect of calcium deposits and the relationship between the emitted power and transmission in tumorous and nontumorous tissues. A promising idea is the expansion and development of the free-space quasi-optical millimeter-wave spectroscopy for in-vivo measurements and cancer diagnostics. In such a scenario, a human breast can be placed between two flat plane-parallel transparent windows to obtain transmission measurements and to detect the absorption level of the millimeter-wave signal. This approach can be modified to develop a millimeter-wave mammography system allowing noninvasive and nondestructive identification and detection of tumorous and nontumorous breast tissues using the absorption coefficient and refractive index spectra. Such a technique would be superior to existing millimeter-wave and terahertz techniques that can only image excised tissues and are used in conjunction to current methods such as MRI [41], [42].

2892

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

REFERENCES [1] H. P. Schwan, “Electrical properties of tissues and cell suspensions,” Adv. Biol. Med. Phys., vol. 5, pp. 147–209, 1957. [2] H. P. Schwan, “Alternating current spectroscopy of biological substances,” Proc. IRE, vol. 47, no. 11, pp. 1841–1855, Nov. 1959. [3] H. P. Schwan, “Electrical characteristics of tissues: A survey,” Biophysics, vol. 1, pp. 198–208, 1964. [4] H. P. Schwan and K. R. Foster, “RF-field interactions with biological systems: Electrical properties and biophysical mechanisms,” Proc. IEEE, vol. 68, no. 1, pp. 104–113, Jan. 1980. [5] D. Popovic´ and M. Okoniewski, “Dielectric spectroscopy of breast tissue—improved model of the open-ended coaxial probe,” in Proc. 25th Annu. IEEE Eng. Med. Biol. Soc. Int. Conf. , 2003, pp. 3791–3793. [6] D. Popovic´ and M. Okoniewski, “Response characterization of the Precision open-ended coaxial probe for dielectric spectroscopy of breast tissue,” in IEEE AP-S Int. Symp. Dig., 2003, vol. 4, pp. 54–57. [7] D. M. Hagl, D. Popovic´ , S. C. Hagness, J. H. Booske, and M. Okoniewski, “Sensing volume of open-ended coaxial probes for dielectric characterization of breast tissue at microwave frequencies,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1194–206, Apr. 2003. [8] S. S. Stuchly and M. A. Stuchly, “Electrical properties of biomaterials—Instrumentation and measurement,” in Proc. IEEE Instrum. Meas. Technol. Conf., 1988, pp. 75–79. [9] K. P. A. P. Esselle and S. S. Stuchly, “Capacitive sensors for in-vivo measurements of the dielectric properties of biological materials,” IEEE Trans. Instrum. Meas., vol. 37, no. 1, pp. 101–105, Jan. 1988. [10] M. A. Stuchly and S. S. Stuchly, “Dielectric properties of biological substances—Tabulated,” J. Microw. Power, vol. 15, no. 1, pp. 19–26, 1980. [11] E. C. Fear, S. C. Hagness, P. M. Meaney, M. Okoniewski, and M. A. Stuchly, “Enhancing breast tumor detection with near-field imaging,” IEEE Micro, vol. 3, pp. 48–56, Mar. 2002. [12] E. C. Fear, P. M. Meaney, and M. A. Stuchly, “Microwave for breast cancer detection?,” IEEE Potentials, vol. 22, pp. 12–18, Feb./Mar. 2003. [13] P. M. Meaney, M. W. Fanning, D. Li, S. P. Poplack, and K. D. Paulsen, “A clinical prototype for active microwave imaging of the breast,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 1841–1853, Nov. 2000. [14] A. E. Souvorov, A. E. Bulyshev, S. Y. Semenov, R. H. Svenson, and G. P. Tatsis, “Two-dimensional computer analysis of a microwave flat antenna array for breast cancer tomography,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 8, pp. 1413–1415, Aug. 2000. [15] A. E. Bulyshev, S. Y. Semenov, A. E. Souvorov, R. H. Svenson, A. G. Nazarov, Y. E. Sizov, and G. P. Tatsis, “Computational modeling of three-dimensional microwave tomography of breast cancer,” IEEE Trans. Biomed. Eng., vol. 48, no. 9, pp. 1053–1056, Sep. 2001. [16] A. J. Surowiec, S. S. Stuchly, J. R. Barr, and A. Swarup, “Dielectric properties of breast carcinoma and the surrounding tissues,” IEEE Trans. Biomed. Eng., vol. 35, no. 4, pp. 257–263, Apr. 1988. [17] X. Li, S. C. Hagness, B. D. Van Veen, and D. Van der Weide, “Experimental investigation of microwave imaging via space-time beamforming for breast cancer detection,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 8–13, 2003, vol. 1, pp. 379–382. [18] X. Li, S. Davis, S. C. Hagness, D. Van der Weide, and B. D. Veen Van, “Microwave imaging via space-time beamforming: Experimental investigation of tumor detection in multilayer breast phantoms,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1856–1865, Aug. 2004. [19] Y. Huo and R. Bansal, “Modeling of noninvasive microwave characterization of breast tumors,” IEEE Trans. Biomed. Eng., vol. 51, no. 7, pp. 1089–1094, Jul. 2004. [20] M. N. Afsar and U. Khan, “Measurement of broadband dielectric properties of 10% formalin and 1,4 dioxane using dispersive Fourier transform spectroscopy,” in Proc. IEEE Instrum. Meas. Technol. Conf., 2005, vol. II, pp. 925–928. [21] M. N. Afsar, Y. Wang, and A. Moonshiram, “Measurement of transmittance and permittivity of dielectric materials using dispersive fourier transform spectroscopy,” Microw. Opt. Technol. Lett., vol. 38, no. 1, pp. 27–30, 2003. [22] E. Kratzenberg, M. N. Afsar, and Y. Wang, “Complex permittivity measurements of chicken blood,” Microw. Opt. Technol. Lett., vol. 39, no. 1, pp. 54–56, 2003. [23] M. N. Afsar, A. Moonshiram, and Y. Wang, “Assessment of random and systematic errors in millimeter-wave dielectric measurement using open resonator and fourier transform spectroscopy systems,” IEEE Trans. Instrum. Meas., vol. 53, no. 4, pp. 899–906, Apr. 2004. [24] N. N. Almoayed and M. N. Afsar, “High resolution absorption coefficient and refractive index spectra of carbon monoxide gas at millimeter and submillimeter wavelengths,” IEEE Trans. Instrum. Meas., vol. 55, no. 4, pp. 1033–1037, Apr. 2006.

[25] N. N. Almoayed and M. N. Afsar, “High resolution absorption coefficient and refractive index spectra of pollutant gases at millimeter wavelengths,” in Proc. IRMMW-THz Conf., 2006, p. 470. [26] M. C. Nuss and J. Orenstein, “Terahertz time-domain spectroscopy,” in Millimeter and Submillimeter Wave Spectroscopy of Solids, G. Gruner, Ed. Berlin, Germany: Springer-Verlag, 1998, vol. 74, Topics Appl. Phys. [27] M. N. Afsar, I. I. Tkachov, and K. N. Kocharyan, “A novel -band spectrometer for dielectric measurements,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2637–2643, Dec. 2000. [28] M. N. Afsar, K. A. Korolev, L. Subramanian, and I. I. Tkachov, “Complex permittivity measurements of dielectric and semiconductors at millimeter waves with high power sources,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 12–17, 2005, pp. 2079–2082. [29] K. N. Kocharyan, M. N. Afsar, and I. I. Tkachov, “Millimeter-wave magnetooptics: New method for characterization of ferrites in the millimeter-wave range,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2636–2643, Dec. 1999. [30] M. N. Afsar, J. Chamberlain, and G. W. Chantry, “High precision dielectric measurements on liquids and solids at millimeter and sub millimeter wavelengths,” IEEE Trans. Instrum. Meas., vol. IM-25, no. 12, pp. 290–294, Dec. 1976. [31] M. N. Afsar, “Dielectric measurements of millimeter- wave materials,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 12, pp. 1598–1609, Dec. 1984. [32] M. J. Homer, H. Safaii, T. J. Smith, and D. J. Marchant, “The relationship of mammographic microcalcifications to histological malignancy: Radiologic–pathologic correlation,” Amer. J. Roentgenol., vol. 153, pp. 1187–1189, Dec. 1989. [33] U. Khan, N. Almoayed, N. Nguyen, M. Obol, K. Korolev, M. Afsar, and S. Naber, “High frequency characteristics of tumorous and non-tumorous breast tissues,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 3–8, 2007, pp. 1341–1344. [34] M. N. Afsar, J. B. Hasted, M. S. Zafar, and J. Chamberlain, “Absorption bands in liquid chloroform and bromoform,” Chem. Phys. Lett., vol. 36, no. 1, pp. 69–72, Oct. 1975. [35] W. T. Joines, Y. Zhang, C. Li, and R. L. Jirtle, “The measured electrical properties of normal and malignant human tissues from 50 to 900 MHz,” Med. Phys., vol. 21, no. 4, pp. 547–550, Apr. 1994. [36] M. Lazebnik, L. McCartney, D. Popovic, C. B. Watkins, M. J. Lindstrom, J. Harter, S. Sewall, A. Magliocco, J. H. Booske, M. Okoniewski, and S. C. Hagness, “A large-scale study of the ultrawideband microwave dielectric properties of normal breast tissue obtained from reduction surgeries,” Phys. Med. Biol., vol. 52, pp. 2637–2656, Apr. 2007. [37] S. Chaudhary, R. Mishra, A. Swarup, and J. Thomas, “Dielectric properties of normal and malignant human breast tissue at radiowave and microwave frequencies,” Ind. J. Biochem. Biophys., vol. 21, pp. 76–79, 1984. [38] A. M. Campbell and D. V. Land, “Dielectric properties of female human breast tissue measured in vitro at 3.2 GHz,” Phys. Med. Biol., vol. 37, pp. 193–210, Jan. 1992. [39] M. N. Afsar and J. B. Hasted, “Measurements of the optical constants of liquid H O and D O between 6 and 450 cm ,” J. Opt. Soc. Amer., vol. 67, pp. 902–904, Jul. 1977. [40] M. N. Afsar and J. B. Hasted, “Submillimetre wave measurements of optical constants of water at various temperatures,” Infrared Phys., vol. 18, pp. 835–841, Dec. 1978. [41] “Terahertz pulsed imaging and spectroscopy of breast tumors,” in Proc. SPIE, Boston, MA, Oct. 1–3, 2006, vol. 6386, p. 638610. [42] A. J. Fitzgerald, V. P. Wallace, J. Mercedes, R. J. Pye, A. Purushotham, and D. D. Arnone, “Terahertz pulsed imaging of human breast tumors,” Radiology, vol. 239, pp. 533–540, 2006.

W

Usman A. Khan (S’01) received the B.Sc. and M.Sc. degrees in electrical engineering from Tufts University, Medford, MA, in 2001 and 2003, respectively, and is currently working toward the Ph.D. degree in electrical engineering at Tufts University. He is currently a Research and Teaching Assistant with the Department of Electrical and Computer Engineering, Tufts University. His research interests include high-frequency dielectric properties of solid and liquid materials with a focus on biological substances. Mr. Khan is a member of Tau Beta Pi, Eta Kappa Nu, and the Golden Key National Honor Society. He is a reviewer for several IEEE TRANSACTIONS and IEE scientific–medical–technical (SMT) journals. He was the recipient of the Tufts University Presidential Award for Citizenship and Public Service.

KHAN et al.: BROADBAND DIELECTRIC CHARACTERIZATION OF TUMOROUS AND NONTUMOROUS BREAST TISSUES

Nawaf Al-Moayed (S’99) received the B.S. and M.S. degrees in electrical engineering from Tufts University, Medford, MA, in 2001 and 2003, respectively, and is currently working toward the Ph.D. degree in electrical engineering at Tufts University. Since 2003, he has been a Research Assistant with the Department of Electrical and Computer Engineering, Tufts University. His research interests are focused on the dielectric properties of solids and gases at millimeter and submillimeter wavelengths.

2893

was a Senior Scientist and Principal Investigator of several research projects. From September 1984 to August 1987, he was a Professor with the Department of Electrical Engineering, City University of New York. Since October 1987, he has been Professor and former Chairman of the Electrical and Computer Engineering Department, Tufts University, Medford, MA. He has authored or coauthored over 240 technical papers. Prof. Afsar is a Chartered Engineer (CEng). He has been a Fellow of the Institution of Electrical Engineers (IEE), U.K., since 1986. He was the recipient of the 1977 Duddell Premium (Prize) presented by the IEE and the 1992 Outstanding Research on Ferrites Award presented at the 6th International Conference on Ferrites, Tokyo, Japan. He was also the recipient of the 1997 Man of the Year Award presented by the American Biographical Institute Inc.

Nicholas Nguyen, photograph and biography not available at time of publication.

Konstantin A. Korolev, photograph and biography not available at time of publication.

Mohammed N. Afsar (SM’81–F’89) received the B.Sc. and M.Sc. degrees in physics from the University of Dhaka, Dhaka, Bangladesh, in 1965 and 1967, respectively, and the M.Sc.E.E. degree in microwaves and quantum electronics and Ph.D. in experimental physics from the University of London, London, U.K., in 1972 and 1978, respectively. From 1972 to 1978, he was with the National Physical Laboratory (NPL) of England, Division of Electrical Science. In 1978, he joined the Massachusetts Institute of Technology (MIT), Cambridge, where he

Stephen P. Naber received the Ph.D. degree in physiology from the University of Houston, Houston, TX, in 1974, and the M.D. degree from St. Louis University, St. Louis, MO, in 1974. He is Pathologist-in-Chief with the Tufts—New England Medical Center (Tufts—NEMC), Boston, MA, and the Associate Chairman of the Department of Pathology, Tufts University School of Medicine, Medford, MA. He was the Chief of the Division of Molecular Pathology and Genetics, Baystate Medical Center, Springfield, MA. A graduate of the St. Louis University School of Medicine, he completed his internship and residency at Tufts–NEMC. He also completed a Research Fellowship in Pathology with the Washington University School of Medicine, St. Louis, MO, and a Research Fellowship in Medicine with the Elliott P. Joslin Research Laboratory, Harvard Medical School. From 1989 to 1993, he was a Staff Pathologist with Tufts—NEMC. He has authored or coauthored numerous publications. His research and clinical interests include investigation of the molecular and cellular mechanisms of mammary gland development and susceptibility to breast neoplasia, molecular mechanisms of metastasis in mammary tumors, novel growth regulatory gene expression in human breast cancer, and the application of molecular biologic techniques in surgical pathology.

2894

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

RFID Tag and RF Structures on a Paper Substrate Using Inkjet-Printing Technology Li Yang, Student Member, IEEE, Amin Rida, Student Member, IEEE, Rushi Vyas, and Manos M. Tentzeris, Senior Member, IEEE

Abstract—In this paper, inkjet-printed UHF and microwave circuits fabricated on paper substrates are investigated for the first time as an approach that aims for a system-level solution for fast and ultra-low-cost mass production. First, the RF characteristics of the paper substrate are studied by using the microstrip ring resonator in order to characterize the relative permittivity ( ) and loss tangent (tan ) of the substrate at the UHF band for the first time reported. A UHF RFID tag module is then developed with the inkjet-printing technology, proving this approach could function as an enabling technology for much simpler and faster fabrication on/in paper. Simulation and well-agreed measurement results, which show very good agreement, verify a good performance of the tag module. In addition, the possibility of multilayer RF structures on a paper substrate is explored, and a multilayer patch resonator bandpass filter demonstrates the feasibility of ultra-low-cost 3-D paper-on-paper RF/wireless structures. Index Terms—Cavity resonator, dielectric characterization, inkjet printing, loss tangent, low-cost RF modules, multilayer, paper, printable electronics, relative permittivity, resonator bandpass filter (BPF), RF identification (RFID), ring resonator, UHF, wireless local area network (WLAN).

I. INTRODUCTION

W

ITH THE growth of demand for low-cost, flexible, and power-efficient broadband wireless electronics, the materials and integration techniques become more and more critical and face more challenges [1], especially with the ever increasing interest for “cognitive intelligence” and wireless applications such as third–generation (3G)/fourth–generation (4G) communication systems and WiMax. This demand is further enhanced by the need for inexpensive, reliable, and durable wireless RF identification (RFID)-enabled sensor nodes that is driven by several applications [2] such as logistics, aero-ID, anticounterfeiting, supply-chain monitoring, space, healthcare, pharmaceutical, and is regarded as one of the most important methods for realizing ubiquitous ad hoc networks. In this paper, paper-based electronics are introduced and investigated for the first time in the UHF and wireless local area network (WLAN) frequency bands. Paper is considered one of the best organic-substrate candidates for UHF and microwave

Manuscript received April 23, 2007; revised September 26, 2007. This work was supported by the Georgia Electronic Design Center and by the Georgia Institute of Technology NSF Packaging Research Center. The authors are with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909886

applications, while it features the industrial ability to be processed in a reel-to-reel fashion [3]. Needless to mention that paper is also environmentally friendly and one of the lowest cost materials used in the industry in terms of mass production. Paper can easily obtain a low surface profile with the application of appropriate coatings, which can enable the utilization of direct write methodologies such as inkjet-printing, to be used in replacement of relatively expensive wet-etching techniques. Such a fast process can be used to print electronics on the surface of a paper substrate or even embedded in a multilayer fashion. In addition, paper can be made hydrophobic and/or fire retardant by adding certain textiles. However, there are hundreds of different paper materials available in the commercial market, varying in density, coating, thickness, texture, etc. Each has its own RF characteristics. Therefore, the RF characterization of a paper substrate becomes a must for optimal designs utilizing this low-cost substrate. Some characterization work has been done in frequencies beneath the UHF band [4]–[6]. Cavity resonators were proposed for characterization above 30 GHz [1], but have not been preferable in lower frequency bands due to their large dimensions when the operational wavelength is at the scale of centimeters. Therefore, the microstrip ring resonator method was chosen to be used in the hereby presented paper characterization. In this paper, a thorough analysis has been performed in order to provide accurate broadband dielectric properties of paper substrates in UHF frequency for the first time. In Section II, the electrical characterization of paper substrates has been performed by using a microstrip ring resonator. In Section III, as a first-generation paper-based microwave testbed, an RFID tag module using T-match arms for the matching of the antenna to the integrated circuit (IC) was designed and printed on a paper substrate using conductive inkjet printing, and characterized experimentally. In addition, a two-layer patch resonator bandpass filter (BPF) for WLAN applications was designed and printed on a multilayer paper configuration to demonstrate the feasibility of realizing 3-D paper-on-paper wireless structures. II. RF CHARACTERIZATION OF PAPER SUBSTRATE The most precise methods for determining RF characteristics of the substrate are the resonator-based methods, including parallel-plate resonators, microstrip ring resonators, and cavity resonators. The parallel-plate resonator method is usually applied at low frequencies beneath the UHF band [4]. In the UHF band and higher frequencies, the microstrip ring resonator method provides dielectric information at periodic resonant peaks. Among the critical needs for the selection of the right type of paper for electronics applications are the surface planarity, water repelling, lamination capability for 3-D module development,

0018-9480/$25.00 © 2007 IEEE

YANG et al.: RFID TAG AND RF STRUCTURES ON PAPER SUBSTRATE USING INKJET-PRINTING TECHNOLOGY

2895

TABLE I RING RESONATOR AND TRL LINE DIMENSIONS

Fig. 1. Microstrip ring resonator configuration diagram.

via-forming ability, adhesion, and co-processability with lowcost manufacturing. For the trial runs, a commercially available paper with hydrophobic coating was selected. The thickness of a single sheet of paper is 260 3 m. The layout of the microstrip ring resonator is shown in Fig. 1. The ring resonator produces results with periodic frequency can be exresonances. In this method, relative permittivity tracted from the location of the resonances of a given radius is extracted from the ring resonator, while loss tangent quality factor of the resonance peaks along with the theoretical calculations of the conductor losses. Since the conductivity of the conductive ink varies with the curing temperature and duration time [7], an 18- m-thick copper foil was selected as the metallic material heat-bonded on both sides of the paper substrate in order to accurately model and deembed the conductive loss of the microstrip circuit. The photolithography process was conducted using a dry film photoresist followed by UV exposure and finally etching copper using a slow etching methodology. The paper substrate was then dried at 100 C for 30 min. To investigate the sensitivity of the results to the paper thickness, as well as to investigate the effect of the bonding process, three and nine sheets of paper were directly heat-bonded together to grow thickness, without any extra adhesive layers. Two microstrip ring resonators were designed and fabricated on these two paper substrates, respectively. The effects of the input and output feeding lines were deembedded using the thrureflect-line (TRL) calibration on the TRL lines that were designed to be a quarter-wavelength long at different frequencies over the range of measurement [8]. A reference plane was set at the edge of the coupling gap to the resonator. Therefore, only the response of the resonating ring element was effectively measured. Ansoft’s full wave electromagnetic solver High Frequency Structure Simulator (HFSS) was used to assist the designs. Ring dimensions and TRL lines lengths are shown in Table I. The measurements were performed using Agilent’s 8530A vector network analyzer (VNA), leading to the values listed in Table II, that feature the peak positions, 3-dB bandwidth, and insertion loss at the resonant frequencies, as shown in Fig. 2. The relative permittivity can be extracted from the effective relative permittivity and the dimensions of the microstrip [9] by using (1) as follows: (1)

TABLE II RING RESONATOR RESONANT MODES

Fig. 2. Measured and simulated S of the ring resonator configuration A. Peak positions and 3-dB bandwidth at the three resonant frequencies were used to extract the relative permittivity and the loss tangent of the paper substrate.

0

where is a function of the ring radius , the th resonant frequency obtained from measurement of the insertion loss, and the speed of light in vacuum [9], as defined in (2) as follows: (2) and in (1) is a function of the thickness of the paper and of the fringing effects on the microstrip edges, which can be

2896

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

The ring attenuation due to radiation were computed using [12]–[14], and were found to be negligible, and therefore ignored in the computation of the attenuation due to the dielectric. The attenuation in the rings due to conductor losses were computed using formulas given in [10] and [15]–[17]. However, the result given by the formula in [10] was chosen since it included the effect of surface roughness, which is shown in (6) as follows:

Fig. 3. Extracted relative permittivity of paper at the resonant frequencies listed in Table II. Error bar shows a 95% two-sided confidence interval in a linear regression model using the method of least squares.

calculated as a function of shown in (3) as follows:

and conductor thickness [10], as (6)

(3) in (3) is the effective strip width accounting for the nonzero strip thickness and is given by (4) as follows: (4) The values of the relative permittivity extracted at the three resonating modes’ frequencies for each ring resonator are shown in Fig. 3. The lowest value obtained was 3.2 and the highest was 3.5 in the range of 0.5–2.5 GHz with a slight decrease with increasing frequency. The same behavior has been observed in other material characterization measurements conducted in low frequencies [4]. Lower is expected at a higher frequency band, as verified by the cavity resonator measurements in [1]. The uncertainty in includes errors due to the ring resonator dimensions, sample thickness, and resonant frequency. Linear regression analysis was applied to estimate the confidence interval of results under the rule of least squares. A 95% two-sided confidence interval is , in which is the unbiased estimator of variance [11]. The corresponding error bar was plotted in Fig. 3. The loss in the rings occurs mainly due to the conductors, lossy dielectrics, and radiation. The loss tangent of the paper substrate is a function of only the attenuation due to the dielectric at the resonant frequency [10] and is computed using (5) as follows: (5) is the wavelength of the free-space radiation from where the rings at the resonant frequencies. was extracted by subtracting the attenuation due to the conductor and radiation from the total attenuation that occurs in the structure at the resonant frequencies.

is the ac resistance of the copper conductor in the where rings in ohms that includes the effects of surface roughness and skin depth , given by (7) as follows: (7) The total attenuation in the resonator is obtained from its unloaded quality factor given in (8) as follows: (8) where the effective wavelength of the TEM signal in the ring resonator , calculated as a function of the free-space wavelength , using (9) as follows: (9) in (8) is obtained from the The unloaded quality factor insertion loss (LA) and the 3-dB bandwidth measured at the resonant frequency using (10) as follows: (10) The loss tangent extracted from ring B at three different resonating frequencies is shown in Fig. 4. It shows values between 6 10 and 8 10 . With the aim of verifying the loss tangent by other methods, a simulation-based transmission line (TL) method was utilized. A microstrip line with a length of 74.8 mm and a width of 2.53 mm was fabricated on the same paper material. Simulation results for conductor and radiation losses and , respectively, of the microstrip lines were subtracted from the total loss . This was done by simulating a microstrip line with no dielectric loss in HFSS and extracting and , then subtracting these effects from the total measured

YANG et al.: RFID TAG AND RF STRUCTURES ON PAPER SUBSTRATE USING INKJET-PRINTING TECHNOLOGY

2897

Fig. 5. SEM images of a layer of printed silver nanoparticle ink, after a 15-min curing at 100 C and 150 C, respectively. At higher temperature, gaps between nanoparticles diminish, forming a continuous metal layer for the electrons to flow.

Fig. 4. Paper loss tangent versus frequency measured with the microstrip ring resonator method and TL method. The error bar shows the variance with 10% . uncertainty in measured quality factor

Q

loss. The TL method results are also plotted in Fig. 4, showing good agreement with the microstrip ring resonator method. data set revealed that Regression analysis from the linear regression was not a good estimation in calculating the . Since uncertainty of the measured quality uncertainty in is the major error source [18], if other uncertainties factor are neglected, such as resonant frequency, the uncertainty in can be calculated from the uncertainty in the measured . For these sets of measurements, a 10% uncertainty in measured was assumed [19]. The calculated uncertainty in is shown in the error bar in Fig. 4. The average values of the measured relative permittivity (3.2) and the loss tangent 7.7 10 were adopted in the full-wave HFSS simulation. A good agreement in terms of resonant peak positions between measured and simulated results is shown in Fig. 2. To be noticed, when the frequency range extends to 30 GHz, the roughness of the metal surface potentially approaches the skin depth, resulting in an inaccurate loss tangent extraction, which usually requires acceptable theoretical equations for microstrip conductor losses [20]. In this case, the cavity resonator method provides a higher level of accuracy compared with the other methods, and has no requirement of a pretreatment on the substrate [1].

III. INKJET-PRINTED MICROWAVE CIRCUITS ON PAPER SUBSTRATE The realization of paper substrate RF dielectric characterization paves the way for a system-level solution for ultra-low-cost production in UHF, wireless, and microwave applications. For example, the major challenges existing in today’s RFID technologies advancing toward the practical large-scale implementation stage is to lower the cost of the RFID tags and reduce the design and fabrication cycle. Most cost models indicate that individual tags must cost less than one cent to be economically viable for practical applications [21]. The effective characterization of paper in wireless/RF frequency band substrates provides for the first time the possibility to dramatically reduce

the cost of RFID tags. Here, inkjet printing as a fast and economical fabrication technique will be introduced first, then an inkjet-printed paper-based RFID tag will be demonstrated. 3-D paper-on-paper integration procedure as a potential replacement for the relatively expensive ceramics [low-temperature co-fired ceramic (LTCC)] process and organics [liquid crystal polymer (LCP)] will also be discussed. A. Inkjet-Printing Technique Inkjet printing is a direct-write technology by which the design pattern is transferred directly to the substrate, and there is not requirement of masks compared with the traditional etching technique, which has been widely used in industry. Besides that, unlike etching, which is a subtractive method by removing unwanted metal from the substrate surface, inkjet-printing jets the single ink droplet from the nozzle to the desired position, therefore, no waste is created, resulting in an economical fabrication solution. Silver nanoparticle inks are usually selected in the inkjet-printing process to ensure a good metal conductivity. After the silver nanoparticle droplet is driven through the nozzle, a sintering process is found to be necessary to remove excess solvent and to remove material impurities from the depositions. The sintering process also provides the secondary benefit of increasing the bond of the deposition with the paper substrate [22]. The conductivity of the conductive ink varies from 0.4 2.5 10 S/m depending on the curing temperature and duration time. Fig. 5 shows the difference between heating temperature 100 C and 150 C after a 15-min curing. At lower temperature, large gap exists between the particles, resulting in a poor connection. When the temperature is increased, the particles begin to expand and gaps start to diminish. That guarantees a virtually continuous metal conductor, providing a good percolation channel for the conduction electrons to flow. There is also a difference between sintering a thin-film layer and a bulk form. The temperature distribution can be assumed to be a constant in a thin film layer; however, a significant temperature gradient in the bulk form is resulting in a different conductivity distribution inside the inkjet-printed layers. In this paper, a bulk inkjet-printed layer, which allows the realization of the right metal thickness, is the form used to ensure the conductivity performance of microwave circuits such as RFID modules and multilayer BPFs. Curing temperature of 120 C and duration time of 2 h is used in the following fabrication to sufficiently cure the nanoparticle ink.

2898

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 6. T-match folded bow-tie RFID tag module configuration. The dimensions were optimized based on the paper substrate characterization results.

B. RFID Tag Module The demand for flexible RFID tags has rapidly increased due to the requirements of automatic identification in item-level tracking [23]. One of the main challenges in designing a passive RFID is the impedance matching between the terminals of the tag antenna and those of the IC, which exhibits complex input impedance that is commonly extremely high or low. This requires a conjugate matching technique such as series or parallel stubs and/or using inductive coupling [24]. The matching network of the tag has to guarantee the maximum power delivered to the IC that is used to store the data transmitted to and receive from the RFID reader. Another challenge concerns the wideband operation capability of RFID tags for truly global operation. The UHF RFID band varies in frequency, power levels, number of channels, and sideband spurious limits of the RFID readers signal depending on the application and the area of operation (North America: 902–928 MHz, Europe: 866–868 MHz, Japan: 950–956 MHz). An RFID tag that has the capability of operating worldwide is becoming more of a necessity, for example, in the implementation of a secured identification system among ports/airports receiving or exporting cargo from other regions; nevertheless, it poses serious design challenges due to stringent size and cost limitations. To achieve these design goals, a T-match folded bow-tie halfwavelength dipole antenna [25] was designed and fabricated on the characterized paper substrate by inkjet printing. This design was used for the matching of the passive antenna terminals to and the TI RI-UHF-Strap-08 IC with resistance reactance modeled by a capacitor with value pF [26]. The RFID prototype structure is shown in Fig. 6 along with dimensions with the IC placed in the center of the T-match arms. The T-match arms are also responsible for the matching of the impedance of the antenna terminals to that of the IC through the . fine tuning of the length , height , and width The design was inkjet printed with Dimatix Materials Printer DMP-2800. In measurement, a GS 1000- m-pitch probe connected to a UHF balun to ensure the balanced signal between the arms of the T-match folded dipole antenna was used for impedance measurements. In order to minimize backside reflections of this type of antenna, the fabricated or inkjet printed antennas were placed on a custom-made probe station using high-density polystyrene foam with low relative permittivity of value 1.06 resembling that of free space [27]. The calibration method used was short-open-load-thru (SOLT). Fig. 7 shows the impedance plots. As shown in Fig. 7(a), the simulated resistance

Fig. 7. Measured and simulated input resistance and reactance of the inkjetprinted RFID tag. (a) Resistance (b) Reactance. In the UHF RFID band, the IC chip exhibits a resistance of 380 and a reactance of 2.8 pF. Conjugate matching is desired for maximum power delivery.

for the antenna in the UHF RFID frequency range maintains a value close to 380 between the two successive peaks. The reactance part of the impedance, as shown in Fig. 7(b), features a positive value with a linear variation with frequency pertaining to an inductance value that conjugately matches or equivalently cancels the effect of the 2.8-pF capacitance of the IC. Fairly good agreement was found between the simulation and measurement results. The distortion is possibly due to the effect of the metal probe fixture, which affects the measured radiating near field. The return loss of this antenna was calculated based on the power reflection coefficient, which takes into account the reactance part of the IC’s impedance [28]

(11) represents the impedance of the IC and repwhere resents the impedance of the antenna terminals with being its conjugate. The return-loss plot is shown in Fig. 8, demonstrating a good agreement for both paper metallization approaches. The nature of the bow-tie shape of the half-wavelength dipole antenna body allows for a broadband operation

YANG et al.: RFID TAG AND RF STRUCTURES ON PAPER SUBSTRATE USING INKJET-PRINTING TECHNOLOGY

2899

Fig. 8. Return loss of the RFID tag antenna that covers the universal UHF RFID band. Measurement results from the inkjet-printed tag and the heat-bonded copper tag demonstrate a good agreement for both paper metallization approaches.

with a designed bandwidth of 190 MHz corresponding to 22% around the 854-MHz center frequency, which covers the universal UHF RFID bands. It has to be noted that the impedance value of the IC stated above was provided only for the UHF RFID frequency, which extends from 850 to 960 MHz; thus, the return loss outside this frequency region, shown in Fig. 8, may vary significantly due to potential IC impedance variations with frequency. In order to verify the performance of the ink-jet printed RFID antenna, measurements were performed on a copper-metallized antenna prototype with the same dimensions fabricated on the same paper substrate using the slow etching technique mentioned before. The return loss results in Fig. 8 show that the return loss of the inkjet-printed antenna is very slightly larger than the copper one. Overall, a good agreement between the copper etched and the inkjet-printed antennas was observed despite the higher metal loss of the silver-based conductive ink. The radiation pattern of the inkjet-printed antenna was measured in a microwave chamber. The radiation pattern is almost uniform (omnidirectional) at 915 MHz with directivity around 2.1 dBi. The IC strap was attached to the IC terminal with H2OE Epo-Tek silver conductive epoxy cured at 80 C. An UHF RFID reader was used to detect the reading distance at different directions to the tag. These measured distances are theoretically proportional to the actual radiation pattern. The normalized radiation patterns of simulation, microwave chamber measurement, and reader measurement are plotted in Fig. 9, showing very good agreement between simulations and measurements, which can be also verified for other frequencies within the antenna bandwidth. C. 3-D Paper-on-Paper Integration Limitations in integrating RF passive components using standard CMOS-based technology have driven the trend towards hybrid packaging techniques involving the integration of system-on-chip (SOC) chipsets with passives and power amplifier modules on microwave substrates to form system-on-package (SOP)-based miniaturized modules in the wireless industry. This trend has led to an increase in the research on the use of relatively expensive laminated substrates,

Fig. 9. Normalized 2-D far-field radiation pattern plots of simulation, chamber measurement, and tag reading distance measurement. An omnidirectional radiation pattern can be observed at the 8 = 0 plane with directivity around 2.1 dBi.

such as ceramics (LTCC) and organics (LCP) [29], which has driven up costs of wireless front-end modules. The extremely low cost of paper and its feasibility for making multilayer inkjet-printed passive structures offer a unique opportunity to offset higher packaging costs involved in current wireless front-end modules. Fig. 10 demonstrates the suggested fabrication steps for the development of multilayer (3-D) coplanar multilayer RF circuits on paper substrate. Heat bonding is used to laminate sheets of paper to grow substrate thickness. In experiments, the low melting temperature of the hydrophobic layer covering the paper substrate was found to have a positive effect in ensuring a good adherence. Inkjet printing is used to realize TLs, resistors, capacitors, and inductors on the paper surface, following by a curing process. A three-stage slotted-patch BPF for 2.4 GHz WLAN application was designed and printed on/in a paper substrate to demonstrate the feasibility of this solution. The configuration of the proposed structure is shown in Fig. 11(a) utilizing three laminated paper layers. The feeding lines and the middle stage of the filter are realized on the surface layer, while the first and third stages of the filter are embedded in the middle layer. The circuit layout on each layer was inkjet printed independently at the first step. After alignment, the PHI laminator Q-247C4 was utilized for the bonding process, while ten tons

2900

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

IV. CONCLUSION The dielectric characteristics of paper have been investigated for the first time in the UHF range and have been utilized to design and fabricate RF passive structures using a novel inkjetprinting process. A compact RFID tag module with a T-match folded bow-tie structure to match the antenna to the IC was printed on the characterized paper substrate and tested, featuring very good overall performance. The use of the inkjet-printing process in the development of multilayer paper-on-paper structures has also been demonstrated, verifying that paper-based inkjet-printing topologies offer a very low-cost eco-friendly solution to system-level packaging for UHF, wireless, and microwave applications. ACKNOWLEDGMENT The authors would like to thank P. O. Iversen, Kennesaw, GA, for supplying the microwave chamber in the antenna radiation measurement. In addition, the authors are grateful to S. Bhattacharya, B. Pan, and Z. Liu, all with the Georgia Institute of Technology, Atlanta, for assisting with the etching processes and SEM operation. Fig. 10. Conceptual passive microwave circuit embedded process in paper substrate.

Fig. 11. Three-stage inkjet-printed multilayer patch resonator BPF after the laminating process. The cross hair pattern is used for alignment.

of RAM force was applied under 93 C. The fabricated multilayer patch resonator BPF is shown in Fig. 11(b). It has to be noted that a paper-based multilayer technology would allow for the lightweight miniaturization of “cognitive” sensing devices, through the embedding of ICs, as well as of printed batteries, sensors, and power scavenging devices.

REFERENCES [1] L. Yang and M. M. Tentzeris, “Design and characterization of novel paper-based inkjet-printed RFID and microwave structures for telecommunication and sensing applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1633–1636. [2] T. Mishima, K. Tanaka, N. Abe, and H. Taki, “Toward construction of a mobile system with long-range RFID sensors,” in Proc. IEEE Cybern. Intell. Syst. Conf., 2004, vol. 2, pp. 960–965. [3] M. Berggren, T. Kugler, T. Remonen, D. Nilsson, M. Chen, and P. Norberg, “Paper electronics and electronic paper,” in Proc. IEEE Polymers and Adhesives in Microelectron. Photon. Conf., Oct. 2001, pp. 300–303. [4] S. Simula, S. Ikalainen, and K. Niskanen, “Measurement of the dielectric properties of paper,” J. Imag. Sci. Technol., vol. 43, no. 5, Sep. 1999. [5] H. Ichimura, A. Kakimoto, and B. Ichijo, “Dielectric property measurement of insulating paper by the gap variation method,” IEEE Trans. Parts, Mater., Packag., vol. PMP-4, no. 2, pp. 35–40, Jun. 1968. [6] L. Apekis, C. Christodoulides, and P. Pissis, “Dielectric properties of paper as a function of moisture content,” in Proc. 5th Int. Dielectric Mater., Meas., Applicat. Conf., Jun. 27–30, 1988, pp. 97–100. [7] V. Marinov, “Electrical resistance of laser sintered direct-write deposited materials for microelectronic applications,” J. Microelectron. Electron. Packag., vol. 1, no. 4, pp. 261–268, 2004. [8] R. B. Marks, “A multiline method of network analyzer calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 1205–1215, Dec. 1991. [9] G. Zou, H. Gronqvist, P. Starski, and J. Liu, “High frequency characteristics of liquid crystal polymer for system in a package application,” in Proc. IEEE 8th Int. Adv. Packag. Mater. Symp., Mar. 2002, pp. 337–341. [10] G. Zou, H. Gronqvist, P. Starski, and J. Liu, “Characterization of liquid crystal polymer for high frequency system-in-a-package applications,” IEEE Trans. Adv. Packag., vol. 25, no. 4, pp. 503–508, Nov. 2002. [11] M. H. Kutner, C. J. Nachtsheim, J. Neter, and W. Li, Applied Linear Statistical Models, 5th ed. New York: McGraw-Hill, 2005. [12] L. J. van der Pauw, “The radiation of electromagnetic power by microstrip configurations,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 9, pp. 719–725, Sep. 1977. [13] M. D. Abouzahra and L. Lewin, “Radiation from microstrip discontinuities,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 8, pp. 722–723, Aug. 1979. [14] P. Guillon and Y. Garault, “Complex permittivity of MIC substrate,” in Arch. Elektr. Ubertragung, 1981, pp. 102–104. [15] R. A. Pucel, D. J. Massé, and C. P. Hartwig, “Losses in microstrip,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 6, pp. 342–350, Jun. 1968. [16] B. C. Wadell, Transmission Line Design Handbook. Norwood, MA: Artech House, 1991, pp. 93–99. [17] K. C. Gupta, R. Garg, I. Bahl, and P. Bhartia, Microstrip Lines and Slotlines, 2nd ed. Norwood, MA: Artech House, 1996, pp. 108–109.

YANG et al.: RFID TAG AND RF STRUCTURES ON PAPER SUBSTRATE USING INKJET-PRINTING TECHNOLOGY

Q

[18] K. J. Coakley, J. D. Splett, and M. D. Janezic, “Estimation of factors and resonant frequencies,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 862–868, Mar. 2003. [19] The NIST 60-Millimeter Diameter Cylindrical Cavity Resonator: Performance for Permittivity Measurements, NIST Standard TN-1354, 1993. [20] D. C. Thompson, O. Tantot, H. Jallageas, G. E. Ponchak, M. M. Tentzeris, and J. Papapolymerou, “Characterization of liquid crystal polymer (LCP) material and transmission lines on LCP substrates from 30–110 GHz,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1343–1352, Apr. 2004. [21] V. Subramanian and J. Frechet, “Progress toward development of allprinted RFID tags: Materials, processes, and devices,” Proc. IEEE, vol. 93, no. 7, pp. 1330–1338, Jul. 2005. [22] M. Carter, J. Colvin, and J. Sears, “Characterization of conductive inks deposited with maskless mesoscale material deposition,” in TMS2006, San. Antonio, TX, Mar. 12–16, 2006 [Online]. Available: http://www. nanoscale.com/markets/ [23] L. Yang, S. Basat, A. Rida, and M. M. Tentzeris, “Design and development of novel miniaturized UHF RFID tags on ultra-low-cost paper-based substrates,” in Proc. Asia–Pacific Microw. Conf., Yokohama, Japan, Dec. 2006, pp. 1493–1496. [24] L. Yang, S. Basat, and M. M. Tentzeris, “Design and development of novel inductively couple RFID antennas,” in Proc. IEEE AP-S Symp., Albuquerque, NM, Jul. 2006, pp. 1035–1038. [25] C. Balanis, Antenna Theory, Analysis and Design, 3rd ed. New York: Wiley, 2005. [26] Texas Instrument Incorporated, Dallas, TX, “UHF Gen2 Strap RI-UHF-STRAP-08,” Data Sheet, Oct. 2006. [27] S. D. Kulkarni, R. M. Boisse, and S. N. Makarov, “A linearly-polarized compact UHF PIFA with foam support,” Dept. Elect. Eng., Worcester Polytech. Inst., Worcester, MA, 2006. [28] P. V. Nikitin, S. Rao, S. F. Lam, V. Pillai, and H. Heinrich, “Power reflection coefficient analysis for complex impedances in RFID tag design,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2721–2725, Sep. 2005. [29] G. DeJean, R. Bairavasubramanian, D. Thompson, G. E. Ponchak, M. M. Tentzeris, and J. Papapolymerou, “Liquid crystal polymer (LCP): A new organic material for the development of multilayer dual-frequency/dual-polarization flexible antenna arrays,” IEEE Antennas Wireless Propag. Lett., vol. 4, pp. 22–26, 2005. Li Yang (S’04) received the B.S. and M.S. degrees in electronic engineering from Tsinghua University, Beijing, China, in 2002 and 2005, respectively, and is currently working toward the Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology, Atlanta. He is a Graduate Research Assistant with the ATHENA Research Group, Georgia Electronic Design Center (GEDC), Atlanta, GA. His research interests include RFID technology, characterization of organic substrates for RF applications, and the design of wireless transceivers for sensing and power scavenging applications. Mr. Yang was the recipient/corecipient of the 2007 IEEE Antennas and Propagation Society (IEEE AP-S) Symposium Best Student Paper Award, the 2007 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Third Best Student Paper Award, the 2007 ISAP Poster Presentation Award, and the 2006 Asia–Pacific Microwave Conference Award.

Amin Rida (S’06) received the B.S. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2006, and is currently working toward the Ph.D. degree at the Georgia Institute of Technology. He is currently with the Georgia Electronic Design Center (GEDC), Atlanta, GA. His research interests include characterization of organic substrates for RF applications, design of UHF antennas for RFID applications, and development of wireless transceivers for sensing and power scavenging applications.

2901

Rushi Vyas received the B.S. degree in electrical and computer engineering (with a background in analog-RF, power, and digital controllers) from the Georgia Institute of Technology, Atlanta, in 2005, and is currently working toward the Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology. He is currently a Graduate Research Assistant with the ATHENA Research Group, Georgia Electronic Design Center (GEDC), Atlanta, GA. His research involves characterization of organic substrates for RF applications and the design and development of wireless transceivers for sensing applications on organic substrates.

Manos M. Tentzeris (S’89–M’98–SM’03) received the Diploma degree in electrical and computer engineering from the National Technical University of Athens, Athens, Greece, in 1992, and the M.S. and Ph.D. degrees in electrical engineering and computer science from The University of Michigan at Ann Arbor, in 1993 and 1998, respectively. He is currently an Associate Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta. He has authored or coauthored over 260 papers in refereed journals and conference proceedings, two books, and ten book chapters. He has helped develop academic programs in highly integrated/multilayer packaging for RF and wireless applications, microwave microelectromechanical systems (MEMS), SOP integrated antennas and adaptive numerical electromagnetics (finite difference time domain (FDTD), multiresolution algorithms), and heads the ATHENA Research Group (15 researchers). He is the Georgia Institute of Technology National Science Foundation (NSF) Packaging Research Center Associate Director for RF Research and the RF Alliance Leader. He is also the leader of the Novel Integration Techniques Subthrust of the Broadband Hardware Access Thrust of the Georgia Electronic Design Center (GEDC) of the State of Georgia. During the summer of 2002, he was a Visiting Professor with the Technical University of Munich, Munich, Germany, where he introduced a course in the area of high-frequency packaging. He has given over 40 invited talks in the same area to various universities and companies in Europe, Asia, and the U.S. Dr. Tentzeris is a member of URSI Commission D, an associate member of the European Microwave Association (EuMA), and a member of the Technical Chamber of Greece. He was the 1999 Technical Program co-chair of the 54th ARFTG Conference, Atlanta, GA, and he is the vice-chair of the RF Technical Committee (TC16) of the IEEE Components, Packaging, and Manufacturing Technology (CPMT) Society. He has organized various sessions and workshops on RF/Wireless Packaging and Integration in IEEE ECTC, IMS, and AP-S Symposia, for all of which he is a member of the Technical Program Committee in the area of components and RF. He was the recipient of the 2003 National Aeronautics and Space Administration (NASA) Godfrey “Art” Anzic Collaborative Distinguished Publication Award for his activities in the area of finite-ground low-loss low-crosstalk CPWs, the 2003 IBC International Educator of the Year Award, the 2003 IEEE CPMT Outstanding Young Engineer Award for his work on 3-D multilayer integrated RF modules, the 2002 International Conference on Microwave and Millimeter-Wave Technology Best Paper Award (Beijing, China) for his work on compact/SOP-integrated RF components for low-cost high-performance wireless front-ends, the 2002 Georgia Institute of Technology Electrical and Computer Engineering Outstanding Junior Faculty Award, the 2001 ACES Conference Best Paper Award, the 2000 NSF CAREER Award for his work on the development of multiresoluation time-domain (MRTD) technique that allows for the system-level simulation of RF integrated modules, and the 1997 Best Paper Award of the International Hybrid Microelectronics and Packaging Society for the development of design rules for low-crosstalk finite-ground embedded TLs. He was the recipient/corecipient of the 2007 IEEE AP-S Symposium Best Student Paper Award, the 2007 IEEE IMS Third Best Student Paper Award, the 2007 ISAP 2007 Second Best Poster Presentation Award, the 2006 IEEE MTT-S Outstanding Young Engineer Award, and the 2006 Asia–Pacific Microwave Conference Award.

2902

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

W

Design and Characterization of a -Band Micromachined Cavity Filter Including a Novel Integrated Transition From CPW Feeding Lines Yuan Li, Student Member, IEEE, Bo Pan, Student Member, IEEE, Cesar Lugo, Member, IEEE, Manos Tentzeris, Senior Member, IEEE, and John Papapolymerou, Senior Member, IEEE

Abstract—This paper demonstrates a novel coplanar waveguide (CPW) to rectangular waveguide (RWG) transition and its applica-band for tion as an integration enabling structure for filters at the first time to the best of the authors’ knowledge. In the proposed wideband transition, the CPW and RWG are integrated on one side of the substrate, while the coupling probe is patterned directly on the substrate instead of being made separately. The transition is fabricated using silicon micromachining and thick-film surface micromachining techniques with high precision, which is suitable for millimeter-wave subsystems and are easily extendable to terahertz applications compared to the existing approaches. In the proposed filter design, the metallized probes are used to couple signals from the CPW to waveguide resonant cavities, therefore, the need for waveguide input/output ports is eliminated. This significantly reduces the size of the filter by approximately 30%. The transition and filter components are optimized using Ansoft’s High Frequency Structure Simulator 10 (HFSS 10). The measured response of the filter has the center frequency at 96.6 GHz, 2.9% bandwidth, and a 4.14-dB insertion loss in the passband. Index Terms—Cavity filter, coplanar waveguide (CPW), micro-band. machining, transition,

I. INTRODUCTION ECENTLY, communication and satellite systems are seeking a fully integrated solution where waveguide components can be accessed through planar circuit boards such as printed microstrip and coplanar waveguide (CPW) lines. Some intrinsic obstacles in this integration effort can be tackled through the reconciliation of the many fundamental differences that exist between planar and waveguide transmission. The rectangular waveguide (RWG) has the advantages of low loss and high power capacity. However, the traditional RWG at low frequencies is bulky, making their integration into system-on-chip geometries almost impossible. Nevertheless, the size of RWG shrinks dramatically at or above the millimeter-wave frequency range or even in terahertz frequencies [1]–[3]. Meanwhile, the losses of other compact transmission lines, such as microstrips, keep increasing when the frequency

R

Manuscript received May 2, 2007; revised August 20, 2007. This work was supported in part by the National Science Foundation under NSF Career Grant ECS-0133514 and by the Army Research Office under Young Investigator Award Grant 45799-EL-YIP. The authors are with the Georgia Electronic Design Center, School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909615

goes up. The integration of subsystems into a packaged solution with a combination of planar and waveguide components provides advantages in terms of high performance with reduced size. For this reason, it is crucial to build an understanding of planar to waveguide transition structures and their application in microwave component designs at millimeter-wave frequencies. In the existing approaches, the transitions [4]–[6] with conventional machining techniques are not easily adopted by micromachining, which is feasible for the integration of sub-millimeter-wave circuits. The transitions from planar waveguides to substrate integrated rectangular waveguides (SIRWs) are reported [7]–[10]. These transitions have the advantages of using a low-cost printed circuit board (PCB) process. However, they suffer the reduced factor due to the dielectric filling, as well as relatively large minimum slot and linewidth. In the meantime, several transitions are demonstrated using the low temperature co-fired ceramic (LTCC) technique not only from the planar waveguide to RWG [11], [12], but also from laminated waveguide to air-filled waveguide [13]. These transitions implemented with the LTCC technique provide good responses, although they are limited by using metal vias to form the cavity. In [14] and [15], the transitions from the CPW line to RWG on a silicon substrate are reported with wet and dry etching techniques. The coupling probe is fabricated separately and has to be assembled on the substrate. In our previous research [16], a novel transition is proposed. It has the advantage of high precision and easier mass production by using silicon micromachining and thick-film surface micromachining techniques. The CPW, probes, and RWG are patterned and integrated on the same side of the substrate. In [16], the back-to-back transition is designed. The measured -parameters are quite encouraging and the preliminary transition design is discussed. In this paper, the comprehensive design analysis of the transition is given, as well as the parameters study; secondly, a waveguide filter that takes full advantage of this transition is demonstrated for the first time. The fabrication, experimental results, and the discussion of the two-pole filter are finally presented. II. TRANSITION DESIGN THEORY A. Transition Analysis This CPW to RWG transition is shown in Fig. 1. It consists of two sections: a section from the CPW line to coaxial structure and a section from the coaxial structure to RWG. A CPW to coaxial structure transformation is simple to implement since

0018-9480/$25.00 © 2007 IEEE

LI et al.: DESIGN AND CHARACTERIZATION OF

-BAND MICROMACHINED CAVITY FILTER

2903

TABLE I DIMENSIONS OF THE TRANSITION [16]

Fig. 1. Schematic diagram of the transition [16].

Fig. 2. CPW and the coupling probe [16] (the transition is shown in half from the center of the broad wall, the metallized silicon sidewalls are not shown).

they both support TEM-mode (or quasi-TEM-mode) transmission; a characteristic impedance-matching geometry can be realized. From the coaxial structure to RWG, exciting the dominant TE10 mode of the RWG using a coaxial structure has been well established; the outer conductor is attached to the broad wall of the RWG, while the center conductor, the probe, extends into the RWG through an aperture at the center of the broad wall. This section can be optimized by adjusting the height of the probe in the RWG and the length of the backshort, which is the distance from the probe to the waveguide short. The impedance presented to the extending probe by the RWG is the characteristic impedance of the waveguide in parallel with the impedance introduced by the backshort. The equation to calculate the input presented to the coaxial structure is given by the resistance following equation [17]: (1) is the wave impedance of the waveguide at the TE10 where mode, and are the dimensions of the waveguide, is the wavenumber, is the propagation constant, is the length of the backshort, and is the height of the probe in the RWG. In . denotes the Fig. 2, the length of the backshort is

total height of the probe including two parts: , the height of the probe in the RWG and the height of the probe in the coaxial represents the remaining thickness of the wafer structure. after a tunnel is etched to accommodate the CPW feeding. is the radius of the probe. is the width of the center conductor is the width of the CPW slot. of the CPW. The initial values of and the length of the backshort can be determined by matching the impedance from the coaxial structure to the RWG. This design rule initially gives the height of the probe in the RWG over half of the waveguide height. This configuration is hard to implement due to the aspect-ratio limit in our fabrication. The height of the standard WR-10 waveguide is 1.27 mm and half of it is over 600 m. The total height of the probe ( ) will be close to 1 mm including the coaxial part. To get a sufficiently good impedance matching while using a shorter probe, the aperture radius on the broad wall can be used as an additional design variable. Decreasing the probe height in the RWG is equivalent to reducing the coupling between the probe and waveguide. Different from the current probe transition in [9], an impedance transformation is taken into account [18]. This open-circuited probe transition is suitable for wideband design [19]. To compensate for the mismatching over wideband, a short-end CPW stub is introduced. It helps to improve the transition, while providing another tuning variable in the entire transition. Meanwhile, to reduce the reflection back into the coaxial structure, the aperture radius, i.e., the radius of the outer conductor of the coaxial structure, is increased to reduce the capacitive coupling between the inner and outer conductors. Consequently, it increases the characteristic impedance of the coaxial structure and thus changes the impedance matching of the transition. However, if the length of the coaxial structure is small compared to the guided wavelength, the reflection introduced in the coaxial structure only plays a minor role and can be tuned by other design variables. In this design, the length of the coaxial section is optimized to be 315 m, which is approximately one-tenth of the wavelength at the center operating frequency. The standard WR-10 (2.54 mm 1.27 mm) waveguide is used in the transition design, which is optimized over the entire -band. The optimized dimensions are listed in Table I. Upon the above analysis, the first-order equivalent-circuit model is given in Fig. 3 to give a better understanding of the operating mechanism of this CPW–RWG transition. In [18], an equivalent-circuit model is explained very well for a waveguide probe with a load at one end. In our study, the CPW tuning stub and the backshort are used to achieve wideband transition. In Fig. 3, the CPW tuning stub is in parallel connected to the

2904

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 3. Equivalent-circuit model of the proposed transition.

Fig. 5. Impact of aperture radius (total probe height = CPW tuning stub = 450 m, coaxial section length = 315 m).

840 m,

Fig. 4. Impact of the total probe height on impedance matching = 400 m, aperture radius = 400 m, (CPW tuning stub coaxial section length = 315 m).

coaxial structure with characteristic impedance . The transformation provided by the transformer depends on the probe denotes the capacitance between height and the offset [18]. the probe and aperture. The probe in the waveguide can be and ). The waveguide modeled as a series LC circuit ( backshort can also be represented as a shunt transmission line connected with the waveguide impedance. A broadband impedance transformation to match the frequency-dependent waveguide impedance into the CPW impedance is obtained through the combination of all parts. Although the standard WR-10 dimensions are used in this paper, this approach can be generalized to other RWG cross sections, especially for smaller dimensions and higher frequencies.

Fig. 6. Impact of the CPW tuning stub length (total probe height = 840 m, aperture radius = 450 m, coaxial section length = 315 m).

B. Parametric Study of the Transition Several physical parameters are optimized to tune the impedance matching including the probe height, aperture radius, length of the tuning stub, and coaxial structure length. The height of the probe will affect not only the capacitance and the inductance, but also the transformer. The coaxial section length is studied based on the constant probe height in the RWG. The results are presented in Figs. 4–7. III. FILTER DESIGN THEORY AND METHODOLOGY

Fig. 7. Impact of the coaxial section length (probe height in waveguide =

525 m, aperture radius = 400 m, CPW tuning stub = 400 m).

A. Filter Design Considerations The proposed second-order filter topology is shown in Fig 8. It consists of two ridge resonator structures coupled to each

other. The input and output ports are produced by a direct coupling that occurs between the transition probe and the first res-

LI et al.: DESIGN AND CHARACTERIZATION OF

-BAND MICROMACHINED CAVITY FILTER

2905

Fig. 8. Proposed filter topology. Transparent wire frame represents the enclosing metal waveguide of the filter structure.

onant mode of the structure. The standard WR-10 waveguide dimensions (2.54 mm 1.27 mm) are utilized for the RWG. Our initial goal is to design a filter at 96.5 GHz and fractional bandwidth of 2.6% using the WR-10 dimensions. Some important filter design considerations include the size of the resonant cavity, as it dictates both the resonant frequency and proximity of the input/output coupling ports. In the case of the Chebyshev filter response, it is advantageous to minimize cross-coupling between the ports in order to increase the rejection band of the filter. The separation of the ports is increasingly critical since the transition probes will be located in a central region inside the cavity. The filter can be fully described by the resonant frequencies of the synchronous resonators with identical dimensions, the coupling factor between resonators, and the external coupling between the transition probes and the resonators. A drawing of the different layer of the filter is shown in Fig. 9.

Fig. 9. Different transition and filter layers.

B. Resonator Analysis and Field Distribution The first design step is to analyze the resonance of a cavity with standard WR-10 dimensions. The resonance may be found using the fundamental equations for RWG cavities [20] given by (2) and (3). It is found that a standard RWG cavity with dimensions 2.54 mm 2.54 mm 1.27 mm would resonate at apGHz. At this starting point, we are facing proximately the need to reduce the cavity size to increase the resonant frequency while requiring the largest coupling probes separation to guarantee a good level of filter rejection (2) (3) One option is to adopt a ridge cavity design [21]–[24] consisting of the cavity with a solid inclusive block. This gives greater flexibility in the realization of higher resonant frequencies while increasing the separation of the coupling posts. The resonator structure is shown in Fig. 10. The cavity dimensions are and corresponding to the - and -axis. The included metal block

Fig. 10. Cavity dimensions.

has a width , thickness , and length in the -, -, and -orim entations, respectively. The thickness dimension will be kept fixed, as it corresponds to a silicon wafer thickness. This constrain simplifies the fabrication process. An eigenmode solution is conducted to characterize the cavity. The electric field distribution for the first resonant mode of the structure is shown in Fig. 11. The electric field pattern can be seen with strong longitudinal component along the -axis. The field components concentrate in the region governed by the presence of the ridge component and fades with increasing . These electric field components are then used to couple to the probe of the transition placed directly below the block. The end of the waveguide with fading field strength would allow the appropriate low coupling strength to produce a selective narrowband response. In this first analysis, the height location of the inclusive block is determined with various combinations of block sizes and

2906

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 13. Cavity resonant frequency with varying L (H = 0:2 mm for all cases).

Fig. 11. Electric field pattern distribution.

Fig. 12. Cavity resonant frequency with varying cases).

H

(W = 1:1 mm for all

. The results are shown in Fig. 12, where the resonant frequencies are plotted versus corresponding values of , the separation from the top of the block to the ceiling of the waveguide. This procedure is repeated for varying values of , and , and as expected, the resonant frequency is inversely proportional to the block dimension, as shown in Figs. 13 and 14, respectively. The presence of the internal block gives the adin order vantages of increasing the cavity dimension along to maximize port separation and still realize the increase in resonance frequency to the desired 96.5 GHz. In other words, when compared to a standard rectangular cavity, the current resonator with the internal block reduces the required size of the resonator needed for a specific center frequency. This size reduction is the advantage of evanescent mode filters, as shown in [21]–[24]. C. Coupling Between Cavities

Fig. 14. Cavity resonant frequency with varying cases).

W

(H = 0:2 mm for all

Fig. 15. Coupling coefficient k versus variation of the gap between irises.

IV. TRANSITION AND FILTER FABRICATION A. CPW and Probe Fabrication

The initial iris dimensions are designed by extracting the coupling coefficient present between resonators. The coupling coefficient is found by first placing the resonators in a weakly coupled configuration with respect to the input and output ports. and are then extracted The loaded resonant frequencies at different gap between irises. Fig. 15 shows the different coupling coefficient obtained using the standard equation for synchronous resonators (4) where and are the frequency locations of each of the two resonant poles.

The CPW feeding and probes were fabricated on a quartz substrate with a Ti/Cu/Ti layer sputtered and patterned on one side. The SU-8 2035 was spun on the other side of the substrate and cured. The vertical probes were then patterned under the UV light and were formed after the development. To plate the CPW line, another Ti/Cu/Ti layer was sputtered as a seed layer. A negative photoresist NR9-8000 was coated with the approximate exposure to pattern the CPW slot line. The electroplating was adopted to plate a 6- m/2- m copper/gold to cover the sidewall of the probe and exposed feeding after the development of the NR9-8000. Finally, the seed layer was released after the negative photoresist NR9-8000 was stripped. Fig. 16 shows the CPW line, the probe, and a silicon sample in detail.

LI et al.: DESIGN AND CHARACTERIZATION OF

-BAND MICROMACHINED CAVITY FILTER

2907

Fig. 16. (a) Probe and CPW [16]. (b) One silicon layer in detail.

Fig. 18. Simulation and measurement results of bandpass filter transitions. Fig. 17. (a) Four silicon layers to form the RWG and filter. (b) Two stacked silicon layers with a fixture.

B. Stacked Substrates The deep-reactive iron etching (DRIE) technique was used to fabricate the silicon layers, shown in Fig. 17(a), to form the filter. The bottom layer was made by using a 300- m-thick wafer. The two middle layers were fabricated using the 525- m-thick wafers. The top layer was etched only 220- m deep and released from the back with different patterns. The fixture and two silicon layers on the quartz substrate are shown in Fig. 17(b). C. Fabrication Flow of the Silicon Wafer The silicon wafer was cleaned and patterned using the photoresist SRP220. The STS ICP was employed to etch the patterned silicon to the desired depth. The wafer was then cleaned and the Uniaxis PECVD was used to deposit a thin silicon dioxide layer to protect the etched topside. Once the silicon dioxide was formed, the wafer was flipped and patterned on the backside using SRP220. The release of the sample was taken by using the STS ICP to etch the wafer from the backside. The diluted HF was used to remove the silicon dioxide layer. The silicon samples were sputtered using DC-Sputter with 7- m Ti/Cu/Au. V. SIMULATION AND MEASUREMENT RESULTS The filter presented in this paper is simulated using Ansoft’s full-wave High Frequency Structure Simulator 10 (HFSS 10). The quartz substrate used in the simulation has a dielectric constant of 3.78 and a loss tangent of 0.0002. The metal on the quartz substrate and on the silicon wafers is gold with conductivity of 4.1E7 S/m. The simulation result is reported in Fig. 18. The center frequency of the filter is 96.5 GHz with 2.6% bandwidth. The simulated insertion loss in the passband is 2.5 dB. The measurements at the -band were taken using an Agilent Vector Network Analyzer (VNA) 8510XF. The VNA was

+ CPW–RWG

calibrated by using the National Institute of Standards and Technology (NIST) MultiCal thru-reflect-line (TRL) algorithm. A pair of CPW probes with 250- m pitch were used in the measurement. The measured passband insertion loss is 4.14 dB. The two poles are located at 96.3 and 97.1 GHz in the reflection loss. The measured filter has the center frequency at 96.6 GHz with the bandwidth of 2.9%. Compared to the simulated data with 2.5-dB loss in the passband, the measured filter has 1.64-dB additional loss. The bandwidth is increased by 0.3%. The additional loss, according to our understanding, may come from the assembly tolerance, surface roughness of the silicon waveguide sidewall, and gap between the layers. The tolerance of micromachining including DRIE, photolithography, and metallization is in the level of m or less in our class 100 cleanroom. However, the tolerance of alignment pins determined by the machine shop is in the level of mil (1/1000 in or 25.4 m). To prevent the fight among the alignment pins during the assembly, the holes on the silicon samples are made intently larger than that of the alignment pins. A rigorous sensitivity analysis of coupled resonator filter can be found [25]. Unfortunately, we cannot directly use it since the tolerances in the assembly will affect not only the coupling, but also the impedance matching of the transition. The simulation result in Figs. 19 and 20 shows the sensitivity of the response to the horizontal displacement of the silicon denotes the displacement layer having the internal block. along the CPW lines. denotes the displacement in perpendicular to the CPW lines. The filter is simulated while sweeping and . The simulation shows the filter response is very the sensitive to the displacement in parallel to the CPW line since the electric field is changed in this direction through the presence of the probe that is right below the block and close to the edge. To reduce the loss from assembly, a nonstandard waveguide with less height will be helpful. It will not only reduce the necessitated layers, but also simplify the transition design since the waveguide impedance is proportional to the height. An alternative solution is to adopt the pin-free alignment method. For the application with frequency higher than -band, or even in

2908

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

coupling probe is patterned on the substrate instead of being fabricated separately. These changes make the transition more suitable for RF packaged circuits and easily extendable to terahertz applications compared to the existing transitions. The metallized probes in the proposed filter design are adopted to couple the signal from the CPW line to the waveguide resonant cavities of a two-pole filter and eliminate the need for waveguide input/output ports. In the future, the advanced compact filters can be implemented using the proposed transition. ACKNOWLEDGMENT

+

Fig. 19. Simulated filter CPW–RWG transitions response versus frequency when layer 2 undergoes displacement.

The authors would like to thank the editor and the reviewers of this paper for their helpful comments and suggestions, which greatly improve its final quality. The authors wish to thank the support of the Georgia Electronic Design Center (GEDC), Atlanta, GA. The help from Dr. P. Kirby, Applied Physics Laboratory, Laurel, MA, in the measurement and the helpful discussion with Dr. R. Li, GEDC, are also greatly appreciated. REFERENCES

+

Fig. 20. Detail in the passband of simulated filter CPW–RWG transitions response versus frequency when layer 2 undergoes displacement.

the terahertz range, the shorter wavelength makes the stacking approach easier in terms of less layers needed. The surface roughness on waveguide sidewalls comes from the DRIE process. The conductivity is lowered by the increase of surface roughness. The surface roughness, although hard to avoid, can be further reduced by adjusting the fabrication parameters such as the power, time, and flow of the gases in the etching and passivation cycles. The other possible reason for the additional loss is the gap between the stacking wafers. Instead of cutting the waveguide along the center of the broad wall, the stacking technique may break current along the sidewall if a gap exists. In our experiment, a metal cover with four screws is used to tight the stacking wafers up. In the future, the thermal bonding technique will be employed to prevent it from gap. VI. CONCLUSION This paper has presented a novel CPW to RWG transition as an integration enabling structure for a -band filter. The proposed transition has several advantages: the CPW and RWG are patterned and integrated on the same side of the substrate; the

[1] Q. Xiao, Y. Duan, J. L. Hesler, T. W. Crowe, and R. Weikle, “A 5-mW and 5% efficiency 210 GHz InP-based heterostructure barrier varactor quintupler,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 4, pp. 159–161, Apr. 2004. [2] A. Maestrini, J. S. Ward, J. J. Gill, H. S. Javadi, E. Schlecht, C. TriponCanseliet, G. Chattopadhyay, and I. Mehdi, “A 540–640-GHz highefficiency four-anode frequency tripler,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2835–2843, Sep. 2005. [3] P. L. Kirby, D. Pukala, H. Manohara, I. Mehdi, and J. Papapolymerou, “Characterization of micromachined silicon rectangular waveguide at 400 GHz,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 6, pp. 366–368, Jun. 2006. [4] G. E. Ponchak and R. N. Simons, “A new rectangular waveguide to coplanar waveguide transition,” in IEEE MTT-S Int. Microw. Symp. Dig., Dallas, TX, May 8–10, 1990, vol. 1, pp. 491–492. [5] E. M. Godshalk, “A V -band wafer probe using ridge-trough waveguide,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 2218–2228, Dec. 1991. [6] R. N. Simons, “New channelized coplanar waveguide to rectangular waveguide post and slot couplers,” Electron. Lett., vol. 27, no. 10, pp. 856–857, May 1991. [7] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [8] N. Jain and N. Kinayman, “A novel microstrip mode to waveguide mode transformer and its applications,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, pp. 623–626. [9] D. Deslandes and K. Wu, “Analysis and design of current probe transition from grounded coplanar to substrate integrated rectangular waveguides,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2487–2494, Aug. 2005. [10] D. Deslandes and K. Wu, “Integrated transition of coplanar to rectangular waveguides,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, pp. 619–622. [11] Y. Huang, K.-L. Wu, and M. Ehlert, “An integrated LTCC laminated waveguide-to-microstrip line T-junction,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 8, pp. 338–339, Aug. 2003. [12] J. H. Lee, N. Kidera, S. Pinel, J. Papapolymerou, J. Laskar, and M. M. Tenzeris, “Comparative study of feeding techniques for 3-D cavity resonators,” IEEE Trans. Adv. Packag., vol. 30, no. 1, pp. 115–123, Feb. 2007. [13] Y. Huang and K.-L. Wu, “A broadband LTCC integrated transition of laminated waveguide to air-filled waveguide for millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1613–1617, May 2003. [14] Y. Lee, J. P. Becker, J. R. East, and L. P. B. Katehi, “A micromachined finite coplanar line-to-silicon micromachined waveguide transition for millimeter and submillimeter wave applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 2002, pp. 1871–1874.

LI et al.: DESIGN AND CHARACTERIZATION OF

-BAND MICROMACHINED CAVITY FILTER

[15] Y. Lee, J. P. Becker, J. R. East, and L. P. B. Katehi, “Fully micromachined finite-ground coplanar line-to-waveguide transitions for -band applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1001–1007, Mar. 2004. [16] Y. Li, B. Pan, M. M. Tentzeris, and J. Papapolymerou, “A fully micromachined -band coplanar waveguide to rectangular waveguide transition,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1031–1034. [17] R. E. Collin, Foundation for Microwave Engineering, 2nd ed. New York: McGraw-Hill, 1992, p. 281. [18] I. A. Eshrah, A. A. Kishk, A. B. Yakovlev, and A. W. Glisson, “Equivalent circuit model for a waveguide probe with application to DRA excitation,” IEEE Trans. Antennas Propag., vol. 54, no. 5, pp. 1433–1441, May 2006. [19] W. W. Mumford, “The optimum piston position for wideband coaxial-to-waveguide transducers,” Proc. IRE, vol. 41, no. 2, pp. 256–261, Feb. 1953. [20] C. Lugo and J. Papapolymerou, “Planar realization of a triple-mode bandpass filter using a multi-layer configuration,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 296–301, Feb. 2007. [21] J. A. Ruiz-Cruz, M. El Sabbagh, K. A. Zaki, and J. M. Rebollar, “Full wave design of canonical ridge waveguide filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 603–606. [22] J. Gipprich, D. Stevens, M. Hageman, A. Piloto, K. A. Zaki, and Y. Rong, “Embedded waveguide filters for microwave and wireless applications using cofired ceramic technologies,” in Proc. Int. Microelectron. Symp., San Diego, CA, 1998, pp. 23–26. [23] Y. Rong, K. A. Zaki, M. Hageman, D. Stevens, and J. Gipprich, “Low temperature cofired ceramic (LTCC) ridge waveguide bandpass chip filters,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 2, pp. 2317–2324, Feb. 1999. [24] M. El Sabbagh, H.-T. Hsu, and K. A. Zaki, “Full-wave optimization of stripline tapped-in ridge waveguide bandpass filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 1805–1808. [25] S. Amari, “Sensitivity of coupled resonator filters,” IEEE Circuits Syst. II, Analog Digit. Signal Process., vol. 47, no. 10, pp. 1017–1022, Oct. 2000.

W

W

Yuan Li (S’05) received the B.S. degree in automatic control from Northwestern Polytechnical University, Xi’an, China, in 1994, the M.S. degree in automatic control from the Nanjing University of Aeronautics and Astronautics, Nanjing, China, in 1997, the M.S. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2004, and is currently working toward the Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology. He was an Electronic and RF Engineer in industry. He is currently a Research Assistant with the MiRCTECH Research Group, Georgia Electronic Design Center (GEDC), Georgia Institute of Technology, Atlanta. His research interests include the development and characterization of micromachined circuits for millimeter-wave and terahertz applications, packaging technology for millimeter-wave systems, and passive and active circuits for wireless systems. Mr. Li was the recipient of the 2007 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Graduate Fellowship Award.

Bo Pan (S’03) received the B.S. degree (with honors) and M.S. degree (with honors) from Tsinghua University, Beijing, China, in 2000 and 2003 respectively, and is currently working toward the Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology, Atlanta. He was a Visiting Scholar with Microsoft Research Asia prior to joining the Georgia Institute of Technology, in August 2003. He is currently a Graduate Research Assistant with the ATHENA Research Group and MiRCTECH Research Group, Georgia Institute of Technology. He is a Research Member of the Georgia Electronic Design Center (GEDC) and GT-NSF Packaging Research Center (PRC). His research involves the design, processing, and characterization of components and circuits for RF/microwave/millimeter-wave transmit/receive (T/R) modules with a focus on micromachining technologies. He is also involved with research on antenna designs for multiple wireless applications.

2909

Cesar Lugo (S’01–A’02–M’06) received the B.S. degree and M.S. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2002 and 2003, respectively, and is currently working toward the Ph.D. degree in electrical engineering at the Georgia Institute of Technology. He has developed several synthesis and design techniques for reconfigurable RF/millimeter-wave components such as filters, antennas, couplers, phase shifters, and impedance tuners. He has authored or coauthored over 15 scientific papers in peer-reviewed journals and conferences. His research interests also include hybrid semiplanar design of microwave components and adaptive algorithms for electromagnetic simulation.

Manos Tentzeris (S’89–M’98–SM’03) received the Diploma degree in electrical and computer engineering from the National Technical University of Athens, Athens, Greece, in 1992, and the M.S. and Ph.D. degrees in electrical engineering and computer science from The University of Michigan at Ann Arbor, in 1993 and 1998, respectively. He is currently an Associate Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta. He has authored or coauthored over 260 papers in refereed journals and conference proceedings, two books, and ten book chapters. He has helped develop academic programs in highly integrated/multilayer packaging for RF and wireless applications, microwave microelectromechanical systems (MEMS), system-on-package (SOP) integrated antennas and adaptive numerical electromagnetics (finite difference time domain (FDTD), multiresolution algorithms), and heads the ATHENA Research Group (15 researchers). He is the Georgia Institute of Technology National Science Foundation (NSF) Packaging Research Center Associate Director for RF Research and the RF Alliance Leader. He is also the leader of the Novel Integration Techniques Subthrust of the Broadband Hardware Access Thrust of the Georgia Electronic Design Center (GEDC) of the State of Georgia. During the summer of 2002, he was a Visiting Professor with the Technical University of Munich, Munich, Germany, where he introduced a course in the area of high-frequency packaging. He has given over 40 invited talks in the same area to various universities and companies in Europe, Asia, and the U.S. Dr. Tentzeris is a member of URSI Commission D, an associate member of the European Microwave Association (EuMA), and a member of the Technical Chamber of Greece. He was the 1999 Technical Program co-chair of the 54th ARFTG Conference, Atlanta, GA, and he is the vice-chair of the RF Technical Committee (TC16) of the IEEE Components, Packaging, and Manufacturing Technology (CPMT) Society. He has organized various sessions and workshops on RF/Wireless Packaging and Integration in IEEE ECTC, IMS, and AP-S Symposia, for all of which he is a member of the Technical Program Committee in the area of components and RF. He was the recipient of the 2003 National Aeronautics and Space Administration (NASA) Godfrey “Art” Anzic Collaborative Distinguished Publication Award for his activities in the area of finite-ground low-loss low-crosstalk CPWs, the 2003 IBC International Educator of the Year Award, the 2003 IEEE CPMT Outstanding Young Engineer Award for his work on 3-D multilayer integrated RF modules, the 2002 International Conference on Microwave and Millimeter-Wave Technology Best Paper Award (Beijing, China) for his work on compact/SOP-integrated RF components for low-cost high-performance wireless front-ends, the 2002 Georgia Institute of Technology Electrical and Computer Engineering Outstanding Junior Faculty Award, the 2001 ACES Conference Best Paper Award, the 2000 NSF CAREER Award for his work on the development of multiresoluation time-domain (MRTD) technique that allows for the system-level simulation of RF integrated modules, and the 1997 Best Paper Award of the International Hybrid Microelectronics and Packaging Society for the development of design rules for low-crosstalk finite-ground embedded transmission lines. He was the recipient/corecipient of the 2007 IEEE AP-S Symposium Best Student Paper Award, the 2007 IEEE IMS Third Best Student Paper Award, the 2007 ISAP 2007 Second Best Poster Presentation Award, the 2006 IEEE MTT-S Outstanding Young Engineer Award, and the 2006 Asia–Pacific Microwave Conference Award.

2910

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

John Papapolymerou (S’90–M’99–SM’04) received the B.S.E.E. degree from the National Technical University of Athens, Athens, Greece, in 1993, and the M.S.E.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1994 and 1999, respectively. From 1999 to 2001, he was an Assistant Professor with the Department of Electrical and Computer Engineering, University of Arizona, Tucson. During the summers of 2000 and 2003, he was a Visiting Professor with the University of Limoges, Limoges, France. From 2001 to 2005, he was an Assistant Professor with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, where he is currently an Associate Professor. He has authored or coauthored over 140 publications in peer-reviewed journals and conferences. His research interests include the implementation of micromachining techniques and MEMS devices in microwave, millimeter-wave and terahertz circuits and

the development of both passive and active planar circuits on semiconductor (Si/SiGe, GaAs) and organic substrates (liquid-crystal polymer (LCP), LTCC) for system-on-a-chip (SOC)/system-on-package (SOP) RF front ends. Dr. Papapolymerou is the vice-chair for Commission D of the U.S. National Committee of URSI. He is an associate editor for IEEE MICROWAVE AND WIRELESS COMPONENT LETTERS and the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. During 2004, he was the chair of the IEEE Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Atlanta Chapter. He was the recipient of the 2004 Army Research Office (ARO) Young Investigator Award, the 2002 National Science Foundation (NSF) CAREER Award, the Best Paper Award presented at the 3rd IEEE International Conference on Microwave and Millimeter-Wave Technology (ICMMT2002), Beijing, China, and the 1997 Outstanding Graduate Student Instructional Assistant Award presented by the American Society for Engineering Education (ASEE), The University of Michigan at Ann Arbor Chapter. His student was also the recipient of the Best Student Paper Award presented at the 2004 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, Atlanta, GA.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2911

Superposition Model for Dielectric Charging of RF MEMS Capacitive Switches Under Bipolar Control-Voltage Waveforms Zhen Peng, Student Member, IEEE, Xiaobin Yuan, Member, IEEE, James C. M. Hwang, Fellow, IEEE, David I. Forehand, Member, IEEE, and Charles L. Goldsmith, Senior Member, IEEE

Abstract—Bipolar control-voltage waveforms, under which the control voltage alternates between positive and negative after each cycle, have been proposed to mitigate dielectric charging in electrostatically actuated RF microelectromechanical system capacitive switches. In this study, dielectric charging under bipolar waveforms is modeled and characterized quantitatively. In general, the experimental results agree with predictions based on the superposition of unipolar charging models that are extracted under positive and negative voltages, respectively. The basic assumptions for such a superposition model are examined in detail and validated experimentally. The current analysis indicates that, while bipolar waveforms can reduce charging, it is difficult to fine tune the waveforms to completely eliminate charging. Index Terms—Charge injection, dielectric films, dielectric materials, microelectromechanical devices, switches.

I. INTRODUCTION URRENTLY, the life time of electrostatically actuated RF microelectromechanical system (MEMS) capacitive switches is primarily limited by dielectric charging, which can cause actuation-voltage shift or, ultimately, stiction [1]–[25]. Experimentally, the dielectric charging phenomenon has been investigated by monitoring shifts in RF transmission characteristics [24], electrostatic and adhesion forces [14], capacitance–voltage characteristics [2]–[6], [8]–[11], [16], and current–voltage characteristics [7], [17], [18], [20], [25] with an increasing level of physical understanding. For example, charge transport was shown to be through the Frenkel–Poole mechanism [11]. Material quality was found to have strong effects on depolarization current [19] and discharging current [20]. Theoretically, a qualitative charging model was proposed

C

Manuscript received May 8, 2007; revised August 1, 2007. This work was supported in part by the U.S. Air Force Research Laboratory under Contract F33615-03-C-7003, funded by the U.S. Defense Advanced Research Projects Agency under the Harsh Environment, Robust Micromechanical Technology (HERMIT) Program. Z. Peng and J. C. M. Hwang are with the Department of Electrical and Computer Engineering, Lehigh University, Bethlehem, PA 18015 USA (e-mail: [email protected]). X. Yuan is with the Semiconductor Research and Development Center, IBM Corporation, Hopewell Junction, NY 12533 USA. D. I. Forehand and C. L. Goldsmith are with the MEMtronics Corporation, Plano, TX 75075 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909475

[4] and various charge distributions were assumed [6]. A quantitative charging model was developed and validated [21] for charging from the bottom electrode [22] under unipolar control-voltage waveforms of different frequencies, voltages, and duty factors, as well as under different ambient temperatures. In this paper, to mitigate the charging problem, bipolar control-voltage waveforms [e.g., No. 2–No. 4 in Table II and Fig. 2(b)], as opposed to unipolar control-voltage waveforms [e.g., No. 1 and 5 in Table II and Fig. 2(b)], have been proposed [2]. Under a bipolar waveform, the control voltage alternates between positive and negative after each switching cycle. If a positive voltage is used to actuate a switch in one cycle, a negative voltage will be used to actuate the switch in the following cycle and vice versa. Since positive and negative voltages are equally effective in actuating the switch, the switch will function as if it is under a unipolar waveform. However, incremental charging during each cycle will alternate between positive and negative so that the cumulative effect will supposedly be minimized. Such a cancellation effect was, for the first time, modeled and characterized in [25]. The model is based on the superposition of unipolar charging models that are extracted under positive and negative voltages, respectively [18]. In spite of the simplification, the superposition model predictions are in general agreement with bipolar charging experiments under different switching frequencies, voltages, and duty factors [25]. This paper expands on [25] by examining the superposition assumptions in detail and by justifying the assumptions through additional experimental data. Fig. 1 illustrates the construction of the current RF MEMS capacitive switches. The dielectric is sputtered SiO with a thickness of 0.3 m and a dielectric constant of 5.5. The top electrode is a moveable 0.3- m-thick Al membrane that is permanently grounded. The bottom Cr/Au electrode serves as the center conductor of a 50- coplanar waveguide for the RF signal. Without any electrostatic force, the Al membrane is normally suspended in air 2.2 m above the dielectric. A control voltage, either positive or negative, is applied to the bottom electrode, which pulls the membrane in contact with the dielectric, thereby forming a 120 m 80 m capacitor to shunt the RF signal to ground. At 35 GHz, this results in 15-dB isolation at the on state (membrane down) and 0.1-dB insertion loss at the off state (membrane up). The actuation or pull-down voltage is typically 25 V, while the release voltage is typically 10 V. The switching time is less than 10 s.

0018-9480/$25.00 © 2007 IEEE

2912

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 1. (a) Top and (b) cross-sectional views of a state-of-the-art RF MEMS capacitive switch. In (a), only the top half of the switch is shown, the bottom half being a mirror image of the top half. In (b), the vertical scale is expanded by approximately 60 for clarity.

2

II. MODEL CONSTRUCTION Although the actuation voltage is only 25 V, control voltages up to 40 V are used to accelerate charging during accelerated life tests of the switch. These control voltages are high enough to cause charge injection from the bottom electrode into the dielectric, yet low enough to avoid charge injection from the top electrode into the dielectric [22]. In fact, for the current switches, the top-charging threshold is approximately 50 V, while the bottom-charging threshold is approximately 10 V. Under a positive control voltage, positive charge is injected from the bottom electrode into the dielectric (physically, electrons migrate from the dielectric to the bottom electrode, leaving positive charge behind in the dielectric), which can help pull down the membrane, thereby reducing the control voltage required for actuation from, for example, 25 to 24 V. On the other hand, under a negative control voltage, negative charge is injected from the bottom electrode into the dielectric, which can gradually increase the actuation voltage from, for example, 25 to 24 V. Therefore, regardless of the sign of the control voltage, while top charging always increases the magnitude of the actuation voltage, bottom charging always decreases the magnitude of the actuation voltage. According to [18], charging-induced actuation-voltage shift can be expressed as follows: (1)

where the electrostatic effect of the distributed charge throughout the dielectric is approximated by a sheet charge located at height above the bottom electrode, of density and is the dielectric constant. Since the distribution of the charge in the dielectric cannot be directly measured, remains a fitting parameter. A single value of 0.12 m was found to give the best fit between model predictions and measured actuation-voltage shifts for the current switch under all control-voltage waveforms. Following [18], unipolar charging under either positive or negative voltage was separately characterized on 500 m 500 m fixed Cr–SiO–Al capacitors that were fabricated together with the MEMS switches on the same wafer. Capacitors instead of switches are used because the former have larger contact area and proportionally larger charging/discharging currents, which are still in the femto-ampere range. The capacitors also have more consistent contact between the top electrode and dielectric, while the top contact of the switches may vary according to surface conditions. Obviously, the charging model extracted from the capacitors needs to be validated on switches and is only applicable to bottom charging (as opposed to top charging). The transient behaviors of charging and discharging currents measured on the fixed capacitors were found [18] to be basically exponential, but never truly saturated even after many hours. Therefore, the accumulated charge in the dielectric is fitted with a series of exponential terms of different time constants (2) where the subscripts “ ” and “ ” denote charging under posis the steady-state itive and negative voltages, respectively, and ), and charge density (usually, are on and off times of the switching cycle, and and are charging and discharging time constants. For rapid convergence during model simulation, simple exponential terms are used instead of the stretched exponential function [10]. In addition, the summation is usually truncated at . Higher order terms can be used to increase precision at the expense of difficult extraction. The steady-state charge density, in turn, was found [18] to increase exponentially with voltage so that (3) where is a pre-exponential factor and is a voltage scaling factor. Table I lists the extracted values of and of the current switch. Notice that, except for the sign of , the values are very similar under positive and negative voltages. This implies that a symmetrical bipolar waveform should indeed minimize charging. Table II lists the various control-voltage waveforms that are illustrated in Fig. 2(b). For example, at a switching frequency of 10 kHz, the period of a bipolar switching cycle includes one positive switching cycle and one negative switching cycle so that s.

PENG et al.: SUPERPOSITION MODEL FOR DIELECTRIC CHARGING OF RF MEMS CAPACITIVE SWITCHES

TABLE I EXTRACTED MODEL PARAMETERS

2913

may accumulate in the dielectric. Asamount of charge suming , it can be fitted to (2) as follows:

(4) where is the equivalent time it takes to accumulate under a constant positive voltage. In other words, as far as charging is concerned, dc stress for is equivalent to ac stress for . Notice that the summation over the subscript is omitted for clarity. During the next switching cycle, TABLE II CONTROL-VOLTAGE WAVEFORMS

(5)

(6) and

(7) In (7), we assume discharging of the positive charge under a negative voltage is the same as that without any applied voltage, while negative charging is unaffected by any accumulated positive charge. These assumptions justify the use of the superposition principle. They will be examined in detail in Section III. Finally, (8) Thus, starting with , (5)–(8) can be iterated times to determine . , then it is more convenient to start with negative If charging during the second half of the bipolar switching cycle so that (5)–(8) can be duplicated, except for sign change. Thus,

(9)

(10) Fig. 2. (a) Measured (symbol) versus modeled (curve) actuation-voltage shifts under control-voltage waveforms No. 1–No. 5 (Table II) and illustrated in (b). The switching frequency is ( ) 10 Hz and ( ) 10 kHz in (a), but 10 kHz only in (b).

}

(11) After several bipolar switching cycles, due to the subtle difference between positive and negative charging behaviors, a small

(12)

2914

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 3. Measured (symbol) versus modeled (curve) actuation-voltage shifts under control-voltage waveforms: (a) 4, 6, and 7 and (b) 4, 8, and 9, as listed in Table II. The switching frequency is 10 kHz.

In (11), we assume discharging of the negative charge under a positive voltage is the same as that without any applied voltage, while positive charging is unaffected by any accumulated negative charge. These assumptions will also be examined in detail in Section III. Once is found through iterations of (5)–(8) or (9)–(12), (1) can be used to predict the actuation-voltage shift of switch. The predicted actuation-voltage shift is then compared with experimental data, as discussed in the following.

Fig. 4. (a) Measured discharging currents under different discharging voltages, after a capacitor is charged at 30 V for 10 s (left of the dashed line). (b) Same measured discharging currents after subtracting the charging currents by the discharging voltages themselves.

[No. 3 in Table II or Fig. 2(b)]. Thus, it is tempting to fine tune the waveform in order to exactly balance out positive and negative charging within each switching cycle. This implies that

(13) III. RESULTS AND DISCUSSION Using a previously developed [18] test setup and procedure, actuation-voltage shifts under control-voltage waveforms of different frequencies, voltages, and duty factors were measured on real switches and compared with model predictions based on fixed capacitors, as shown in Figs. 2 and 3. As can be seen in these figures, general agreement was found in all cases examined. Similar to unipolar charging [18], bipolar charging, although of smaller magnitude, increases with stress time and voltage, but is independent of switching frequency as long as the switching cycle is much shorter than charging/discharging time constants. As mentioned earlier, due to the subtle difference between positive and negative charging, a small amount of charge gradually accumulates even under a symmetrical bipolar waveform

Usually, the switching cycle is much shorter than charging/discharging time constants and (13) can be simplified as follows: (14) (15) Therefore, for such a delicate balance within each bipolar switching cycle, the on times of positive and negative voltages need to be fine tuned according to (15). If the application calls for , then (16)

PENG et al.: SUPERPOSITION MODEL FOR DIELECTRIC CHARGING OF RF MEMS CAPACITIVE SWITCHES

2915

Fig. 5. (a) Measured discharging currents under different discharging voltages, after a capacitor is charged at 30 V for 10 s (left of the dashed line). (b) Same measured discharging currents after subtracting the charging currents by the discharging voltages themselves.

Fig. 6. (a) Measured charging currents at 30 V after a capacitor is charged under different charging voltages for 10 s (left of the dashed line). (b) Same measured charging currents after subtracting the discharging currents by the initial charging voltages.

From (3),

negative charge. These assumptions are examined experimentally as follows. In [18], charging currents were measured on fixed capacitors under constant control voltages, while discharging currents were measured without any control voltage. To validate the above-mentioned assumptions, charging currents are measured the same as before, but discharging currents are now measured under different control voltages. Fig. 4(a) shows the discharging currents measured on a capacitor that was first charged under 30 V for 10 s, which is of the order of the first-order charging time constant. As is, it appears that the discharging current is strongly dependent on the discharging voltage. However, after the charging current by the discharging voltage itself [calculated according to (2)] is subtracted from the net discharging current, Fig. 4(b) shows that discharging of the original charge accumulated under 30 V is not a strong function of the discharging voltage. Similarly, Fig. 5(b) shows that discharging of the charge accumulated under 30 V is not a strong function of discharging voltage. Using similar approaches, Fig. 6 confirms that positive charging is not strongly affected by various amounts of accumulated positive or negative charge, while Fig. 7 confirms that negative charging is not strongly affected by various amounts of accumulated negative or positive charge. This is because,

0

(17) Since

and

, (18)

However, due to the exponential voltage dependence of (17), (18) is difficult to exactly satisfy, especially if higher order terms are included in the summation over . The current model is based on the superposition principle. The superposition principle applies to the current Cr–SiO –Al capacitors probably because they have similar charging behaviors under both positive and negative voltages without strong interaction between positive charging and negative charging. As mentioned in Section II, we assume discharging of positive charge under negative voltage is the same as that without any voltage, while negative charging is unaffected by accumulated positive charge. Conversely, we assume discharging of negative charge under positive voltage is the same as that without any voltage, while positive charging is unaffected by accumulated

2916

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 8. Measured (symbol) versus modeled (curve) charge after the control voltage changes: (a) from 30 to 30 V or (b) from 30 to 30 V. The measured charge is based on integrating the measured transient current with time. The modeled charge is based on (7) and (11) in (a) and (b), respectively.

0

0

Fig. 7. (a) Measured charging currents at 30 V after a capacitor is charged under different charging voltages for 10 s (left of the dashed line). (b) Same measured charging currents after subtracting for the discharging currents by the initial charging voltages.

although the superposition assumptions are at best approximations, the resulted errors tend to cancel each other. For example, the right side of (7) consists of a positive term and a negative term ( , while ). Under the superposition assumptions, the absolute magnitudes of both terms would be underestimated, resulting in a net error smaller than the error of either term. Thus, it is not surprising that (7) and (11) can model charging/discharging reasonably well when the control voltage changes from positive to negative or vice versa, as shown in Fig. 8. This is also why (5)–(8) or (9)–(12) can model actuation-voltage shifts under different bipolar waveforms, as shown in Figs. 2 and 3. Table I shows that the first-order charging/discharging time constants are of the order of 10 s, while the second-order charging/discharging time constants are of the order of 10 s. In this paper, the charging/discharging model is truncated after the second-order terms so that the experimental validation is truncated after 10 s. For high-cycle life tests [24] that last for many months or 10 s, it will be necessary to extract higher order model terms with correspondingly longer time constants. However, as mentioned before, higher order terms are increasingly more difficult to extract due to instrument noise and drift.

0

Nevertheless, [24] shows that the current model could be used to optimize the design of the switch to allow billions of cycles of operation, and the charging behavior over 10 s is consistent with the general trends predicted by the current model. This is probably because most of the charging occurs initially before it gradually diminishes. IV. CONCLUSION In conclusion, bipolar control-voltage waveforms were found to reduce dielectric charging in RF MEMS capacitive switches. A bipolar charging model was developed from the superposition of unipolar charging models. The model agrees well with the experimental results obtained on real switches under bipolar waveforms of different frequencies, voltages, and duty factors. The model also shows that it is difficult to fine tune the waveform to completely eliminate charging. REFERENCES [1] C. Goldsmith, J. Ehmke, A. Malczewski, B. Pillans, S. Eshelman, Z. Yao, J. Brank, and M. Eberly, “Lifetime characterization of capacitive RF MEMS switches,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2001, pp. 227–230. [2] J. R. Reid and R. T. Webster, “Measurements of charging in capacitive microelectromechanical switches,” Electron. Lett., vol. 38, no. 24, pp. 1544–1545, Nov. 2002. [3] W. M. van Spengen, R. Puers, R. Mertens, and I. De Wolf, “Experimental characterization of stiction due to charging in RF MEMS,” in IEEE Int. Electron Devices Meeting Dig., Dec. 2002, pp. 901–904.

PENG et al.: SUPERPOSITION MODEL FOR DIELECTRIC CHARGING OF RF MEMS CAPACITIVE SWITCHES

[4] W. M. van Spengen, R. Puers, R. Mertens, and I. De Wolf, “A comprehensive model to predict the charging and reliability of capacitive RF MEMS switches,” J. Micromech. Microeng., vol. 14, pp. 514–521, Jan. 2004. [5] X. Yuan, S. V. Cherepko, J. C. M. Hwang, C. L. Goldsmith, C. Nordquist, and C. Dyck, “Initial observation and analysis of dielectric-charging effects on RF MEMS capacitive switches,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 1943–1946. [6] X. Rottenberg, B. Nauwelaers, W. Raedt, and H. A. C. Tilmans, “Distributed dielectric charging and its impact on RF-MEMS device,” in GAAS 12th Symp. Dig., Oct. 2004, pp. 475–478. [7] X. Yuan, J. C. M. Hwang, D. Forehand, and C. L. Goldsmith, “Modeling and characterization of dielectric-charging effects in RF MEMS capacitive switches,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 753–756. [8] S. Melle, D. De Conto, L. Mazenq, D. Dubuc, K. Grenier, L. Bary, O. Vendier, J. L. Cazaux, and R. Plana, “Modeling of the dielectric charging kinetic for capacitive RF-MEMS,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 757–760. [9] G. J. Papaioannou, M. Exarchos, V. Theonas, G. Wang, and J. Papapolymerou, “On the dielectric polarization effects in capacitive RF-MEMS switches,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 761–764. [10] G. J. Papaioannou, M. Exarchos, V. Theonas, G. Wang, and J. Papapolymerou, “Temperature study of the dielectric polarization effects of capacitive RF-MEMS switches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3467–3473, Nov. 2005. [11] S. Melle, D. De Conto, D. Dubuc, K. Grenier, O. Vendier, J. L. Muraro, J. L. Cazaux, and R. Plana, “Reliability modeling of capacitive RF MEMS,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3482–3488, Nov. 2005. [12] R. W. Herfst, H. G. A. Huizing, P. G. Steeneken, and J. Schrnitz, “Characterization of dielectric charging in RF MEMS capacitive switches,” in IEEE Int. Microelectron. Test Structures Conf. Dig., Mar. 2006, pp. 133–136. [13] J. F. Kcko, J. C. Petrosky, J. R. Reid, and K. Yung, “Non-charge related mechanism affecting capacitive MEMS switch lifetime,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 3, pp. 140–142, Mar. 2006. [14] S. Patton and J. Zabinski, “Effects of dielectric charging on fundamental forces and reliability in capacitive microelectromechanical systems radio frequency switch contacts,” J. Appl. Phys., vol. 99, no. 9, pp. 1700–1710, May 2006. [15] X. Yuan, Z. Peng, J. C. M. Hwang, D. Forehand, and C. L. Goldsmith, “Temperature Acceleration of dielectric-charging effects in RF MEMS capacitive switches,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 47–50. [16] G. J. Papaioannou, M. Exarchos, V. Theonas, J. Psychias, G. Konstantinidis, D. Vasilache, A. Muller, and D. Neculoiu, “Effect of space charge polarization in radio frequency microelectromechanical system capacitive switch dielectric charging,” Appl. Phys. Lett., vol. 89, no. 10, pp. 922–924, Sep. 2006. [17] D. Molinero, R. Comulada, and L. Castañer, “Dielectric charge measurements in capacitive microelectromechanical switches,” J. Appl. Phys., vol. 89, no. 8, pp. 901–903, Sep. 2006. [18] X. Yuan, Z. Peng, J. C. M. Hwang, D. Forehand, and C. L. Goldsmith, “A transient SPICE model for dielectric-charging effects in RF MEMS capacitive switches,” IEEE Trans. Electron Devices, vol. 53, no. 10, pp. 2640–2648, Oct. 2006. [19] M. Exarchos, E. Papandreou, P. Pons, M. Lamhamdi, G. J. Papaioannou, and R. Plana, “Charging of radiation induced defects in RF MEMS dielectric films,” Microelectron. Rel., vol. 46, no. 9–11, pp. 1695–1699, Sep.–Nov. 2006. [20] M. Lamhamdi, J. Guastavino, L. Boudou, Y. Segui, P. Pons, L. Bouscayrol, and R. Plana, “Charging-effects in RF capacitive switches influence of insulating layers composition,” Microelectron. Rel., vol. 46, no. 9–11, pp. 1700–1704, Sep.–Nov. 2006. [21] X. Yuan, Z. Peng, J. C. M. Hwang, D. Forehand, and C. L. Goldsmith, “Acceleration of dielectric charging in RF MEMS capacitive switches,” IEEE Trans. Device Mater. Rel., vol. 6, no. 4, pp. 556–563, Dec. 2006. [22] Z. Peng, X. Yuan, J. C. M. Hwang, D. Forehand, and C. L. Goldsmith, “Top versus bottom charging of dielectric in RF MEMS capacitive switches,” in Proc Asia–Pacific Microw. Conf., Dec. 2006, pp. 1535–1539.

2917

[23] S. Melle, C. Bordas, D. Dubuc, K. Grenier, O. Vendier, J. L. Muraro, J. L. Cazaux, and R. Plana, “Investigation of stiction effect in electrostatic actuated RF MEMS devices,” in SiRF Top. Meeting Dig., Jan. 2007, pp. 173–176. [24] C. L. Goldsmith, D. I. Forehand, Z. Peng, J. C. M. Hwang, and J. L. Ebel, “High-cycle life testing of RF MEMS switches,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1805–1808. [25] Z. Peng, X. Yuan, J. C. M. Hwang, D. Forehand, and C. L. Goldsmith, “Dielectric charging of RF MEMS capacitive switches under bipolar control-voltage waveforms,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1817–1820.

Zhen Peng (S’06) was born in Shanghai, China, in 1980. He received the B.E. degree in electrical engineering from Shanghai Jiao Tong University, Shanghai, China, in 2003, and is currently working toward the Ph.D. degree in electrical and computer engineering at Lehigh University, Bethlehem, PA. From 2003 to 2005, he was an Integrated Circuit (IC) Design Engineer with the Ricoh Electronics Company, Shanghai, China, where he focused on low-voltage dc–dc converter circuit design and reliability test He is currently involved in the research and development of RF MEMS capacitive switches with a focus on modeling and characterization of charging of different dielectric materials under different electrical stresses.

Xiaobin Yuan (S’01–M’06) was born in Beijing, China, in 1978. He received the B.E. degree in electronic engineering from Tsinghua University, Beijing, China, in 2001, and the Ph.D. degree in electrical engineering from Lehigh University, Bethlehem, PA, in 2006. He is currently with the Semiconductor Research and Development Center, IBM Corporation, Hopewell Junction, NY. His research interests include characterization and compact modeling of deep-submicrometer CMOS, RF/microwave devices, and reliability modeling of RF MEMS. Dr. Yuan is a member of the IEEE Electron Devices Society and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

James C. M. Hwang (M’81–SM’82–F’94) received the B.S. degree in physics from National Taiwan University, Taipei, Taiwan, R.O.C., in 1970, and the M.S. and Ph.D. degrees in material science and engineering from Cornell University, Ithaca, NY, in 1973 and 1976, respectively. Following 12 years of industrial experience with IBM, AT&T, GE, and GAIN, he joined the faculty of Lehigh University, Bethlehem, PA, in 1988, where he is currently a Professor of electrical engineering and the Director of the Compound Semiconductor Technology Laboratory. In 2002, he helped established the Center for Optical Technologies between Lehigh University and Pennsylvania State University and served as its interim Director. In 2006, he helped establish the IMPACT Center for MEMS/NEMS VLSI between Lehigh University, the University of Illinois, Purdue University, and the Georgia Institute of Technology, and led one of the major tasks. He has been a Nanyang Professor with Nanyang Technological University, Singapore, and an Advisory Professor with Shanghai Jiao Tong University, Shanghai, China. He has been a consultant for the U.S. Government and numerous electronic companies in the areas of RF/microwave devices and integrated circuits. He cofounded GAIN and QED [the latter became a public company (IQEP)]. He has authored or coauthored over 200 technical papers. He holds four U.S. patents.

2918

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Dave I. Forehand (M’84) received the B.S. and M.S. degrees in chemical engineering from the University of New Mexico, Albuquerque, NM, in 1982 and 1984, respectively. His research has involved mass spectrometry of aluminum plasma etching, which was conducted at Sandia National Laboratory’s Class sub-1 cleanroom. From 1989 to 1996, he was with the Defense Systems and Electronics Group (DSEG), Texas Instruments (TI) Incorporated, Dallas, TX, where he was involved with infrared focal plane arrays (IRFPAs). He had sole responsibility for development and manufacturing of all plasma etch and plasma-enhanced chemical vapor deposition (PECVD) processes. His IRFPA achievements include four patents and two trade secrets. He later left TI to join a semiconductor equipment supplier, where he was involved with advanced high-density plasma (HDP) etch systems. He was the Customer Site Lead Engineer responsible for the HDP systems and training of new engineers. He returned to TI in 1998 to become part of a team with the Microcomponents Technology Center to design, develop, and produce the world’s first true analog biaxial micromirror for optical switching, which is capable of aiming to 1 rad. In April 2001, he joined the RF MEMS Team, Raytheon, to lead the process engineering development activity, during which time he was responsible for transitioning processes for manufacturability and repeatability, as well as developing improvements for increased reliability, which led to a patent. In July 2002, he helped found the MEMtronics Corporation, Plano, TX, where he continues the development and manufacturing of RF MEMS technology for commercial, space, and military applications. He holds three patents with one patent pending for his optical MEMS research. Mr. Forehand was a recipient of TI’s 1995 DSEG Technical Award for Excellence.



Charles L. Goldsmith (S’79–M’80–SM’94) received the B.S. and M.S. degrees in electrical engineering from the University of Arizona, Tucson, in 1980 and 1982, respectively, and the Ph.D. degree from the University of Texas at Arlington, in 1995. Since 1982, he has been involved in the design and development of microwave and millimeter-wave circuits and subsystems. He has been with M/A COM and Texas Instruments Incorporated, and was an Engineering Fellow with the Raytheon Company. He formed the MEMtronics Corporation, Plano, TX, in 2001, where he currently pursues business opportunities for RF MEMS in the commercial and defense markets. Since 1993, he has developed RF MEMS devices and circuits and is the inventor of the capacitive membrane RF MEMS switch. He has spent the last decade dedicated to the development and application of this technology. These activities include the innovation of switches, phase shifters, and tunable antennas for radar and satcom applications, as well as variable capacitors and tunable filters for microwave receiver front ends. He has authored or coauthored over 45 publications on microwave circuits, photonics, and RF MEMS. He is the holder or co-holder of nine patents with three pending in related fields. He was the Guest Editor for three Special Issues on RF Applications of MEMS Technology for the International Journal of RF and Microwave Computer-Aided Engineering (Wiley: 1999, 2001, and 2004). Dr. Goldsmith is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Electron Devices Society. He is a member of Tau Beta Pi. He served as chairman and vice-chairman of the IEEE Lasers and Electro-Optics Society (LEOS) Dallas Chapter and currently serves on the IEEE MTT-S Technical Coordinating Committee (TCC-21) on RF MEMS.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2919

Liquid RF MEMS Wideband Reflective and Absorptive Switches Chung-Hao Chen, Student Member, IEEE, and Dimitrios Peroulis, Member, IEEE

Abstract—This paper reports on the design, fabrication, and characterization of a new class of wideband liquid RF microelectromechanical-system reflective and absorptive switches. A number of different liquids are considered, including mercury, Galinstan, and ultrapure and ionic water. We first briefly review the performance of liquid–metal switches made by mercury and Galinstan. Such switches demonstrate excellent off-state insertion loss of less than 1.3 dB up to 100 GHz (the loss includes a 1500- m-long line) and on-state isolation of better than 20 dB from 20 to 100 GHz. The main part of this paper, however, focuses on significantly transforming these designs to actually absorb and not reflect the incident power in their on-state, while at the same time maintaining their excellent off-state performance. Absorptive behavior is particularly important for high-power applications. Simpler materials such as water are proven to be very effective for wideband absorptive switches. In particular, three classes of water-based absorptive switches are discussed depending on the level of the signal coupling to water. At 10–40 GHz, the optimal design exhibits off-state insertion loss of less than 1.3 dB, on- and off-state return loss of less than 10 dB, and on-state isolation of 27.5-dB isolation at 40 GHz. Index Terms—Absorptive switch, microfluidics, RF microelectromechanical system (RF MEMS), water, wideband.

I. INTRODUCTION

C

ONVENTIONAL RF microelectromechanical system (RF MEMS) microwave switches rely on metal-to-dielectric or metal-to-metal contacts [1]. Metal-to-dielectric switches, also known as capacitive switches, are typically useful for frequencies above 5–10 GHz where their down-state capacitance results in an effective short circuit. On the other hand, metal-to-metal switches, also known as dc-contact switches, cover the application range from dc to 40–50 GHz because of their ability to pass dc signals. A significant number of publications in the last 15 years have clearly demonstrated the numerous advantages of these devices in the areas of loss (0.1–0.3 dB up to 40 GHz), isolation (25–30 dB up to 40 GHz), linearity (third-order intercept point 66 dBm), and dc power consumption (2–5 nJ of switching energy) [1]. Recently, commercial switches started becoming available for medium RF power ranges (1–10 W) but only for applications requiring: 1) cold switching (i.e., RF power is cut off before the switch 10 ; can change state); 2) a relatively low number of cycles

Manuscript received August 12, 2007. The authors are with the Department of Electrical and Computer Engineering and the Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47907 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.910011

and 3) reflection and not absorption of the input power.1 2 Absorptive switches for power ranges in excess of 0.1–1 W and reliability levels of over 10 cycles remain a serious challenge primarily due to the inherent limitations of metal-to-dielectric and metal-to-metal contacts. Several papers and reports [2]–[15] explain in detail these limitations of metal-to-dielectric and metal-to-metal contacts for high-power applications. In summary, most of the failure mechanisms can be directly linked to the following three fundamental reasons. 1) Limited contact area where current can flow through from one contact member to the other: this is very important in the down-state of metal-to-metal contact switches and is due to the roughness of the contacting solid surfaces that limits the true contact area. 2) Limited conductive volume of the switch itself: this limits the current that may flow through the switch without exceeding the critical current density or excessively raising the switch temperature [16], [17]. This is particularly relevant to metal-to-dielectric contact switches. 3) Limited heat dissipation for absorptive switches. Very few absorptive switch implementations exist today (an example is shown in [18]), but even these are not applicable to high-power applications due to the previous limitations. Absorptive switches in particular face additional limitations caused by the need to dissipate the heat associated with the absorbed power. 4) Arching that occurs during hot switching for medium- to high-power applications. Liquid-contact switches offer numerous potential advantages in these areas including: 1) minimal contact surface damage since no excessive pressures are needed for successful contact; 2) large true contact areas because of the natural ability of liquids to conform to solid surfaces; and 3) the ability to precisely pattern and move liquids on a smooth wafer [19]. Furthermore, liquids in microfluidic channels may be circulated, which has the potential to lead to switches handling significantly improved power loads. It is the goal of this paper to demonstrate such liquid-based designs for RF/microwave applications. In Section II, we briefly summarize the technology necessary to achieve liquid-metal reflective switches as have been reported by the authors in [19] and [20]. We subsequently investigate in Section III the potential of water being employed as an effective and simple medium for realizing absorptive switches. In this section, we also generate 1Radant MEMS, Stow, MA. [Online]. Available: http://www.radantmems. com/radantmems/index.html 2TeraVicta, Austin, TX. [Online]. Available: http://www.teravicta.com

0018-9480/$25.00 © 2007 IEEE

2920

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 2. On- and off-state measured characteristics of Galinstan and mercury switches. Both switches present an equivalent 4-pF on-state capacitance. The mercury switch was characterized up to 45 GHz. From [19] and [20].

Fig. 1. (a) Schematic and (b) implementation of mercury switch. From [19].

equivalent models that are utilized to facilitate advanced absorptive switch designs. Sections IV–VI introduce new classes of wideband water-based absorptive switches from 10 to 40 GHz. We present three different classes of absorptive switches depending on the level of interaction between the RF signal and the water in the switch structure. II. REFLECTIVE SWITCHES

Fig. 3. (a) Schematic and (b) implementation of Galinstan switch. From [20].

The authors reported in [19] and [20] two wideband reflective switches based on two different liquid metals: mercury and Galinstan3 (eutectic gallium–indium–tin alloy). In this paper, we very briefly summarize their results by paying particular attention to their differences from the absorptive switches that are analyzed in more detail in Sections III–VII. The fundamental idea of the reflective switches is to place a liquid-metal droplet or slug in the critical path of the RF signal and thus create a short circuit. This constitutes the on-state of the switch. The droplet or slug may either be in direct contact with the solid metal [2], [5] or it could form a capacitive contact through a thin-layer dielectric [19], [20]. When the droplet or slug is removed from the RF signal path, the switch presents very low loss that is dominated by the transmission line loss itself. Fig. 1 shows the schematic diagram and an implementation of a mercury-based liquid switch. The mercury droplet radius is 500 m and is electrostatically actuated with 110 V. For capacitive switches, the droplet size is determined by the available technology (e.g., mercury droplets with radii as small as 25 m have been reported [21]), and the required isolation at a given frequency. For example, the relatively large size of the droplet in Fig. 1 is determined by the requirement of 20-dB isolation at 20 GHz. The droplet can be actuated by controlling the voltages of the actuation pad and the bias line that is in direct contact with the droplet. It is achieved by keeping the droplet staying

in contact with the bias line in the on- and off-states. When actuated, the droplet moves a distance of 370 m away from the RF signal path but remains in contact with the bias line. This switch presents an off-state insertion loss of less than 0.6 dB at 30 GHz, which is almost solely due to the CPW line itself. The on-state isolation is approximately 20 dB at 30 GHz, as shown in Fig. 2. While this switch exhibits many of the desired characteristics of liquid-metal switches, it is based on a toxic metal and is unpackaged. These drawbacks are addressed in [20] where a Galinstan slug in a 500- m-wide Polydimethylsiloxane microfluidic channel is employed (Fig. 3). Galinstan has the great advantage of being nontoxic and environmentally friendly. On the other hand, it is very challenging to actuate it without leaving residue due to its high adherence to most materials. This problem is addressed in [20] by actuating the Galinstan slug within a Teflon solution, which prevents it from leaving residue on any of the metallic, dielectric, or polymer surfaces. The switch on-state is capacibetween tive due to a thin 180-nm Teflon layer the Galinstan slug and coplanar waveguide (CPW) conductors. The off-state is simply implemented by removing the Galinstan slug from the CPW line and replacing it with Teflon solution. As shown in [20], the Teflon solution and the microchannel induce an insertion loss of less than 0.14 dB at 30 GHz. This switch is characterized up to 100 GHz and shows an isolation of approximately 24 dB at 30 GHz. During the measurement, the Galinstan slug was manually moved by connecting a syringe to one of the

3Geratherm Medical AG, Geschwenda, Germany. [Online]. Available: http:// www.geratherm.de/en/unternehmen/

CHEN AND PEROULIS: LIQUID RF MEMS WIDEBAND REFLECTIVE AND ABSORPTIVE SWITCHES

external tube. For practical applications, an on-wafer pump or other actuation mechanism for Galinstan is needed. A critical view of these liquid-metal implementations indicates that the off-state insertion loss is limited by the transmission line loss, while the on-state isolation is limited by the overlapping area between the liquid droplet or slug and the CPW line. For practical designs, this isolation seems to be limited to 23–26 dB for a single switch. While this performance compares favorably with conventional reflective RF MEMS switches, additional significant advantages can be gained by considering alternative architectures for liquid switches and particularly absorptive switches. In other words, an absorptive switch can maintain similar levels of isolation and insertion loss but with the additional advantage of minimum reflected power in both states. Sections III–VII further explain these advantages and provide a comprehensive design flow for obtaining optimal absorptive switches. III. WATER FOR ABSORPTIVE SWITCHES In addition to reflective switches, liquid metals can result in absorptive switches but with significantly added complexity. This can be achieved by replacing the solid contacts with liquid ones in traditional RF MEMS absorptive switches. For example, two liquid droplets and two 50- loads can synthesize an absorptive CPW switch, as shown in [18]. In this section, we demonstrate a new way to implement absorptive switches that results in significantly smaller and simpler designs. Water is the key material in these designs, since it has the natural ability to absorb RF energy. The conductivity of water is much lower than good metals, and so it cannot yield reflective switches. For example, the conductivity of ultrapure water is as low as 5.5 10 S/m.4 Even the conductivity of sea water that contains many ions is less than 5 S/m. However, water exhibits a very high dielectric constant ( 80 at low frequencies) due to its large dipolar molecules. Under the influence of an electromagnetic field, these dipolar molecules attempt to continuously reorient themselves following the applied electric fields. As the frequency of 1 GHz , the the field is increased to the microwave range molecules cannot completely follow the field, which causes a phase lag that leads to energy loss usually observed as heat. This phenomenon is called dielectric relaxation [22] and can be mathematically expressed via the concept of complex permittivity. The following equation, known as the Debye equation [23], is commonly employed to express the response of the dielectric relaxation assuming ideal and noninteracting dipoles and is a good approximation up to about 80 GHz [24]: (1) where is the permittivity at the high-frequency limit, is the permittivity at the low-frequency limit, is the angular frequency, and is the relaxation time given by

2921

Fig. 4. Schematic of a water droplet placed on a CPW line. The droplet can be electrostatically actuated so the on and off switch states can be realized.

where is the molecular radius, is the viscosity, is the Boltzman constant, and is the temperature. The fundamental idea in utilizing water for absorptive switches is to force the RF signal to propagate through a volume of water so it can be significantly attenuated. At the same time, it is important to limit the reflected power to a minimum. In this study, we discuss three different designs for accomplishing this: droplet switch, single-channel switch, and multichannel switch. These are shown in Sections IV–VI, respectively. For each design, we underline the tradeoffs between fabrication complexity, RF performance, and potential for electrothermal codesign. IV. FIRST ABSORPTIVE SWITCH: WATER DROPLET ON CPW LINE PRINTED ON SILICON SUBSTRATE A. Design and Fabrication This is the simplest design where a water droplet is precisely injected onto the desired location over a CPW line printed on a silicon substrate (Fig. 4). When the droplet is over the CPW line, part of the incoming signal is dissipated due to dielectric relaxation (on-state). On the other hand, the signal can freely propagate through the CPW line if the droplet is moved away from it (off-state). Direct contact between the droplet and the actuation pads is prevented by a 1- m-thick SiO layer that covers the CPW metal lines. The droplet motion can be readily controlled with electrostatic actuation, as shown in Fig. 5. The ground planes of the CPW line as well as a separate electrode are utilized as the actuation pads in this scheme. There is also a 10- m-wide Ti/Ni bias line between these pads that is in direct contact with the water droplet in order to provide the appropriate bias voltage. As shown in Fig. 4, motion can be achieved by switching the bias voltage of the actuation pads and the bias line. The droplet shown in Fig. 5 has a radius of 400 m and requires an actuation voltage of 80 V. This relatively low voltage is achieved by spin-coating the wafer surface with a 0.25- m-thick Teflon insulation layer. Teflon not only reduces the actuation voltage but also provides a hydrophobic layer that facilitates the droplet formation. With this hydrophobic layer, the contact angle of the water droplet is approximately 100 . In the absence of this Teflon layer, water spreads out easily due to the hydrophilicity of the SiO surface. B. RF Performance

(2) 4Water

Conductivity, Lenntech, Delft, The Netherlands. [Online]. Available: http://www.lenntech.com/water-conductivity.htm

Fig. 6 shows the measured on-state frequency response of the structure of Fig. 5. The off-state is identical to the one shown in Fig. 2. The droplet in this experiment is made of deionized

2922

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 7. Derived permittivity and conductivity of water based on the measurements of Fig. 6.

Fig. 5. Actuation of a 400-m-radius water droplet dispensed on a CPW line. The CPW and actuation pad surfaces are coated with a 1-m-thick SiO and a 0.25-m-thick Teflon insulation layer. The droplet contact angle is 100 and the measured actuation voltage is 80 V.

fitting its parameters to the measured data, the following expressions for the water droplet dielectric constant and conductivity can be derived: (3) (4)

Fig. 6. Measured and extracted from the Debye-model 400-m-radius water droplet on a CPW line.

S -parameters of one

water. As it can be observed, the return loss remains below 10 dB for frequencies above 35 GHz. The reflected power is mainly caused by the impedance mismatch between the water and air interface due to water’s high dielectric constant. With suitable matching, the reflected power can be further reduced, as will be shown in Section VI. The simplicity of this switch is very attractive because the obtained RF results allow us to extract a Debye-type model for the droplet that can facilitate the design of substantially improved switches. The Ansoft High Frequency Structure Simulator (HFSS) tool is used to derive the water model that fits the measured data. By employing the Debye model of (1) and by

where is the dielectric constant (real part), is the conductivity, and is the frequency. This model is valid in the measured frequency range of 2–100 GHz. The fitted results are shown in Fig. 6. It is worth noting that these results are in agreement with the measured data in [25] at 25 C. Fig. 7 shows the dielectric constant and conductivity of water as a function of frequency using the derived equations. As this figure shows, the lossy character of water dominates after approximately 20 GHz. Increasing the on-state isolation for this design requires injecting additional droplets on the CPW line. The line insertion loss increases from 0.8 dB at 30 GHz to 6.4, 12.9, and 20.7 dB when one, two, and three droplets are injected in series, respectively. Fig. 8 illustrates these results up to 100 GHz. The loss is generally proportional to the length of the water-covered section of the line. As these measurements indicate, at 30 GHz, the water-induced line loss is 84 dB/cm while the loss of the line itself is only 1.77 dB/cm. V. SECOND ABSORPTIVE SWITCH: WATER SURROUNDING SUSPENDED CPW LINE A. Design and Fabrication A drawback of the previous design is that the droplets tend to evaporate if they are not packaged. In addition, the isolation is relatively small due to the fact that part of the wave propagates in the low-loss substrate even when the droplet is placed 15 dB on the CPW line. Consequently, reasonable isolation requires long lines of the order of 2 mm for 30-GHz applications. This design can be improved if the water is also placed on the bottom part of the CPW line. This idea is depicted in Fig. 9.

CHEN AND PEROULIS: LIQUID RF MEMS WIDEBAND REFLECTIVE AND ABSORPTIVE SWITCHES

2923

Fig. 8. Measured insertion loss of water droplets on a CPW transmission line. Each droplet has a 400-m radius. Water droplets are placed next to each other.

Fig. 9. (a) First absorptive switch: only half of the electric field is covered by the water droplet. (b) Second absorptive switch: in this improved structure, the water surrounds the CPW line, causing all of the electric field to propagate through its volume.

The fabrication process for achieving this is shown in Fig. 10 and can be divided into four main parts: substrate fabrication, microchannel construction, channel bonding, and the external tubes mounting. All steps are completed at the Birck Nanotechnology Center at Purdue University. 1) Substrate Fabrication: A low-stress 1.5- m-thick Ti/Au/Ti layer is first sputter-deposited and patterned on a high-resistivity wafer to form the CPW line. The wafer is then coated with a 5000-Å-thick SiO layer deposited by plasma-enhanced chemical vapor deposition (PECVD). This oxide layer facilitates the subsequent microchannel bonding. In order to suspend the CPW line, the oxide is wet etched from the designated microchannel area, and an additional photoresist layer is spin-coated and patterned. The silicon under the CPW line is then isotropically etched by using an XeF etcher. With 3-torr gas pressure and 15 s/cycle, the ratio of the undercut and depth is approximately 1.1 : 2. The etch rate varies depending on the total etched area. Finally, the photoresist is removed by an acetone/isopropyl-alcohol release process combined with an O -plasma dry etch. Fig. 11 shows two representative pictures of one and two air-suspended CPW lines captured by a scanning electron microscope. The maximum channel depth is approximately 200 m in these figures. 2) Microchannel Construction: To build the microchannel, an inverted mold is fabricated by a stereolithography process [26]. Dummy tubes are then inserted on the mold to build holes

Fig. 10. Fabrication process for the second absorptive switch. The steps are described in the text.

for later insertion of the external tubes. The polydimethylsiloxane base and its curing agent are then well mixed in a 10 : 1 ratio and poured into the mold. The polydimethylsiloxane and mold are subsequently cured overnight at room temperature. The microchannels are finally peeled off and separated. 3) Channel Bonding: As Fig. 10(c) shows, the polydimethylsiloxane microchannel and the CPW substrate are then aligned and bonded under a magnifying lens. This bonding is achieved through an important but simple surface treatment process. It is accomplished by bringing both bonding surfaces of the microchannel and the substrate under 20-W Ar/O plasma. The chamber pressure is 1.7 torr and the ratio of the Ar and O gases

2924

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 12. Completed absorptive switch.

has been made by inkjet printing companies in this area during the last two decades, so it is not expected to present a difficult challenge. B. RF Performance

Fig. 11. One and two air-suspended CPW line segments. When covered with microchannels, these silicon-etched channels can be filled with water, implementing the scheme of Fig. 9(b).

Channels of several different widths with suspended 60-/100-/60- m CPW lines have been fabricated and measured, as shown in Fig. 13. At 30 GHz, the insertion losses are 8.6, 14.3, and 23.7 dB for 500-, 1000-, and 1500- m-wide microchannels, respectively. These measurements yield a loss of approximately 160 dB/cm that is almost twice the calculated loss of the CPW line with water on one side only (84 dB/cm). As Fig. 13 clearly shows, the on-state reflection coefficient is not acceptable, since it is above 10 dB for frequencies beyond 3–3.5 GHz. This is due to the fact that the suspended 60-/100-/60- m CPW line is not a 50- line when surrounded by water. As a result, although this design does provide a higher on-state isolation than the first one and it does address the droplet evaporation issue, it requires further improvements for practical implementation. These are addressed in Section VI. VI. THIRD ABSORPTIVE SWITCH: DISTRIBUTED WATER SURROUNDING SUSPENDED CPW LINE SEGMENTS

is 8 : 7, respectively. The treatment time is 20 s. After this treatment, a strong bonding strength forms once these surfaces are in contact [27]. 4) External Tube Mounting: Teflon tubes with outer diameter of 1.1 mm and inner diameter of 500 m are employed as the external tubes to allow water to flow in/out of the microchannel. These tubes are fit to the holes at the ends of the channels and sealed by a small amount of polydimethylsiloxane. 5) Teflon Coating: It is recommended to coat the inner microchannel and suspended CPW with a thin Teflon layer by purging the microchannel with diluted Teflon AF solution and following with at least a 2-hr 130 C oven bake. The teflon layer reduces flow resistance and avoids water residuals. It is the passivation layer that resists corrosion of the switch metals. Fig. 12 shows the completed device. With the microchannel and the silicon-etched channel, when water flows into the switch, it completely surrounds the air-suspended CPW line segments. For mass production, it is necessary to utilize a precise method for dispensing the liquids. A great deal of progress

A. Design Optimization As already mentioned in Section V, an optimized version of the suspended CPW line requires proper matching for both the on- and off-states. While this is straightforward for narrowband designs, it is particularly challenging for wideband absorptive switches because the on-state CPW impedance is complex and varies with frequency. Fig. 14 shows the simulated characteristic impedance of a 100-/100-/100- m CPW line when surrounded by water. To address this issue, we propose a new design methodology based on periodic loading of CPW segments with water. This is inspired by the low- /high- low-pass filter design process [28]. The layout of the proposed design is shown in Fig. 15. The layout includes silicon-supported CPW segments (marked as low- sections in the figure) and air-suspended CPW segments (marked as high- segments). The latter segments are either surrounded by water (on-state) or by air (off-state) Fig. 11 shows two examples of such segments. The goal of the design

CHEN AND PEROULIS: LIQUID RF MEMS WIDEBAND REFLECTIVE AND ABSORPTIVE SWITCHES

2925

Fig. 14. Simulated impedance for a 100-/100-/100-m air-suspended CPW line completely surrounded by water.

Fig. 13. Measured and simulated insertion loss of the CPW-suspended switches with 500-/1000-/1500-m-wide channels.

is to find the shortest lengths for all CPW segments and their respective impedances in order to get an optimal design. A design is considered optimal when it provides the required on-state isolation and the on-/off-state return losses for the minimum possible transmission-line lengths. As an example, we set the following goals for our design: Required Isolation Required Return Loss

dB at dB at

GHz GHz

(5) (6)

Fig. 15. Matching network design of the absorptive switch.

Step 1) Considering the off-state matching problem, we observe that the air-suspended line segments are naturally high-impedance sections and can be approximated as series inductors. On the other hand, the silicon-supported segments can be fabricated as lowimpedance lines and, consequently, can be approximated as shunt capacitors. The approximate inductance and capacitance values are [28] (7) (8)

While brute-force optimization is possible, the following steps provide the necessary physical insight to adapt the absorptive switch design process to a variety of requirements. 1) Off-State Matching: Performing the off-state matching allows us to determine the total number of CPW segments needed and the lengths of the air-suspended segments. The lengths of the silicon-supported segments are determined by the on-state matching. The required steps are as follows.

is the series inductance, is the shunt where is the high- impedance, is the capacitance, low- impedance, is the speed of light in vacuum, is the effective dielectric constant of the lowCPW segments , and is the length of to , where is the total each CPW segment, number of segments.

2926

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

TABLE II CALCULATED LENGTHS OF THE SILICON-SUPPORTED = 9 AND = 40

CPW SEGMENTS FOR

N

Z

Z

Z

Fig. 16. Equivalent circuit of the high- low- matching network of Fig. 15 for a reference impedance of = 50 and cutoff frequency = 60 GHz.

Z

f

TABLE I CALCULATED LENGTHS OF THE SUSPENDED CPW SEGMENTS AND RESULTING ISOLATION AT 30 GHz WHEN FILLED WITH WATER

Step 2) We can, therefore, design the off-state matching network as a maximally flat low-pass filter [28]. Given the frequency range of interest in this example (10–40 GHz), we choose a cutoff frequency GHz for this design. For this cutoff freof system impedance, the quency and for equivalent circuit is shown in Fig. 16. Additionally, , we choose a high- impedance of which corresponds to a 100-/100-/100- m air-suspended CPW. While it is certainly possible to get higher impedances in air approaching 180 , it is not necessarily optimal. The reason becomes obvious from (7) where it is seen that high-impedance segments also result in shorter CPW segments. A short CPW segment, however, may not provide significant heat dissipation (isolation) when filled with water. Therefore, we compromise by selecting a lower high-impedance value that allows the suspended CPW segments to be of reasonable length. Step 3) The final step concerns the selection of the total number of segments . This is determined by the required isolation (20 dB at 30 GHz in our example). Table I shows the maximum possible isolation for between 3 and 9. This table also shows the computed lengths of each suspended CPW [see (7)]. As can be observed, an isolation of at least 20 dB at 30 GHz segments. requires 2) On-State Matching: The on-state matching yields the low- impedance and the lengths of the silicon-supported CPW segments by performing the following steps. Step 1) As already mentioned, the impedance of the suspended CPW line when surrounded by water due to water’s high diis lower than 50 electric constant. At 30 GHz, it has the value (Fig. 14). For frequencies above 20 GHz, the line impedance is a weak function of frequency. Step 2) For such high frequencies, we can assume that the first few segments (e.g., the first and third in Fig. 15)

S

Fig. 17. Measured off-state -parameters for the absorptive switch of Fig. 15. The measurements without the polydimethylsiloxane microchannels show that polydimethylsiloxane adds a loss of approximately 0.2 dB up to 40 GHz.

dominate the reflected power, since water is quite lossy and any power not reflected by them will be significantly attenuated by the following segments. Therefore, we can consider the relatively simple for matching problem of choosing , , and to . Simulations with the impedance the Agilent Advanced Design System yield a rather weak dependence of the reflected power on in the range of 30–40 . By considering the layout limitations in our design, we chose a 30-/100-/30- m silicon-supported CPW that has an impedance of . Step 3) Once the low- value is known, the lengths of the silicon-supported CPW segments can be calculated by (8). These values are shown in Table II. If more accurate numbers are desired, a computer-based optimization process can be performed where the previous calculations can be used as a reasonably accurate starting point. B. RF Performance 1) Ultrapure Water: Figs. 17 and 18 show the measured and simulated RF performance of the implemented absorptive switch design with the values shown in Tables I and II for the off- and on-states, respectively. At the off-state, the insertion loss is less than 1.3 dB up to 40 GHz, and the return loss is lower than 10 dB. Compared with the insertion loss of a 60-/100-/60- m CPW line with the same length but without the polydimethylsiloxane microchannels, we can extract the polydimethylsiloxane loss as approximately 0.3 dB. At the on-state, the isolation is 10, 15, and 27 dB at 15, 20, and 40 GHz, respectively. The reflected power is below 10 dB from 7 to 40 GHz.

CHEN AND PEROULIS: LIQUID RF MEMS WIDEBAND REFLECTIVE AND ABSORPTIVE SWITCHES

Fig. 18. Measured and simulated on-state switch of Fig. 15.

S -parameters

2927

for the absorptive

Fig. 19. Measured on-state S -parameters for different concentrations of ionic water in a 1500-m-wide channel.

The small differences between measurements and simulation results (less than 3 dB) for the whole band is partly due to the approximations involved in the design process and partly due to the fact that the actual channels’ dimensions are slightly narrower ( 5%) than the designed ones. 2) Ionic Water: To further improve the low-frequency isolation of the absorptive switch, the ultrapure water is replaced with ionic water. Fig. 19 shows the RF performance for different concentrations of NaCl solution in a 1500- m-wide channel. As the figure shows, a high concentration of NaCl solution improves the isolation, but only below 20 GHz. By filling the 5 M of NaCl into the channels of the absorptive switch, the 10-dB isolation point shifts from 15 to 10 GHz (see Fig. 20). The model of the 5-M NaCl solution shown below is derived using the same fitting method mentioned earlier. It shows that the approximate dc conductivity of this solution is 11 S/m. In order to confirm this number, the total dissolved solids (TDS) of this solution were measured using a TDS meter. The measured

Fig. 20. Measured and simulated S -parameters of 5-M NaCl solution filled in the absorptive switch: (a) S and (b) S of Fig. 15. The isolation is improved below 20 GHz.

TDS number is 68 ppt, which can be approximately converted to electrical conductivity 10.6 S/m, which agrees with the model5 (9) (10)

VII. PRACTICAL CONSIDERATIONS AND FUTURE RESEARCH DIRECTIONS Although liquid switches have numerous advantages as discussed in this paper, a successful implementation needs to consider the tradeoffs associated with their liquid nature. Here, we discuss the most important of these properties and suggest areas of future research. 5DS and Electrical Conductivity, Lenntech, Delft, The Netherlands. [Online]. Available: http://www.lenntech.com/tds-ec_engels.htm#TDS%20and%20electrical%20conductivity

2928

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

TABLE III FREEZING AND BOILING POINTS OF MERCURY, GALINSTAN, AND WATER AT 1 atm [29], [30]

other hand, it consumes more power if it is actuated using a current-driven micropump. Therefore, the power consumption may range from 10 W to 100 mW. VIII. CONCLUSION AND DISCUSSION

RG Medical Diagnostics, Southfield, MI, Galinstan MSDS. [Online]. Available: http://www.rgmd.com/msds/msds.pdf

A. Temperature Range The switch-operating temperature range is limited by the freezing and boiling points of the liquid used. As shown in Table III, Galinstan is liquid in a very wide temperature range from 19 C to 1300 C while mercury is from 38 C to 356 C and water from 0 C to 100 C. For temperatures below their freezing points, it is may be necessary to include localized heaters around the switch area. For temperatures above the boiling points, circulating the liquid and combining it with an active cooling design may be necessary. For normal operating temperatures, it is necessary to include hermetic or nearly hermetic packaging to limit evaporation of the liquid. The package should also be bonded sufficiently well to resist the increased pressure caused by the heating or changing state of the liquid. B. Droplet Size The demonstrated liquid switches exhibit relatively large size. The capacitive reflective switches are around 2 mm and the water absorptive switches are approximately 5 mm . These sizes are determined by the required isolation. While they may initially appear large, it is important to consider that liquid switches are mostly useful for high-power applications (1–100 W) where heat dissipation becomes serious and large areas are naturally required to cool the devices. In other words, liquid switches may provide an attractive solution for high-power applications (i.e., cold and hot switching) by trading off speed with power handling. The speed of our droplet switches is predicted to be 1 ms based on the experimental results shown in [2] and [21]. The speed of the microchannel switch is predicted to be 10 ms, assuming a reasonable average pumping speed of 1 mL/min [31]. Circulating the liquid could also combine the switching action with active cooling, which may further improve the switch power handling. C. Actuation Mechanism We have successfully demonstrated electrostatically actuating droplets. However, the microchannel switches were manually pumped when measured. For practical applications, an on-wafer pump is needed. There are already many useful microfluidic pump designs [31]. Electrohydrodynamic and magnetohydrodynamic pumps are considered to be particularly attractive due to their relatively fast speed, simple structure, and lack of moving parts. In particular, magnetohydrodynamic pumps have demonstrated the ability to pump liquid metals with speeds of approximately 20 cm/s [32]. The on-wafer pump type will also influence the switch power consumption. The switch consumes almost no power if it is electrostatic actuated. On the

Novel water-based wideband RF MEMS absorptive switches are designed, implemented, and characterized in this paper. Their characteristics are compared to liquid-metal switches in the areas of insertion loss, isolation, and reflected power. The study shows that the simple water-based switches are competitive with liquid-metal switches for frequencies above 20 GHz. However, this requires particular care in the design since water has a very high dielectric constant, even in microwave frequencies, and may result in mismatches if not properly accounted for. Optimal designs with distributed water microfluidic channels are presented from 10 to 40 GHz resulting in less than 1.3-dB insertion loss, better than 10-dB return loss, and higher than 27.5-dB isolation at 40 GHz. These switches clearly show the potential of coupled RF-microfluidics for high-power applications. In particular, circulated water may be used to simultaneously route RF signals and provide active wafer cooling. REFERENCES [1] G. M. Rebeiz, RF MEMES Theory, Design and Technology. Hoboken, NJ: Wiley, 2003. [2] W. Shen, R. T. Edwards, and C.-J Kim, “Mercury droplet microswitch for re-configurable circuit interconnect,” in Proc. 12th Int. Solid-State Sensors TRANSDUCERS ’03 Conf., Jun. 2003, vol. 1, pp. 464–467. [3] J. Kim, W. Shen, L. Latorre, and C.-J. Kim, “A micromechanical switch with electrostatically driven liquid-metal droplet,” Sens. Actuators A, Phys., vol. 97–98, pp. 672–679, 2002. [4] J. Simon, S. Saffer, and C.-J. Kim, “A liquid-filled microrelay with a moving mercury micro-drop,” J. Microelectromech. Syst., vol. 6, no. 4, pp. 208–216, Sep. 1997. [5] Y. Kondoh, T. Takenaka, T. Hidaka, G. Tejima, Y. Kaneko, and M. Saitoh, “High-reliability, high performance RF micromachined switch using liquid metal,” J. Microelectromech. Syst., vol. 14, no. 4, pp. 214–220, Sep. 2005. [6] S. Majumder et al., “Measurement and modeling of surface micromachined, electrostatic actuated microswitches,” in Proc. TRANSDUCERS ’97, Jun. 1997, pp. 1145–1148. [7] B. Bhushan, “Contact mechanics of rough surfaces in tribology: Multiple asperity contact,” Tribol. Lett., vol. 4, pp. 1–35, 1998. [8] B. Bhushan and W. Peng, “Contact mechanics of multilayered rough surfaces,” Appl. Mech. Rev., vol. 55, no. 5, pp. 435–480, Sep. 2002. [9] L. L. W. Chow, S. A. Schrader, and K. Kurabayashi, “Transition from multiple to single microcontact conduction during hot switching of microelectromechanical switches with ball-shaped dimples,” Appl. Phys. Lett., vol. 89, 2006, Paper 133501. [10] D. Hyman and M. Mehregany, “Contact physics of gold microcontacts for MEMS switches,” IEEE Trans. Compon. Packag. Technol., vol. 22, no. 3, pp. 357–363, Sep. 1999. [11] B. D.. Jensen et al., “Effect of nanoscale heating on electrical transport in RF MEMS switch contacts,” J. Microelectromech. Syst., vol. 14, no. 5, pp. 935–945, Oct. 2005. [12] A. Lumbantobing, L. Kogut, and K. Komvopoulos, “Electrical contact resistance as a diagnostic tool for MEMS contact interfaces,” J. Microelectromech. Syst., vol. 13, no. 6, pp. 977–987, Dec. 2004. [13] N. E. McGruer et al., “Mechanical, thermal, and material influences on ohmic-contact-type MEMS switch operation,” in Proc. 19th IEEE Int. MEMS Conf. , 2006, pp. 230–233. [14] S. T. Pattona and J. S. Zabinski, “Fundamental studies of AU contacts in MEMS RF switches,” Tribol. Lett., vol. 18, no. 2, pp. 215–230, Feb. 2005. [15] J. Huang and Q. Huang, “Influence of the sticking effect on the contact resistance of a MEMS DC-contact switch,” in J. Phys., Conf. Series, 2006, vol. 34, pp. 540–545.

CHEN AND PEROULIS: LIQUID RF MEMS WIDEBAND REFLECTIVE AND ABSORPTIVE SWITCHES

[16] J. B. Rizk et al., “Steady-state thermal analysis and high-power reliability considerations of RF MEMS capacitive switches,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 1, pp. 239–242. [17] W. Thiel, “A study of thermal effects in RF-MEM-switches using a time domain approach,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 1, pp. 235–238. [18] G. Tan and G. M. Rebeiz, “DC–26 GHz MEMS series-shunt absorptive switches,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, vol. 1, pp. 325–328. [19] C. Chen and D. Peroulis, “Electrostatic liquid-metal capacitive shunt MEMS switch,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 263–266. [20] C. Chen, J. Whalen, and D. Peroulis, “Non-toxic liquid-metal 2–100 GHz MEMS switch,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 363–366. [21] Z. Wan, H. Zeng, and A. Feinerman, “Reversible electrowetting of liquid-metal droplet,” Trans. ASME, vol. 129, pp. 388–394, Apr. 2007. [22] “Dielectric relaxation,” Wikipedia. [Online]. Available: http://en. wikipedia.org/wiki/Dielectric_relaxation [23] M. Chaplin, “Water structure and behavior,” London South Bank Univ., London, U.K. [Online]. Available: http://www.lsbu.ac.uk/water/microwave.html [24] R. Buchner et al., “The dielectric relaxation of water between 0 C and 35 C ,” Chem. Phys. Lett., vol. 306, no. 1–2, pp. 57–63, Jun. 1999. [25] T. Meissner and F. J. Wentz, “The complex dielectric constant of pure and sea water from microwave satellite observations,” IEEE Trans. Geosci. Remote Sens., vol. 42, no. 9, pp. 1924–1933, Sep. 2004. [26] B. Liu, X. Gong, and W. J. Chappell, “Applications of layer-by-layer polymer stereolithography for three-dimensional high-frequency components,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2567–2575, Nov. 2004. [27] S. Bhattacharya et al., “Studies on surface wettability of poly(dimethyl) siloxane (PDMS) and glass under oxygen-plasma treatment and correlation with bond strength,” J. Microelectromech. Syst., vol. 14, no. 3, pp. 590–597, Jun. 2005. [28] D. M. Pozar, Microwave Engineering, 3rd ed. Hoboken, NJ: Wiley, 2005. [29] “Mercury,” Widipedia. [Online]. Available: http://en.wikipedia.org/ wiki/Mercury_%28element%29 [30] “Water,” Wkipedia. [Online]. Available: http://en.wikipedia.org/wiki/ Water [31] D. J. Laser and J. G. Santiago, “A review of micropumps,” J. Micromech. Microeng., vol. 14, pp. R35–R64, 2004.

2929

[32] J. Zhong, M. Yi, and H. H. Bau, “Magneto hydrodynamic (MHD) pump fabricated with ceramic tapes,” Sens. Actuators A, Phys., vol. 96, no. 1, pp. 59–66, Jan. 2002. [33] L. Latorre et al., “Electrostatic actuation of microscale liquid-metal droplets,” J. Microelectromech. Syst., vol. 11, no. 4, pp. 302–308, Aug. 2002.

Chung-Hao Chen (S’04) received the B.S. degree in communication engineering from National Chiao Tung University, Hsin-Chu, Taiwan, R.O.C., in 2001, the M.S. degree in electrical and computer engineering from Purdue University, West Lafayette, IN, in 2005, and is currently working toward the Ph.D. degree at Purdue University. From 2002 to 2003, he was an RF Engineer with Globlink Technology Inc., Taipei, Taiwan, R.O.C. His current research is focused on liquid RF microelectromechanical systems devices.

Dimitrios Peroulis (S’99–M’04) received the Diploma degree in electrical and computer engineering from the National Technical University of Athens, Athens, Greece, in 1998, and the M.S.E. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 1999 and 2003, respectively. Since August 2003, he has been an Assistant Professor with the School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN. His current research is focused on microelectromechanical systems for multifunctional communications systems and sensors. Dr. Peroulis was the recipient of several teaching awards from Purdue University including the Teaching for Tomorrow Award (2006). He was also the recipient of three Student Paper Awards presented at the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) (2001 and 2002) and the IEEE Antennas and Propagation Society (IEEE AP-S) Symposium (2001).

2930

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

A 3-D Isotropic Left-Handed Metamaterial Based on the Rotated Transmission-Line Matrix (TLM) Scheme Michael Zedler, Christophe Caloz, Senior Member, IEEE, and Peter Russer, Fellow, IEEE

Abstract—A 3-D isotropic left-handed metamaterial based on the rotated transmission-line matrix (TLM) scheme is presented in this contribution. First, the discrete electrodynamics theory of the rotated TLM scheme is briefly revisited. The corresponding 3-D metamaterial is analyzed in terms of its dispersion relation, Bloch impedance, principal axes propagation, differential/common mode excitation, and composite right/left-handed response. A practical realization of this 3-D metamaterial, based on metal–insulator–metal capacitors and thin wires, is proposed and experimentally demonstrated. A simplified planarized implementation, preserving the same network topology, is then proposed with two alternative configurations. Parasitic effects resulting from planarization are analyzed and design guidelines are given to mitigate them. Finally, this structure is demonstrated by a full-wave simulation to exhibit the expected 3-D-isotropic left-handed behavior. Index Terms—Composite right–left-handed (CRLH), isotropic, left-handed, metamaterial, negative refractive index (NRI), transmission-line matrix (TLM), 3-D.

I. INTRODUCTION METAMATERIAL is an electromagnetic structure exhibiting unusual material properties such as close-to-zero or negative refractive index (NRI) [1], [2] first investigated by Veselago [3]. A fundamental property of metamaterials is that they cannot be realized by homogeneous materials and, hence, they are composites exhibiting an internal structure [4]. So far, five different topologies for 3-D left-handed isotropic metamaterials have been proposed: a finite-difference time-domain (FDTD)-derived structure independently proposed in [5], [6], a structure derived from the rotated transmission-line method (TLM) scheme [7], [8], a structure consisting of dielectric spheres [9], a 3-D extension of the wire/split-ring approach [10], [11], and a straightforward extension of the 1-D/2-D composite right–left-handed (CRLH) structure [12], [13] supporting scalar waves that requires a common ground across all unit cells. The latter was the first 3-D left-handed metamaterial demonstrated experimentally. 3-D metamaterials

A

Manuscript received April 24, 2007; revised July 24, 2007. M. Zedler and P. Russer are the Institute for High-Frequency Engineering, Technical University of Munich, 80804 Munich, Germany (e-mail: Michael. [email protected]). C. Caloz is with the Département de Génie Électrique, Poly-Grames Research Center, École Polytechnique de Montreal, Montreal, QC, Canada H3C 3A7. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909608

may be used in diverse applications such as spatial power combiners, antennas, radomes, quasi-optical devices, and imaging systems. In addition, two futuristic applications were suggested by Pendry: a perfect optical lens [14] and a cloaking device [15]. While the validity of the perfect lens has been recently questioned by Veselago [16], the cloaking device was verified experimentally in [17]. This contribution is organized as follows. Sections II and III-A recall the derivation of the rotated TLM metamaterial [7], [8]. Section III-B discusses, for the first time, a simplified schematic model describing waves propagating along a principal axis, allowing easier comparison with other metamaterials based on lumped elements. Section III-C shows the original result that rotated TLM metamaterials only support the desired differential mode and that a parasitic common mode does not exist. Section III-D recalls the behavior of the rotated TLM metamaterial in the CRLH case, resulting in the novel analysis of the effects of balanced resonance on the Bloch impedance. Section IV presents the fabrication and measurement of the metamaterial. Section V discusses a planar implementation that preserves the network topology and that permits highly eased fabrication. Parasitic effects resulting from planarization are analyzed, and design guidelines are given to mitigate them. Full-wave simulations verify the left-handed behavior of the metamaterial. II. DISCRETE ELECTRODYNAMICS In the TLM representation of discrete electrodynamics, a 12-port scattering matrix, representing the TLM cell, contains all of the information of the discretized Maxwell’s equations [18]–[21]. If we consider that the field is discretized into cubic cells and assume that the tangential electric and magnetic field components are sampled at the center of each cube surface, this yields 12 electric and 12 magnetic field components, which may be represented by 12 incident waves with amplitudes and 12 scattered waves with amplitudes [19], [21], [22]. The circuit representation of the TLM cell may be depicted by the 12-port which appears schematically drawn . in Fig. 1. It is represented by a scattering matrix Assuming that the 12-port adheres to rotational and mirror symmetries with respect to the - -, and -axes, has the form

0018-9480/$25.00 © 2007 IEEE

(1)

ZEDLER et al.: 3-D ISOTROPIC LEFT-HANDED METAMATERIAL BASED ON ROTATED TLM SCHEME

2931

~ . The complete unit cell is Fig. 2. Rotated TLM half unit cells implementing S constituted by the merging of both half cells [7].

Fig. 1. General space-discretizing TLM 12-port [8].

with

(2) Assuming matched ports , a lossless node and equal phase delay in all branches , one obtains the two solutions

,

(3a)

Fig. 3. Representation of a 45 rotation of polarization by a transformer network.

(3b) Equation (3b) describes a trivial node not connected to off axis adjacent nodes and is thus not of further interest. Equation (3a) describes a general space-discretizing cell, which is well known as the symmetric condensed node TLM method. The represents the phase delay experienced by a phase wave traveling through the TLM cell. The 12-port cell can be by the decomposed into two independent six-ports and coordinate transformation [23], [22] (4a) (4b)

As an aside, it shall be noted that the rotation of polarization by 45 used in (4a) of each face of the space-discretizing cube can be understood also from a network point of view using ideal transformers, as shown in Fig. 3: two unrotated ports with are transformed into the supolarizations/port voltages which are the rotated port polarizaperposition tions/voltages. Thus, from a circuit theoretical point of view, the symmetric condensed-node TLM can be transformed into rotated TLM by means of transformer networks. In Section III, it will be shown that a rotated TLM half cell can be implemented by a lumped-element network; therefore, together with the aforementioned transformer network, the symmetric condensed-node TLM node can be represented using network circuits. III. ANALYSIS OF THE ROTATED TLM METAMATERIAL

(4c) A. Dispersion Relation and Bloch Impedance This corresponds to a rotation of the polarizations by 45 , as shown in Fig. 2. The transformed scattering matrix is given by (5) In this paper, the two independent half-cell six-ports described ¯ cells, respectively. A TLM by and are called “A” and “A” cell that completely samples the electromagnetic field can be established by either nesting the six-port structures of the A and ¯ half cells or by a cluster of eight half cells with alternating A A ¯ cells [22]. and A

An elementary metamaterial cell may be conceived on the basis of rotated TLM cells by inserting reactances in series to the six cell ports and four admittances connecting the series reactances at a central node forming a virtual ground [7]. Both half cells can be connected at the virtual ground. The A-cell ¯ is scattered by scattering is described by whereas the A-cell [see (4a)]. This sign inversion may be interpreted as a frequency-independent phase shifter of 90 attached to each port, which is impractical for any physical realization. For this reason, we consider a metamaterial structure containing solely A-cells. In this case, referring to Fig. 1, a wave incident at port 1 is scattered into ports 5, 6, 9, and 10 instead of into ports 7, 8, 11, and

2932

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 4. Lumped-element model of a rotated TLM half unit cell implementing the structure shown in Fig. 2. Shunt elements Y are shown in red (in online version) for clarity, and series elements are denoted by Z .

12. This means that the polarization is changed from vertical to horizontal after traversing the cell. The lumped-element circuit for an A-cell is shown in Fig. 4 with the series elements and shunt elements . The same structure is depicted in Fig. 5(a) in a graphically flattened representation. The dispersion relation of a rotated TLM metamaterial consisting of only A-cells is [7]

(6) are the phase shifts observed by a wave traversing where ¯ the cell along the - -, and -axes, respectively. If the A-cell instead of ) were used for one of the half (scattered with cells, then the dispersion relation would read . The Bloch impedance of the rotated TLM cell equals that of the 1-D CRLH cell [1] and may be shown to read [7] as (7) indicating that there is no angular dispersion, as (7) is indepenand . dent of B. Propagation Along the Principal Axes By referring to Fig. 5(a), we seek the solution of propagation along the -axis, which is obtained by expressing the periodic boundary conditions along the - and -axes as (8a) (8b) When and are the incident and reflected wave amplitudes at port , this yields

Fig. 5. Equivalent circuits for the rotated TLM 3-D metamaterial half unit cell. (a) Implementation of A-cell. (b) Particularized circuit for the case of x axis propagation following (8a)–(9c). (c) and (d) Simplified equivalent circuit of (b). is flipped. Note that, in (c) and (d), port

where denote the currents through the shunt elements , and the current flow in each is directed towards the and are open circuit, ports symmetry center. Hence, ports and are shorted, and the shunt elements decouple. Following (8a)–(9c), the equivalent circuit can be particularized to Fig. 5(b) that can then be simplified into Fig. 5(c). Noting that the impedance matrix of a T-circuit and a flipped T-circuit are equal, a further simplification leads to Fig. 5(d). Interestingly, for 1-D propagation, the circuit resembles that of the standard 1-D CRLH line, except that there are two T-circuits per unit cell and a flip of sign at port . The dispersion relation and Bloch impedance for the structure depicted in Fig. 5(d) may be shown to agree with (6) and (7) for , as expected. C. Common-Mode Analysis

(9a) (9b) (9c)

While the ports in the network topology of Fig. 5 are fundamentally differential, this section examines the possible existence of a parasitic common mode.

ZEDLER et al.: 3-D ISOTROPIC LEFT-HANDED METAMATERIAL BASED ON ROTATED TLM SCHEME

Fig. 6. Topology of the rotated TLM metamaterial half unit cell for the common mode. The node labels 1; . . . ; 16 correspond to the labeling scheme in Fig. 5(a).

2933

Fig. 8. Dispersion diagram for the 3-D CRLH rotated TLM metamaterial for the balanced-resonance case (solid line) and unbalanced-resonance (dashed line) case. Red lines (in online version) represent metamaterial operation behavior.

side in the rotated TLM case is simply the square of that in the 1-D CRLH case. This square yields two left-handed and two right-handed bands, while the 1-D case has only one band of each type. Apart from this fact, the properties of 1-D CRLH structures remain, including the balanced/unbalancedresonance conditions and their impact on the dispersion relation (gapless/gap transitions between bands) and Bloch impedance (frequency independency/dependency). The four bands, which are obtained by inserting the CRLH reactances into (6), are given by

(10a) Fig. 7. CRLH rotated TLM metamaterial half unit cell. [7].

For the common mode, the potentials at nodes and with are equal, and all voltages are defined with respect to the potential at infinity. The circuit simplifies as follows: all shunt elements are shorted, and the structure simplifies to that shown in Fig. 6. The network in Fig. 6 only contains one . A network with only one type of element, denoted with type of element does not support wave propagation: Assuming consists of only inductors, then the lack of capacitors that forbids propagating waves at all frequencies. The nonexistence of a common mode for other network elements representing can be shown by frequency-transformation reasoning. D. CRLH Behavior In a CRLH unit cell, the impedance is a series resonator , whereas is a parallel resonator . The account for unavoidable parright-handed components asitics [1]. The corresponding unit cell for the rotated TLM metamaterial is shown in Fig. 7. Comparing the dispersion relation of (6) with that of a 1-D , one notes that the left-hand CRLH medium

(10b) where . The dispersion diagram is shown in Fig. 8 for both the balanced- and unbalanced-resonance cases. The consequence of the suppressed negative sign in the scat¯ tering matrix of the A-cell (Section III-A) are: 1) the unbalanced-resonance gap of the dispersion diagram appears not at the -point, as in the 1-D CRLH metamaterial, but between the X and M points and 2) similarly, the frequency-independency of the Bloch impedance may be shown to exist not near the -point but near the X/M-region. This second consequence means that the Bloch impedance cannot be constant to first order in the metamaterial frequency range (i.e., around the -point) and that therefore the bandwidth of this 3-D rotated TLM metamaterial is restricted compared with 1-D CRLH metamaterials. This is the price to pay for the simplification of the structure ¯ cell. This behavior of the Bloch avoiding phase shifters in the A impedance is shown in Fig. 9: while for a balanced-resonance 1-D CRLH structure the -point corresponds to the frequency

2934

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 9. Bloch impedance of a CRLH rotated TLM metamaterial cell. Solid line: balanced-resonance case (L C = L C ); dashed line: unbalanced-resonance case (L C = L C ). Crosses denote 0-point operation for a 1-D CRLH structure, and dots denote 0-point operation of the CRLH rotated TLM metamaterial.

6

Fig. 11. Intuitive explanation of the structure.

B. Intuitive Explanation of the Structure

Fig. 10. 3-D CRLH rotated TLM metamaterial realization. (a) A-type half unit cells. (b) Complete 2 2 2 structure [8].

2 2

and there the Bloch impedance is flat, for the 3-D CRLH rotated TLM metamaterial, this is not the case. IV. REALIZATION OF THE ROTATED TLM METAMATERIAL A. Description of the Structure The proposed realization of the CRLH rotated TLM metamaterial, corresponding to the lumped-element network of Fig. 7, is depicted in Fig. 10. Shunt inductors are implemented by wires connected to a common center point, and series capacitors are implemented by metal–insulator–metal (MIM) plates located between adjacent unit cells. Fig. 10(a) shows the two half unit cells in the proper orientation to be then nested. Fig. 10(b) shows a cluster of 2 2 2 nested unit cells. Fig. 10(c) shows the complete unit-cell prototype. The plate capacitors are realized in PCB with patches on both sides of the substrate, which ensures values. The inductors are realized by rigid wires. accurate The unit-cell length is 2 cm, the substrate is Rogers 4350B nH and 10 mil, and the left-handed values are pF.

The unit cell is a balanced structure: it has a virtual ground, that is, a zero voltage point due to the symmetry of the structure rather than due to physical connection to a physical ground. It consists of two nested cells, which are the two cells shown in Fig. 10(a). These two cells are electromagnetically decoupled in the sense that they support electromagnetic waves of independent orthogonal polarizations in each of the directions of space, and . To gain better insight into the propagation phenomenon along the structure, let us consider in some details the example of -polarized ( -field along the -direction) plane a (transverse) wave propagating along the -direction and incident on the structure at the level of a unit cell. Consider the first A half cell [left-hand side of Fig. 10(a)], which is displayed in Fig. 11. In this cell, the incident plane and ) wave produces a symmetric voltage difference ( between the two patches at the input face of the half cell [lefthand side of the structure in Fig. 10(a)]. These two patches form capacitors with the patches printed on the opposite faces of the thin substrate slabs, which store electric energy and provide corresponding to negative the required series capacitance permeability. Due to these capacitors, the incident transverse -field becomes locally longitudinal between the two plates of the capacitors. The voltages at the plates inside the structure are , where is the current flowing into the incident port. From this point, the wave “sees” the wires environment. Due to the symmetry of the structure and to the symmetrical incident voltages, propagation is prohibited along the straight -direction since the field is short-circuited at the center node (virtual ground), which is consistent with the fact that the scatis zero in (5). tering parameter While rectilinear propagation is not allowed, off axis propagation occurs through the four lateral faces of the unit cell, along the positive and negative - and -directions. Fig. 11 shows how this is realized in the 3-D cell as a result of the differential voltages and symmetry of the structure that lead to the voltage difat the off axis ports. While the -field rotates toference ward the four lateral faces in the unit cell, the magnetic flux circulates around the two wire branches extending from the corner voltage points to the virtual ground point, which corresponds to magnetic energy storage and generates the required shunt incorresponding to negative permittivity. Note that ductance

ZEDLER et al.: 3-D ISOTROPIC LEFT-HANDED METAMATERIAL BASED ON ROTATED TLM SCHEME

2935

circuit of Fig. 5(a). Under this assumption, the behavior of the entire structure can be inferred from the response of the single unit cell. is The extraction procedure of the lumped elements derived as follows: taking the six-port impedance matrix of the ideal rotated TLM cell [7]

(11)

and terminating the four unconnected ports with

(12) (13) yields a symmetric two-port impedance matrix. This can be conwith the elements verted to a scattering matrix normalized to

(14a) Fig. 12. 3-D CRLH rotated TLM unit cell with its input and output baluns required for the differential excitation of the measurement setup.

(14b) Solving for

the directions of the fields indicated in Fig. 11 correspond to the other four independent scattering parameters of (5). Consider next the second A half cell [right-hand side of -polarized -field Fig. 10(a)]. In this cell, the incident does not encounter any metallization at the input plane of the cell, which is therefore transparent to it. The plates with the same polarization at the output plane belong to the next A half cell. When many cells nested A are cascaded along the three directions of space, the plane wave macroscopically travels along the structure along the -direction in a meandrous fashion from the off axis cells, which constitutes the essence of the scattering-type propagation along the proposed rotated TLM structure. C. Experimental Verification Fig. 12 shows the unit-cell prototype of the CRLH rotated TLM metamaterial. This prototype was measured with a two-port vector network analyzer through baluns (microstrip to parallel-strip transitions) connected at two arbitrary nonaligned ports, while the remaining ports are terminated with resistors. Note that this excitation appropriately models wave propagation through the structure because the rotated TLM structure is a network with well-defined ports. Upon the basis of the rotated TLM metamaterial, it suffices to verify experimentally that the metamaterial cell indeed acts like the lumped

yields

(15a) (15b) (15c) The scattering parameters are those obtained in the experiment. Combining (15c) with (6) and assuming propagation along a , yields the dispersion relation principal axis, i.e.,

(16) is depicted in The extracted frequency dependency of Fig. 13, showing fairly good agreement with lumped-element simulations and thus verifying the assumption that the metamaterial cell acts like the intended lumped-element circuit. A comparison of simulation and experimental data for the term is shown in Fig. 14, showing excellent agreement and further validating the lumped-element assumption for frequencies up to 2.2 GHz. The reason for the better agreement of Fig. 14 compared with Fig. 13 is that (15c) is more robust

2936

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 16. Cross-sectional view of the planarized CRLH rotated TLM metamaterial.  : low permittivity;  : high permittivity h as thin as possible. Vertical as small as possible. m denotes the thick lines: buried via. Via distance d metallization layers in the unit cell. If multiple cells are stacked, then the adjacent top and bottom layers m and m can be merged into one layer.

V. PLANARIZATION OF THE ROTATED TLM METAMATERIAL Fig. 13. Lumped-element frequency dependency extracted from a measurement of the setup shown in Fig. 12. Solid line: measurements; dashed line: circuit simulator results using lumped elements only. (a) Extraction of Z . (b) Extraction of Y .

Fig. 14. Comparison of measured (solid line) and simulated (dashed line) values for (1 + Z Y ). This term is the frequency-determining part of the dispersion relation of the rotated TLM metamaterial.

Fig. 15. Dispersion diagram for propagation along a principal axis extracted from a measurement of the setup shown in Fig. 12. Solid line: measurements; dashed line: circuit simulator results using lumped elements only.

compared with (15a)/(15b). As the term is the frequency-determining part of the rotated TLM metamaterial [see (6)], the dispersion diagram depicted in Fig. 15 shows also good agreement with circuit simulation results up to 2.2 GHz. The expected two left-handed and two right-handed frequency bands are clearly visible, therefore verifying the behavior of the rotated TLM metamaterial.

A. Description of the Structure Fabrication of the 3-D rotated TLM metamaterial presented in the previous section is very challenging. The structure is not connective and hence would not hold together without dielectric support. Moreover, the selective metallization requirement is pending to be solved with 3-D rapid prototyping techniques. To overcome these difficulties, we propose a planar realization of the structure preserving its network topology. Fig. 16 shows the cross-sectional view of the planarized rotated TLM metamaterial cell. Fig. 17(a) shows the correand at the bottom sponding metal layers. The layers and top correspond to the patches in the cell corners in Fig. 10. is identical with layer of the overlying cell. Each Layer and , respectively, is of the four patches of the layers continued into the four neighboring cells at every corner. These patches produce the capacitive coupling with the neighboring and . In the layers and cells via the patches of layers , the strips are connected to the patches with insets which increase the inductance. Together with the through-connections and , these strips produce the required through layers inductive coupling. The vertical capacitive coupling is achieved (where the through two series capacitances prime denotes the next unit cell). In-plane capacitive coupling . is achieved through two series capacitances An alternative configuration requiring no MIM patches is depicted in Fig. 17(b). Here, the vertical capacitive coupling is . The in-plane capacitive coupling is achieved with . In addition to the advantage interdigital capacitors of requiring less layers per unit cell, this configuration also alleviates fabrication tolerances with respect to dielectric layer are merged into one layer. thicknesses, as the two layers and of the vertical This advantage comes at a cost; both plate capacitors and the in-plane interdigital capacitors need to be carefully matched. B. Parasitic Coupling Due to Planarization While ideally the network topology of Figs. 16–20 should equal that of Fig. 5(a), unwanted capacitive coupling

ZEDLER et al.: 3-D ISOTROPIC LEFT-HANDED METAMATERIAL BASED ON ROTATED TLM SCHEME

Fig. 18. Top view of layer m =m of 2 Fig. 17(a).

2937

2 2 cells of the structure depicted in

2

Fig. 19. Top view of layer m =m of 2 2 cells of the structure depicted in Fig. 17(a). Coupling patches provide the series capacitive coupling between in-plane adjacent cells.

with

Fig. 17. Exploded top view on the different metal layers for the structure of Fig. 16. (a) MIM capacitor implementation (b) Interdigital capacitor implementation.

(18)

needs to be considered. Such parasitic effects couple the otherwise independent two half cells, as shown in Fig. 21. In order to obtain the dispersion relation, we first calculate the impedance matrix of the 12-port by setting up Kirchhoff’s equations. One obtains (17)

(19)

2938

Fig. 20. Top view of layer m =m of 2 Fig. 17(b).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2 2 cells of the structure depicted in

Fig. 22. Dispersion diagram to (21) for a balanced-resonance CRLH unit cell X, black represents propawith (L =L = 1) and Y Z = (1=5). For 0 gation along , red (in online version) represents propagation along  , and green (in online version) represents propagation along  . For 0 M, black represents propagation along the  =  , red (in online version) represents propagation along  =  , and green (in online version) represents propagation along  = .

!

!

TABLE I UNIT CELL DIMENSIONS FOR THE PLANARIZED CELL, AS SHOWN IN FIG. 17(a)

Fig. 21. Planarized unit cell consisting of two nested A cells with parasitic coupling Y .

Fig. 23. Numerical calculation of the dispersion diagram of the planarized unit cell for 0 X along the x-axis. Solid lines: CST MWS’s Jacobi–Davidson eigenmode solver; dashed lines HFSS eigenmode solver. Red lines (in online version): left-handed band. Every 10 sampled.

!

Applying to the coupled half cells the Floquet ansatz [19]

the nontrivial solution of sion relation

(20)

in

and gives the disper-

(21)

ZEDLER et al.: 3-D ISOTROPIC LEFT-HANDED METAMATERIAL BASED ON ROTATED TLM SCHEME

2939

Fig. 24. Electric field distribution for  = (1=6);  =  = 0 for the left-handed mode (see Fig. 23).

In the limiting case , the dispersion relation of the planarized rotated TLM metamaterial reduces to that of (6), as expected. The dispersion diagram corresponding to (21) for a balancedand is resonance CRLH unit with plotted in Fig. 22. The isotropic behavior around the -point and are produced is approximately maintained. As both by plate capacitors (Fig. 16), a reasonable corresponding design guideline is (22) with respect to Fig. 16. In order to satisfy (22), several interdependent conditions need to be met, given as follow: [1]; 1) unit cell size plus via diameter need to be small com2) via distance pared with the unit cell size; through the achievable aspect 3) via diameter is related to ratio, but (22) needs to be fulfilled; and needs to 4) thickness of the thin dielectric layers have very small tolerances in order to assure good control . over While 4) can be overcome by using interdigital capacitors as shown in Fig. 17(b) instead of coupling plates, the design of such a balanced-resonance CRLH unit cell is more challenging (see and of the vertical plate capacitors Section V-A); both and the in-plane interdigital capacitors need to be matched. C. Numerical Calculation of the Dispersion Relation Numerical results of the planarized 3-D rotated TLM structure were calculated for a planarized structure with coupling using CST MWS’s Jacobi–Davidson eigenpatches in mode solve and Ansoft HFSS’s eigenmode solver. The unitmm, and the dielectrics are cell edge length is

mm mm . The structure’s dimensions are taken as shown in Table I. The dispersion diagram along the axis is shown in Fig. 23, displaying for good agreement between CST MWS and Ansoft HFSS. The lower right-handed mode is a perturbed plane-wave mode that is not described by the network model. It corresponds to artificial dielectrics as described in [24]. The left-handed mode, corresponding to the lower left-handed mode of Fig. 8, is clearly visible. The electric field distribution of the left-handed mode for is shown in Fig. 24. The high confinement of the electric field in the plate capacitors proves the assumption of lumped-element behavior and, accordingly, the homogeneity requirement of metamaterials as well as the low impact of the for the simulated configuration. parasitic capacitance VI. CONCLUSION AND OUTLOOK A 3-D isotropic left-handed metamaterial based on the rotated TLM scheme was presented. First, the discrete electrodynamics theory of the rotated TLM scheme was briefly revisited. The corresponding analysis of the 3-D metamaterial was recalled in terms of its dispersion relation and CRLH response. For the first time, the impact of unbalanced resonance on the Bloch impedance, differential/common-mode excitation, as well as principal axes propagation were discussed, the latter yielding a 1-D ladder network analogy. A practical realization of this 3-D metamaterial, based on MIM capacitors and thin wires, was proposed and demonstrated experimentally. A simplified planarized implementation, preserving the same network topology, was proposed with two alternative configurations. Parasitic effects resulting from planarization are analyzed, and design guidelines are given to mitigate them. Finally, this structure was demonstrated by a full-wave simulation to exhibit the expected 3-D-isotropic left-handed behavior.

2940

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

While current fabrication of 3-D metamaterials remains a challenge,theplanarizedstructurepresented in thispapermayprovide a solution for practical 3-D metamaterials in the near future.

[22] P. Russer, “The alternating rotated transmission line matrix (ARTLM) scheme,” Electromagnetics, vol. 16, no. 5, pp. 537–551, 1996. [23] A. Wlodarczyk, “Representation of symmetrical condensed tlm node,” Electron. Lett., vol. 28, no. 18, pp. 1686–1687, 1992. [24] R. E. Collin, Field Theory of Guided Waves, 2nd ed. New York: IEEE Press, 1990.

ACKNOWLEDGMENT The authors would like to thank N. Yang, École Polytechnique de Montreal, Montreal, QC, Canada, for assistance with the measurements.

REFERENCES [1] C. Caloz and T. Itoh, Electromagnetic Metamaterials. New York: Wiley, 2006. [2] N. Engheta and R. Ziolkowski, Electromagnetic Metamaterials. New York: Wiley, 2006. [3] V. G. Veselago, “The electrodynamics of substances with simultaneously negative values of  and ,” Sov. Phys.—Usp., pp. 509–514, Jan.–Feb. 1968. [4] S. Tretyakov, Analytical Modeling in Applied Electromagnetics. Boston, MA: Artech House, 2003. [5] W. Hoefer, P. So, D. Thompson, and M. Tenzeris, “Topology and design of wideband 3d metamaterials made of periodically loaded transmission lines,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, 2005, pp. 1443–1446. [6] A. Grbic and G. V. Eleftheriades, “An isotropic three-dimensional negative-refractive-index transmission-line metamaterial,” J. Appl. Phys., vol. 98, pp. 043106-1–043106-5, 2005. [7] M. Zedler and P. Russer, “Investigation on the dispersion relation of a 3-D LC-based metamaterial with an omnidirectional left-handed frequency band,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, 2006, pp. 1477–1480. [8] M. Zedler, C. Caloz, and P. Russer, “Circuital and experimental demonstration of a 3-D isotropic lh metamaterial based on the rotated TLM scheme,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, 2007, pp. 1827–1830. [9] I. Vendik, O. Vendik, and M. Odit, “Isotropic artificial media with simultaneously negative permittivity and permeability,” Microw. Opt. Technol. Lett., vol. 48, pp. 2553–2556, 2006. [10] P. K. Mercure, R. P. Haley, A. Bogle, and L. Kempel, “Three-dimensional isotropic meta-materials,” in IEEE AP-S Int. Symp. Dig., 2005, pp. 623–626. [11] T. Koschny, L. Zhang, and C. Soukoulis, “Isotropic three-dimensional left-handed metamaterials,” Phys. Rev. B, Condens. Matter, vol. 71, pp. 121103-1–121103-4, 2005. [12] P. Alitalo, S. Maslovski, and S. Tretyakov, “Three-dimensional isotropic perfect lens based on LC -loaded transmission lines,” J. Appl. Phys., vol. 99, pp. 064912-1–064912-8, 2006. [13] P. Alitalo, S. Maslovski, and S. Tretyakov, “Experimental verification of the key properties of a three-dimensional isotropic transmission-line superlens,” J. Appl. Phys., vol. 99, pp. 124910-1–124910-6, 2006. [14] J. B. Pendry, “Negative refraction makes a perfect lens,” Phys. Rev. Lett., vol. 85, pp. 3966–3969, Oct. 2000. [15] J. Pendry, D. Schurig, and D. Smith, “Controlling electromagnetic fields,” Science, vol. 312, pp. 1780–1782, 2006. [16] V. Veselago, L. Braginsky, V. Shklover, and C. Hafner, “Negative refractive index materials,” J. Computat. Theoretic/ Nanosci., vol. 3, pp. 1–30, 2006. [17] D. Schurig, J. Mock, B. Justice, S. Cummer, J. Pendry, A. Starr, and D. Smith, “Metamaterial electromagnetic cloak at microwave frequencies,” Science, vol. 314, pp. 977–980, 2006. [18] W. J. R. Hoefer, “The transmission line matrix (TLM) method,” in Numerical Techniques for Microwave and Millimeter Wave Passive Structures, T. Itoh, Ed. New York: Wiley, 1989, pp. 496–591. [19] P. Russer, Electromagnetics, Microwave Circuit and Antenna Design for Communications Engineering, 2nd ed. Boston, MA: Artech House, 2006. [20] G. Kron, “Equivalent circuits to represent the electromagnetic field equations,” Phys. Rev., vol. 64, no. 3, pp. 126–128, 1943. [21] M. Krumpholz and P. Russer, “On the dispersion in TLM and FDTD,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1275–1279, Jul. 1994.

Michael Zedler received the Dipl.-Ing. degree in electrical engineering from the RWTH Aachen University of Technology, Aachen, Germany, in 2002, and is currently working toward the Dr.-Ing. degree at the Institute of High-Frequency Engineering, Technical University of Munich, Munich, Germany. His research interests include chip-integrated antenna design, periodic structures, and metamaterials. Mr. Zedler was the recipient of the Honorable Mention Prize presented at the 2007 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Student Paper competition.

Christophe Caloz (S’99–M’03–SM’06) received the Diplôme d’Ingénieur en Électricité and Ph.D. degree from the École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, in 1995 and 2000, respectively. From 2001 to 2004, he was a Post-Doctoral Research Engineer with the Microwave Electronics Laboratory, University of California at Los Angeles (UCLA), where he conducted research on microwave devices, antennas and systems, photonic bandgap (PBG) structures, and electromagnetic metamaterials. In June 2004, he joined the Département de Génie Électrique, École Polytechnique de Montreal, Montreal, QC, Canada, where he is currently an Associate Professor, a member of the Poly-Grames Research Center, and the Holder of a Canada Research Chair (CRC) entitled “Future Intelligent Radio-frequency Metamaterials” (FIRMs), associated with a novel Canadian Foundation for Innovation (CFI) infrastructure. He is also the Holder of the Natural Sciences and Engineering Research Council of Canada (NSERC) Strategic Project Grant “Novel Ultra-Wideband (UWB) Front-End Transceiver Systems.” He has authored or coauthored 200 technical conference, letter, and journal papers, among which 35% were invited papers (over 45% of conference papers). He holds several patents. He authored the first unified textbook on metamaterials, entitled Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications (IEEE Press, 2005). He has also authored three book chapters. He was the Guest Editor of the March–April 2006 “Special Issue on Metamaterials” of the International Journal for Numerical Methods (IJNM). He is a member of the Editorial Board of the IJNM, the International Journal of RF and Microwave Computer-Aided Engineering (RFMiCAE), and Metamaterials. He also serves as a reviewer for Applied and Wireless Components Letters, Electronic Letters, the Journal of Applied Physics, Applied Physics Letters, the Journal of Optics, the New Journal of Physics, and other international periodicals. His current research interests include novel metamaterials for millimeter-wave and optical applications, nonlinear and active devices, thin-film/bulk ferroelectric and ferromagnetic components, UWB systems, terahertz technology, and numerical methods. Dr. Caloz is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Technical Coordinating Committee (TCC) MTT-15 and the chair of the Commission D (Electronics and Photonics) of the Canadian Union de Radio Science Internationale (URSI). He serves as a reviewer for many journals including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE MICROWAVE AND WIRELESS COMPONENT LETTERS,and the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He has participated in 15 courses, tutorials, and workshops around the world over the past three years. He has organized several focused sessions and workshops at international conferences. He was the Technical Program Committee (TPC) chair of the International Symposium of Signals, Systems and Electronics (ISSSE), École Polytechnique de Montréal, July 30–August 2, 2007. He was the recipient of the 2007 IEEE MTT-S Outstanding Young Engineer Award. In March 2004, he was the recipient the University of California at Los Angeles (UCLA) Chancellor’s Award for Postdoctoral Research.

ZEDLER et al.: 3-D ISOTROPIC LEFT-HANDED METAMATERIAL BASED ON ROTATED TLM SCHEME

Peter Russer (F’89) received the Dipl.-Ing. and Dr. techn. degrees from the Vienna University of Technology, Vienna, Austria, in 1967 and 1971, respectively, both in electrical engineering. In 1971, he joined the Research Institute, AEG-Telefunken, Ulm, Germany. Since 1981, he has been a Professor and Head of the Institute for High Frequency Engineering, Technical University of Munich, Munich, Germany. From 1992 to 1995, he was the Director of the Ferdinand-Braun-Institut, Berlin, Germany. From 1997 to 1999, he was Dean of the Department of Electrical Engineering and Information Technology, Technical University of Munich. He has authored or couathored 600 scientific papers in refereed journals and conference proceedings. He has been a member of the Editorial Board of several international journals. His current research interests are electromagnetic fields, numerical electromagnetics, metamaterials,

2941

integrated microwave and millimeter-wave circuits, statistical noise analysis of microwave circuits, time-domain measurement methods in electromagnetic compatibility (EMC), and methods for computer-aided design of microwave circuits. Dr. Russer is a member of the German Informationstechnische Gesellschaft (ITG) and the German as well as Austrian Physical Societies. From 1999 to 2002, he was co-chair ,and from 2002 to 2005, chair of the URSI Commission D. He was a member of the Technical Program Committees and Steering Committees of various international conferences. He was the recipient of the Distinguished Educator Award of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) in 2006. In October 2006, he was elected as a member into the Council for Technical Sciences of the Union of German Academies of Sciences and Humanities. He was the recipient of the 1979 Nachrichtentechnische Gesellschaft (NTG) Award.

2942

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

An Electronic Dispersion Compensator (EDC) With an Analog Eye-Opening Monitor (EOM) for 1.25-Gb/s Gigabit Passive Optical Network (GPON) Upstream Links Hyoungsoo Kim, Student Member, IEEE, Jean de Ginestous, Franklin Bien, Member, IEEE, Kil-Hoon Lee, Student Member, IEEE, Soumya Chandramouli, Student Member, IEEE, Youngsik Hur, Member, IEEE, Chris Scholz, Member, IEEE, Edward Gebara, Member, IEEE, and Joy Laskar, Fellow, IEEE

Abstract—Today, network systems require higher bandwidth for applications such as fiber-to-the-home communications. Gigabit passive optical network (GPON) links using a Fabry–Perot laser are attractive solutions for this high-speed network. However, due to the mode partition noise and fiber dispersion, GPON systems suffer from inter-symbol interference (ISI). In this paper, we present an electronic dispersion compensator (EDC) that will improve a 1.25-Gb/s experimental GPON link. The experimental GPON link is simulated and measured with impairment assessment. An analog eye-opening monitor, which captures the quality of the EDC output signal using the tunable delay and the integrator is proposed. The proposed EDC successfully compensates ISI for a given link with a 1.25-Gb/s signal. All circuits are fabricated using a 0.18- m CMOS process. Index Terms—Digital–analog converter (DAC), electronic dispersion compensator (EDC), eye-opening monitor (EOM), Fabry–Perot (FP) laser, fiber dispersion, finite impulse response (FIR), gigabit passive optical network (GPON), mode partition noise (MPN), single-mode fiber (SMF), 0.18- m CMOS.

I. INTRODUCTION

HE increasing demand for higher bandwidth in network infrastructures has escalated in recent years. Many applications with rich media such as multimedia applications and Internet protocol television (IPTV) are successfully employed. This demand for high-speed communication fuels new hardware network system developments. One of the emerging transmission mediums that can fulfill such demands is the optical fiber.

T

Manuscript received May 10, 2007; revised August 27, 2007. H. Kim, J. de Ginestous, K.-H. Lee, S. Chandramouli, C. Scholz, E. Gebara, and J. Laskar are with the Georgia Electronic Design Center, School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30308 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). F. Bien is with Staccato Communications, San Diego, CA 92121 USA (e-mail: [email protected]). Y. Hur is with the Samsung RFIC Design Center, Georgia Institute of Technology, Atlanta, GA 30308 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909887

Fig. 1. Block diagram of the GPON link [12].

A promising low-cost approach using this medium is the gigabit passive optical network (GPON)-based fiber-to-the-home (FTTH) [1]–[4]. A GPON system is a bi-directional point-to-multipoint network architecture deploying optical access lines between a carrier’s central office and customer sites. An optical line termination (OLT) is placed at a central office and optical network units (ONUs) are at the customer’s premise. The GPON system, as shown in Fig. 1, uses passive optical splitters to distribute the content to multiple premises. The upstream and downstream paths utilize different wavelengths and different transmission speeds. This feature enables two-way traffic on a single optical fiber and makes the GPON a cost-effective system [6], [10]. One of the critical parts of GPON links is the laser. The distributed feed back (DFB) laser and electro-absorption modulated laser (EML) are stable solutions; however, they are expensive. For a more cost-effective system, the Fabry–Perot (FP) laser is a good candidate because it is manufactured by a higher yield process, which does not require equipment-intensive grating and overgrowth steps. The FP lasers, however, exhibit multiple spectral modes, as shown in Fig. 2, whereas GPON systems use single-mode fiber (SMF) with a single spacial mode characteristic. As a result, the relative power throughout various spectral modes can fluctuate significantly even though the total optical output power of the

0018-9480/$25.00 © 2007 IEEE

KIM et al.: EDC WITH ANALOG EOM FOR 1.25-Gb/s GPON UPSTREAM LINKS

2943

A. GPON Upstream Signal Path The GPON upstream signal path is composed of an FP laser included in a triplexer on the ONU side, 0–20 km of SMF, and a receiver on the OLT side. A triplexer is a bi-directional transceiver, which converts an electrical signal into an optical signal. It contains an FP laser diode with a monitor photodiode, a high-bandwidth avalanche photodiode, and trans-impedance amplifier (TIA) for a digital receiver, an analog photodiode for a video channel with low noise and high linearity, and a matching network built directly on the chip. This upstream path transmits signal through the FP laser. B. Upstream Link Model

Fig. 2. Measured and modeled laser output spectrum.

FP laser is fairly constant. This phenomenon is known as mode partition noise (MPN) [11], [14]. This MPN in conjunction with chromatic dispersion (CD) results in inter-symbol interference (ISI) at the receiver side, significantly degrading the system performance. This study suggests using an FP laser in the upstream link and correct for the ISI resulting from the FP laser in order to have a cost-effective system. This study does not address the downstream path, which uses a DFB laser and, therefore, does not suffer from ISI-related problems. Previous approaches to compensate ISI mostly use optical components, which are bulky and expensive [8], [13]. This study suggests using the electronic dispersion compensator (EDC) to address the problem in the electrical domain [12], [18]. For further understanding of the GPON link and its impairment, the model is simulated and compared to an actual characterized link. An analog eye-opening monitor (EOM) method using a tunable delay line is also proposed. This paper is organized as follows. Section II presents the detailed GPON link system model with impairment analysis. Section III describes the detailed EDC design and other circuits such as digital–analog converters (DACs) and the analog EOM. Experimental results are presented in Section IV. A conclusion is finally drawn in Section V. II. GPON LINKS WITH FP LASER ANALYSIS A preliminary analysis of the GPON links from ONU to OLT was necessary to better understand the link to be equalized. The downstream path, which uses a DFB laser with a good signal quality due to the absence of MPN, has good transmission characteristics. However, the upstream path (utilizing the FP laser) has limiting characteristics that need to be discussed. Here, we developed an analytical model taking into account the main impairments that impact the link performance: thermal and shot noise in the receiver, CD in the fiber, and MPN from the laser. The bit error ratio (BER) data extracted from this analytical model is compared with the actual measured BER data to verify the accuracy of the model.

The laser output spectrum is typically modeled by a Gaussian distribution around a center wavelength and with a standard deviation [10]. Fig. 2 shows the measured spectrum (blue in online version) and the associated Gaussian fit (red in online version). The spectral width of the laser can be derived from the standard deviation of the Gaussian distribution using the full width at half maximum (FWHM) method (1) In order to test the system in different situations, the laser is temperature tuned to change the center wavelength, and thus the dispersion-induced penalties on the system. At room temperature, the laser properties are measured to have a center wavelength of 1324.5 nm and a spectral width of 3.0 nm. As the temperature is increased, the spectral width remains constant, but the spectrum shifts to higher wavelength. The tuned temperature (TT) is defined as the temperature where the center wavelength of the FP laser is 1328.5 nm, the longest experimental wavelength value. In this thermal noise, limited upstream link, the BER for a back-to-back configuration can be derived as a function of the using average input optical power (2) where is the responsivity, is the average avalanche multiplication gain of the APD receiver, and is the receiver noise. The noise in the receiver can be derived from the thermal noise defined as (3) where is the temperature, is the noise figure of the folis the electrical bandwidth lowing low noise amplifier, and of the receiver. The receiver noise is also derived from the shot noise of the photodiode defined as (4) is the excess noise In (4), is the elementary charge and factor of the APD. The receiver can be practically characterized by the BER back-to-back curve. With an electrical bandwidth of 640 MHz,

2944

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

TABLE I POWER PENALTY (Pp) COMPARISON AND ANALYSIS FOR DIFFERENT WAVELENGTHS

vestigated by Ogawa and Vodhanel [14]. In their model, the noise-to-signal ratio after the transmission is expressed by

(6) where Fig. 3. Comparison between simulated and measured BER.

(7)

a value that is slightly higher than half the bit rate, the computed back-to-back BER is displayed on Fig. 3 as a solid black curve, and the experimental data points are denoted as black crosses. The back-to-back simulated results correlate with the actual measurements. Based on this receiver characterization, the two critical power penalties of the system (MPN and CD) are analyzed and included into the model in order to simulate the 20-km BER performances (Fig. 3) and evaluate the weight of each effect. A detailed explanation of these impairments will be covered in Section II-C.

C. CD and MPN Group velocity dispersion in SMF leads to a broadening of the optical pulse [12]. If a significant part of the pulse energy spreads beyond the allocated bit slot, it will affect the previous and/or next bit, resulting in so-called ISI after electrical conversion. Moreover, the pulse energy within the bit slot is reduced when the optical pulse broadens. Thus, more average energy is needed to maintain the system performance, resulting in a power penalty due to dispersion. The optical pulse can be modeled as a Gaussian pulse and an analysis of its broadening properties [12] leads to the expression of the dispersion induced power penalty (5) Since dispersion theoretically is a linear process, this power penalty can be compensated by an EDC. Another impairment, i.e., MPN, is typically found in FP lasers where the output optical spectrum has different longitudinal modes. It can be assumed that the total power is constant even if the amplitude of the peak is constantly varying. When the signal propagates through the fiber and is affected by group velocity dispersion, each mode is delayed by different amounts. The varying amount of power in each mode submitted to CD leads to an additional, noise which was theoretically in-

(8) is derived with respect to and the propagation constant at . The power penalty due to MPN is then given by (9) where we have introduced a coefficient . This coefficient was used by Ogawa and Vodhanel [14] to take into account that the amplitude of each spectral modes do not vary from very , or small to maximum peak, but only fluctuates by 70% in our model, of total optical power [20]. MPN results in a nondeterministic component of ISI [7], [8]. The accuracy of the model was evaluated by comparing the computed BER to the measured BER of the link in different configurations. Three significant configurations are tested. Fig. 3 is the summary of the simulated BER compared to the experimental data for each of the three configurations. The black graph is the back-to-back BER data. The blue curve (in the online version) displays the BER data with 20-km SMF at the center wavelength of 1324.5 nm. Finally, the red plot (in the online version) shows the 20-km fiber data at the 1328.5-nm wavelength. The simulated curves on Fig. 3 are consistent with the experimental data measured from the upstream link and allow us to evaluate the amount of power penalty due to CD and MPN. Power penalties (Pp) for the BER of 10 for the two link configurations are summarized in Table I. III. CIRCUIT DESIGN DETAIL EDC building blocks for the GPON links and corresponding functional blocks are described here with their design specifications. The EDC is composed of variable gain amplifiers (VGAs), active delay lines, and a buffer stage. Other peripheral blocks are a single-to-differential conversion circuit, an analog EOM, and DAC circuits. All components are implemented via TSMC 0.18- m CMOS technology.

KIM et al.: EDC WITH ANALOG EOM FOR 1.25-Gb/s GPON UPSTREAM LINKS

2945

Fig. 4. Functional block diagram of the EDC.

Fig. 6. Schematic of the VGA [12].

measured through the channel. This impulse response is degraded due to ISI and shows the broadening of pulse energy. With the use of VGAs, the EDC will add positive and negative gains accordingly to remove the effects of pre- and post-cursor ISI. The spacing between the tap gains is implemented with delay cells. In this application with a 1.25-Gb/s data rate, the spacing between the taps is approximately 267 ps. A. EDC Components

Fig. 5. Measured impulse response with concept of the EDC [12].

GPON links have various channel characteristics that are dependent on the fiber length and zero dispersion wavelengths. EDCs could either be placed at the ONU side or the OLT side. Placing an EDC at ONU side has the advantage that the link could be compensated for a specific fiber dispersion value since fiber dispersion changes very little with temperature. However, this structure would require 32 or more transmitter-side EDCs in the ONU and would increase the cost of customer side equipments. When placing an EDC in the OLT, the cost of the EDC is shared by 32 users, thus resulting in a more cost-effective solution. The feed-forward equalizer (FFE) structure comprised of spacing is selected for the EDC, as shown four taps with in Fig. 4. System simulations show that four taps with tap spacing are sufficient to meet the system requirement criteria. Increasing the number of taps provides marginal improvement in ISI cancellation at the cost of power consumption and size [16]. For converting a single-ended signal to a differential signal, a single-to-differential converter is placed at the EDC input. DACs are connected to VGAs for controlling the four-tap gain cells. Finally, an analog EOM is placed at the output of the EDC. The operating principle of the EDC can be explained by referring to Fig. 5, which shows the normalized impulse response

The EDC is comprised of four VGAs, active delay lines, and an output buffer stage. A Gilbert-multiplier type amplifier was chosen as the architecture for the VGAs, which has positive and negative gain [7]. To improve voltage headroom, a gain control circuit is folded with the current steering block. Summation is done in current domain at the passive node. The schematic of the VGA is shown in Fig. 6. In addition, both linearity and voltage headroom are enhanced by applying an active degeneration scheme between divided common source pairs. The transistor pairs represent such active degeneration with and being the divided current sources. The ) gain control block also includes a degeneration circuit ( for linear gain control. The 3-dB bandwidth is 7.2 GHz, and the input dynamic range is 300 mVpp. The delay line can be implemented in two methods using L–C (passive) components or active components. An active delay cell is implemented with an nMOS differential pair because it is more space efficient compared to a passive approach. The propagation delay of the active devices is generated by RC transient characteristic, i.e., resistance of the load and intrinsic capacitance of the differential amplifier pair cells. The overall voltage gain of the differential pair in unit delay cell is (10) where the corresponding as follows:

and

values can be calculated

(11)

2946

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

and

(12) The two poles are at

(13) and the zero is at (14) ( is the turn-on resistance of the load tranBy varying the sistor), the zero location can be controlled. Another benefit of active delay is that it does not introduce dc voltage drop across each stage and is immune to the process variations, while the passive approach lacks these features. The passive delay approach is an attractive solution for power saving, but achieving 267-ps delay with LC passive components occupying a large space [9]. The active delay cell is a subcircuit of the tunable delay line, which is later discussed in Section III-D. The buffer stage consists of two cascaded differential pairs matched to 50 . Instead of using an identical gain cell for two stages, each stage is designed differently with the first stage designed for gain and the second stage designed for matching. The output stage also increases the slew rate by flowing a large-signal current swing. The input voltage swing to the buffer is large enough compared to the offset input voltage, no offset compensation is needed. The 3-dB bandwidth of the output buffer is approximately 7 GHz, which does not degrade the signal integrity at the output of the EDC. B. Single-to-Differential Converter Optical systems are usually single ended, whereas integrated analog circuits used in high-frequency applications are fully differential due to the ability to reject common-mode noise and achieve high voltage swings [17]. Therefore, a stage that converts a single-ended signal into a differential signal needs to be added. The single-to-differential conversion circuit composed of a differential pair with one of its inputs grounded is shown in Fig. 7. The unused input of the differential pair is the gate of , whose dc-bias voltage is supplied through a low-pass filter comand . The input signal propagates to the prised of node through a path where operates as a common-source node stage, generating an inverting signal, and to the and operate as a cascade of a through a path where source follower and common-gate stage, generating a noninverting signal. The gain of this circuit is set by the ratio of and that can be adjusted by the transconductance of varying their aspect ratio. C. DAC A 6-bit DAC is implemented to control the tap gain of VGAs digitally. The schematic of the DAC is shown in Fig. 8. A 6-bit

Fig. 7. Schematic of the single-to-differential converter.

Fig. 8. Schematic of the DAC.

DAC is integrated with each tap to provide control voltages. The R-2R ladder network architecture is used. The design is modified to consist of bit modules, as illustrated in Fig. 8. This approach provides flexibility to the DAC resolution such that the desired number of bits can be designed by simply adding or deleting the standardized bit modules. It is inherently accurate and easy to manufacture because an R-2R-based modular DAC uses ratios of single value standardized poly resistors, where these ratios can be highly tolerant over process variation, especially with inter-digitated layout and added dummy resistors. To reduce error, which is critical for the EDC, large aspect ratio devices are used for nMOS switch transistors. This feature minimizes errors due to voltage drop between the source and drain. Process corner, supply voltage, and temperature variations simulations are performed and showed 10% of error offset at the least significant bit. Simulation result for the DAC is shown in Fig. 9. Effective control range for the VGA is from 0.5 to 1.2 V and corresponding gain is from 1 to 1. D. Analog EOM and Tunable Delay Cell The output of the EDC is monitored by the analog EOM to evaluate the overall signal quality. Instead of using clocked components, which can increase the complexity [15], the analog EOM employs two tunable delay cells and an integrator, as shown in Fig. 10. The output signal from the EDC is divided into two different delayed paths, where the delay amount of the

KIM et al.: EDC WITH ANALOG EOM FOR 1.25-Gb/s GPON UPSTREAM LINKS

2947

Fig. 11. Eye diagrams with a: (a) wide eye opening and (b) narrow eye opening.

Fig. 9. Simulation result of the DAC [20].

Fig. 12. Schematic of the tunable delay cell.

Fig. 10. Functional block diagram of an analog EOM.

first cell is set to capture the rise time and that of the second cell is adjusted to capture the fall time according to the date rate of the application. This tunable delay feature has an advantage of enabling the analog EOM to operate with applications with various data rates. The two delayed signals are then subtracted from each other, and the difference is subsequently integrated over time to generate a dc voltage. The eye-opening size of the EDC output signal will be directly proportional to the amplitude of the difference signal. Consequently, when this difference signal is integrated, the resultant dc value will also be proportional to the eye-opening size. For example, a signal with a wide eye opening as in Fig. 11(a) will have a larger integrated dc value than a signal with a narrow eye opening as in Fig. 11(b). The signal quality of the EDC, therefore, can be predicted without measuring the actual eye diagram. A tunable delay cell, as illustrated in Fig. 12, consists of three active delay stages with a signal path that is connected to both the first and third delay cells. The amount of signal distribution between these two delay paths is determined by the control and . These two delay paths voltage applied to form the slow path and fast path. The fast path is designed to provide minimum gate delay that can be achieved from the given process technology. On the other hand, the slow path is designed to provide the longest desirable delay. The fastest path achieves 15-ps delay, while the slowest path can go up to 95-ps delay.

Fig. 13. Chip micrograph of the EDC [12].

IV. RESULTS To measure the EDC performance, the GPON experimental link is set up. The FP laser in the ONU generates a 1.25-Gb/s optical signal. This optical signal is transmitted through 0–20-km SMF and is converted to an electrical signal using a photodiode. The electrical signal is fed into the EDC and the output of the EDC is monitored with an oscilloscope. The zero dispersion wavelength of SMF used in the experiments is 1310 nm. The EDC die photograph is shown in Fig. 13. A 1.25-Gb/s pseu1 was dorandom bit signal (PRBS) with word length of 2 transmitted. Fig. 14 shows the bit patterns before and after compensation. The bit pattern before compensation shows uneven zero and one signal levels, which is an indicator of ISI. After applying to the EDC, with appropriate compensation the signal levels are improved at the output of the EDC. The performance of the EDC is also evaluated in terms of error ratio. The EDC was tested with a PRBS signal for experimental purposes. The EDC will work even in a burst mode for the GPON application because it is transparent to modulation. The concept of a transparent EDC is introduced and is used as the reference for the

2948

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 16. Chip micrograph of the tunable delay and the DAC [20].

Fig. 14. Bit pattern before and after compensation [12].

Fig. 17. Measurement results of the digitally controlled active tunable delay with 3-ps effective tuning resolution.

TABLE II ANALOG EOM RESULTS

Fig. 15. Comparison of BER between the transparent and the optimized EDC.

GPON link performance, which is affected by the EDC measurement setup. In this configuration, the first tap of the EDC is set to a constant coefficient, gain of one, and the three others are set to zero. Fig. 15 compares the BER performance of the EDC in the transparent configuration and in the optimized configuration where the tap coefficients were tuned to compensate for dispersion. For the laser at both 1324 and 1328 nm, compensation leads to a shift of the BER curve to a lower received power, compensating for the power penalty due to dispersion. The dispersion compensation provided by the EDC enables the system to overcome ISI penalties and get an access to lower BER needed for communication purposes. The EDC is implemented with a 0.18- m CMOS technology and consumes 54-mW power dissipation from a 1.8-V supply voltage. The DAC and tunable delay line are fabricated on a single chip, and the die photograph is shown in Fig. 16. The control voltage of the tunable delay line is connected to the DAC. Fig. 17 shows measurement results of the tunable delay line. It illustrates linear control of the tunable delay for up to 82 ps, which closely matches the simulation results. A wide tuning range is achieved from 15 ps (fast delay path) up to 97 ps (slow delay path). This corresponds to the control voltage from 0.5 to

1.2 V, which is within the control range of the designed modular 6-bit DAC. These components are also fabricated in a CMOS 0.18- m process. Finally, results of the analog EOM are summarized in Table II. Three different eye diagrams with different eye openings and their corresponding dc voltages show that the bigger eye openings result in larger dc values from the analog EOM, as expected. V. CONCLUSION The EDC for GPON links using an FP laser has been presented. To compensate for ISI caused by MPN of the FP laser and CD, the EDC using the FFE structure with a 267-ps tap delay line has been designed. The proposed EDC successfully compensates ISI from these impairments, which is measured from the experimental GPON link. GPON link system simulations and measurements are also investigated and compared. A DAC is designed for effective management of tap gains. An analog EOM scheme has been presented to monitor the output of the EDC without directly measuring eye diagrams. All of circuits have been implemented in 0.18- m CMOS technology.

KIM et al.: EDC WITH ANALOG EOM FOR 1.25-Gb/s GPON UPSTREAM LINKS

ACKNOWLEDGMENT The authors would like to thank A. Romano, Pirelli Laboratories, Milan, Itay, and Dr. A. Righetti, Corecom, Milan, Italy, for their technical support. The authors also would like to thank S. Vasavada, Atlanta, GA, for helping with measurement and GPON link setup.

2949

Hyoungsoo Kim (S’04) received the B.S. degree in electrical engineering from Yonsei University, Seoul, Korea. in 2000, the M.S. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2004, and is currently working toward the Ph.D. degree at the Georgia Institute of Technology. His research interests include equalization systems and circuits for backplane and optical applications and high-speed mixed-signal circuit design.

REFERENCES [1] G. Kramer and G. Pesavento, “Ethernet passive optical network (EPON): Building a next-generation optical access network,” IEEE Commun. Mag., vol. 40, no. 2, pp. 66–73, Feb. 2002. [2] Q. Xing-zhi, P. Bauwelinck, Y. Yi, D. Verhulst, J. Vandewege, B. De Vos, and P. Solina, “Development of GPON upstream physical-media-dependent prototypes,” J. Lightw. Technol., vol. 22, no. 11, pp. 2498–2508, Nov. 2004. [3] I. S. Jacobs and C. P. Bean, “The superPON demonstrator: An exploration of possible evolution paths for optical access networks,” IEEE Commun. Mag., vol. 38, no. 2, pp. 74–82, Feb. 2000. [4] M. Abrahams and A. Maislos, “Insights on delivering an IP triple play over GE-PON and GPON,” in Opt. Fiber Commun. Conf., Mar. 2006, pp. 8–15. [5] K. Petermann, Laser Diode Modulation and Noise. Norwell, MA: Kluwer, 1988. [6] A. V. Tran, C.-J. Chae, and R. S. Tucker, “Bandwidth-efficient PON system for broadband access and local customer internetworking,” IEEE Photon. Technol. Lett., vol. 18, no. 5, pp. 670–672, Mar. 2006. [7] B. Razavi, Design of Analog CMOS Integrated Circuits. New York: McGraw-Hill, 2000. [8] H. Bulow, “Electronic equalization of transmission impairments,” in Proc. Opt. Fiber Commun. Conf., Mar. 2002, pp. 24–25. [9] T. H. Lee, The Design of CMOS Radio Frequency Integrated Circuit. Cambridge, U.K.: Cambridge Univ. Press, 1988. [10] G. P. Agrawal, Fiber-Optic Communication Systems. New York: Wiley, 1997. [11] J. Y. Law and G. P. Agrawal, “Mode-partition noise in vertical-cavity surface-emitting lasers,” IEEE Photon. Technol. Lett., vol. 9, no. 4, pp. 437–439, Apr. 1997. [12] H. Kim, J. de Ginestous, F. Bien, S. Chandramouli, C. Scholz, E. Gebara, and J. Laskar, “Electronic dispersion compensator for a giga-bit passive optical network system,” presented at the IEEE MTT-S Int. Microw. Symp., Jun. 2007. [13] R. J. S. Bates, “Equalization and mode partition noise in all-plastic optical fiber data links,” IEEE Photon. Technol. Lett., vol. 4, no. 10, pp. 1154–1157, Oct. 1992. [14] K. Ogawa and R. Vodhanel, “Measurement of mode partition noise of laser diodes,” IEEE J. Quantum Electron., vol. QE-18, no. 7, pp. 1090–1093, Jul. 1982. [15] B. Analui, A. Rylyakov, S. Rylov, M. Meghelli, and A. Hajimiri, “A 10-Gb/s two-dimensional eye-opening monitor in 0.13-m standard CMOS,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2689–2699, Dec. 2005. [16] J. Sewter and A. C. Carusone, “A comparison of equalizers for compensating polarization-mode dispersion in 40-Gb/s optical systems,” in IEEE Int. Circuits Syst. Symp., May 2005, pp. 247–251. [17] G. Palmisano and S. Pennisi, “CMOS single-input differential-output amplifier cells,” in Proc. IEEE Circuits, Devices, Syst., Jun. 2003, vol. 150, no. 3, pp. 194–198. [18] H. Wu, J. Tierno, P. Pepeljugoski, J. Schaub, S. Gowda, J. Kash, and A. Hajimiri, “Differential 4-tap and 7-tap transverse filters in SiGe for 10 Gb/s multimode fiber optic link equalization,” in IEEE Solid-State Circuits Conf., May 2003, vol. 1, pp. 180–486. [19] K. Ogawa, “Analysis of mode partition noise in laser transmission systems,” IEEE J. Quantum Electron., vol. QE-18, no. 5, pp. 849–855, May 1982. [20] F. Bien, S. Chandramouli, H. Kim, E. Gebara, and J. Laskar, “Digitally controlled 10-Gb/s adjustable delay line for adaptive filter design in standard CMOS technology,” in Proc. IEEE Int. Circuits Syst. Conf., May 27–30, 2007, pp. 197–200.

Jean de Ginestous was born in Paris, France. He attended Lycee Stanislas, Paris, France, where for two years he focused on advanced mathematics and physics. He received the B.S. degree in electrical engineering and computer science from the Ecole Superieure d’Electricite, Paris, France, in 2006, and is currently working toward the M.S. degree at the Georgia Institute of Technology, Atlanta. His research is focused on integration analog/ mixed signal integrated circuits (ICs) in optical fiber communication systems.

Franklin Bien (S’04–M’07) received the B.S. degree from Yonsei University, Seoul, Korea, in 1997, and the M.S. and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2000 and 2006, respectively. From 2000 to 2002, he was an Analog IC Design Engineer with Agilent Technologies, where he developed transceiver ICs for enterprise segments. From 2003 to 2004, he was a Senior Design Engineer with Quellan Inc., where he developed ICs that improve the speed and reach of communication channels in consumer, broadcast, enterprise, and computing markets. He is currently a Senior IC Design Engineer with Staccato Communications, San Diego, CA, where he is involved with analog/mixed-signal ICs for ultra-wideband (UWB) products. During his doctoral studies, his research interests included signal integrity improvement with alternate modulation schemes, crosstalk noise cancellation, and equalization techniques for 10 Gb/s broadband communication applications.

+

Kil-Hoon Lee (S’07) received the B.S. and M.S. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2005 and 2006, respectively, and is currently working toward the Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology. His research interests include mixed-signal IC design for equalization and electrostatic discharge (ESD) protection design for high-frequency applications.

Soumya Chandramouli (S’00) was born in Bangalore, India. She received the B.S. degree in electrical and computer engineering from Lafayette College, Easton, PA, in 2002, the M.S. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2004, and is currently working toward the Ph.D. degree at the Georgia Institute of Technology. From May to December 2005, she was an Analog Circuit Design Intern with National Semiconductor, Norcross, GA. Her research interest is in the area of multigigabit/second equalizer circuits in CMOS.

2950

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Youngsik Hur (S’04–M’06) received the B.S. and M.S. degrees in electrical engineering from Hanyang University, Seoul, Korea, in 1993 and 1995, respectively, and the Ph.D. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2005. During his doctoral studies, he was involved with mixed-signal circuit implementation of equalization and noise-cancellation techniques for broadband wired and wireless communication applications. Prior to joining the Samsung RFIC Design Center, Georgia Institute of Technology, Atlanta, in 2006, he was with the Samsung Institute of Advanced Technology, Kiheung, Korea, and subsequently with Samsung Electronics, Suwon, Korea, from 1995 to 2001. During this period, he was involved with the development of an orthogonal frequency division multiplexing (OFDM) wireless communication system and a channel characterization of the 60-GHz indoor wireless channel. He subsequently lead the system development efforts of the Fiber-Optic Security Sensor System Project. His current research interests include developments of system and IC solutions enabling the convergence of digital broadcasting and broadband wireless data access. He is specifically focused on realizing cognitive radio (CR) technology as a promising coexistence solution of the unlicensed spectrum applications.

Chris Scholz (M’97) received the B.S. degree from Ruhr University Bochum, Bochum, Germany, in 1986, the M.S. degree in mechanical engineering from the Technical University Carolo Wilhelmina, Braunschweig, Germany, in 1988, and the M.S. degree in aerospace engineering and Ph.D. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 1992 and 1999, respectively. He has held research faculty position with the University of California at Santa Barbara and the Center for High Technology Materials, University of New Mexico, Albuquerque. While with IntelCapital, Santa Clara, CA, he conducted due diligence on 50 national and international startups in optical components and communication space. While with the Intel Corporation, San Jose, CA, he managed a product development team that manufactured innovative silicon-based integrated electrooptic components. He is currently a member of the research faculty with the Georgia Electronic Design Center (GEDC), Atlanta, CA. His research interests include nanophotonic devices, nonlinear optical signal processing, electrooptic co-design and millimeter-wave (MMW) sensors for prognosis, and health monitoring of airframe structures.

Edward Gebara (M’05) received the B.S. (with highest honors), M.S., and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 1996, 1999, and 2003, respectively. He is currently a Member of Technical Staff with Quellan Inc., Atlanta, GA, which develops high-performance analog semiconductors that improve the speed and reach of communication channels in consumer, broadcast, enterprise, computing, and wireless markets. He is also a research faculty

member with the Georgia Institute of Technology, where he leads the Mixed Signal Team’s research efforts. The team’s research interest is to develop the foundation for alternate modulation schemes (quadrature amplitude modulation (QAM), optical subcarrier multiplexing (OSCM), etc.), equalization techniques, and Xtalk cancellation techniques on pure CMOS applied to next-generation wired and wireless communication. He has authored or coauthored over 50 publications

Joy Laskar (S’84–M’85–SM’02–F’05) received the B.S. degree in computer engineering with math/physics minors (with highest honors) from Clemson University, Clemson, SC, in 1985, and the M.S. and Ph.D. degrees in electrical engineering from the University of Illinois at Urbana-Champaign, in 1989 and 1991, respectively. Prior to joining the Georgia Institute of Technology in 1995, he held faculty positions with the University of Illinois at Urbana-Champaign and the University of Hawaii. With the Georgia Institute of Technology, he holds the Joseph M. Pettit Professorship of Electronics and is currently the Chair for the Electronic Design and Applications Technical Interest Group. He is also the Director of the Electronic Design Center (GEDC), Georgia Institute of Technology, and the System Research Leader for the National Science Foundation (NSF) Packaging Research Center. He heads a research group of 25 members with a focus on integration of high-frequency mixed-signal electronics for next-generation wireless and wired systems. He has authored or coauthored over 200 papers, several book chapters (including three textbooks in development), and numerous invited talks. He has over 20 patents pending. Most recently, his research has resulted in the formation of two companies. In 1998, he cofounded the advanced wireless local area network (WLAN) IC company RF Solutions, which is now part of Anadigics (Nasdaq: Anad). In 2001, he cofounded the next-generation analog CMOS IC company Quellan, which develops collaborative signal-processing solutions for the enterprise, video, storage, and wireless markets. Prof. Laskar was an IEEE Distinguished Microwave Lecturer for the 2004–2006 term for his “Recent Advances in High Performance Communication Modules and Circuits” seminar. He was the recipient of the 1995 Army Research Office Young Investigator Award, the recipient of the 1996 National Science Foundation (NSF) CAREER Award, the NSF Packaging Research Center Faculty of the Year 1997, the corecipient of the 1999 IEEE Rappaport Award (Best IEEE Electron Devices Society journal Paper), the faculty advisor for the 2000 IEEE MTT-S IMS Best Student Paper Award, the 2001 Georgia Institute of Technology Faculty Graduate Student Mentor of the year, the recipient of the 2002 IBM Faculty Award, the recipient of the 2003 Clemson University College of Engineering Outstanding Young Alumni Award, and the recipient of the 2003 Outstanding Young Engineer of the IEEE MTT-S.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2951

Active Integrated Antenna Based on Planar Dielectric Resonator With Tuning Ferroelectric Varactor Oleg Y. Buslov, Alexander A. Golovkov, Vladimir N. Keis, Andrey B. Kozyrev, Sergy V. Krasilnikov, Tatyana B. Samoilova, Aleksey Y. Shimko, David S. Ginley, and Tatiana Kaydanova

Abstract—Design of tunable Gunn diode-based active antenna module including a new type of planar dielectric resonator (PDR) tuned by a ferroelectric (FE) varactor is presented. The module is designed in such a way that the PDR acts both as a stabilizing resonator and as a radiator. Microwave properties of FE elements used for voltage-controlled oscillator (VCO) tuning are considered. The module operates at a frequency around of 17 GHz and demonstrates the radiated power of 8.8 0.1 dBm over the 130-MHz tuning range and a phase-noise level of 80 dBc/Hz at 100-kHz offset. 65 width of the radiation pattern in both the and -planes corresponding to a gain of 5.1 dBi was measured. The VCO module has been tested in the regime of the frequency modulation. The output signal spectra measured and simulated for modulating bipolar voltage pulses of repetition frequency = 2 MHz are presented. Index Terms—Active antenna, ferroelectric (FE) varactor, planar dielectric resonator (PDR), tunable oscillator, voltage-controlled oscillator (VCO).

I. INTRODUCTION N THE widely used phased-array antennas, the phase and amplitude control of the radiated signal is provided due to separate devices (phase shifters and amplifiers) included in feeding circuits with dividers and a common oscillator. However, in the millimeter-wave range, a similar approach results in a high level of losses in feeding circuits unsuitable for applications. The most efficient way to avoid this problem is the use of active modules integrating a voltage-controlled oscillator (VCO) and radiator in each module. Synchronization of oscillators can be achieved by mutual coupling between radiators of the antenna aperture [1], [2]. The VCO/radiator module approach can provide the design of phased arrays on the basis of standard modules, of which the number and position in the aperture determines the radiation pattern and transmitting power. To provide high frequency and power stability of the radiating system with the VCO, the dielectric resonators (DRs) of low loss and high temperature stability [3] are usually used. Bulk DRs,

I

as a rule operating on the lower mode , are widely used [4]; however, they have relatively large sizes. Essential reduction of sizes can be obtained with the use of planar dielectric resonators (PDRs) [5], produced on standard microstrip substrates. On microwave it is of interest to use PDRs operating on the first hy, where electrical and magnetic fields have a brid mode maximum near the resonator boundary, allowing the simplicity of PDR coupling with different transmission lines [6]. In this paper, the new type of PDR with one side substrate metallization is described. The back side of the substrate is used for mounting of the Gunn diode oscillator and feeding circuits. Simultaneously with frequency and power stabilization, the PDR is functioning as a radiator. Semiconductor varactors are usually used for frequency tuning of the radiating oscillator [7]. Along with semiconductor varactors, the ferroelectric (FE) film-based varactors can be used in tuning circuits of oscillators. FE thin-film varactors provide some advantages in comparison with semiconductor ones in cost, radiation resistance, dynamic range, speed of tuning, and simplicity of manufacture [7]. Besides, the symmetrical capacitance–voltage characteristic (CVC) of an FE capacitance can result in a new angular modulation regime of an operation with the depression of odd spectrum components. In this paper, the microwave properties of FE elements in a broad frequency range (1–60) GHz are considered, which makes it possible to predict the effectiveness of their application in a VCO operating in different frequency ranges. The results of measurements of radiated power over the tuning range of the VCO and its output phase noise are presented. Experimental and simulated antenna patterns for different operation frequencies are demonstrated. The output signal spectra measured and simulated for the frequency modulation mode of VCO operation are discussed. Comparison between measured spectra and simulated spectra, demonstrating good agreement, supports the correctness of the approach used. II. TUNABLE ACTIVE ANTENNA CONFIGURATION

Manuscript received May 10, 2007; revised August 17, 2007. This work was supported by the Russian Federation under Project RNP 2.1.2.7083 and Project 02.513.11.3136 FAO. O. Y. Buslov, V. N. Keis, A. B. Kozyrev, T. B. Samoilova, and A. Y. Shimko are with the Department of Electronics, St. Petersburg State Electrotechnical University, St. Petersburg 197376, Russia (e-mail: [email protected]; [email protected]; [email protected]). A. A. Golovkov and S. V. Krasilnikov are with the Radioelectronic Department, St. Petersburg State Electrotechnical University, St. Petersburg 197376, Russia. D. S. Ginley and T. Kaydanova are with the National Renewable Energy Laboratory, Golden, CO 80401-3393 USA. Digital Object Identifier 10.1109/TMTT.2007.909151

The design of the tunable active antenna module is shown in Fig. 1. The module is formed on the dielectric substrate of , and a 0.5-mm thickness and a dielectric constant of is incorporated into a heat removing metal box. A packaged Gunn diode, meant for the operation in the frequency range of 13–17.5 GHz at an oscillation power of 9 dBm is used as an oscillator. The disk hollow in a metallization of the bottom side of the substrate makes up the PDR. Control and coupling circuits are formed on the top side of the substrate. Substrate dielectric permittivity and thickness, the diameter of hollowed disk, and the size of the metal box define the resonant frequency of

0018-9480/$25.00 © 2007 IEEE

2952

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 3. Equivalent circuit of the active antenna module.

Fig. 1. (a) Drawing and (b) photograph of the active antenna module.

used for the insertion of a tunable FE varactor into the PDR. The FE varactor is soldered into the central gap of this probe (Fig. 2). The open end of the probe enters into the PDR area diametrically opposite to the end of the exciting microstrip line coupling PDR and the Gunn diode. The control voltage is fed to a varactor through a low-pass filter (Fig. 1). The resonant frequency of the PDR loaded with a varactor is tuned by varying the capacity of the FE varactor. The equivalent circuit of an active antenna module is shown in Fig. 3. For the oscillation regime, the absolute value of the negative active part of the Gunn diode impedance must be higher than . The oscillation frequency is load resistance . Coupling coefdetermined by the condition and between ficients between the Gunn diode and resonator are close to the critical value the resonator and free space . To hold the high unloaded quality factor of the PDR, necessary for providing the high stability of the frequency and output power, the coupling between the resonator and tuning . The module is circuit must be as low as possible, i.e., incorporated into a half-open metal box at the distance from an inner bottom. The lack of metal cover results in radiating the part of power stored in the PDR into the free space. III. FE VARACTOR AND TUNABLE PDR

Fig. 2. Schematic of the electric and magnetic field distribution of the planar resonator. The FE varactor inclusion into the PDR is shown without control circuits.

the resonance mode. The distribution of the electric and magnetic fields is shown in Fig. 2. Maximums of the electric and magnetic fields are localized in the substrate along orthogonal diameters of the resonator near the resonator boundary. The top electrode of the Gunn diode is soldered to a 50- microstrip line, coupling the diode and PDR. The open end of this coupling line is placed in the PDR area where the electric field has the maximum. The microstrip probe, one end of which is grounded and the second end of which is open, is

The FE varactors on the base of Ba Sr TiO films were was used to provide the VCO tuning. The composition chosen to provide the best performance for microwave applications [8]. Main parameters of varactors for the microwave appli, where is the varactor cation are the loss factor ( , charquality factor) and the tunability acterizing the capacitance variation with the voltage applied. There are a set of constructions of FE film elements meant to operate at different levels of a microwave power, which, in turn, dictate the different levels of a control voltage. Constructions can basically be separated into two main groups, which are: 1) elements of a planar construction with metal electrodes located in the plane above or under the FE film and 2) elements of a sandwich construction with the FE film located between electrodes. Typical CVCs of varactors of both constructions are shown in Fig. 4. For planar constructions, the scale of the upper V limit of control voltages is defined as (curves 2 and 3 in Fig. 4), and for sandwich elements, V (curves 1 and 2). CV dependences of FE film capacitors necessary for modeling the nonlinear devices are often approximated by the following equation [9]:

BUSLOV et al.: ACTIVE INTEGRATED ANTENNA BASED ON PDR WITH TUNING FE VARACTOR

Fig. 4. Typical CV characteristics of FE film varactors of planar and sandwich construction. T = 300 K. Usual scales of s for planar construction are 2–3 m (curve 2) and 10 20 m (curve 3). Scales of h for sandwiches are 0.1–0.15 m (curve 1) and 0.8 1.2 m (curve 2).

4 4

2953

Fig. 6. Frequency-dependent reflection coefficient (Q ) PDR loaded by FE capacitor.

jS j

and quality factor

the frequency range of 30 60 GHz, respectively, are comparable with those for semiconductor varactors. Note that, in different frequency ranges, the varactor losses have the different dependences on bias voltages. The insert in Fig. 5 illustrates the dependence at the operation frequency of the VCO 17 GHz. The and dependencies presented are the basis for the theoretical analysis of the output signal spectra of the VCO in a regime of frequency modulation. The unloaded factor of the shielded PDR with an FE varactor included in the resonator is defined by the equation (2)

Fig. 5. Frequency dependence of loss factor for planar FE Ba Sr actors at different bias voltages.

TiO var-

is the unloaded factor of the resonator where loaded by a practically lossless etalon nontunable capacitor on , ), the basis of the LaAlO substrate ( is the loss factor of an FE varactor (Fig. 5), and is the so-called inclusion coefficient of the varactor into the resonator (3)

(1)

is the maximal capacitance tunability, where and are the capacitance at a zero voltage and at and , respectively. is the phenomenoa highest voltage logical parameter responsible for the quantitative description of CV characteristics. For example, for curves 1–3 in Fig. 4, the are 4, 20, and 200 V, respectively. To avoid the parvalues of asitic harmonic generation and the increase of the phase-noise m (curve level, the planar varactor with a gapwidth of 3 in Fig. 4) was used in the VCO tested. Fig. 5 illustrates the frequency dependences of varactor losses V and V). at two magnitudes of bias voltages ( Dependencies characterizing the losses can be used for the estimation of expected parameters of devices meant to operate at 60 GHz. In this different frequencies from the range of 1 range, the loss tangent demonstrates close to linear dependence on frequency. The varactor quality factors 25 12 observed in

where and are the -field energy stored in the capacitor and resonator, respectively. Using (2), (3), Fig. 5, and results of experimental measurements of a resonance frequency as a function of the varactor capacitance, the magnitudes of the expected can be estimated. Taking into acunloaded quality factor count that for the VCO tested the radiation frequency is close to and , we expect that is 17 GHz and approximately 350. From Fig. 6, which illustrates the tuning of the PDR loaded by the FE varactor, the unloaded factor of the resonator can on be obtained. The results of calculation of dependencies the basis of experimentally measured are in agreement with the calculation on the basis of (2) and (3).

IV. TESTING THE ACTIVE ANTENNA The purpose of the experimental study of an active integrated antenna is to determine the tuning range, radiation power, power

2954

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 7. Output power and oscillation frequency shift versus variable control voltage.

Fig. 9. Radiator gain in (XZ -plane, ' = 0 ).

Fig. 8. Output phase noise of the active antenna module as a function of frequency offset.

variation within the tuning range, and phase noise and radiation patterns. To measure the radiation power in the direction of maximal radiation, we used the standard horn antenna. Power was measured at 16.94-GHz frequency in a far-field zone of the antenna. The dependences of radiated power and frequency on the tuning voltage applied to the FE varactor are shown in Fig. 7. One can see that the radiation power is approximately 90% from the maxis better than 15 dB). imum power of the Gunn oscillator ( This testifies optimized constructions of both the Gunn oscillator and radiator. Power deviation within the tuning range is not above 0.1 dBm. The frequency tuning range could be widened due to strengthening the coupling between an FE varactor and PDR. However, it will result in the reduction of a PDR quality factor and in the deterioration of VCO noise. Fig. 8 presents the phase noise measured at two values of a control voltage applied to the FE varactor. One can see that a two-time decrease of loss tangent results in a decrease of the phase noise. The elevated level of the phase noise ( 75 and 80 dBc/Hz) measured at the 100-kHz frequency offset can be attributed to the high intrinsic resistance of the Gunn diode used. Note that the mutual synchronization of modules in an active antenna array can reduce the total phase noise [1] and,

E -plane (Y Z -plane, '

= 90 ) and

H -plane

as a result, the stability and coherence of the radiated signal are improved. Simulated and measured radiation patterns of the radiating module under test are presented in Fig. 9 for the - and -plane. The superposition of radiation patterns of an exciting strip and the radiation pattern of the PDR itself is responsible for the asymmetry of the radiation pattern measured in the -plane. The radiation pattern measured in the -plane shows the symmetric shape. Values of radiator gains, simulated and measured, as well as widths of radiation patterns, simulated and measured, coincide closely in both the - and -planes. The lower values of a measured gain and the 10%–20% narrower width of measured radiation patterns in comparison with ones simulated can be attributed to the excitation of microwave currents in the housing surface, which were not taken into account under simulations. The frequency modulation can be achieved by using VCOs. For example, a message used as a modulating signal can control the VCO output frequencies in a transmitter of a communication system, or for a radar system a unidirectional ramp control voltage, applied to the FE varactor, should provide the linear modulation of the VCO output frequency. Practically speaking, a linear frequency/control voltage response of the VCO designed in the control voltage range of 0–100 V (Fig. 7) should not require a linearizer. To check the possibility of the generation of frequency modulated signals by the designed VCO, we carried out the experimental study and simulation of the signal spectra at the VCO output as the capacitance of the FE varactor is controlled by

BUSLOV et al.: ACTIVE INTEGRATED ANTENNA BASED ON PDR WITH TUNING FE VARACTOR

2955

and the frequency variation modulating voltage with modulating voltage. The spectrum of a frequency-modulated signal at the VCO output can be found through the Fourier transformation of the output signal

where is the oscillation frequency at zero control voltage applied to the FE varactor. Fig. 11 illustrates the output signal spectra measured and simulated for three values of the deviation frequency and MHz. The reasonable agreement between the simulated and measured spectra is evidence of the linear relation between the oscillation frequency and control voltage.

V. CONCLUSION Fig. 10. Schematic presentation of modulating voltage applied to FE capacitor and VCO frequency resonance.

The active antenna module consisting of a new type of a PDR tunable by an FE varactor has been developed and characteristics have been measured at a frequency of approximately 17 GHz. The module has demonstrated a high efficiency of radiation, good power stability, and reasonable tuning range and phase noise. The antenna of the module is small in both size and weight, and it is easily fabricated and has a low cost. The module can be used in active phased-array applications to achieve a high level of radiated power. The relatively high range of frequency tuning can be useful for systems with phase modulation, anticollision systems, and power transmitter systems.

REFERENCES [1] K. Chang, R. A. York, P. S. Hall, and T. Itoh, “Active integrated antennas,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 937–944, Mar. 2002. [2] J. F. Buckwalter, A. Babakhani, A. Komijani, and A. Hajimiri, “An integrated subharmonic coupled-oscillator scheme for a 60-GHz phasedarray transmitter,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4271–4279, Dec. 2006. [3] T. Makino and A. Hashima, “Highly stabilized MIC Gunn oscillator using a dielectric resonator,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 7, pp. 633–639, Jul. 1979. [4] D. Kajfez and P. Guillon, Dielectric Resonator. Dedham, MA: Artech House, 1986. [5] H. Blondeaux, D. Baillargeat, S. Verdeyme, P. Guillon, A. Corlier, Y. Cailloce, and E. Rogeaur, “Microwave filter for telecommunication dielectric resonator,” in Proc. 30th Eur. Microw. Conf., using high Paris, France, Oct. 2000, vol. 1, pp. 320–323. [6] O. Y. Buslov, A. A. Golovkov, V. N. Keis, A. B. Kozyrev, S. V. Krasilnikov, T. B. Samoilova, A. Y. Shimko, D. Ginley, and T. Kaydanova, “Active integrated antenna based on planar dielectric resonator with tuning ferroelectric varactor,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, pp. 1201–1204. [7] J. A. Navarro, Y. H. Shu, and K. Chang, “Broadband electronically tunable planar active radiating elements and spatial power combiners using notch antennas,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 323–328, Feb. 1992. [8] S. V. Rasumov, A. V. Tumarkin, M. M. Gaidukov, A. G. Gagarin, A. B. Kozirev, O. G. Vendik, O. Y. Buslov, and V. N. Keis, “Characterization of quality of Ba Sr Tio thin films by the communication quality factor measured at microwaves,” Appl. Phys. Lett., vol. 81, no. 9, pp. 1675–1677, 2002. [9] O. G. Vendik, Ed., Ferroelectrics in Microwave Technology (in Russian). Moscow, Russia: Sov. Radio, 1979.

Q

Fig. 11. Spectra simulated for different modulating voltage amplitude  corresponds to measured data.

U

.

bi-polar voltage pulses of the 2-MHz repetition frequency and 45- and 75-ns pulse fronts. Fig. 10 schematically illustrates the

2956

FEs and high-T

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Oleg Y. Buslov was born in Nukus, U.S.S.R., in 1971. He received the Diploma degree in electronics engineering from St. Petersburg State Electrotechnical University (LETI), St. Petersburg, Russia, in 1994. Since 1994, he has been a Researcher with the Laboratory of Microwave Electronics, LETI. He has authored over 60 papers. He holds three patents. His research interests are the design and experimental testing of microwave devices (phase shifters, tunable filters, VCOs, phased-array antennas, etc.) based on superconductor films.

Alexander A. Golovkov was born in Kiev, U.S.S.R. He received the Engineer, Ph.D., Full Doctor, and Professor degrees from the Leningrad Electrotechnical Institute (LETI) (now St. Petersburg State Electrotechnical University), St. Petersburg, Russia, in 1965, 1972, 1992, and 1993, respectively. He is currently a Head of the LETI Radioelectronic Department and a Chief of the Microwave Group, where he is responsible for microwave circuit and filter design. He has authored over 120 journal papers and five books. He holds 40 patents. His current research interests are in the field of active antenna modules and antennas for mobile handsets.

superconductor materials.

Vladimir N. Keis received the M.S. and Ph.D. degrees in physics and radiotechnics from the St. Petersburg State Electrotechnical University (LETI), St. Petersburg, Russia. He is currently a Senior Researcher with the Physical Electronics and Technology Department, LETI. He has authored over 150 papers and conference presentations. His research interests are theory, design and experimental investigations of microwave devices including phase shifters, tunable filters, VCOs based on thin FE film, and high- T

Andrey B. Kozyrev received the Electronic Engineer diploma, Ph.D. degree, and Full Doctor of Science degree from the Leningrad Electrotechnical Institute (LETI) (now the St. Petersburg State Electrotechnical University), St. Petersburg, Russia, in 1968, 1974, and 1989, respectively. Since 1989, he has been a Professor with the Electronic Department, LETI, and Head of the Microwave Laboratory. In 1994, he was granted "Soros Professor" under the framework of the International Science and Education Program. His main research concerns microwave properties of FEs and high- T superconductors and design and realization of the microwave devices (phase shifters, tunable filters, VCO, antennas). He has authored or coauthored over 200 publications. He holds 30 patents. MDR. Dr. Kozyrev was the recipient of the 1997 Microwave Year Prize presented at the 27th European Microwave Conference (Jerusalem, Israel) and the 2001 Research and Development 100 Award for technology of the electronically scanning antenna.

Sergey V. Krasilnikov was born in Leningrad (now St. Petersburg), Russia, in March 19, 1978. He received the M.S. degree from St. Petersburg State Electrotechnical University (LETI), St. Petersburg, Russia, in 2001. In 2001, he joined THE Microwave Laboratory, Raditechnical Department, LETI, where he has been engaged in research and development on microwave and millimeter-wave circuitry and satellite and cellular radio communications.

Tatyana B. Samoilova received the Electronic Engineer diploma and Candidate of Science Degree (Ph.D.) degree in physics and mathematics from the Leningrad Electrotechnical Institute (now St. Petersburg State Electrotechnical University), St. Petersburg, Russia, in 1970 and 1986, respectively. She is currently an Associate Professor with the Electronic Department, St. Petersburg State Electrotechnical University. Her general research interests have been in the investigations of physical properties of superconductors and FEs. Her current research activity concentrates on the applications of FE film in microwave microelectronics.

Aleksey Y. Shimko was born in Leningrad (now St. Petersburg), Russia, in 1982. He received the Diploma degree in electronics engineering from the St. Petersburg Electrotechnical University (LETI), St. Petersburg, Russia, in 2006. In 2006, he joined the Microwave Laboratory, Physical Electronics and Technology Department, LETI, where he has been engaged in research on the applications of FE films in microwave microelectronics.

David S. Ginley received the B.S. degree in mineral engineering chemistry from the Colorado School of Mines, Golden, in 1972, and the Ph.D. degree in inorganic chemistry from the Massachusetts Institute of Technology (MIT), Cambridge, in 1976. He was involved with inorganic photochemistry and in the emerging area of photoelectrochemistry with MIT. Until 1992, he was with Sandia National Laboratories, and then joined the National Renewable Energy Laboratory (NREL), Golden, CO, where he is currently a Principal Scientist. Over the past 25 years, he has been active in the development of a basic understanding of the relationships between structures and opto-electronic properties in a variety of materials. He has authored or coauthored over 300 papers. He holds 24 patents. Dr. Ginley was the recipient of a Department of Energy Award for Sustained Research in Superconducting Materials, Research and Development 100 Awards for novel chemical etches, nanoparticle technology and FE frequency agile electronics, and two Technology Transfer Awards.

Tatiana Kaydanova was born in St. Petersburg, Russia, in 1969. She received the M.S. degree in applied physics from St. Petersburg Technical University, St. Petersburg, Russia, in 1992. From 1995 to 1998, she was with the small hightech enterprise Superconducting Core Technologies, where she developed thin-film superconducting and FE materials for cryogenic and room-temperature microwave electronics. In 1998, she joined the National Renewable Energy Laboratory (NREL), Golden, CO, where she was involved with research of diverse materials, including transition metal and other oxide films, and the development of new direct-write approaches for fabrication of microwave and opto-electronic devices. Since 2005, she has been an independent consultant with NREL.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

2957

Coupled Transmission Lines as Impedance Transformer Thomas Jensen, Vitaliy Zhurbenko, Student Member, IEEE, Viktor Krozer, Senior Member, IEEE, and Peter Meincke, Member, IEEE

Abstract—A theoretical investigation of the use of a coupled line section as an impedance transformer is presented. We show how to properly select the terminations of the coupled line structures for effective matching of real and complex loads in both narrow and wide frequency ranges. The corresponding circuit configurations and the design procedures are proposed. Synthesis relations are derived and provided for efficient matching circuit construction. Design examples are given to demonstrate the flexibility and limitations of the design methods and to show their validity for practical applications. Wideband matching performance with relative 20 dB is demonbandwidth beyond 100% and return loss RL strated both theoretically and experimentally. Good agreement is achieved between the measured and predicted performance of the coupled line transformer section. Index Terms—Coupled transmission lines, directional coupler, impedance matching, impedance matrix, microstrip lines, strip lines.

I. INTRODUCTION

I

N RECENT years, coupled transmission lines have been suggested as a matching element due to greater flexibility and compactness in comparison to quarter-wavelength transmission lines [1]–[3]. It has been demonstrated that matching real and complex loads with coupled lines leads to more compact realizations and could therefore become important at millimeter-wave frequencies for on-chip or low-temperature co-fired ceramic (LTCC) matching solutions. Another area where coupled line structures are useful is matching of antenna array structures, as successfully demonstrated in [2]. The quarter-wave transformer is simple and easy to use, but it has no flexibility beyond the ability to provide a perfect match at the center frequency for a real-valued load, although a complex load of course can be matched by increasing the length of the quarter-wave transformer. The coupled line section provides a number of variables which can be utilized for matching purposes. These variables are the even- and odd-mode impedances and loading of the through and coupled ports. This loading can be done in form of a feedback connection which provides additional zeros for broadband matching. These variables can be chosen to provide a perfect match or any desired value of the reflection coefficient at the operating

Manuscript received June 13, 2007; revised July 18, 2007. The authors are with Ørsted-DTU, ElectroScience, Technical University of Denmark, 2800 Lyngby, Denmark (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.909617

frequency. The bandwidth of the coupled line transformer can be further increased in case of mismatch. In addition, as will be shown, it is also possible to match a complex load. This is a generalization of matching with a quarter-wave transmissionline transformer. This paper focuses on developing the necessary formulas for applying coupled line sections in matching applications, as well as the appropriate basic analysis of the coupled line section. Establishing a design framework will enable a widespread use of coupled line structures as novel impedance-matching elements, in addition to the standard lines and lumped elements. In the lower gigahertz range, the loading of the through and coupled ports can be done with lumped elements, which allows for easy matching of both real and imaginary impedance values. At higher frequencies, it is not possible to use lumped elements, but the difference between the even- and odd-mode impedances is a parameter which makes it possible to turn a mixed real and imaginary control load at the through port into a purely imaginary one, which can be implemented with a transmission-line stub. Equations for matching purposes, which are based on controllable parameters of coupled transmission-line sections, are presented for backward-wave couplers, including microstrip and stripline transmission-line couplers. The coupling required for a given application often becomes too tight for a practical implementation. Therefore, an investigation into the range of load values that can realistically be matched with the coupled line section has been carried out. Finally, loading of the through and coupled ports with an interconnecting transmission line is considered with the purpose of achieving a wide operating frequency range. In [5], a broadband impedance transformer based on coupled transmission lines is presented. The synthesis procedure for this circuit is explained. By using this procedure, it is possible to shape the frequency response by placing transmission minima in the spectrum. II. USE OF A COUPLED LINE SECTION AS AN IMPEDANCE TRANSFORMER Fig. 1 shows the general coupled line configuration. While there is no established terminology for use of the coupled line section as an impedance transformer, in this paper it is found useful to use the port names from directional coupler terminology in the discussion of the circuit, but the numeration used corresponds to filter design with coupled line sections because the theory is developed from that point of view. The impedance matrix for the four-port open-circuited coupled line section in Fig. 1, where transverse electromagnetic

0018-9480/$25.00 © 2007 IEEE

2958

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 1. Coupled transmission lines. The port names are in agreement with directional coupler terminology for a backward-wave coupler, while the numeration is the same as that used in filter design with coupled line sections.

(TEM) wave propagation and symmetric transmission lines are assumed, can be expressed in terms of the even- and odd-mode and and the electrical length [4] as impedances (1a) (1b) (1c) (1d) . The input impedance of the coupled line section with the coupled and through port open-circuited and the isolated port tercan be calculated from the minated in the load impedance current and voltage at the input port

Fig. 2. Four-port coupled transmission-line section reduced to a two-port with the coupled port open-circuited and the through port terminated in load Z .

This establishes the condition for a perfect match at the operating frequency in terms of the difference between the even and odd mode impedance. This condition is similar to the well, for a quarter-wave transmisknown relation sion-line transformer, when the characteristic impedance is exchanged with the difference between the even- and odd-mode impedances of the coupled lines. It is also possible to retain the reflection coefficient in the formula and use it as a parameter, yielding

(7) (2) . where In the following derivations, only the center frequency is con, and . Furthersidered and, hence, more, the notation and is used. Then, (2) simplifies to (3) The reflection coefficient with the generator impedance tached to port 1 is given by

at-

(4) For a perfect match, the reflection coefficient has to be zero and, thus,

Solving for

can be chosen within reasonable limits. A The parameter implies a tight coupling, where the coupling large value of . Tight coucoefficient is given by pling implies a large value of and requires a large difference between the even- and odd-mode impedances. A large value of necessitates a very narrow gap between edge-coupled transmission lines or a large ground plane spacing, e.g., in stripline technology. From (7), it can be seen that transforming a large-valued load requires a tighter coupling than a small-valued load, and even though a nonzero reflection coefficient can increase the bandwidth, it also requires tighter coupling. The quarter-wave transformer has the same length as the coupled line impedance transformer for a given application and requires only one transmission line, so the only obvious advantage of the latter is that it constitutes a perfect dc block. However, a load attached to the through port opens for improvement of the transformer characteristics for the coupled line section, as will be shown in the next sections.

(5)

A. Loading of the Through Port for Extended Matching Capabilities

(6)

Using the input port as input and with the load to be transformed attached to the isolated port leaves two available ports (the through and coupled ports) that can be exploited to improve the matching capabilities. The loading of the through port will be considered here.

leads to

JENSEN et al.: COUPLED TRANSMISSION LINES AS IMPEDANCE TRANSFORMER

2959

Fig. 3. Matching configuration example. The response with Z = 50 ; Z = 100 ; Z = 200 , and Z = 100 is shown in Fig. 4.

The open-circuited impedance matrix for a two-port that is based on the four-port coupled line section in Fig. 2, where the coupled port is left open-circuited and the through port is loaded with an arbitrary impedance , that will be used as a matching parameter can be derived as (see Appendix II) (8) and, therefore, the circuit is reciprocal, but should be chosen as imaginary or with a large real part to avoid excessive power dissipation. The input impedance derived from (2) is then (9) Substituting this expression in the formula for the input reflection coefficient (4) and solving for gives (10)

j j 0

Fig. 4. Response of the circuit in Fig. 3. S is 30 dB at the design frequency as desired. Note that the insertion loss is nonzero because power is dissipated in the resistor. The response with tighter coupling (Z = 125 ; Z = 25 ) and a comparison with a quarter-wave transformer is also included in the plot.

B. Achieving Purely Imaginary Control Loads An interesting property of the coupled line section as a matching element is the ability to match a complex load. in a real and an imaginary part gives Splitting

(11) where and are assumed to be real-valued. From this expression, it can be seen that it is possible to use to make the real part of equal to zero, which establishes as a condition for a purely imaginary value of (12)

for a given value of which determines the value of , and . The condition for , . i.e., open-circuited, is only fulfilled for This demonstrates that the coupled line section impedance transformer is indeed a generalization of the standard transmisrepresents an sion-line transformer, where the impedance additional degree of freedom. Matching Example With a Real-Valued Load: The ability of the coupled line section to match a real-valued load is illustrated with a simple example. The following parameters are given: , and . Using (10) results in . The circuit configuration is shown in Fig. 3, and the frequency response plotted at an arbitrary design frequency of 1 GHz in Fig. 4. A reflection coefficient of 0.032 corresponds to 30 dB. In 20 dB spans this example, a reflection coefficient magnitude a bandwidth of 22%. and If the coupling is increased, setting results in and an increased bandwidth of 44% for the same matching conditions. The physical dimensions for the first case in edge-coupled stripline technology with and a ground-plane spacing of 5 mm are a conductor width of 0.55 mm and a conductor separation of 0.21 mm.

(13) This is especially useful for high-frequency applications, where the matching load to be attached to can simply be realized as a transmission-line stub of a specified length (see Fig. 5). An added advantage is that a purely reactive load is in principle lossless. Matching Example With a Complex-Valued Load: The following parameters are given: , and . is found to be 100 and , which can be implemented as a short transmission-line stub with a length of . 12.1 and a characteristic impedance of The characteristic impedance of the stub can be chosen to give a line width equal to the transmission lines in the coupled is line section in order to avoid discontinuities. Here, used for the stub-line characteristic impedance, but it is not a necessary condition. The response in Fig. 6 shows a perfect match at the center frequency and a 20–dB bandwidth of 31%. The length of the matching stub is very short compared with traditional transmission-line matching circuits and will only slightly increase the circuit size.

2960

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 5. Matching configuration for a complex load. Schematic drawing above and possible stripline realization below. The response with the parameters Z = 50 ; Z = 40 + j20 ; Z = 200 ; Z = 100 ; Z = 86:6 , and  = 12:1 is shown in Fig. 6.

Fig. 7. Arrows indicate the general direction of the curves when the coupling is increased, i.e., the required value of the real part of Z becomes more positive and the imaginary part becomes more negative. Note that, for this choice of the even- and odd-mode impedances, a negative real part is required for Z when Im Z = 20 and Re Z < 40 (thick blue curve below 0).

f g

f g

Fig. 6. Response of the circuit in Fig. 5 and compared with a quarter-wave transformer. Note that this matching configuration is lossless because Z is purely imaginary.

The load used in this example can also be matched with a quarter-wave transformer and an extra length of transmission line to account for the imaginary part of the load, but the bandwidth achieved is smaller and out-of-band rejection is significantly worse (see Fig. 6). The limitation of the coupled line section becomes apparent from (10) is plotted against a range of possible load when for a perfect match values. Fig. 7 shows the required value of . The figure depicts two sets of plotted versus the real part of curves, one for the real part of and one for the imaginary part of 20, 40, and 60 , of , for imaginary load values , and . respectively, is deTo decrease the power dissipation, a large value of sirable, but this implies stronger coupling. Decreasing the coutowards the value of with pling will lower the real part of

0

Fig. 8. Values of Z Z 0 = 0 (perfect match).

when Z is required to be purely imaginary and

the result that most of the input power is dissipated in . If [(13)] is apthe condition for a purely imaginary value of plied, then Fig. 8 shows that tight coupling values are required for large-valued loads. It can be depicted that very tight coupling is required for even moderate impedance loads. Relaxing the condition for the removes the curves towards higher values flection coefficient and will therefore require even tighter coupling. A coupling coefficient larger than 10 dB is difficult to achieve with microstrip or stripline edge-coupled lines, but is possible with a thick substrate or with broadside coupled transmission lines.

JENSEN et al.: COUPLED TRANSMISSION LINES AS IMPEDANCE TRANSFORMER

2961

Fig. 10. Two-port network representation for the coupled line impedance transformer. From [5].

Fig. 9. Quarter-wave wideband impedance transformer. Schematic drawing above and possible microstrip realization below.

III. WIDEBAND IMPEDANCE TRANSFORMATION A. Derivation of Analysis Formulas The impedance transformers considered above are based on transmission lines in homogeneous medium, e.g., striplines. They allow for a simpler analysis; however, in many practical cases, for example, in surface-mount technology, it is more useful to deal with microstrip structures. The wideband impedance transformer proposed in this section is derived on the basis of asymmetric, uniformly coupled lines in a nonhomogeneous medium [5]. A microstrip line is one of the most commonly used classes of transmission lines in a nonhomogeneous medium. The proposed configuration is a quarter wavelength long and provides three times wider operating frequency range in comparison to the traditional quarterwave transformer. As discussed above, the matching properties of the transformer depend not only on coupled line parameters, but also on the loads at ports 2 and 4 in Fig. 2. This dependence introduces additional degrees of freedom during the design procedure and is used here to significantly expand the bandwidth of the impedance transformer. The configurations shown above use loading of terminal 4 and with terminal 2 open-circuited. In the circuit considered below, both terminals are loaded using an interconnecting microstrip stepped-impedance transmission, line as shown in Fig. 9. The transformer consists of asymmetric coupled lines deand , scribed by the electrical parameters which are, respectively, the characteristic impedances of lines and are 1 and 2 for the and modes of propagation, the electrical lengths for the and modes, and and are the ratios of the voltages on the two lines for the and modes. The stepped-impedance transmission line consists of two equallength transmission lines with characteristic impedances and , as shown in Fig. 9. The electrical length of each transmission line is set to be half of the electrical length of the coupled line section to reduce the number of design parameters.

For the purpose of analysis, this structure is transformed to a two-port network with arbitrary load using an impedance matrix representation. Thus, the entire circuit can be represented as a two-port network, which performs impedance transformation connected to port 1 and a between a generator impedance connected to port 3, as shown in Fig. 10. load impedance The model in Fig. 10 consists of the coupled line four-port and arbitrary network described by an impedance matrix . In load matrix at opposite terminals described by matrix practice, ports 2 and 4 are in general either short-circuited or open-circuited with a corresponding representation of the two. port network The magnitude of the reflection coefficient at port 1 is equal to (14) where is the input impedance of the transformer, which is a , impedance matrix elements function of the load impedance , and the arbitrary load ( and are the of coupled lines indexes of matrix elements). is calculated using relations derived The input impedance in [5] together with the following elements of the impedance for the stepped-impedance transmission line: matrix (15a)

(15b) (15c) The derivation of (15) is given in Appendix I. Thus, the analysis of the structure can be performed using this analytical representation. The electrical length is a function of frequency and is used here for the analysis of the spectrum of the transformer reflection coefficient. It can be depicted from the calculated response in Fig. 11 that the transformer provides wideband operation, and the electrical length of the transformer is equal to a quarter wavelength at the center frequency. In addition, the distance between the minima locations can be varied by adjusting the parameters of the structure. This characterizes the operating frequency bandwidth of distance the transformer. The characteristics of the transformer for three

2962

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

Fig. 11. Response of the 50–100- impedance transformer shown in Fig. 9.

Fig. 12. Maximum level of the reflection coefficient between minima in Fig. 11.

different values of are shown in Fig. 11. As can be seen, the in-band level of the reflection coefficient depends on parameter . The estimation of the maximum level of the reflection coefficient between minima for different transformation ratios can be found using the data shown in Fig. 12. As expected, the level of in-band reflections for the transformer decreases with reduction of the transformation ratio and . reaches the absolute minimum at B. Synthesis of the Wideband Transformer Equation (14) was solved numerically for the transformer in Fig. 9 with respect to the design parameters. Based on these solutions, design curves for this transformer are obtained and shown in Fig. 13. is not presented here, The design curve for the parameter because it can be easily found from the ratio [7] (16) The impedance transformer in Fig. 9 can be synthesized using the design curves in Fig. 13. It is interesting to note that the electrical lengths for both modes are essentially independent of the loading condition and can be adjusted for convenience. Furthermore, for large values of the transformation ratio does not depend on the load impedance and . Finally, it can be concluded is only slightly dependent on and can be represented in the form from Fig. 13 that , where is a constant and

Fig. 13. Design curves for the impedance transformer in Fig. 9.

is the slope. Therefore, the transformer can be efficiently , synthesized using only the parameters . and

JENSEN et al.: COUPLED TRANSMISSION LINES AS IMPEDANCE TRANSFORMER

2963

TABLE I ELECTRICAL PARAMETERS OF THE TRANSFORMER

Fig. 15. Simulated and measured characteristics of the synthesized transformer and comparison with the conventional quarter-wave transformer.

Fig. 16. Series connection of transmission lines. Fig. 14. Wideband quarter-wavelength impedance transformer. This is the microwave realization of the circuit in Fig. 9.

IV. CONCLUSION C. Design Example Consider the design of a 50–100- impedance transformer . with the center frequency 1.1 GHz and For this 1:2 transformer, the design parameters are chosen from Fig. 13 and are listed in Table I. Based on these data, the physical parameters of the circuit components are synthesized. The parameters given in Table I correspond to a transformer based on a substrate with a dielectric constant and thickness mm. The coupled line width is 1.37 mm for the input terminal and 0.54 mm for the output terminal. The gap between the coupled lines is 0.41 mm. Microstrip line widths are 2.22 and 2.5 mm for the transmission and in Fig. 9, respectively. The physical lines denoted length of the transformer is 43.1 mm. Based on these physical parameters, the simulation of the transformer can be performed using any freely or commercially available software circuit simulators, which contain the models for asymmetric coupled lines and microstrip transmission lines. The matching circuit design example has been fabricated and measured. A photograph of the fabricated 50–100- transformer is shown in Fig. 14. In this example, the input transmission line is connected using an air-bridge transition. The simulated and measured characteristics of the matching circuit are given in Fig. 15 and compared with the characteristics of the conventional quarter-wave transformer. As can be seen from the simulated data, three minima in the reflection coefficient spectrum of the synthesized transformer are achieved as expected. The distance between minima corre. The achieved bandwidth at 20-dB responds to flection level is three times larger as compared with a standard quarter-wave matching circuit. Although the measured characteristics differ from the simulation at low magnitude of the reflection coefficient, it is deemed suitable for most practical applications. The measured fractional bandwidth for this transformer configuration is more than 120% for 20-dB reflection coefficient level.

It is shown that coupled transmission lines are an attractive component for compact impedance transformer design. The capabilities of the coupled line transformer are extended with the help of different kinds of auxiliary loads, connected to diagonally opposite terminals. Using this concept, different circuits have been proposed for matching real and complex loads in narrow and wide frequency ranges. It is demonstrated theoretically and experimentally that it is possible to improve the fractional matching bandwidth beyond 100% at 20-dB reflection level by introducing an interconnecting transmission line. Although the proposed structure is still a quarter wavelength long, it provides a three times wider operating frequency range in comparison with the traditional quarter-wave transformer. A general model for such a configuration of the transformer was developed based on mode characteristics. This general model establishes the design curves for the impedance transformer. Based on the analysis of this model, different load configurations at the free terminals are proposed, resulting in improved matching characteristics of the overall circuit. The considered examples demonstrate matching between real and complex impedances in narrow and wide frequency ranges. APPENDIX I A series connection of the transmission lines shown in Fig. 16 can be described as a connection of two two-port networks. The impedance matrices of the transmission lines with char, lengths , and propagation acteristic impedances are given by constants

(17)

(18)

2964

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 12, DECEMBER 2007

The impedance matrix for the overall circuit in Fig. 16 is derived using boundary conditions at the common terminal. At this terminal, the voltages of two two-ports are equal, and currents are equal and oppositely directed. Thus, impedance matrix elements are found to be

Fig. 17. Two-port circuit consisting of a coupled line section with ports 2 and 4 terminated in arbitrary impedances. The Z matrix for the four-port coupled line section is based on (1).

(19a)

(22b)

(23a)

(19b)

(23b) where (19c) In the case of transmission lines with equal electrical length , (19) can be rewritten as (20a)

(24) When . This reduces most terms to 0, and we end up with (25)

(20b)

However, if we take

to be open-circuited

, then

(20c) These equations are used for the calculation of elements of the matrix in Fig. 10.

(26a) and, similarily, for the other elements of the matrix

APPENDIX II A schematical drawing showing a coupled line section with ports 2 and 4 terminated in arbitrary loads is shown in Fig. 17. The impedance matrix for the two-port circuit based on this configuration can be written in the following form:

(26b)

(21) where the elements of the circuit theory and gives

-matrix can be obtained with basic (26c)

(22a)

(26d)

JENSEN et al.: COUPLED TRANSMISSION LINES AS IMPEDANCE TRANSFORMER

Expressed as the matrix for the reduced two-port coupled lines circuit, we have (27) This is the two-port open-circuited impedance matrix at and is expressed in terms of the even-and odd-mode imas a parameter and open-circuited stub. pedances

2965

Vitaliy Zhurbenko (S’04) received the B.Sc. and M.Sc. degrees in electrical engineering from the Kharkov National University of Radio Electronics, Kharkov, Ukraine, in 2000 and 2001, respectively. From November 2000 to June 2005, he was a Metrology Engineer with the Kharkov Regional Scientific and Production Center of Standardization, Metrology and Certification. In 2004, he became a Junior Member of the Teaching Staff with the Kharkov National University of Radio Electronics. In 2005, he joined the Technical University of Denmark, Lyngby, Denmark. His current research interests include microwave devices and systems, antennas, and monolithic microwave integrated circuits.

REFERENCES [1] K. S. Ang, C. H. Lee, and Y. C. Leong, “Analysis and design of coupled line impedance transformers,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, vol. 3, pp. 1951–1954. [2] G. Jaworski and V. Krozer, “Broadband matching of dual-linear polarization stacked probe-fed microstrip patch antenna,” Electron. Lett., vol. 40, no. 4, pp. 221–222, 2004. [3] S. P. Liu, “Planar transmission line transformer using coupled microstrip lines,” in IEEE MTT-S Int. Microw. Symp. Dig., 1998, vol. 2, pp. 789–792. [4] E. M. T. Jones and J. T. Bolljahn, “Coupled strip transmission line filters and directional couplers,” IRE Trans. Microw. Theory Tech., vol. MTT-4, pp. 78–81, Apr. 1956. [5] V. Zhurbenko, V. Krozer, and P. Meincke, “Broadband impedance transformer based on asymmetric coupled transmission lines in nonhomogeneous medium,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 1893–1896. [6] D. Kajfez, S. Bokka, and C. E. Smith, “Asymmetric microstrip DC blocks with rippled response,” in IEEE MTT-S Int. Microw. Symp. Dig., 1981, pp. 301–303. [7] V. K. Tripathi, “Asymmetric coupled transmission lines in an inhomogeneous medium,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 9, pp. 734–739, Sep. 1975.

Thomas Jensen received the M.Sc. degree from the Technical University of Denmark, Lyngby, Denmark, in 2005, and is currently working toward the Ph.D. degree at the Technical University of Denmark. His research interests include microwave devices and systems, monolithic microwave integrated circuit design, and microwave packaging with a focus on LTCCs for radar applications.

Viktor Krozer (M’91–SM’03) received the Dipl.-Ing. and Dr.-Ing. degrees in electrical engineering from the Technical University of Darmstadt (TU Darmstadt), Darmstadt, Germany, in 1984 and 1991, respectively. In 1991, he became a Senior Scientist with TU Darmstadt, where he was involved with high-temperature microwave devices and circuits and submillimeter-wave electronics. From 1996 to 2002, he was a Professor with the Technical University of Chemnitz, Chemnitz, Germany. Since 2002, he has been a Professor with ElectroScience, Ørsted-DTU, Technical University of Denmark, Lyngby, Denmark, where he heads the Microwave Technology Group. His research areas include terahertz electronics, monolithic microwave integrated circuits, nonlinear circuit analysis and design, device modeling, and remote sensing instrumentation.

Peter Meincke (M’03) was born in Roskilde, Denmark, on November 25, 1969. He received the M.S.E.E. and Ph.D. degrees from the Technical University of Denmark, Lyngby, Denmark, in 1993 and 1996, respectively. In the spring and summer of 1995, he was a Visiting Research Scientist with the Electromagnetics Directorate of Rome Laboratory, Hanscom Air Force Base, MA. In 1997, he was with a Danish cellular phone company, where he was involved with theoretical aspects of radio wave propagation. In the spring and summer of 1998, he visited the Center for Electromagnetics Research, Northeastern University, Boston, MA, while holding a post-doctoral position with the Technical University of Denmark. In 1999, he became a Staff Member with Ørsted-DTU, Technical University of Denmark, where he is currently an Associate Professor. His current teaching and research include electromagnetics, antenna and microwave theory, wireless communications, and microwave imaging. Dr. Meincke was the recipient of the First Prize of the 1996 IEEE Antennas and Propagation Society Student Paper Contest for his paper on uniform physical theory of diffraction equivalent edge currents. He was also the recipient of the 2000 RWP King Paper Award for his paper entitled “Time-domain version of the physical theory of diffraction,” published in the February 1999 issue of the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2007.909740

Digital Object Identifier 10.1109/TMTT.2007.913575

Digital Object Identifier 10.1109/TMTT.2007.913576

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

1

2007 Index IEEE Transactions on Microwave Theory and Techniques Vol. 55 This index covers all technical items — papers, correspondence, reviews, etc. — that appeared in this periodical during 2007, and items from previous years that were commented upon or corrected in 2007. Departments and other items may also be covered if they have been judged to have archival value. The Author Index contains the primary entry for each item, listed under the first author’s name. The primary entry includes the coauthors’ names, the title of the paper or other item, and its location, specified by the publication abbreviation, year, month, and inclusive pagination. The Subject Index contains entries describing the item under all appropriate subject headings, plus the first author’s name, the publication abbreviation, month, and year, and inclusive pages. Note that the item title is found only under the primary entry in the Author Index. AUTHOR INDEX

A Abbosh, A. M., see Bialkowski, M. E., T-MTT Oct. 2007 2216-2223 Abbosh, A. M., Ultra-Wideband Phase Shifters; T-MTT Sep. 2007 1935-1941 Abbosh, A. M., Planar Bandpass Filters for Ultra-Wideband Applications; T-MTT Oct. 2007 2262-2269 Abbosh, A. M., and Bialkowski, M. E., Design of Compact Directional Couplers for UWB Applications; T-MTT Feb. 2007 189-194 Abbott, D. A., see Dyadyuk, V., T-MTT Dec. 2007 2813-2821 Abd-Alhameed, R. A., see See, C. H., T-MTT Sep. 2007 1986-1994 Abdalla, M. A. Y., Phang, K., and Eleftheriades, G. V., Printed and Integrated CMOS Positive/Negative Refractive-Index Phase Shifters Using Tunable Active Inductors; T-MTT Aug. 2007 1611-1623 Abdipour, A., see Movahhedi, M., T-MTT Jun. 2007 1322-1331 Accillaro, C., see Cidronali, A., T-MTT Nov. 2007 2277-2289 Achouche, M., see Chtioui, M., T-MTT Feb. 2007 318-326 Ackerman, E. I., see Cox, C. H., T-MTT Feb. 2007 351-351 Adam, D. R., see Razansky, D., T-MTT May 2007 1063-1072 Aditya, S., see Cheng, L., T-MTT Jan. 2007 176-184 Afsar, M. N., see Khan, U. A., T-MTT Dec. 2007 2887-2893 Ahmed, R., see Siqueira, P., T-MTT Oct. 2007 2248-2256 Ahn, G., see Jung, S.-C., T-MTT Jan. 2007 52-59 Ahn, G., Kim, M., Park, H., Jung, S., Van, J., Cho, H., Kwon, S., Jeong, J., Lim, K., Kim, J. Y., Song, S. C., Park, C., and Yang, Y., Design of a HighEfficiency and High-Power Inverted Doherty Amplifier; T-MTT Jun. 2007 1105-1111 Aicardi, G., see Paffi, A., T-MTT Nov. 2007 2463-2471 Akagi, J. M., see Watanabe, M. K., T-MTT Dec. 2007 2856-2864 Akhnoukh, A., see Rejaei, B., T-MTT Oct. 2007 2240-2247 Aksoy, S., An Alternative Algorithm for Both Narrowband and Wideband Lorentzian Dispersive Materials Modeling in the Finite-Difference Time-Domain Method; T-MTT Apr. 2007 703-708 Alastalo, A., see Kaajakari, V., T-MTT Aug. 2007 1666-1672 Alderman, B., see Martinez, B., T-MTT Apr. 2007 672-681 Alexopoulos, N. G., Kyriazidou, C. A., and Contopanagos, H. F., Effective Parameters for Metamorphic Materials and Metamaterials Through a Resonant Inverse Scattering Approach; T-MTT Feb. 2007 254-267 Alici, K. B., see Bilotti, F., T-MTT Dec. 2007 2865-2873 Allilomes, P. C., and Kyriacou, G. A., A Nonlinear Finite-Element LeakyWaveguide Solver; T-MTT Jul. 2007 1496-1510 Al-Moayed, N., see Khan, U. A., T-MTT Dec. 2007 2887-2893 Alphones, A., see Cheng, L., T-MTT Jan. 2007 176-184 Alphones, A., see Karim, M. F., T-MTT Jun. 2007 1154-1162 Alvarez Melcon, A., see Quesada Pereira, F. D., T-MTT Apr. 2007 715-721 Alvarez Melcon, A., see Perez Soler, F. J., T-MTT Nov. 2007 2393-2398 Alvarez-Melcon, A., see Martinez-Mendoza, M., T-MTT Dec. 2007 2670-2678 Amagai, J., see Kiuchi, H., T-MTT Sep. 2007 1964-1972 Amari, S., see Rosenberg, U., T-MTT Apr. 2007 742-746 Amari, S., and Bekheit, M., Physical Interpretation and Implications of Similarity Transformations in Coupled Resonator Filter Design; T-MTT Jun. 2007 1139-1153

Andrews, S. R., see Martinez, B., T-MTT Apr. 2007 672-681 Angelov, I., see Cherednichenko, S., T-MTT Mar. 2007 504-510 Aoki, Y., see Yamanouchi, S., T-MTT Mar. 2007 493-503 Apollonio, F., see Paffi, A., T-MTT Nov. 2007 2463-2471 Archer, J. W., see Li, Y., T-MTT Jun. 2007 1246-1254 Arcioni, P., see San Blas, A. A., T-MTT Jan. 2007 168-175 Arcioni, P., Conciauro, G., and Repossi, M., Planar Models of Reconfigurable MEMS Circuits; T-MTT Apr. 2007 722-728 Arnal, Y. A., see Pollak, J., T-MTT May 2007 951-957 Arnold, A., see Prinz, H. O., T-MTT Aug. 2007 1697-1703 Asahara, M., Campbell, C. F., and Frensley, W. R., A Novel Approach to Modeling Metal–Insulator–Metal Capacitors Over Vias With Significant Electrical Length; T-MTT Apr. 2007 709-714 Asbeck, P. M., see Hung, T.-P., T-MTT Dec. 2007 2845-2855 Aubry, P. J., see Yarovoy, A. G., T-MTT Jun. 2007 1288-1295 Aydin, K., see Bilotti, F., T-MTT Dec. 2007 2865-2873 Azadet, K., see Chen, J., T-MTT Jul. 2007 1459-1466 Azana, J., see Schwartz, J. D., T-MTT Feb. 2007 327-334 Azana, J., see Schwartz, J. D., T-MTT Oct. 2007 2271-2272 Azcona, L., see Martinez, B., T-MTT Apr. 2007 672-681

B

Baccarelli, P., Paulotto, S., Jackson, D. R., and Oliner, A. A., A New Brillouin Dispersion Diagram for 1-D Periodic Printed Structures; T-MTT Jul. 2007 1484-1495 Bachiller, C., Gonzalez, H. E., Boria Esbert, V. E., Belenguer Martinez, A., and Morro, J. V., Efficient Technique for the Cascade Connection of Multiple Two-Port Scattering Matrices; T-MTT Sep. 2007 1880-1886 Bachmann, A., see Siqueira, P., T-MTT Oct. 2007 2248-2256 Bachtold, W., see Negra, R., T-MTT Jun. 2007 1390-1397 Bahl, I. J., 0.7–2.7-GHz 12-W Power-Amplifier MMIC Developed Using MLP Technology; T-MTT Feb. 2007 222-229 Baillargeat, D., see Delhote, N., T-MTT Mar. 2007 548-554 Baillargeat, D., see Troubat, M., T-MTT Jun. 2007 1182-1189 Bairavasubramanian, R., see Horst, S., T-MTT Nov. 2007 2439-2446 Bakkaloglu, B., see Kitchen, J. N., T-MTT May 2007 845-856 Balakrishnan, N., see Christie, V. L., T-MTT Jun. 2007 1112-1117 Bandler, J. W., see Koziel, S., T-MTT Mar. 2007 541-547 Bandler, J. W., see Koziel, S., T-MTT Aug. 2007 1739-1746 Bandler, J. W., see Koziel, S., T-MTT Dec. 2007 2539-2547 Bao, D., see Lin, X. Q., T-MTT Apr. 2007 747-753 Baralis, M., see Virone, G., T-MTT Feb. 2007 309-317 Baras, T., Mueller, J., and Jacob, A. F., -Band LTCC Star Mixer With Broadband IF Output Network; T-MTT Dec. 2007 2766-2772 Bardin, J. C., see Weinreb, S., T-MTT Nov. 2007 2306-2312 Barquinero, C., Suarez, A., Herrera, A., and Garcia, J. L., Complete Stability Analysis of Multifunction MMIC Circuits; T-MTT Oct. 2007 2024-2033 Barsky, M. E., see Deal, W. R., T-MTT Dec. 2007 2719-2726 Batchelor, J. C., see Sobhy, M. I., T-MTT Apr. 2007 729-735 Bayram, Y., and Volakis, J. L., Hybrid -Parameters for Transmission Line Networks With Linear/Nonlinear Load Terminations Subject to Arbitrary Excitations; T-MTT May 2007 941-950 Beccherelli, R., see Paffi, A., T-MTT Nov. 2007 2463-2471 Becker, U., see Carter, R. G., T-MTT Dec. 2007 2531-2538 Begueret, J.-B., see El-Gharniti, O., T-MTT Apr. 2007 607-615 Behtash, R., see Rudolph, M., T-MTT Jan. 2007 37-43 Bekheit, M., see Amari, S., T-MTT Jun. 2007 1139-1153 Belenguer Martinez, A., see Bachiller, C., T-MTT Sep. 2007 1880-1886 Bens, A., see El Kaamouchi, M., T-MTT Dec. 2007 2822-2831 Bera, S. C., Singh, R. V., Garg, V. K., and Sharma, S. B., Optimum Bias Load-Line Compensates Temperature Variation of Junction Diode’s RF Resistance; T-MTT Feb. 2007 215-221 Berg, T., see Cherednichenko, S., T-MTT Mar. 2007 504-510 Bernal, C., see Mediano, A., T-MTT Mar. 2007 484-492

K

S

2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Bernal, J., Mesa, F., and Jackson, D. R., Effects of Losses on the Current Spectrum of a Printed-Circuit Line; T-MTT Jul. 2007 1511-1519 Betts, G. E., see Cox, C. H., T-MTT Feb. 2007 351-351 Bhattacharya, S., see Senguttuvan, R., T-MTT Sep. 2007 1942-1950 Bialkowski, M. E., see Abbosh, A. M., T-MTT Feb. 2007 189-194 Bialkowski, M. E., Abbosh, A. M., and Seman, N., Compact Microwave SixPort Vector Voltmeters for Ultra-Wideband Applications; T-MTT Oct. 2007 2216-2223 Bien, F., see Chandramouli, S., T-MTT Dec. 2007 2740-2746 Bien, F., see Kim, H., T-MTT Dec. 2007 2942-2950 Bila, S., see Troubat, M., T-MTT Jun. 2007 1182-1189 Bilionis, G. P., Birbas, A. N., and Birbas, M. K., Fully Integrated Differential Distributed VCO in 0.35-m SiGe BiCMOS Technology; T-MTT Jan. 2007 13-22 Bilotti, F., Toscano, A., Vegni, L., Aydin, K., Alici, K. B., and Ozbay, E., Equivalent-Circuit Models for the Design of Metamaterials Based on Artificial Magnetic Inclusions; T-MTT Dec. 2007 2865-2873 Birbas, A. N., see Bilionis, G. P., T-MTT Jan. 2007 13-22 Birbas, M. K., see Bilionis, G. P., T-MTT Jan. 2007 13-22 Blary, K., see Velu, G., T-MTT Feb. 2007 438-444 Blockley, P. S., Scott, J. B., Gunyan, D., and Parker, A. E., The Random Component of Mixer-Based Nonlinear Vector Network Analyzer Measurement Uncertainty; T-MTT Oct. 2007 2231-2239 Blondy, P., see Lacroix, B., T-MTT Jun. 2007 1314-1321 Boix, R. R., Mesa, F., and Medina, F., Application of Total Least Squares to the Derivation of Closed-Form Green’s Functions for Planar Layered Media; T-MTT Feb. 2007 268-280 Bonache, J., see Gil, M., T-MTT Jun. 2007 1296-1304 Bonmassar, G., The Stochastic Gabor Function Enhances Bandwidth In Finite-Difference-Time Domain S -Parameter Estimation; T-MTT Apr. 2007 601-606 Booth, J. C., see Mateu, J., T-MTT Feb. 2007 391-396 Booth, J. C., see Mateu, J., T-MTT Apr. 2007 616-624 Booth, J. C., see Mateu, J., T-MTT Jul. 2007 1425-1430 Boria Esbert, V. E., see Quesada Pereira, F. D., T-MTT Apr. 2007 715-721 Boria Esbert, V. E., see Bachiller, C., T-MTT Sep. 2007 1880-1886 Boria, V. E., see San Blas, A. A., T-MTT Jan. 2007 168-175 Boria, V. E., see Vicente, C., T-MTT May 2007 1018-1028 Boric-Lubecke, O., see Park, B.-K., T-MTT May 2007 1073-1079 Boumaiza, S., see Helaoui, M., T-MTT Feb. 2007 248-253 Boumaiza, S., see Hammi, O., T-MTT Jun. 2007 1382-1389 Braunisch, H., see Gu, X., T-MTT Mar. 2007 511-517 Bressan, M., see San Blas, A. A., T-MTT Jan. 2007 168-175 Brinkhoff, J., see Issaoun, A., T-MTT Sep. 2007 1813-1823 Brist, G. A., see Hall, S., T-MTT Dec. 2007 2614-2624 Brown, A. R., see Entesari, K., T-MTT Nov. 2007 2399-2405 Brown, E. R., see Saddik, G. N., T-MTT Jul. 2007 1431-1437 Bucholtz, F., see Urick, V. J., T-MTT Sep. 2007 1978-1985 Bumble, B., see Kooi, J. W., T-MTT Oct. 2007 2086-2096 Bunton, J. D., see Dyadyuk, V., T-MTT Dec. 2007 2813-2821 Burgnies, L., see Velu, G., T-MTT Feb. 2007 438-444 Buslov, O. Y., Golovkov, A. A., Keis, V. N., Kozyrev, A. B., Krasilnikov, S. V., Samoilova, T. B., Shimko, A. Y., Ginley, D. S., and Kaydanova, T., Active Integrated Antenna Based on Planar Dielectric Resonator With Tuning Ferroelectric Varactor; T-MTT Dec. 2007 2951-2956 Button, T. W., see Hu, W., T-MTT Feb. 2007 418-424

C Caban-Chastas, D., see Mazeau, J., T-MTT Nov. 2007 2290-2297 Cabral, P. M., see Cunha, T. R., T-MTT Dec. 2007 2747-2756 Cabral, P. M., see Pedro, J. C., T-MTT Dec. 2007 2757-2765 Cai, Y., see Cheng, Z. Q., T-MTT Jan. 2007 23-29 Caloz, C., see Nguyen, H. V., T-MTT May 2007 1029-1039 Caloz, C., see Zedler, M., T-MTT Dec. 2007 2930-2941 Caloz, C., see Yang, N., T-MTT Dec. 2007 2874-2886 Camacho-Penalosa, C., see Page, J. E., T-MTT Aug. 2007 1585-1592 CaMar.ia, V., Cappelluti, F., Pirola, M., Guerrieri, S. D., and Ghione, G., SelfConsistent Electrothermal Modeling of Class A, AB, and B Power GaN HEMTs Under Modulated RF Excitation; T-MTT Sep. 2007 1824-1831 Cameron, R. J., see Perez Soler, F. J., T-MTT Nov. 2007 2393-2398 Camiade, M., see Nallatamby, J.-C., T-MTT Jan. 2007 185-186 Campbell, C. F., see Asahara, M., T-MTT Apr. 2007 709-714 + Check author entry for coauthors

Canete Rebenaque, D., see Perez Soler, F. J., T-MTT Nov. 2007 2393-2398 Canete-Rebenaque, D., see Martinez-Mendoza, M., T-MTT Dec. 2007 26702678 Cao, B., see Guo, X., T-MTT Dec. 2007 2555-2561 Cao, Y., and Wang, G., A Wideband and Scalable Model of Spiral Inductors Using Space-Mapping Neural Network; T-MTT Dec. 2007 2473-2480 Cappelluti, F., see CaMar.ia, V., T-MTT Sep. 2007 1824-1831 Cardenas, J. M., see Shiroma, G. S., T-MTT May 2007 838-844 Cariou, F., see Chtioui, M., T-MTT Feb. 2007 318-326 Carpentier, D., see Chtioui, M., T-MTT Feb. 2007 318-326 Carru, J.-C., see Velu, G., T-MTT Feb. 2007 438-444 Carter, R. G., Feng, J., and Becker, U., Calculation of the Properties of Reentrant Cylindrical Cavity Resonators; T-MTT Dec. 2007 2531-2538 Carvalho, N. B., see Martins, J. P., T-MTT Jun. 2007 1264-1271 Casares-Miranda, F. P., see Page, J. E., T-MTT Aug. 2007 1585-1592 Catala-Civera, J. M., see Pitarch, J., T-MTT Jan. 2007 108-116 Catherinot, A., see Lacroix, B., T-MTT Jun. 2007 1314-1321 Cendoya, I., de No, J., Sedano, B., Garcia-Alonso, A., Valderas, D., and Gutierrez, I., A New Methodology for the On-Wafer Characterization of RF Integrated Transformers; T-MTT May 2007 1046-1053 Ceria, R., see Kooi, J. W., T-MTT Oct. 2007 2086-2096 Cha, H.-K., see Nam, I., T-MTT Apr. 2007 682-689 Cha, J., see Jeon, Y.-S., T-MTT Apr. 2007 690-696 Cha, J., see Hong, S., T-MTT May 2007 925-931 Cha, J., see Choi, J., T-MTT Dec. 2007 2679-2690 Chaker, M., see Li, L., T-MTT Nov. 2007 2427-2433 Chambon, C., Escotte, L., Gribaldo, S., and Llopis, O., C -Band Noise-Parameter Measurement of Microwave Amplifiers Under Nonlinear Conditions; T-MTT Apr. 2007 795-800 Champeaux, C., see Lacroix, B., T-MTT Jun. 2007 1314-1321 Chan, C. H., see Mo, T. T., T-MTT Jan. 2007 161-167 Chandramouli, S., see Kim, H., T-MTT Dec. 2007 2942-2950 Chandramouli, S., Bien, F., Kim, H., Scholz, C., Gebara, E., and Laskar, J., 10-Gb/s Optical Fiber Transmission Using a Fully Analog Electronic Dispersion Compensator (EDC) With Unclocked Decision-Feedback Equalization; T-MTT Dec. 2007 2740-2746 Chang, C.-C., see Lin, C.-S., T-MTT Sep. 2007 1862-1868 Chang, C.-C., see Chen, Y.-M., T-MTT Oct. 2007 2191-2199 Chang, C.-Y., see Chen, C.-H., T-MTT Jan. 2007 128-136 Chang, C.-Y., see Liao, C.-K., T-MTT Jan. 2007 147-153 Chang, H.-Y., see Lin, C.-S., T-MTT Jun. 2007 1190-1199 Chang, S.-F., see Lin, C.-S., T-MTT Sep. 2007 1862-1868 Chang, S.-F., see Chen, Y.-M., T-MTT Oct. 2007 2191-2199 Chang, W., see Jung, D. Y., T-MTT Aug. 2007 1786-1793 Chao, S.-F., Kuo, C.-C., Tsai, Z.-M., Lin, K.-Y., and Wang, H., 40-GHz MMIC SPDT and Multiple-Port Bandpass Filter-Integrated Switches; T-MTT Dec. 2007 2691-2699 Chappell, W. J., see Choi, T., T-MTT Nov. 2007 2298-2305 Chaput, C., see Delhote, N., T-MTT Mar. 2007 548-554 Charbonniaud, C., see Jardel, O., T-MTT Dec. 2007 2660-2669 Chartier, S., see Ojefors, E., T-MTT Jul. 2007 1467-1475 Chatterjee, A., see Senguttuvan, R., T-MTT Sep. 2007 1942-1950 Chattopadhyay, G., see Kooi, J. W., T-MTT Oct. 2007 2086-2096 Chen, C. H., see Wu, C.-H., T-MTT Feb. 2007 287-295 Chen, C. H. C., see Lin, S.-C., T-MTT Jan. 2007 137-146 Chen, C. H., see Wang, C.-H., T-MTT Apr. 2007 768-775 Chen, C. H., see Wu, C.-H., T-MTT Aug. 2007 1756-1763 Chen, C.-F., see Shen, T.-M., T-MTT Aug. 2007 1771-1779 Chen, C.-H., Liao, C.-K., and Chang, C.-Y., Novel Multifold Finite-GroundWidth CPW Quarter-Wavelength Filters With Attenuation Poles; T-MTT Jan. 2007 128-136 Chen, C.-H., and Peroulis, D., Liquid RF MEMS Wideband Reflective and Absorptive Switches; T-MTT Dec. 2007 2919-2929 Chen, C.-I. H., see George, K., T-MTT Apr. 2007 788-794 Chen, C.-J., and Chu, T.-H., Virtual Auxiliary Termination for Multiport Scattering Matrix Measurement Using Two-Port Network Analyzer; T-MTT Aug. 2007 1801-1810 Chen, H.-C., see Lin, Y.-T., T-MTT Sep. 2007 1832-1843 Chen, J., see Radisic, V., T-MTT Nov. 2007 2329-2335 Chen, J.-X., see Tang, H. J., T-MTT Apr. 2007 776-782 Chen, J.-X., Chin, C.-H. K., and Xue, Q., Double-Sided Parallel-Strip Line With an Inserted Conductor Plane and Its Applications; T-MTT Sep. 2007 1899-1904

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Chen, J., Saibi, F., Lin, J., and Azadet, K., Electrical Backplane Equalization Using Programmable Analog Zeros and Folded Active Inductors; T-MTT Jul. 2007 1459-1466 Chen, K. J., see Cheng, Z. Q., T-MTT Jan. 2007 23-29 Chen, K. J., see Wang, X. H., T-MTT Sep. 2007 1912-1918 Chen, M.-G., see Tang, C.-W., T-MTT Sep. 2007 1926-1934 Chen, M.-G., see Tang, C.-W., T-MTT Nov. 2007 2412-2418 Chen, M.-T., see Hwang, Y.-J., T-MTT Jun. 2007 1200-1208 Chen, X.-P., Wu, K., and Li, Z.-L., Dual-Band and Triple-Band Substrate Integrated Waveguide Filters With Chebyshev and Quasi-Elliptic Responses; T-MTT Dec. 2007 2569-2578 Chen, Y.-H., see Hsieh, H.-H., T-MTT Sep. 2007 1854-1861 Chen, Y.-J. E., Yang, L.-Y., and Yeh, W.-C., An Integrated Wideband Power Amplifier for Cognitive Radio; T-MTT Oct. 2007 2053-2058 Chen, Y.-M., Chang, S.-F., Chang, C.-C., and Hung, T.-J., Design of SteppedImpedance Combline Bandpass Filters With Symmetric Insertion-Loss Response and Wide Stopband Range; T-MTT Oct. 2007 2191-2199 Chen, Y., and Yeo, S.-P., A Symmetrical Four-Port Microstrip Coupler for Crossover Application; T-MTT Nov. 2007 2434-2438 Chen, Z. N., see Yang, N., T-MTT Dec. 2007 2874-2886 Cheng, L., Aditya, S., Li, Z., Nirmalathas, A., Alphones, A., and Ong, L. C., Nonlinear Distortion Due to Cross-Phase Modulation in Microwave FiberOptic Links With Optical Single-Sideband or Electrooptical Upconversion; T-MTT Jan. 2007 176-184 Cheng, S., Ferrari, A., Johnson, M., Rydberg, A., Ziemann, V., and Ojefors, E., Reduction of the Coupling to External Sources and Modes of Propagation by a Nearly Confocal Resonator; T-MTT Oct. 2007 2257-2261 Cheng, Z. Q., Cai, Y., Liu, J., Zhou, Y., Lau, K. M., and Chen, K. J., A Low Phase-Noise -Band MMIC VCO Using High-Linearity and Low-Noise Composite-Channel Al Ga N/Al Ga N/GaN HEMTs; T-MTT Jan. 2007 23-29 Cherednichenko, S., Drakinskiy, V., Berg, T., Kollberg, E. L., and Angelov, I., The Direct Detection Effect in the Hot-Electron Bolometer Mixer Sensitivity Calibration; T-MTT Mar. 2007 504-510 Chew, W. C., see Qian, Z. G., T-MTT Nov. 2007 2354-2364 Chi, H., and Yao, J., All-Fiber Chirped Microwave Pulses Generation Based on Spectral Shaping and Wavelength-to-Time Conversion; T-MTT Sep. 2007 1958-1963 Chi, P.-L., see Liao, C.-K., T-MTT Jan. 2007 147-153 Chiang, M.-J., Wu, H.-S., and Tzuang, C.-K. C., Design of Synthetic Quasi-TEM Transmission Line for CMOS Compact Integrated Circuit; T-MTT Dec. 2007 2512-2520 Chien, J.-C., and Lu, L.-H., A 20-Gb/s 1:2 Demultiplexer With CapacitiveSplitting Current-Mode-Logic Latches; T-MTT Aug. 2007 1624-1632 Chilton, R. A., Jung, K.-Y., Lee, R., and Teixeira, F. L., Frozen Modes in Parallel-Plate Waveguides Loaded With Magnetic Photonic Crystals; T-MTT Dec. 2007 2631-2641 Chin, C.-H. K., see Chen, J.-X., T-MTT Sep. 2007 1899-1904 Chiu, L., and Xue, Q., A Parallel-Strip Ring Power Divider With High Isolation and Arbitrary Power-Dividing Ratio; T-MTT Nov. 2007 2419-2426 Cho, C. S., see Ji, S. H., T-MTT Jun. 2007 1341-1347 Cho, H., see Ahn, G., T-MTT Jun. 2007 1105-1111 Cho, H., see Park, H., T-MTT Nov. 2007 2313-2319 Cho, N., Yoo, J., Song, S.-J., Lee, J., Jeon, S., and Yoo, H.-J., The Human Body Characteristics as a Signal Transmission Medium for Intrabody Communication; T-MTT May 2007 1080-1086 Choi, J., and Mortazawi, A., A New -Band Low Phase-Noise Multiple-Device Oscillator Based on the Extended-Resonance Technique; T-MTT Aug. 2007 1642-1648 Choi, J., Yim, J., Yang, J., Kim, J., Cha, J., Kang, D., Kim, D., and Kim, B., A -Digitized Polar RF Transmitter; T-MTT Dec. 2007 2679-2690 Choi, K., see Zhao, Z., T-MTT Feb. 2007 430-437 Choi, K., see Nam, I., T-MTT Apr. 2007 682-689 Choi, T., Sharifi, H., Sigmarsson, H. H., Chappell, W. J., Mohammadi, S., and Katehi, L. P. B., 3-D Integration of 10-GHz Filter and CMOS Receiver Front-End; T-MTT Nov. 2007 2298-2305 Choi, W.-Y., see Kim, J.-Y., T-MTT Dec. 2007 2734-2739 Chou, J. T., see Conway, J. A., T-MTT Oct. 2007 2270-2271 Christensen, R., see Hwang, Y.-J., T-MTT Jun. 2007 1200-1208 Christie, V. L., Kumar, L., and Balakrishnan, N., Inverted Slot-Mode SlowWave Structures for Traveling-Wave Tubes; T-MTT Jun. 2007 1112-1117 Chtioui, M., Marceaux, A., Enard, A., Cariou, F., Dernazaretian, C., Carpentier, D., and Achouche, M., Optical Summation of RF Signals; T-MTT Feb. 2007 318-326

X

X

16

+ Check author entry for coauthors

3

Chu, T.-H., see Hwang, Y.-J., T-MTT Jun. 2007 1200-1208 Chu, T.-H., see Chen, C.-J., T-MTT Aug. 2007 1801-1810 Chua, H. S., see Tong, W., T-MTT Aug. 2007 1794-1800 Chun, Y.-H., see Hong, J.-S., T-MTT Aug. 2007 1764-1770 Cibert, C., see Lacroix, B., T-MTT Jun. 2007 1314-1321 Cidronali, A., Accillaro, C., and Manes, G., Mildly Nonquasi-Static Two-Port Device Model Extraction by Integrating Linearized Large-Signal Vector Measurements; T-MTT Nov. 2007 2277-2289 Clement, T. S., see Williams, D. F., T-MTT Sep. 2007 1951-1957 Collado, C., see Mateu, J., T-MTT Apr. 2007 616-624 Collado, C., see Mateu, J., T-MTT May 2007 822-828 Conciauro, G., see Arcioni, P., T-MTT Apr. 2007 722-728 Contopanagos, H. F., see Alexopoulos, N. G., T-MTT Feb. 2007 254-267 Conway, J. A., Valley, G. C., and Chou, J. T., Comments on “A Fully Electronic System for Time Magnification of Ultra-Wideband Signals”; T-MTT Oct. 2007 2270-2271 Corona-Chavez, A., Lancaster, M. J., and Su, H. T., HTS Quasi-Elliptic Filter Using Capacitive-Loaded Cross-Shape Resonators With Low Sensitivity to Substrate Thickness; T-MTT Jan. 2007 117-120 Cox, C. H., Ackerman, E. I., Betts, G. E., and Prince, J. L., Corrections to “Limits on the Performance of RF-Over-Fiber Links and Their Impact on Device Design”; T-MTT Feb. 2007 351-351 Crespo-Cadenas, C., Reina-Tosina, J., and Madero-Ayora, M. J., Volterra Behavioral Model for Wideband RF Amplifiers; T-MTT Mar. 2007 449-457 Crowe, T. W., see Xu, H., T-MTT Apr. 2007 648-655 Crunteanu, A., see Lacroix, B., T-MTT Jun. 2007 1314-1321 Cui, T. J., see Lin, X. Q., T-MTT Apr. 2007 747-753 Cunha, T. R., see Zhu, A., T-MTT May 2007 813-821 Cunha, T. R., Pedro, J. C., and Cabral, P. M., Design of a Power-Amplifier Feed-Forward RF Model With Physical Knowledge Considerations; T-MTT Dec. 2007 2747-2756 Curtis, P. D., see Tong, W., T-MTT Aug. 2007 1794-1800

D Damm, C., Freese, J., Schubler, M., and Jakoby, R., Electrically Controllable Artificial Transmission Line Transformer for Matching Purposes; T-MTT Jun. 2007 1348-1354 Dammertz, G., see Prinz, H. O., T-MTT Aug. 2007 1697-1703 Danesh, M., and Long, J. R., Authors’ Reply; T-MTT Apr. 2007 809-810 Daneshmand, M., Yan, W. D., and Mansour, R. R., Thermally Actuated Multiport RF MEMS Switches and Their Performance in a Vacuumed Environment; T-MTT Jun. 2007 1229-1236 Dang, L., see Radisic, V., T-MTT Nov. 2007 2329-2335 Das, A., see Nkansah, A., T-MTT Jun. 2007 1219-1228 Deal, W. R., see Radisic, V., T-MTT Nov. 2007 2329-2335 Deal, W. R., Mei, X. B., Radisic, V., Lange, M. D., Yoshida, W., Liu, P.-H., Uyeda, J., Barsky, M. E., Fung, A., Gaier, T., and Lai, R., Development of Sub-Millimeter-Wave Power Amplifiers; T-MTT Dec. 2007 2719-2726 Declercq, M., see Moncunill-Geniz, F. X., T-MTT Jun. 2007 1355-1362 De Flaviis, F., see Shameli, A., T-MTT Jun. 2007 1089-1097 de Ginestous, J., see Kim, H., T-MTT Dec. 2007 2942-2950 De Groote, F., see Jardel, O., T-MTT Dec. 2007 2660-2669 Dehghan, M., see Movahhedi, M., T-MTT Jun. 2007 1322-1331 Dehollain, C., see Moncunill-Geniz, F. X., T-MTT Jun. 2007 1355-1362 de Hon, B., see Martinez, B., T-MTT Apr. 2007 672-681 Delage, C., see Delhote, N., T-MTT Mar. 2007 548-554 de la Rubia, V., and Zapata, J., Microwave Circuit Design by Means of Direct Decomposition in the Finite-Element Method; T-MTT Jul. 2007 1520-1530 Delatte, P., see El Kaamouchi, M., T-MTT Dec. 2007 2822-2831 del Castillo Velazquez-Ahumada, M., Martel, J., and Medina, F., Design of Compact Low-Pass Elliptic Filters Using Double-Sided MIC Technology; T-MTT Jan. 2007 121-127 Delhote, N., Baillargeat, D., Verdeyme, S., Delage, C., and Chaput, C., Ceramic Layer-By-Layer Stereolithography for the Manufacturing of 3-D Millimeter-Wave Filters; T-MTT Mar. 2007 548-554 Deligoz, I., see Kitchen, J. N., T-MTT May 2007 845-856 Delprat, S., see Li, L., T-MTT Nov. 2007 2427-2433 de Maagt, P., see Martinez, B., T-MTT Apr. 2007 672-681 Deng, P.-H., see Wang, C.-H., T-MTT Apr. 2007 768-775 de No, J., see Cendoya, I., T-MTT May 2007 1046-1053 Dernazaretian, C., see Chtioui, M., T-MTT Feb. 2007 318-326

4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Deschrijver, D., and Dhaene, T., A Note on the Multiplicity of Poles in the Vector Fitting Macromodeling Method; T-MTT Apr. 2007 736-741 De Souza, M. M., see Fioravanti, P., T-MTT May 2007 829-837 Devgan, P. S., see Urick, V. J., T-MTT Sep. 2007 1978-1985 Devgan, P. S., Urick, V. J., McKinney, J. D., and Williams, K. J., Cascaded Noise Penalty for Amplified Long-Haul Analog Fiber-Optic Links; T-MTT Sep. 2007 1973-1977 de Villiers, D. I. L., van der Walt, P. W., and Meyer, P., Design of a Ten-Way Conical Transmission Line Power Combiner; T-MTT Feb. 2007 302-308 de Vreede, L. C. N., see Neo, W. C. E., T-MTT May 2007 866-879 Dhaene, T., see Deschrijver, D., T-MTT Apr. 2007 736-741 Diaz-Morcillo, A., see Dominguez-Tortajada, E., T-MTT Jan. 2007 85-91 Ding, Y., and Wu, K., Substrate Integrated Waveguide-to-Microstrip Transition in Multilayer Substrate; T-MTT Dec. 2007 2839-2844 D'Inzeo, G., see Paffi, A., T-MTT Nov. 2007 2463-2471 Ditri, J., Heat Conduction in Microwave Devices With Orthotropic and Temperature-Dependent Thermal Conductivity; T-MTT Mar. 2007 555-560 Doerner, R., see Rudolph, M., T-MTT Jan. 2007 37-43 Dominguez-Tortajada, E., Monzo-Cabrera, J., and Diaz-Morcillo, A., Uniform Electric Field Distribution in Microwave Heating Applicators by Means of Genetic Algorithms Optimization of Dielectric Multilayer Structures; T-MTT Jan. 2007 85-91 Drakinskiy, V., see Cherednichenko, S., T-MTT Mar. 2007 504-510 Dubey, M., see Polcawich, R. G., T-MTT Dec. 2007 2642-2654 Dumas-Bouchiat, F., see Lacroix, B., T-MTT Jun. 2007 1314-1321 Dyadyuk, V., Bunton, J. D., Pathikulangara, J., Kendall, R., Sevimli, O., Stokes, L., and Abbott, D. A., A Multigigabit Millimeter-Wave Communication System With Improved Spectral Efficiency; T-MTT Dec. 2007 2813-2821

E Ederra, I., see Martinez, B., T-MTT Apr. 2007 672-681 Einziger, P. D., see Razansky, D., T-MTT May 2007 1063-1072 El-Gharniti, O., Kerherve, E., and Begueret, J.-B., Modeling and Characterization of On-Chip Transformers for Silicon RFIC; T-MTT Apr. 2007 607-615 El Kaamouchi, M., Si Moussa, M., Delatte, P., Wybo, G., Bens, A., Raskin, J.-P., and Vanhoenacker-Janvier, D., A 2.4-GHz Fully Integrated ESD-Protected Low-Noise Amplifier in 130-nm PD SOI CMOS Technology; T-MTT Dec. 2007 2822-2831 Eleftheriades, G. V., see Abdalla, M. A. Y., T-MTT Aug. 2007 1611-1623 Enard, A., see Chtioui, M., T-MTT Feb. 2007 318-326 Entesari, K., Obeidat, K., Brown, A. R., and Rebeiz, G. M., A 25–75-MHz RF MEMS Tunable Filter; T-MTT Nov. 2007 2399-2405 Erickson, N. R., and Grosslein, R. M., A Low-Loss 74–110-GHz Faraday Polarization Rotator; T-MTT Dec. 2007 2495-2501 Erkens, H., and Heuermann, H., Mixed-Mode Chain Scattering Parameters: Theory and Verification; T-MTT Aug. 2007 1704-1708 Escotte, L., see Chambon, C., T-MTT Apr. 2007 795-800 Eshrah, I. A., and Kishk, A. A., A Periodically Loaded Transmission Line Excited by an Aperiodic Source—A Green’s Function Approach; T-MTT Jun. 2007 1118-1123 Eshrah, I. A., and Kishk, Ahmed A., Magnetic-Type Dyadic Green’s Functions for a Corrugated Rectangular Metaguide Based on Asymptotic Boundary Conditions; T-MTT Jun. 2007 1124-1131 Esteban, J., see Page, J. E., T-MTT Aug. 2007 1585-1592 Eun, K. C., see Jung, D. Y., T-MTT Aug. 2007 1786-1793 Excell, P. S., see See, C. H., T-MTT Sep. 2007 1986-1994

F Farina, M., see Morini, A., T-MTT Sep. 2007 1905-1911 Farina, M., Morini, A., and Rozzi, T., A Calibration Approach for the Segmentation and Analysis of Microwave Circuits; T-MTT Oct. 2007 2124-2134 Farquharson, C. G., see Lam, K., T-MTT Apr. 2007 801-808 Feng, J., see Carter, R. G., T-MTT Dec. 2007 2531-2538 Ferrari, A., see Cheng, S., T-MTT Oct. 2007 2257-2261 Fhager, A., and Persson, M., Using a priori Data to Improve the Reconstruction of Small Objects in Microwave Tomography; T-MTT Nov. 2007 2454-2462 Filicori, F., see Raffo, A., T-MTT Oct. 2007 1997-2005 Filipovic, D. S., see Lukic, M. V., T-MTT Mar. 2007 518-525 Filipovic, D. S., see Vanhille, K. J., T-MTT Jun. 2007 1272-1279 Filipovic, D. S., see Saito, Y., T-MTT Dec. 2007 2521-2530 + Check author entry for coauthors

Fioravanti, P., Spulber, O., and De Souza, M. M., Analytic Large-Signal Modeling of Silicon RF Power MOSFETs; T-MTT May 2007 829-837 Floriot, D., see Jardel, O., T-MTT Dec. 2007 2660-2669 Fontaine, D. L., see Vanhille, K. J., T-MTT Jun. 2007 1272-1279 Forehand, D. I., see Peng, Z., T-MTT Dec. 2007 2911-2918 Freese, J., see Damm, C., T-MTT Jun. 2007 1348-1354 Frensley, W. R., see Asahara, M., T-MTT Apr. 2007 709-714 Fu, J.-S., see Lin, C.-S., T-MTT Jun. 2007 1190-1199 Fu, J.-S., Zhu, X. A., Phillips, J. D., and Mortazawi, A., Improving Linearity of Ferroelectric-Based Microwave Tunable Circuits; T-MTT Feb. 2007 354-360 Fumeaux, C., Sankaran, K., and Vahldieck, R., Spherical Perfectly Matched Absorber for Finite-Volume 3-D Domain Truncation; T-MTT Dec. 2007 2773-2781 Fung, A., see Radisic, V., T-MTT Nov. 2007 2329-2335 Fung, A., see Deal, W. R., T-MTT Dec. 2007 2719-2726

G Gaier, T., see Radisic, V., T-MTT Nov. 2007 2329-2335 Gaier, T., see Deal, W. R., T-MTT Dec. 2007 2719-2726 Gajadharsing, J. R., see Neo, W. C. E., T-MTT May 2007 866-879 Gao, B., see Guo, X., T-MTT Dec. 2007 2555-2561 Gao, L., see Guo, X., T-MTT Dec. 2007 2555-2561 Garcia, J. A., see Pedro, J. C., T-MTT Dec. 2007 2757-2765 Garcia, J. L., see Barquinero, C., T-MTT Oct. 2007 2024-2033 Garcia, J. P., see Quesada Pereira, F. D., T-MTT Apr. 2007 715-721 Garcia-Alonso, A., see Cendoya, I., T-MTT May 2007 1046-1053 Garcia-Garcia, J., see Gil, M., T-MTT Jun. 2007 1296-1304 Garg, V. K., see Bera, S. C., T-MTT Feb. 2007 215-221 Gatard, E., see Mazeau, J., T-MTT Nov. 2007 2290-2297 Gebara, E., see Chandramouli, S., T-MTT Dec. 2007 2740-2746 Gebara, E., see Kim, H., T-MTT Dec. 2007 2942-2950 Gedney, S. D., see Tang, W.-H., T-MTT Aug. 2007 1723-1729 George, K., Chen, C.-I. H., and Tsui, J. B. Y., Extension of Two-Signal Spurious-Free Dynamic Range of Wideband Digital Receivers Using Kaiser Window and Compensation Method; T-MTT Apr. 2007 788-794 Gerding, M., see Pohl, N., T-MTT Jun. 2007 1374-1381 Gevorgian, S., see Norling, M., T-MTT Feb. 2007 361-369 Ghannouchi, F. M., see Helaoui, M., T-MTT Feb. 2007 248-253 Ghannouchi, F. M., see Hammi, O., T-MTT Jun. 2007 1382-1389 Ghannouchi, F. M., see Negra, R., T-MTT Jun. 2007 1390-1397 Ghazel, A., see Helaoui, M., T-MTT Feb. 2007 248-253 Ghione, G., see CaMar.ia, V., T-MTT Sep. 2007 1824-1831 Gibson, A. A. P., see Tong, W., T-MTT Aug. 2007 1794-1800 Giere, A., see Scheele, P., T-MTT Feb. 2007 383-390 Gil, M., Bonache, J., Garcia-Garcia, J., Martel, J., and Martin, F., Composite Right/Left-Handed Metamaterial Transmission Lines Based on Complementary Split-Rings Resonators and Their Applications to Very Wideband and Compact Filter Design; T-MTT Jun. 2007 1296-1304 Gimeno, B., see San Blas, A. A., T-MTT Jan. 2007 168-175 Gimeno, B., see Quesada Pereira, F. D., T-MTT Apr. 2007 715-721 Gimeno, B., see Vicente, C., T-MTT May 2007 1018-1028 Gindera, R., see Pergola, L., T-MTT Mar. 2007 579-587 Ginley, D. S., see Buslov, O. Y., T-MTT Dec. 2007 2951-2956 Glisson, A. W., see Zhang, Y., T-MTT Jun. 2007 1332-1340 Goelden, F., see Scheele, P., T-MTT Feb. 2007 383-390 Goldsmith, C. L., see Peng, Z., T-MTT Dec. 2007 2911-2918 Golovkov, A. A., see Buslov, O. Y., T-MTT Dec. 2007 2951-2956 Gomes, N. J., see Nkansah, A., T-MTT Jun. 2007 1219-1228 Gomez Tornero, J. L., see Quesada Pereira, F. D., T-MTT Apr. 2007 715-721 Gomez-Tornero, J. L., see Martinez-Mendoza, M., T-MTT Dec. 2007 26702678 Gonzalez, H. E., see Bachiller, C., T-MTT Sep. 2007 1880-1886 Gonzalo, R., see Martinez, B., T-MTT Apr. 2007 672-681 Goren, D., see Pfeiffer, U. R., T-MTT May 2007 857-865 Goy, P., see Hunter, R. I., T-MTT May 2007 890-898 Gómez-Díaz, J. S., see Martinez-Mendoza, M., T-MTT Dec. 2007 2670-2678 Gribaldo, S., see Chambon, C., T-MTT Apr. 2007 795-800 Grischkowsky, D. R., see Zhao, Y., T-MTT Apr. 2007 656-663 Grivet-Talocia, S., and Ubolli, A., Passivity Enforcement With Relative Error Control; T-MTT Nov. 2007 2374-2383 Grosslein, R. M., see Erickson, N. R., T-MTT Dec. 2007 2495-2501

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Gu, L., and Li, X., High-Performance CMOS-Compatible Solenoidal Transformers With a Concave-Suspended Configuration; T-MTT Jun. 2007 12371245 Gu, X., Tsang, L., and Braunisch, H., Modeling Effects of Random Rough Interface on Power Absorption Between Dielectric and Conductive Medium in 3-D Problem; T-MTT Mar. 2007 511-517 Guerrieri, S. D., see CaMar.ia, V., T-MTT Sep. 2007 1824-1831 Gulden, P., see Vossiek, M., T-MTT Nov. 2007 2447-2453 Gunnarsson, S. E., Kuylenstierna, D., and Zirath, H., Analysis and Design of Millimeter-Wave FET-Based Image Reject Mixers; T-MTT Oct. 2007 2065-2074 Gunther, B., see Semenov, A. D., T-MTT Feb. 2007 239-247 Gunyan, D., see Blockley, P. S., T-MTT Oct. 2007 2231-2239 Guo, X., Wei, B., Zhang, X., Cao, B., Jin, S., Peng, H., Gao, L., and Gao, B., Design of a High-Power Superconducting Filter Using Resonators With Different Linewidths; T-MTT Dec. 2007 2555-2561 Guo, Y. J., see Li, Y., T-MTT Jun. 2007 1246-1254 Gutierrez, I., see Cendoya, I., T-MTT May 2007 1046-1053 Guvenc, I., Sahinoglu, Z., and Orlik, P. V., Authors’ Reply; T-MTT Mar. 2007 598-598

H

Hale, P. D., see Williams, D. F., T-MTT Sep. 2007 1951-1957 Hall, S., Pytel, S. G., Huray, P. G., Hua, D., Moonshiram, A., Brist, G. A., and Sijercic, E., Multigigahertz Causal Transmission Line Modeling Methodology Using a 3-D Hemispherical Surface Roughness Approach; T-MTT Dec. 2007 2614-2624 Halonen, K. A. I., see Stadius, K., T-MTT Aug. 2007 1633-1641 Hammi, O., Boumaiza, S., and Ghannouchi, F. M., On the Robustness of Digital Predistortion Function Synthesis and Average Power Tracking for Highly Nonlinear Power Amplifiers; T-MTT Jun. 2007 1382-1389 Han, J., see Park, C., T-MTT Oct. 2007 2034-2042 Hart, F. P., and Steer, M. B., Modeling the Nonlinear Response of Multitones With Uncorrelated Phase; T-MTT Oct. 2007 2147-2156 Hartnagel, H. L., see Vicente, C., T-MTT May 2007 1018-1028 Hata, I., see Wake, K., T-MTT Mar. 2007 588-596 Hausner, J., see Pohl, N., T-MTT Jun. 2007 1374-1381 Havens, R. J., see Tiemeijer, L. F., T-MTT Mar. 2007 561-570 Havens, R. J., see Tiemeijer, L. F., T-MTT Aug. 2007 1811-1811 Hay, S. G., see Li, Y., T-MTT Jun. 2007 1246-1254 Hayashi, R., see Tajima, K., T-MTT Dec. 2007 2832-2838 Hayden, L., see Rejaei, B., T-MTT Oct. 2007 2240-2247 He, A., see Li, F., T-MTT Apr. 2007 760-767 He, A., see Li, S., T-MTT Apr. 2007 754-759 He, Y., see Li, F., T-MTT Apr. 2007 760-767 He, Y., see Li, S., T-MTT Apr. 2007 754-759 Heinrich, W., see Rudolph, M., T-MTT Jan. 2007 37-43 Helaoui, M., Boumaiza, S., Ghannouchi, F. M., Kouki, A. B., and Ghazel, A., A New Mode-Multiplexing LINC Architecture to Boost the Efficiency of WiMAX Up-Link Transmitters; T-MTT Feb. 2007 248-253 Herrera, A., see Barquinero, C., T-MTT Oct. 2007 2024-2033 Heshmati, Z., Hunter, I. C., and Pollard, R. D., Microwave Parametric Frequency Dividers With Conversion Gain; T-MTT Oct. 2007 2059-2064 Hesler, J. L., see Xu, H., T-MTT Apr. 2007 648-655 Heuermann, H., see Erkens, H., T-MTT Aug. 2007 1704-1708 Hida, H., see Yamanouchi, S., T-MTT Mar. 2007 493-503 Hirayama, T., see Yamanouchi, S., T-MTT Mar. 2007 493-503 Hirche, K., see Rudolph, M., T-MTT Jan. 2007 37-43 Ho, C.-L., see Yang, T.-R., T-MTT Jul. 2007 1417-1424 Ho, J., see Li, L., T-MTT Nov. 2007 2427-2433 Hong, J.-S., see Shaman, H., T-MTT Aug. 2007 1780-1785 Hong, J.-S., see Shaman, H., T-MTT Dec. 2007 2562-2568 Hong, J.-S., Shaman, H., and Chun, Y.-H., Dual-Mode Microstrip Open-Loop Resonators and Filters; T-MTT Aug. 2007 1764-1770 Hong, S., see Park, C., T-MTT Feb. 2007 230-238 Hong, S., see Woo, Y. Y., T-MTT May 2007 932-940 Hong, S., see Lee, H. D., T-MTT Jun. 2007 1363-1373 Hong, S., see Kim, C.-Y., T-MTT Jul. 2007 1438-1444 Hong, S., see Kang, D.-W., T-MTT Jul. 2007 1476-1483 Hong, S., see Park, C., T-MTT Oct. 2007 2034-2042 + Check author entry for coauthors

5

Hong, S., Woo, Y. Y., Kim, J., Cha, J., Kim, I., Moon, J., Yi, J., and Kim, B., Weighted Polynomial Digital Predistortion for Low Memory Effect Doherty Power Amplifier; T-MTT May 2007 925-931 Hong, W., see Tang, H. J., T-MTT Apr. 2007 776-782 Hong, W., see Xu, F., T-MTT Apr. 2007 697-702 Hong, W., see Liu, B., T-MTT Dec. 2007 2586-2592 Hong, W., see Xu, F., T-MTT Dec. 2007 2502-2511 Hong, W., see Luo, G. Q., T-MTT Dec. 2007 2481-2487 Hongo, H., see Wake, K., T-MTT Mar. 2007 588-596 Honjo, K., see Mizutani, H., T-MTT Apr. 2007 664-671 Horst, S., Bairavasubramanian, R., Tentzeris, M. M., and Papapolymerou, J., Modified Wilkinson Power Dividers for Millimeter-Wave Integrated Circuits; T-MTT Nov. 2007 2439-2446 Houzet, G., see Velu, G., T-MTT Feb. 2007 438-444 Hsieh, H.-H., see Wu, C.-R., T-MTT Apr. 2007 625-632 Hsieh, H.-H., Chen, Y.-H., and Lu, L.-H., A Millimeter-Wave CMOS LC-Tank VCO With an Admittance-Transforming Technique; T-MTT Sep. 2007 1854-1861 Hsieh, H.-H., Hsu, Y.-C., and Lu, L.-H., A 15/30-GHz Dual-Band Multiphase Voltage-Controlled Oscillator in 0.18-m CMOS; T-MTT Mar. 2007 474-483 Hsieh, H.-H., Liao, Y.-T., and Lu, L.-H., A Compact Quadrature Hybrid MMIC Using CMOS Active Inductors; T-MTT Jun. 2007 1098-1104 Hsieh, H.-H., and Lu, L.-H., A High-Performance CMOS Voltage-Controlled Oscillator for Ultra-Low-Voltage Operations; T-MTT Mar. 2007 467-473 Hsieh, H.-H., and Lu, L.-H., Design of Ultra-Low-Voltage RF Frontends With Complementary Current-Reused Architectures; T-MTT Jul. 2007 1445-1458 Hsu, C.-L., see Kuo, J.-T., T-MTT Aug. 2007 1747-1755 Hsu, Y.-C., see Hsieh, H.-H., T-MTT Mar. 2007 474-483 Hu, R., see Yang, T.-R., T-MTT Jul. 2007 1417-1424 Hu, W., Zhang, D., Lancaster, M. J., Button, T. W., and Su, B., Investigation of Ferroelectric Thick-Film Varactors for Microwave Phase Shifters; T-MTT Feb. 2007 418-424 Hu, Z., see Tong, W., T-MTT Aug. 2007 1794-1800 Hua, D., see Hall, S., T-MTT Dec. 2007 2614-2624 Huang, G.-W., see Wu, T.-H., T-MTT May 2007 880-889 Huang, J., see Li, S., T-MTT Apr. 2007 754-759 Huang, T.-W., see Tsai, J.-H., T-MTT Oct. 2007 2075-2085 Huang, T.-Y., see Shen, T.-M., T-MTT Aug. 2007 1771-1779 Hubers, H.-W., see Semenov, A. D., T-MTT Feb. 2007 239-247 Hubert, O., see Tiemeijer, L. F., T-MTT Mar. 2007 561-570 Hubert, O., see Tiemeijer, L. F., T-MTT Aug. 2007 1811-1811 Huggard, P. G., see Martinez, B., T-MTT Apr. 2007 672-681 Hung, T.-J., see Chen, Y.-M., T-MTT Oct. 2007 2191-2199 Hung, T.-P., Rode, J., Larson, L. E., and Asbeck, P. M., Design of H-Bridge Class-D Power Amplifiers for Digital Pulse Modulation Transmitters; T-MTT Dec. 2007 2845-2855 Hunt, A. T., see Lugo, C., T-MTT Feb. 2007 376-382 Hunt, A. T., see Zhao, Z., T-MTT Feb. 2007 430-437 Hunter, I. C., see Heshmati, Z., T-MTT Oct. 2007 2059-2064 Hunter, R. I., Robertson, D. A., Goy, P., and Smith, G. M., Design of High-Performance Millimeter Wave and Sub-Millimeter Wave Quasi-Optical Isolators and Circulators; T-MTT May 2007 890-898 Hur, Y., see Kim, H., T-MTT Dec. 2007 2942-2950 Huray, P. G., see Hall, S., T-MTT Dec. 2007 2614-2624 Hussain, A., see Martinez, B., T-MTT Apr. 2007 672-681 Huttunen, A., and Kaunisto, R., A 20-W Chireix Outphasing Transmitter for WCDMA Base Stations; T-MTT Dec. 2007 2709-2718 Hwang, J. C. M., see Peng, Z., T-MTT Dec. 2007 2911-2918 Hwang, Y.-J., Rao, R., Christensen, R., Chen, M.-T., and Chu, T.-H., Submillimeter-Wave Phasor Beam-Pattern Measurement Based on Two-Stage Heterodyne Mixing With Unitary Harmonic Difference; T-MTT Jun. 2007 1200-1208 Hwangbo, H., see Jung, S.-C., T-MTT Jan. 2007 52-59

I

Ida, M., see Kim, J.-Y., T-MTT Dec. 2007 2734-2739 Iizuka, H., Sakakibara, K., and Kikuma, N., Millimeter-Wave Transition From Waveguide to Two Microstrip Lines Using Rectangular Patch Element; T-MTT May 2007 899-905

6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Ikonen, P. M. T., and Tretyakov, S. A., Determination of Generalized Permeability Function and Field Energy Density in Artificial Magnetics Using the Equivalent-Circuit Method; T-MTT Jan. 2007 92-99 Il'in, K. S., see Semenov, A. D., T-MTT Feb. 2007 239-247 Iskander, M. F., see Kim, W., T-MTT Feb. 2007 402-409 Isota, Y., see Tajima, K., T-MTT Dec. 2007 2832-2838 Issaoun, A., Xiong, Y. Z., Shi, J., Brinkhoff, J., and Lin, F., On the Deembedding Issue of CMOS Multigigahertz Measurements; T-MTT Sep. 2007 1813-1823 Itoh, T., see Ueda, T., T-MTT Jun. 2007 1280-1287 Iwata, N., see Mizutani, H., T-MTT Apr. 2007 664-671 Izutsu, M., see Kiuchi, H., T-MTT Sep. 2007 1964-1972 J Jaakkola, K., see Kaajakari, V., T-MTT Aug. 2007 1666-1672 Jackson, D. R., see Baccarelli, P., T-MTT Jul. 2007 1484-1495 Jackson, D. R., see Bernal, J., T-MTT Jul. 2007 1511-1519 Jackson, T. J., see Suherman, P. M., T-MTT Feb. 2007 397-401 Jacob, A. F., see Baras, T., T-MTT Dec. 2007 2766-2772 Jacobsson, H., see Norling, M., T-MTT Feb. 2007 361-369 Jacquet, J.-C., see Jardel, O., T-MTT Dec. 2007 2660-2669 Jager, D., see Pergola, L., T-MTT Mar. 2007 579-587 Jakoby, R., see Scheele, P., T-MTT Feb. 2007 383-390 Jakoby, R., see Damm, C., T-MTT Jun. 2007 1348-1354 Jardel, O., De Groote, F., Reveyrand, T., Jacquet, J.-C., Charbonniaud, C., Teyssier, J.-P., Floriot, D., and Quere, R., An Electrothermal Model for AlGaN/GaN Power HEMTs Including Trapping Effects to Improve LargeSignal Simulation Results on High VSWR; T-MTT Dec. 2007 2660-2669 Jecko, B., see Troubat, M., T-MTT Jun. 2007 1182-1189 Jensen, T., Zhurbenko, V., Krozer, V., and Meincke, P., Coupled Transmission Lines as Impedance Transformer; T-MTT Dec. 2007 2957-2965 Jeon, J., and Kuhn, W. B., A Fully Integrated UHF CMOS Power Amplifier for Spacecraft Applications; T-MTT Oct. 2007 2006-2014 Jeon, S., see Cho, N., T-MTT May 2007 1080-1086 Jeon, Y.-S., Cha, J., and Nam, S., High-Efficiency Power Amplifier Using Novel Dynamic Bias Switching; T-MTT Apr. 2007 690-696 Jeong, J., see Ahn, G., T-MTT Jun. 2007 1105-1111 Jeong, J., see Park, H., T-MTT Nov. 2007 2313-2319 Ji, S. H., Cho, C. S., Lee, J. W., and Kim, J., Concurrent Dual-Band Class-E Power Amplifier Using Composite Right/Left-Handed Transmission Lines; T-MTT Jun. 2007 1341-1347 Jia, C., see Zhang, M.-S., T-MTT May 2007 1054-1062 Jia, C., see Zhang, M.-S., T-MTT Oct. 2007 2200-2207 Jiang, K., see Lancaster, M. J., T-MTT Jul. 2007 1548-1553 Jiang, Y.-S., see Tsai, Z.-M., T-MTT Aug. 2007 1601-1610 Jin, S., see Guo, X., T-MTT Dec. 2007 2555-2561 Jin, Y., and Nguyen, C., Ultra-Compact High-Linearity High-Power Fully Integrated DC–20-GHz 0.18-m CMOS T/R Switch; T-MTT Jan. 2007 30-36 Joehl, N., see Moncunill-Geniz, F. X., T-MTT Jun. 2007 1355-1362 Johnson, M., see Cheng, S., T-MTT Oct. 2007 2257-2261 Joseph, P. J., see Spencer, T. J., T-MTT Sep. 2007 1919-1925 Judy, D., see Polcawich, R. G., T-MTT Dec. 2007 2642-2654 Jung, D. Y., Chang, W., Eun, K. C., and Park, C. S., 60-GHz System-onPackage Transmitter Integrating Sub-Harmonic Frequency Amplitude Shift-Keying Modulator; T-MTT Aug. 2007 1786-1793 Jung, K.-Y., see Chilton, R. A., T-MTT Dec. 2007 2631-2641 Jung, S., see Ahn, G., T-MTT Jun. 2007 1105-1111 Jung, S.-C., Park, H.-C., Kim, M.-S., Ahn, G., Van, J.-H., Hwangbo, H., Park, C.-S., Park, S.-K., and Yang, Y., A New Envelope Predistorter With Envelope Delay Taps for Memory Effect Compensation; T-MTT Jan. 2007 52-59 Jung, S., see Park, H., T-MTT Nov. 2007 2313-2319 K Kaajakari, V., Alastalo, A., Jaakkola, K., and Seppa, H., Variable Antenna Load for Transmitter Efficiency Improvement; T-MTT Aug. 2007 16661672 Kamimura, Y., see Wake, K., T-MTT Mar. 2007 588-596 Kamitsuna, H., see Kim, J.-Y., T-MTT Dec. 2007 2734-2739 Kang, D., see Choi, J., T-MTT Dec. 2007 2679-2690

+ Check author entry for coauthors

Kang, D.-W., and Hong, S., A 4-bit CMOS Phase Shifter Using Distributed Active Switches; T-MTT Jul. 2007 1476-1483 Kang, K., see Shi, J., T-MTT Jan. 2007 1-12 Kang, N.-K., see Yoo, C.-S., T-MTT May 2007 906-915 Kanno, A., see Sasagawa, K., T-MTT Dec. 2007 2782-2791 Kao, Y.-H., see Lin, J.-H., T-MTT Jan. 2007 60-65 Karamarkovic, J. P., see Semenov, A. D., T-MTT Feb. 2007 239-247 Karbassi, A., see Kim, H., T-MTT Mar. 2007 571-578 Karim, M. F., Liu, A. Q., Alphones, A., and Yu, A., A Reconfigurable Micromachined Switching Filter Using Periodic Structures; T-MTT Jun. 2007 1154-1162 Katehi, L. P. B., see Choi, T., T-MTT Nov. 2007 2298-2305 Katehi, L. P. B., see Wang, X., T-MTT Dec. 2007 2599-2613 Kaukovuori, J., see Stadius, K., T-MTT Aug. 2007 1633-1641 Kaunisto, R., see Huttunen, A., T-MTT Dec. 2007 2709-2718 Kawanishi, T., see Kiuchi, H., T-MTT Sep. 2007 1964-1972 Kawanishi, T., see Sasagawa, K., T-MTT Dec. 2007 2782-2791 Kaydanova, T., see Buslov, O. Y., T-MTT Dec. 2007 2951-2956 Ke, M., see Lancaster, M. J., T-MTT Jul. 2007 1548-1553 Keerti, A., and Pham, A.-V. H., RF Characterization of SiGe HBT Power Amplifiers Under Load Mismatch; T-MTT Feb. 2007 207-214 Keis, V. N., see Buslov, O. Y., T-MTT Dec. 2007 2951-2956 Kendall, R., see Dyadyuk, V., T-MTT Dec. 2007 2813-2821 Kerherve, E., see El-Gharniti, O., T-MTT Apr. 2007 607-615 Kettle, D., and Roddis, N., A Ten-Beam Ka-Band Radiometric Receiver Using Custom Designed InP MMICs at Cryogenic Temperatures; T-MTT Dec. 2007 2700-2708 Khan, U. A., Al-Moayed, N., Nguyen, N., Korolev, K. A., Afsar, M. N., and Naber, S. P., Broadband Dielectric Characterization of Tumorous and Nontumorous Breast Tissues; T-MTT Dec. 2007 2887-2893 Khazaka, R., see Tannir, D., T-MTT Oct. 2007 2135-2146 Kiaei, S., see Kitchen, J. N., T-MTT May 2007 845-856 Kikuma, N., see Iizuka, H., T-MTT May 2007 899-905 Kim, B., see Nam, J., T-MTT Apr. 2007 633-642 Kim, B., see Woo, Y. Y., T-MTT May 2007 932-940 Kim, B., see Hong, S., T-MTT May 2007 925-931 Kim, B., see Choi, J., T-MTT Dec. 2007 2679-2690 Kim, C.-Y., Kim, J.-G., and Hong, S., A Quadrature Radar Topology With Tx Leakage Canceller for 24-GHz Radar Applications; T-MTT Jul. 2007 14381444 Kim, D., see Yoo, C.-S., T-MTT May 2007 906-915 Kim, D., see Choi, J., T-MTT Dec. 2007 2679-2690 Kim, H., see Park, C., T-MTT Feb. 2007 230-238 Kim, H., see Chandramouli, S., T-MTT Dec. 2007 2740-2746 Kim, H., Kozyrev, A. B., Karbassi, A., and van der Weide, D. W., Compact Left-Handed Transmission Line as a Linear Phase–Voltage Modulator and Efficient Harmonic Generator; T-MTT Mar. 2007 571-578 Kim, H., and Yoon, Y. J., Wideband Design of the Fully Integrated Transmitter Front-End With High Power-Added Efficiency; T-MTT May 2007 916-924 Kim, H., de Ginestous, J., Bien, F., Lee, K.-H., Chandramouli, S., Hur, Y., Scholz, C., Gebara, E., and Laskar, J., An Electronic Dispersion Compensator (EDC) With an Analog Eye-Opening Monitor (EOM) for 1.25-Gb/s Gigabit Passive Optical Network (GPON) Upstream Links; T-MTT Dec. 2007 2942-2950 Kim, I., see Hong, S., T-MTT May 2007 925-931 Kim, I., see Woo, Y. Y., T-MTT May 2007 932-940 Kim, J., see Hong, S., T-MTT May 2007 925-931 Kim, J. Y., see Ahn, G., T-MTT Jun. 2007 1105-1111 Kim, J.-G., see Kim, C.-Y., T-MTT Jul. 2007 1438-1444 Kim, J.-Y., Choi, W.-Y., Kamitsuna, H., Ida, M., and Kurishima, K., Integrated Heterojunction Bipolar Transistor Optically Injection-Locked Self-Oscillating Opto-Electronic Mixers for Bi-Directional Fiber-Fed Wireless Applications; T-MTT Dec. 2007 2734-2739 Kim, J., see Woo, Y. Y., T-MTT May 2007 932-940 Kim, J., see Ji, S. H., T-MTT Jun. 2007 1341-1347 Kim, J., see Uhm, M., T-MTT Oct. 2007 2157-2167 Kim, J., see Choi, J., T-MTT Dec. 2007 2679-2690 Kim, M., see Ahn, G., T-MTT Jun. 2007 1105-1111 Kim, M.-S., see Jung, S.-C., T-MTT Jan. 2007 52-59 Kim, M., see Park, H., T-MTT Nov. 2007 2313-2319 Kim, T. H., see Spencer, T. J., T-MTT Sep. 2007 1919-1925 Kim, W., Iskander, M. F., and Krowne, C. M., Modified Green’s Function and Spectral-Domain Approach for Analyzing Anisotropic and Multidielectric

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Layer Coplanar Waveguide Ferroelectric Phase Shifters; T-MTT Feb. 2007 402-409 Kim, Y., see Park, C., T-MTT Feb. 2007 230-238 Kirihara, S., see Semouchkina, E., T-MTT Jun. 2007 1305-1313 Kishk, A. A., see Zhang, Y., T-MTT Jun. 2007 1332-1340 Kishk, A. A., see Eshrah, I. A., T-MTT Jun. 2007 1118-1123 Kishk, Ahmed A., see Eshrah, I. A., T-MTT Jun. 2007 1124-1131 Kitchen, J. N., Deligoz, I., Kiaei, S., and Bakkaloglu, B., Polar SiGe Class E and F Amplifiers Using Switch-Mode Supply Modulation; T-MTT May 2007 845-856 Kiuchi, H., Kawanishi, T., Yamada, M., Sakamoto, T., Tsuchiya, M., Amagai, J., and Izutsu, M., High Extinction Ratio Mach–Zehnder Modulator Applied to a Highly Stable Optical Signal Generator; T-MTT Sep. 2007 1964-1972 Kiuru, T., Mottonen, V. S., and Raisanen, A. V., W -Band Waveguide Impedance Tuner Utilizing Dielectric-Based Backshorts; T-MTT Aug. 2007 1659-1665 Kohl, P. A., see Spencer, T. J., T-MTT Sep. 2007 1919-1925 Kojima, M., see Wake, K., T-MTT Mar. 2007 588-596 Kollberg, E. L., see Cherednichenko, S., T-MTT Mar. 2007 504-510 Kooi, J. W., Kovacs, A., Sumner, M. C., Chattopadhyay, G., Ceria, R., Miller, D., Bumble, B., LeDuc, H. G., Stern, J. A., and Phillips, T. G., A 275–425-GHz Tunerless Waveguide Receiver Based on AlN-Barrier SIS Technology; T-MTT Oct. 2007 2086-2096 Korolev, K. A., see Khan, U. A., T-MTT Dec. 2007 2887-2893 Kouki, A. B., see Helaoui, M., T-MTT Feb. 2007 248-253 Kovacs, A., see Kooi, J. W., T-MTT Oct. 2007 2086-2096 Koziel, S., and Bandler, J. W., Space-Mapping Optimization With Adaptive Surrogate Model; T-MTT Mar. 2007 541-547 Koziel, S., and Bandler, J. W., Interpolated Coarse Models for Microwave Design Optimization With Space Mapping; T-MTT Aug. 2007 1739-1746 Koziel, S., and Bandler, J. W., A Space-Mapping Approach to Microwave Device Modeling Exploiting Fuzzy Systems; T-MTT Dec. 2007 2539-2547 Kozyrev, A. B., see Kim, H., T-MTT Mar. 2007 571-578 Kozyrev, A. B., see Buslov, O. Y., T-MTT Dec. 2007 2951-2956 Krasilnikov, S. V., see Buslov, O. Y., T-MTT Dec. 2007 2951-2956 Krowne, C. M., see Kim, W., T-MTT Feb. 2007 402-409 Krozer, V., see Jensen, T., T-MTT Dec. 2007 2957-2965 Kuhn, W. B., see Jeon, J., T-MTT Oct. 2007 2006-2014 Kumar, L., see Christie, V. L., T-MTT Jun. 2007 1112-1117 Kunihiro, K., see Yamanouchi, S., T-MTT Mar. 2007 493-503 Kuo, C.-C., see Chao, S.-F., T-MTT Dec. 2007 2691-2699 Kuo, C.-W., see Wang, C.-C., T-MTT May 2007 958-965 Kuo, J.-T., Hsu, C.-L., and Shih, E., Compact Planar Quasi-Elliptic Function Filter With Inline Stepped-Impedance Resonators; T-MTT Aug. 2007 17471755 Kurishima, K., see Kim, J.-Y., T-MTT Dec. 2007 2734-2739 Kuylenstierna, D., see Gunnarsson, S. E., T-MTT Oct. 2007 2065-2074 Kwon, K., see Nam, I., T-MTT Apr. 2007 682-689 Kwon, S., see Ahn, G., T-MTT Jun. 2007 1105-1111 Kwon, S., see Park, H., T-MTT Nov. 2007 2313-2319 Kyriacou, G. A., see Allilomes, P. C., T-MTT Jul. 2007 1496-1510 Kyriazidou, C. A., see Alexopoulos, N. G., T-MTT Feb. 2007 254-267 L Lacoste, A., see Pollak, J., T-MTT May 2007 951-957 Lacroix, B., Pothier, A., Crunteanu, A., Cibert, C., Dumas-Bouchiat, F., Champeaux, C., Catherinot, A., and Blondy, P., Sub-Microsecond RF MEMS Switched Capacitors; T-MTT Jun. 2007 1314-1321 Lagarde, T., see Pollak, J., T-MTT May 2007 951-957 Lai, A., see Ueda, T., T-MTT Jun. 2007 1280-1287 Lai, C. C., see Lee, S., T-MTT Aug. 2007 1593-1600 Lai, Q. H., see Luo, G. Q., T-MTT Dec. 2007 2481-2487 Lai, R., see Radisic, V., T-MTT Nov. 2007 2329-2335 Lai, R., see Deal, W. R., T-MTT Dec. 2007 2719-2726 Lakshminarayanan, B., and Weller, T. M., Optimization and Implementation of Impedance-Matched True-Time-Delay Phase Shifters on Quartz Substrate; T-MTT Feb. 2007 335-342 Lam, K., Yedlin, M. J., and Farquharson, C. G., Two-Dimensional Radio Frequency Tomography; T-MTT Apr. 2007 801-808 Lamecki, A., and Mrozowski, M., Equivalent SPICE Circuits With Guaranteed Passivity From Nonpassive Models; T-MTT Mar. 2007 526-532 + Check author entry for coauthors

7

Lanagan, M., see Semouchkina, E., T-MTT Jun. 2007 1305-1313 Lancaster, M. J., see Corona-Chavez, A., T-MTT Jan. 2007 117-120 Lancaster, M. J., see Hu, W., T-MTT Feb. 2007 418-424 Lancaster, M. J., see Suherman, P. M., T-MTT Feb. 2007 397-401 Lancaster, M. J., see Zhou, J., T-MTT Oct. 2007 2208-2215 Lancaster, M. J., Zhou, J., Ke, M., Wang, Y., and Jiang, K., Design and High Performance of a Micromachined K -Band Rectangular Coaxial Cable; T-MTT Jul. 2007 1548-1553 Lange, M. D., see Deal, W. R., T-MTT Dec. 2007 2719-2726 Larson, L. E., see Hung, T.-P., T-MTT Dec. 2007 2845-2855 Laskar, J., see Lee, J.-H., T-MTT Sep. 2007 1869-1879 Laskar, J., see Chandramouli, S., T-MTT Dec. 2007 2740-2746 Laskar, J., see Kim, H., T-MTT Dec. 2007 2942-2950 Lau, K. M., see Cheng, Z. Q., T-MTT Jan. 2007 23-29 Lech, R., and Mazur, J., Analysis of Circular Cavity With Cylindrical Objects; T-MTT Oct. 2007 2115-2123 LeDuc, H. G., see Kooi, J. W., T-MTT Oct. 2007 2086-2096 Lee, D. H., see Park, C., T-MTT Oct. 2007 2034-2042 Lee, H. D., Lee, K. A., and Hong, S., A Wideband CMOS Variable Gain Amplifier With an Exponential Gain Control; T-MTT Jun. 2007 1363-1373 Lee, H.-M., and Tsai, C.-M., Dual-Band Filter Design With Flexible Passband Frequency and Bandwidth Selections; T-MTT May 2007 1002-1009 Lee, J., see Nam, I., T-MTT Apr. 2007 682-689 Lee, J. W., see Ji, S. H., T-MTT Jun. 2007 1341-1347 Lee, J.-H., and Liu, Q. H., A 3-D Spectral-Element Time-Domain Method for Electromagnetic Simulation; T-MTT May 2007 983-991 Lee, J.-H., Pinel, S., Laskar, J., and Tentzeris, M. M., Design and Development of Advanced Cavity-Based Dual-Mode Filters Using Low-Temperature Co-Fired Ceramic Technology for V -Band Gigabit Wireless Systems; T-MTT Sep. 2007 1869-1879 Lee, J.-K., see Yoo, C.-S., T-MTT May 2007 906-915 Lee, J., see Cho, N., T-MTT May 2007 1080-1086 Lee, J., see Tsai, Z.-M., T-MTT Aug. 2007 1601-1610 Lee, J., and Sarabandi, K., A Synthesis Method for Dual-Passband Microwave Filters; T-MTT Jun. 2007 1163-1170 Lee, K., see Nam, I., T-MTT Apr. 2007 682-689 Lee, K. A., see Lee, H. D., T-MTT Jun. 2007 1363-1373 Lee, K.-H., see Kim, H., T-MTT Dec. 2007 2942-2950 Lee, R., see Chilton, R. A., T-MTT Dec. 2007 2631-2641 Lee, S., and Lai, C. C., A 1-V Wideband Low-Power CMOS Active Differential Power Splitter for Wireless Communication; T-MTT Aug. 2007 1593-1600 Lee, W.-S., see Yoo, C.-S., T-MTT May 2007 906-915 Lee, Y., see Myoung, S.-S., T-MTT Jul. 2007 1531-1538 Lei, M.-F., Tsai, Z.-M., Lin, K.-Y., and Wang, H., Design and Analysis of Stacked Power Amplifier in Series-Input and Series-Output Configuration; T-MTT Dec. 2007 2802-2812 Leuzzi, G., and Stornelli, V., Global Modeling Analysis of HEMTs by the Spectral Balance Technique; T-MTT Jun. 2007 1405-1412 Li, C., see Li, F., T-MTT Apr. 2007 760-767 Li, C., see Li, S., T-MTT Apr. 2007 754-759 Li, D., see Radisic, V., T-MTT Nov. 2007 2329-2335 Li, F., see Li, S., T-MTT Apr. 2007 754-759 Li, F., Zhang, X., Li, C., Zhang, Q., Sun, L., Li, H., He, A., and He, Y., A New Coupling Matrix Extracting Method From the Frequency Response; T-MTT Apr. 2007 760-767 Li, H., see Li, F., T-MTT Apr. 2007 760-767 Li, H., see Li, S., T-MTT Apr. 2007 754-759 Li, L.-P., see Zhang, M.-S., T-MTT May 2007 1054-1062 Li, L.-P., see Zhang, M.-S., T-MTT Oct. 2007 2200-2207 Li, L.-W., see Shi, J., T-MTT Jan. 2007 1-12 Li, L., Xu, F., Wu, K., Delprat, S., Ho, J., and Chaker, M., Slow-Wave Line Coupler With Interdigital Capacitor Loading; T-MTT Nov. 2007 2427-2433 Li, Q., and Zhang, Y. P., A 1.5-V 2–9.6-GHz Inductorless Low-Noise Amplifier in 0.13-m CMOS; T-MTT Oct. 2007 2015-2023 Li, S., Huang, J., Meng, Q., Sun, L., Zhang, Q., Li, F., He, A., Zhang, X., Li, C., Li, H., and He, Y., A 12-Pole Narrowband Highly Selective High-Temperature Superconducting Filter for the Application in the Third-Generation Wireless Communications; T-MTT Apr. 2007 754-759 Li, X., see Gu, L., T-MTT Jun. 2007 1237-1245 Li, Y.-S., see Zhang, M.-S., T-MTT May 2007 1054-1062 Li, Y.-S., see Zhang, M.-S., T-MTT Oct. 2007 2200-2207 Li, Y., Archer, J. W., Rosolen, G., Hay, S. G., Timms, G. P., and Guo, Y. J., Fringe Management for a T-Shaped Millimeter-Wave Imaging System; T-MTT Jun. 2007 1246-1254

8

Li, Y., Pan, B., Lugo, C., Tentzeris, M., and Papapolymerou, J., Design and Characterization of a W -Band Micromachined Cavity Filter Including a Novel Integrated Transition From CPW Feeding Lines; T-MTT Dec. 2007 2902-2910 Li, Z., see Cheng, L., T-MTT Jan. 2007 176-184 Li, Z.-L., see Chen, X.-P., T-MTT Dec. 2007 2569-2578 Liao, C.-K., see Chen, C.-H., T-MTT Jan. 2007 128-136 Liao, C.-K., Chi, P.-L., and Chang, C.-Y., Microstrip Realization of Generalized Chebyshev Filters With Box-Like Coupling Schemes; T-MTT Jan. 2007 147-153 Liao, C.-N., see Tai, C.-M., T-MTT Dec. 2007 2579-2585 Liao, Y.-T., see Hsieh, H.-H., T-MTT Jun. 2007 1098-1104 Liberti, M., see Paffi, A., T-MTT Nov. 2007 2463-2471 Ligthart, L. P., see Yarovoy, A. G., T-MTT Jun. 2007 1288-1295 Lim, K., see Ahn, G., T-MTT Jun. 2007 1105-1111 Lim, K., see Park, H., T-MTT Nov. 2007 2313-2319 Lim, W. M., see Tong, A. F., T-MTT Sep. 2007 1844-1853 Lin, C.-S., Chang, S.-F., Chang, C.-C., and Shu, Y.-H., Design of a ReflectionType Phase Shifter With Wide Relative Phase Shift and Constant Insertion Loss; T-MTT Sep. 2007 1862-1868 Lin, C.-S., Wu, P.-S., Yeh, M.-C., Fu, J.-S., Chang, H.-Y., Lin, K.-Y., and Wang, H., Analysis of Multiconductor Coupled-Line Mar.and Baluns for Miniature MMIC Design; T-MTT Jun. 2007 1190-1199 Lin, F., see Issaoun, A., T-MTT Sep. 2007 1813-1823 Lin, J., see Chen, J., T-MTT Jul. 2007 1459-1466 Lin, J.-H., and Kao, Y.-H., A Low Phase-Noise Voltage-Controlled SAW Oscillator With Surface Transverse Wave Resonator for SONET Application; T-MTT Jan. 2007 60-65 Lin, K.-Y., see Lin, C.-S., T-MTT Jun. 2007 1190-1199 Lin, K.-Y., see Tsai, Z.-M., T-MTT Aug. 2007 1601-1610 Lin, K.-Y., see Chao, S.-F., T-MTT Dec. 2007 2691-2699 Lin, K.-Y., see Lei, M.-F., T-MTT Dec. 2007 2802-2812 Lin, S.-C., Wang, C.-H., and Chen, C. H. C., Novel Patch-Via-Spiral Resonators for the Development of Miniaturized Bandpass Filters With Transmission Zeros; T-MTT Jan. 2007 137-146 Lin, X. Q., Ma, H. F., Bao, D., and Cui, T. J., Design and Analysis of SuperWide Bandpass Filters Using a Novel Compact Meta-Structure; T-MTT Apr. 2007 747-753 Lin, Y.-S., see Lin, Y.-T., T-MTT Sep. 2007 1832-1843 Lin, Y.-T., Chen, H.-C., Wang, T., Lin, Y.-S., and Lu, S.-S., 3–10-GHz Ultra-Wideband Low-Noise Amplifier Utilizing Miller Effect and Inductive Shunt–Shunt Feedback Technique; T-MTT Sep. 2007 1832-1843 Lindberg, P., see Ojefors, E., T-MTT Jul. 2007 1467-1475 Lippens, D., see Velu, G., T-MTT Feb. 2007 438-444 Liu, A. Q., see Karim, M. F., T-MTT Jun. 2007 1154-1162 Liu, B., Hong, W., Zhang, Y., Tang, H. J., Yin, X., and Wu, K., Half Mode Substrate Integrated Waveguide 180 3-dB Directional Couplers; T-MTT Dec. 2007 2586-2592 Liu, J., see Cheng, Z. Q., T-MTT Jan. 2007 23-29 Liu, P.-H., see Deal, W. R., T-MTT Dec. 2007 2719-2726 Liu, Q. H., see Lee, J.-H., T-MTT May 2007 983-991 Llopis, O., see Chambon, C., T-MTT Apr. 2007 795-800 Long, J. R., see Danesh, M., T-MTT Apr. 2007 809-810 Lu, C., Pham, A.-V. H., Shaw, M., and Saint, C., Linearization of CMOS Broadband Power Amplifiers Through Combined Multigated Transistors and Capacitance Compensation; T-MTT Nov. 2007 2320-2328 Lu, L.-H., see Peng, Y.-H., T-MTT Jan. 2007 44-51 Lu, L.-H., see Hsieh, H.-H., T-MTT Mar. 2007 474-483 Lu, L.-H., see Hsieh, H.-H., T-MTT Mar. 2007 467-473 Lu, L.-H., see Wu, C.-R., T-MTT Apr. 2007 625-632 Lu, L.-H., see Hsieh, H.-H., T-MTT Jun. 2007 1098-1104 Lu, L.-H., see Hsieh, H.-H., T-MTT Jul. 2007 1445-1458 Lu, L.-H., see Chien, J.-C., T-MTT Aug. 2007 1624-1632 Lu, L.-H., see Hsieh, H.-H., T-MTT Sep. 2007 1854-1861 Lu, S.-S., see Lin, Y.-T., T-MTT Sep. 2007 1832-1843 Lu, S.-W., see Zhang, Y., T-MTT May 2007 966-973 Lubecke, V. M., see Park, B.-K., T-MTT May 2007 1073-1079 Lugo, C., see Zhao, Z., T-MTT Feb. 2007 430-437 Lugo, C., see Li, Y., T-MTT Dec. 2007 2902-2910 Lugo, C., and Papapolymerou, J., Planar Realization of a Triple-Mode Bandpass Filter Using a Multilayer Configuration; T-MTT Feb. 2007 296-301 Lugo, C., Wang, G., Papapolymerou, J., Zhao, Z., Wang, X., and Hunt, A. T., Frequency and Bandwidth Agile Millimeter-Wave Filter Using Ferroelectric Capacitors and MEMS Cantilevers; T-MTT Feb. 2007 376-382 + Check author entry for coauthors

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Lukic, M. V., and Filipovic, D. S., Modeling of 3-D Surface Roughness Effects With Application to -Coaxial Lines; T-MTT Mar. 2007 518-525 Luo, G. Q., see Tang, H. J., T-MTT Apr. 2007 776-782 Luo, G. Q., Hong, W., Lai, Q. H., Wu, K., and Sun, L. L., Design and Experimental Verification of Compact Frequency-Selective Surface With QuasiElliptic Bandpass Response; T-MTT Dec. 2007 2481-2487 Lys, P. E., see Yarovoy, A. G., T-MTT Jun. 2007 1288-1295 M Ma, H. F., see Lin, X. Q., T-MTT Apr. 2007 747-753 Ma, T. G., see Wang, C. W., T-MTT Dec. 2007 2792-2801 Macchiarella, G., see Traina, D., T-MTT May 2007 974-982 Madero-Ayora, M. J., see Crespo-Cadenas, C., T-MTT Mar. 2007 449-457 Mancuso, Y., see Mazeau, J., T-MTT Nov. 2007 2290-2297 Mandal, M. K., and Sanyal, S., Reduced-Length Rat-Race Couplers; T-MTT Dec. 2007 2593-2598 Manes, G., see Cidronali, A., T-MTT Nov. 2007 2277-2289 Mani, H., see Weinreb, S., T-MTT Nov. 2007 2306-2312 Mansour, R. R., see Yan, W. D., T-MTT Jan. 2007 154-160 Mansour, R. R., see Daneshmand, M., T-MTT Jun. 2007 1229-1236 Mansour, R. R., see Zhang, R., T-MTT Oct. 2007 2168-2175 Mao, J.-F., see Shi, J., T-MTT Jan. 2007 1-12 Mao, R.-J., Tang, X.-H., and Xiao, F., Miniaturized Dual-Mode Ring Bandpass Filters With Patterned Ground Plane; T-MTT Jul. 2007 1539-1547 Marceaux, A., see Chtioui, M., T-MTT Feb. 2007 318-326 Mar.and, L., see Martinez, B., T-MTT Apr. 2007 672-681 Marquez-Segura, E., see Page, J. E., T-MTT Aug. 2007 1585-1592 Marsh, E. D., Reid, J. R., and Vasilyev, V. S., Gold-Plated Micromachined Millimeter-Wave Resonators Based on Rectangular Coaxial Transmission Lines; T-MTT Jan. 2007 78-84 Marteau, A., see Velu, G., T-MTT Feb. 2007 438-444 Martel, J., see del Castillo Velazquez-Ahumada, M., T-MTT Jan. 2007 121-127 Martel, J., see Gil, M., T-MTT Jun. 2007 1296-1304 Martin, F., see Gil, M., T-MTT Jun. 2007 1296-1304 Martinez Mendoza, M., see Perez Soler, F. J., T-MTT Nov. 2007 2393-2398 Martinez, B., Ederra, I., Gonzalo, R., Alderman, B., Azcona, L., Huggard, P. G., de Hon, B., Hussain, A., Andrews, S. R., Mar.and, L., and de Maagt, P., Manufacturing Tolerance Analysis, Fabrication, and Characterization of 3-D Submillimeter-Wave Electromagnetic-Bandgap Crystals; T-MTT Apr. 2007 672-681 Martinez-Mendoza, M., GoÓmez-DÍaz, J. S., Canete-Rebenaque, D., GomezTornero, J. L., and Alvarez-Melcon, A., Design of Bandpass Transversal Filters Employing a Novel Hybrid Structure; T-MTT Dec. 2007 2670-2678 Martins, J. P., Carvalho, N. B., and Pedro, J. C., Intermodulation Distortion of Third-Order Nonlinear Systems With Memory Under Multisine Excitations; T-MTT Jun. 2007 1264-1271 Mateu, J., Booth, J. C., Collado, C., and O'Callaghan, J. M., Intermodulation Distortion in Coupled-Resonator Filters With Nonuniformly Distributed Nonlinear Properties—Use in HTS IMD Compensation; T-MTT Apr. 2007 616-624 Mateu, J., Booth, J. C., and Moeckly, B. H., Wideband Nonlinear Response of High-Temperature Superconducting Thin Films From Transmission-Line Measurements; T-MTT Jul. 2007 1425-1430 Mateu, J., Booth, J. C., and Schima, S. A., Frequency Tuning and Spurious Signal Generation at Microwave Frequencies in Ferroelectric SrTiO ThinFilm Transmission Lines; T-MTT Feb. 2007 391-396 Mateu, J., Collado, C., and O'Callaghan, J. M., Modeling Superconducting Transmission Line Bends and Their Impact on Nonlinear Effects; T-MTT May 2007 822-828 Max, S., see Vossiek, M., T-MTT Nov. 2007 2447-2453 Mazeau, J., Sommet, R., Caban-Chastas, D., Gatard, E., Quere, R., and Mancuso, Y., Behavioral Thermal Modeling for Microwave Power Amplifier Design; T-MTT Nov. 2007 2290-2297 Mazur, J., see Lech, R., T-MTT Oct. 2007 2115-2123 McKinney, J. D., see Devgan, P. S., T-MTT Sep. 2007 1973-1977 McKinney, J. D., see Urick, V. J., T-MTT Sep. 2007 1978-1985 Mediano, A., Molina-Gaudo, P., and Bernal, C., Design of Class E Amplifier With Nonlinear and Linear Shunt Capacitances for Any Duty Cycle; T-MTT Mar. 2007 484-492 Medina, F., see del Castillo Velazquez-Ahumada, M., T-MTT Jan. 2007 121-127 Medina, F., see Boix, R. R., T-MTT Feb. 2007 268-280

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Mei, X. B., see Deal, W. R., T-MTT Dec. 2007 2719-2726 Meincke, P., see Jensen, T., T-MTT Dec. 2007 2957-2965 Meng, C.-C., see Wu, T.-H., T-MTT May 2007 880-889 Meng, Q., see Li, S., T-MTT Apr. 2007 754-759 Meng, W., see Wu, K.-L., T-MTT May 2007 1010-1017 Merkle, T., see Seelmann-Eggebert, M., T-MTT Feb. 2007 195-206 Mesa, F., see Boix, R. R., T-MTT Feb. 2007 268-280 Mesa, F., see Bernal, J., T-MTT Jul. 2007 1511-1519 Meyer, P., see de Villiers, D. I. L., T-MTT Feb. 2007 302-308 Meyer, P., see Sickel, T., T-MTT Feb. 2007 281-286 Miao, M., and Nguyen, C., A Novel Multilayer Aperture-Coupled Cavity Resonator for Millimeter-Wave CMOS RFICs; T-MTT Apr. 2007 783-787 Miller, D., see Kooi, J. W., T-MTT Oct. 2007 2086-2096 Minerva, V., Comments on “Differentially Driven Symmetric Microstrip Inductors”; T-MTT Apr. 2007 809-809 Mira, F., see San Blas, A. A., T-MTT Jan. 2007 168-175 Missous, M., see Tong, W., T-MTT Aug. 2007 1794-1800 Miyamoto, R. Y., see Shiroma, G. S., T-MTT May 2007 838-844 Miyamoto, Y., see Semouchkina, E., T-MTT Jun. 2007 1305-1313 Miyazaki, T., see Yamanouchi, S., T-MTT Mar. 2007 493-503 Mizutani, H., Iwata, N., Takayama, Y., and Honjo, K., Design Considerations for Traveling-Wave Single-Pole Multithrow MMIC Switch Using Fully Distributed FET; T-MTT Apr. 2007 664-671 Mo, T. T., Xue, Q., and Chan, C. H., A Broadband Compact Microstrip RatRace Hybrid Using a Novel CPW Inverter; T-MTT Jan. 2007 161-167 Moeckly, B. H., see Mateu, J., T-MTT Jul. 2007 1425-1430 Mohammadi, S., see Choi, T., T-MTT Nov. 2007 2298-2305 Moisan, M., see Pollak, J., T-MTT May 2007 951-957 Molina-Gaudo, P., see Mediano, A., T-MTT Mar. 2007 484-492 Moncunill-Geniz, F. X., Pala-Schonwalder, P., Dehollain, C., Joehl, N., and Declercq, M., An 11-Mb/s 2.1-mW Synchronous Superregenerative Receiver at 2.4 GHz; T-MTT Jun. 2007 1355-1362 Monediere, T., see Troubat, M., T-MTT Jun. 2007 1182-1189 Monzo-Cabrera, J., see Dominguez-Tortajada, E., T-MTT Jan. 2007 85-91 Moon, J., see Hong, S., T-MTT May 2007 925-931 Moon, J., see Woo, Y. Y., T-MTT May 2007 932-940 Moonshiram, A., see Hall, S., T-MTT Dec. 2007 2614-2624 Morini, A., see Farina, M., T-MTT Oct. 2007 2124-2134 Morini, A., Venanzoni, G., Farina, M., and Rozzi, T., Modified Adaptive Prototype Inclusive of the External Couplings for the Design of Coaxial Filters; T-MTT Sep. 2007 1905-1911 Morris, K. A., see Zhou, J., T-MTT Oct. 2007 2208-2215 Morro, J. V., see Bachiller, C., T-MTT Sep. 2007 1880-1886 Mortazawi, A., see Fu, J.-S., T-MTT Feb. 2007 354-360 Mortazawi, A., see Choi, J., T-MTT Aug. 2007 1642-1648 Mottonen, V. S., see Kiuru, T., T-MTT Aug. 2007 1659-1665 Movahhedi, M., Abdipour, A., Nentchev, A., Dehghan, M., and Selberherr, S., Alternating-Direction Implicit Formulation of the Finite-Element TimeDomain Method; T-MTT Jun. 2007 1322-1331 Mrozowski, M., see Lamecki, A., T-MTT Mar. 2007 526-532 Mrozowski, M., see Wiktor, M., T-MTT Oct. 2007 2103-2114 Mueller, J., see Baras, T., T-MTT Dec. 2007 2766-2772 Mukoyama, A., see Wake, K., T-MTT Feb. 2007 343-350 Musch, T., see Pohl, N., T-MTT Jun. 2007 1374-1381 Myoung, S.-S., Lee, Y., and Yook, J.-G., Bandwidth-Compensation Method for Miniaturized Parallel Coupled-Line Filters; T-MTT Jul. 2007 1531-1538

N

Naber, S. P., see Khan, U. A., T-MTT Dec. 2007 2887-2893 Nadarajah, S., Comments on “TOA Estimation for IR-UWB Systems With Different Transceiver Types”; T-MTT Mar. 2007 597-598 Nallatamby, J.-C., Prigent, M., Camiade, M., and Obregon, J., Authors’ Reply; T-MTT Jan. 2007 185-186 Nam, I., Choi, K., Lee, J., Cha, H.-K., Seo, B.-I, Kwon, K., and Lee, K., A 2.4-GHz Low-Power Low-IF Receiver and Direct-Conversion Transmitter in 0.18-m CMOS for IEEE 802.15.4 WPAN Applications; T-MTT Apr. 2007 682-689 Nam, J., and Kim, B., The Doherty Power Amplifier With On-Chip Dynamic Bias Control Circuit for Handset Application; T-MTT Apr. 2007 633-642 Nam, S., see Jeon, Y.-S., T-MTT Apr. 2007 690-696 Nam, S., see Uhm, M., T-MTT Oct. 2007 2157-2167 + Check author entry for coauthors

9

Nanzer, J. A., and Rogers, R. L., Human Presence Detection Using MillimeterWave Radiometry; T-MTT Dec. 2007 2727-2733 Negra, R., Ghannouchi, F. M., and Bachtold, W., Study and Design Optimization of Multiharmonic Transmission-Line Load Networks for Class-E and Class-F K -Band MMIC Power Amplifiers; T-MTT Jun. 2007 1390-1397 Nentchev, A., see Movahhedi, M., T-MTT Jun. 2007 1322-1331 Neo, W. C. E., Qureshi, J., Pelk, M. J., Gajadharsing, J. R., and de Vreede, L. C. N., A Mixed-Signal Approach Towards Linear and Efficient N -Way Doherty Amplifiers; T-MTT May 2007 866-879 Neve, M. J., see Zhu, Q. C., T-MTT Aug. 2007 1685-1688 Ng, P. F., see Tong, A. F., T-MTT Sep. 2007 1844-1853 Nguyen, C., see Jin, Y., T-MTT Jan. 2007 30-36 Nguyen, C., see Miao, M., T-MTT Apr. 2007 783-787 Nguyen, H. V., and Caloz, C., Generalized Coupled-Mode Approach of Metamaterial Coupled-Line Couplers: Coupling Theory, Phenomenological Explanation, and Experimental Demonstration; T-MTT May 2007 1029-1039 Nguyen, N., see Khan, U. A., T-MTT Dec. 2007 2887-2893 Nichols, C., see Vanhille, K. J., T-MTT Jun. 2007 1272-1279 Nirmalathas, A., see Cheng, L., T-MTT Jan. 2007 176-184 Nishikata, A., A Swept-Frequency Measurement of Complex Permittivity and Complex Permeability of a Columnar Specimen Inserted in a Rectangular Waveguide; T-MTT Jul. 2007 1554-1567 Nkansah, A., Das, A., Gomes, N. J., and Shen, P., Multilevel Modulated Signal Transmission Over Serial Single-Mode and Multimode Fiber Links Using Vertical-Cavity Surface-Emitting Lasers for Millimeter-Wave Wireless Communications; T-MTT Jun. 2007 1219-1228 Nordin, A. N., and Zaghloul, M. E., Modeling and Fabrication of CMOS Surface Acoustic Wave Resonators; T-MTT May 2007 992-1001 Norling, M., Vorobiev, A., Jacobsson, H., and Gevorgian, S., A Low-Noise K -Band VCO Based on Room-Temperature Ferroelectric Varactors; T-MTT Feb. 2007 361-369

O O'Callaghan, J. M., see Mateu, J., T-MTT Apr. 2007 616-624 O'Callaghan, J. M., see Mateu, J., T-MTT May 2007 822-828 Obeidat, K., see Entesari, K., T-MTT Nov. 2007 2399-2405 Obregon, J., see Nallatamby, J.-C., T-MTT Jan. 2007 185-186 Oh, N.-J., Corrections to “CMOS Low-Noise Amplifier Design Optimization Techniques” [May 04 1433-1442]; T-MTT Jun. 2007 1255-1255 Oh, N.-J., Corrections to “A Low-Power CMOS Direct Conversion Receiver With 3-dB NF and 30-kHz Flicker-Noise Corner for 915-MHz Band IEEE 802.15.4 ZigBee Standard” [Feb 06 735-741]; T-MTT Jun. 2007 1256-1256 Ohira, T., Comments on “Extension of the Leeson Formula to Phase Noise Calculation in Transistor Oscillators With Complex Tanks”; T-MTT Jan. 2007 185-185 Ojefors, E., see Cheng, S., T-MTT Oct. 2007 2257-2261 Ojefors, E., Sonmez, E., Chartier, S., Lindberg, P., Schick, C., Rydberg, A., and Schumacher, H., Monolithic Integration of a Folded Dipole Antenna With a 24-GHz Receiver in SiGe HBT Technology; T-MTT Jul. 2007 1467-1475 Oliner, A. A., see Baccarelli, P., T-MTT Jul. 2007 1484-1495 Oliveira, J. F., and Pedro, J. C., An Efficient Time-Domain Simulation Method for Multirate RF Nonlinear Circuits; T-MTT Nov. 2007 2384-2392 Olivieri, A., see Virone, G., T-MTT Feb. 2007 309-317 Ong, L. C., see Cheng, L., T-MTT Jan. 2007 176-184 Orlik, P. V., see Guvenc, I., T-MTT Mar. 2007 598-598 Orta, R., see Virone, G., T-MTT Feb. 2007 309-317 Otero, P., see Page, J. E., T-MTT Aug. 2007 1585-1592 Oxborrow, M., Traceable 2-D Finite-Element Simulation of the WhisperingGallery Modes of Axisymmetric Electromagnetic Resonators; T-MTT Jun. 2007 1209-1218 Ozbay, E., see Bilotti, F., T-MTT Dec. 2007 2865-2873

P Paffi, A., Pellegrino, M., Beccherelli, R., Apollonio, F., Liberti, M., Platano, D., Aicardi, G., and D'Inzeo, G., A Real-Time Exposure System for Electrophysiological Recording in Brain Slices; T-MTT Nov. 2007 2463-2471 Page, J. E., Marquez-Segura, E., Casares-Miranda, F. P., Esteban, J., Otero, P., and Camacho-Penalosa, C., Exact Analysis of the Wire-Bonded Multiconductor Transmission Line; T-MTT Aug. 2007 1585-1592

10

Pala-Schonwalder, P., see Moncunill-Geniz, F. X., T-MTT Jun. 2007 13551362 Pan, B., see Li, Y., T-MTT Dec. 2007 2902-2910 Pang, R. N., see Watanabe, M. K., T-MTT Dec. 2007 2856-2864 Papapolymerou, J., see Lugo, C., T-MTT Feb. 2007 296-301 Papapolymerou, J., see Lugo, C., T-MTT Feb. 2007 376-382 Papapolymerou, J., see Horst, S., T-MTT Nov. 2007 2439-2446 Papapolymerou, J., see Li, Y., T-MTT Dec. 2007 2902-2910 Park, B.-K., Boric-Lubecke, O., and Lubecke, V. M., Arctangent Demodulation With DC Offset Compensation in Quadrature Doppler Radar Receiver Systems; T-MTT May 2007 1073-1079 Park, C., see Ahn, G., T-MTT Jun. 2007 1105-1111 Park, C. S., see Jung, D. Y., T-MTT Aug. 2007 1786-1793 Park, C.-S., see Jung, S.-C., T-MTT Jan. 2007 52-59 Park, C., see Park, H., T-MTT Nov. 2007 2313-2319 Park, C., Kim, Y., Kim, H., and Hong, S., A 1.9-GHz CMOS Power Amplifier Using Three-Port Asymmetric Transmission Line Transformer for a Polar Transmitter; T-MTT Feb. 2007 230-238 Park, C., Lee, D. H., Han, J., and Hong, S., Tournament-Shaped Magnetically Coupled Power-Combiner Architecture for RF CMOS Power Amplifier; T-MTT Oct. 2007 2034-2042 Park, H., see Ahn, G., T-MTT Jun. 2007 1105-1111 Park, H.-C., see Jung, S.-C., T-MTT Jan. 2007 52-59 Park, H., Van, J., Jung, S., Kim, M., Cho, H., Kwon, S., Jeong, J., Lim, K., Park, C., and Yang, Y., A New Compact Load Network for Doherty Amplifiers Using an Imperfect Quarter-Wave Line; T-MTT Nov. 2007 2313-2319 Park, S.-D., see Yoo, C.-S., T-MTT May 2007 906-915 Park, S.-K., see Jung, S.-C., T-MTT Jan. 2007 52-59 Parker, A. E., see Blockley, P. S., T-MTT Oct. 2007 2231-2239 Pashaie, R., Fourier Decomposition Analysis of Anisotropic Inhomogeneous Dielectric Waveguide Structures; T-MTT Aug. 2007 1689-1696 Pathikulangara, J., see Dyadyuk, V., T-MTT Dec. 2007 2813-2821 Paulotto, S., see Baccarelli, P., T-MTT Jul. 2007 1484-1495 Pedro, J. C., see Zhu, A., T-MTT May 2007 813-821 Pedro, J. C., see Martins, J. P., T-MTT Jun. 2007 1264-1271 Pedro, J. C., see Oliveira, J. F., T-MTT Nov. 2007 2384-2392 Pedro, J. C., see Cunha, T. R., T-MTT Dec. 2007 2747-2756 Pedro, J. C., Garcia, J. A., and Cabral, P. M., Nonlinear Distortion Analysis of Polar Transmitters; T-MTT Dec. 2007 2757-2765 Pelk, M. J., see Neo, W. C. E., T-MTT May 2007 866-879 Pellegrino, M., see Paffi, A., T-MTT Nov. 2007 2463-2471 Pelletier, J., see Pollak, J., T-MTT May 2007 951-957 Penaranda-Foix, F. L., see Pitarch, J., T-MTT Jan. 2007 108-116 Peng, H., see Guo, X., T-MTT Dec. 2007 2555-2561 Peng, Y.-H., and Lu, L.-H., A 16-GHz Triple-Modulus Phase-Switching Prescaler and Its Application to a 15-GHz Frequency Synthesizer in 0.18-m CMOS; T-MTT Jan. 2007 44-51 Peng, Z., Yuan, X., Hwang, J. C. M., Forehand, D. I., and Goldsmith, C. L., Superposition Model for Dielectric Charging of RF MEMS Capacitive Switches Under Bipolar Control-Voltage Waveforms; T-MTT Dec. 2007 2911-2918 Perez Soler, F. J., Martinez Mendoza, M., Quesada Pereira, F. D., Canete Rebenaque, D., Alvarez Melcon, A., and Cameron, R. J., Design of Bandpass Elliptic Filters Employing Inductive Windows and Dielectric Objects; T-MTT Nov. 2007 2393-2398 Pergola, L., Gindera, R., Jager, D., and Vahldieck, R., An LTCC-Based Wireless Transceiver for Radio-Over-Fiber Applications; T-MTT Mar. 2007 579-587 Peroulis, D., see Wang, X., T-MTT Dec. 2007 2599-2613 Peroulis, D., see Chen, C.-H., T-MTT Dec. 2007 2919-2929 Persson, M., see Fhager, A., T-MTT Nov. 2007 2454-2462 Pervez, N. K., and York, R. A., Geometry-Dependent Quality Factors in Ba Sr TiO Parallel-Plate Capacitors; T-MTT Feb. 2007 410-417 Peverini, O. A., see Virone, G., T-MTT Feb. 2007 309-317 Pfeiffer, U. R., and Goren, D., A 23-dBm 60-GHz Distributed Active Transformer in a Silicon Process Technology; T-MTT May 2007 857-865 Pham, A.-V. H., see Keerti, A., T-MTT Feb. 2007 207-214 Pham, A.-V. H., see Lu, C., T-MTT Nov. 2007 2320-2328 Phang, K., see Abdalla, M. A. Y., T-MTT Aug. 2007 1611-1623 Phillips, J. D., see Fu, J.-S., T-MTT Feb. 2007 354-360 Phillips, T. G., see Kooi, J. W., T-MTT Oct. 2007 2086-2096 Pierantoni, L., and Rozzi, T., A General Multigrid-Subgridding Formulation for the Transmission Line Matrix Method; T-MTT Aug. 2007 1709-1716 Pijper, M. T., see Tiemeijer, L. F., T-MTT Aug. 2007 1811-1811 Pijper, R. M. T., see Tiemeijer, L. F., T-MTT Mar. 2007 561-570 + Check author entry for coauthors

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Pinel, S., see Lee, J.-H., T-MTT Sep. 2007 1869-1879 Pirola, M., see CaMar.ia, V., T-MTT Sep. 2007 1824-1831 Pitarch, J., Catala-Civera, J. M., Penaranda-Foix, F. L., and Solano, M. A., Efficient Modal Analysis of Bianisotropic Waveguides by the Coupled Mode Method; T-MTT Jan. 2007 108-116 Plant, D. V., see Schwartz, J. D., T-MTT Feb. 2007 327-334 Plant, D. V., see Schwartz, J. D., T-MTT Oct. 2007 2271-2272 Platano, D., see Paffi, A., T-MTT Nov. 2007 2463-2471 Poh, A., and Zhang, Y. P., Design and Analysis of Transmit/Receive Switch in Triple-Well CMOS for MIMO Wireless Systems; T-MTT Mar. 2007 458-466 Pohl, N., Gerding, M., Will, B., Musch, T., Hausner, J., and Schiek, B., High Precision Radar Distance Measurements in Overmoded Circular Waveguides; T-MTT Jun. 2007 1374-1381 Polcawich, R. G., Pulskamp, J. S., Judy, D., Ranade, P., Trolier-McKinstry, S., and Dubey, M., Surface Micromachined Microelectromechancial Ohmic Series Switch Using Thin-Film Piezoelectric Actuators; T-MTT Dec. 2007 2642-2654 Polimeridis, A. G., Yioultsis, T. V., and Tsiboukis, T. D., Fast Numerical Computation of Green’s Functions for Unbounded Planar Stratified Media With a Finite-Difference Technique and Gaussian Spectral Rules; T-MTT Jan. 2007 100-107 Pollak, J., Moisan, M., Zakrzewski, Z., Pelletier, J., Arnal, Y. A., Lacoste, A., and Lagarde, T., Compact Waveguide-Based Power Divider Feeding Independently Any Number of Coaxial Lines; T-MTT May 2007 951-957 Pollard, R. D., see Snowden, C. M., T-MTT Jun. 2007 1263-1263 Pollard, R. D., see Heshmati, Z., T-MTT Oct. 2007 2059-2064 Popovic, Z., see Vanhille, K. J., T-MTT Jun. 2007 1272-1279 Pothier, A., see Lacroix, B., T-MTT Jun. 2007 1314-1321 Prigent, M., see Nallatamby, J.-C., T-MTT Jan. 2007 185-186 Prince, J. L., see Cox, C. H., T-MTT Feb. 2007 351-351 Prinz, H. O., Arnold, A., Dammertz, G., and Thumm, M., Analysis of a TE 118-GHz Quasi-Optical Mode Converter; T-MTT Aug. 2007 1697-1703 Pulskamp, J. S., see Polcawich, R. G., T-MTT Dec. 2007 2642-2654 Pytel, S. G., see Hall, S., T-MTT Dec. 2007 2614-2624

Q Qian, Z. G., Chew, W. C., and Suaya, R., Generalized Impedance Boundary Condition for Conductor Modeling in Surface Integral Equation; T-MTT Nov. 2007 2354-2364 Quay, R., see Seelmann-Eggebert, M., T-MTT Feb. 2007 195-206 Quere, R., see Mazeau, J., T-MTT Nov. 2007 2290-2297 Quere, R., see Jardel, O., T-MTT Dec. 2007 2660-2669 Quesada Pereira, F. D., see Perez Soler, F. J., T-MTT Nov. 2007 2393-2398 Quesada Pereira, F. D., Boria Esbert, V. E., Garcia, J. P., Vidal Pantaleoni, A., Alvarez Melcon, A., Gomez Tornero, J. L., and Gimeno, B., Efficient Analysis of Arbitrarily Shaped Inductive Obstacles in Rectangular Waveguides Using a Surface Integral-Equation Formulation; T-MTT Apr. 2007 715-721 Qureshi, J., see Neo, W. C. E., T-MTT May 2007 866-879

R Raboso, D., see Vicente, C., T-MTT May 2007 1018-1028 Radisic, V., see Deal, W. R., T-MTT Dec. 2007 2719-2726 Radisic, V., Sawdai, D., Scott, D., Deal, W. R., Dang, L., Li, D., Chen, J., Fung, A., Samoska, L., Gaier, T., and Lai, R., Demonstration of a 311-GHz Fundamental Oscillator Using InP HBT Technology; T-MTT Nov. 2007 2329-2335 Raffo, A., Santarelli, A., Traverso, P. A., Vannini, G., and Filicori, F., Electron Device Model Parameter Identification Through Large-Signal-Predictive Small-Signal-Based Error Functions; T-MTT Oct. 2007 1997-2005 Raisanen, A. V., see Kiuru, T., T-MTT Aug. 2007 1659-1665 Ramirez, F., see Sancho, S., T-MTT Jul. 2007 1568-1583 Ranade, P., see Polcawich, R. G., T-MTT Dec. 2007 2642-2654 Rao, R., see Hwang, Y.-J., T-MTT Jun. 2007 1200-1208 Rapinoja, T., see Stadius, K., T-MTT Aug. 2007 1633-1641 Raskin, J.-P., see El Kaamouchi, M., T-MTT Dec. 2007 2822-2831 Rautio, J. C., Synthesis of Compact Lumped Models From Electromagnetic Analysis Results; T-MTT Dec. 2007 2548-2554

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Razansky, D., Einziger, P. D., and Adam, D. R., Rigorous Characterization of Resonant Hot Spot Conditions in a Stratified Tissue Model; T-MTT May 2007 1063-1072 Rebeiz, G. M., see Entesari, K., T-MTT Nov. 2007 2399-2405 Reid, J. R., see Marsh, E. D., T-MTT Jan. 2007 78-84 Reina-Tosina, J., see Crespo-Cadenas, C., T-MTT Mar. 2007 449-457 Rejaei, B., Akhnoukh, A., Spirito, M., and Hayden, L., Effect of a Local Ground and Probe Radiation on the Microwave Characterization of Integrated Inductors; T-MTT Oct. 2007 2240-2247 Remley, K. A., see Williams, D. F., T-MTT Sep. 2007 1951-1957 Repossi, M., see Arcioni, P., T-MTT Apr. 2007 722-728 Reveyrand, T., see Jardel, O., T-MTT Dec. 2007 2660-2669 Richter, H., see Semenov, A. D., T-MTT Feb. 2007 239-247 Rida, A., see Yang, L., T-MTT Dec. 2007 2894-2901 Ro, R., see Varadan, V. V., T-MTT Oct. 2007 2224-2230 Robertson, D. A., see Hunter, R. I., T-MTT May 2007 890-898 Roddis, N., see Kettle, D., T-MTT Dec. 2007 2700-2708 Rode, J., see Hung, T.-P., T-MTT Dec. 2007 2845-2855 Rofougaran, A., see Shameli, A., T-MTT Jun. 2007 1089-1097 Rofougaran, M., see Shameli, A., T-MTT Jun. 2007 1089-1097 Rogers, R. L., see Nanzer, J. A., T-MTT Dec. 2007 2727-2733 Rogier, H., New Series Expansions for the 3-D Green’s Function of Multilayered Media With 1-D Periodicity Based on Perfectly Matched Layers; T-MTT Aug. 2007 1730-1738 Rolland, N., see Seok, S., T-MTT May 2007 1040-1045 Rolland, P.-A., see Seok, S., T-MTT May 2007 1040-1045 Roque, J. D., see Shiroma, G. S., T-MTT May 2007 838-844 Rosenberg, U., and Amari, S., A Novel Band-Reject Element for Pseudoelliptic Bandstop Filters; T-MTT Apr. 2007 742-746 Rosolen, G., see Li, Y., T-MTT Jun. 2007 1246-1254 Rozzi, T., see Pierantoni, L., T-MTT Aug. 2007 1709-1716 Rozzi, T., see Morini, A., T-MTT Sep. 2007 1905-1911 Rozzi, T., see Farina, M., T-MTT Oct. 2007 2124-2134 Rud, L. A., Resonance Absorption in Nonsymmetrical Lossy Dielectric Inserts in Rectangular Waveguides; T-MTT Aug. 2007 1717-1722 Rudolph, M., Behtash, R., Doerner, R., Hirche, K., Wurfl, J., Heinrich, W., and Trankle, G., Analysis of the Survivability of GaN Low-Noise Amplifiers; T-MTT Jan. 2007 37-43 Russer, P., see Zedler, M., T-MTT Dec. 2007 2930-2941 Rydberg, A., see Ojefors, E., T-MTT Jul. 2007 1467-1475 Rydberg, A., see Cheng, S., T-MTT Oct. 2007 2257-2261 Ryynanen, J., see Stadius, K., T-MTT Aug. 2007 1633-1641 S Saddik, G. N., Singh, R. S., and Brown, E. R., Ultra-Wideband Multifunctional Communications/Radar System; T-MTT Jul. 2007 1431-1437 Safarian, A., see Shameli, A., T-MTT Jun. 2007 1089-1097 Sahinoglu, Z., see Guvenc, I., T-MTT Mar. 2007 598-598 Saibi, F., see Chen, J., T-MTT Jul. 2007 1459-1466 Saint, C., see Lu, C., T-MTT Nov. 2007 2320-2328 Saito, Y., and Filipovic, D. S., Analysis and Design of Monolithic Rectangular Coaxial Lines for Minimum Coupling; T-MTT Dec. 2007 2521-2530 Sakakibara, K., see Iizuka, H., T-MTT May 2007 899-905 Sakamoto, T., see Kiuchi, H., T-MTT Sep. 2007 1964-1972 Samoilova, T. B., see Buslov, O. Y., T-MTT Dec. 2007 2951-2956 Samoska, L., see Radisic, V., T-MTT Nov. 2007 2329-2335 San Blas, A. A., Mira, F., Boria, V. E., Gimeno, B., Bressan, M., and Arcioni, P., On the Fast and Rigorous Analysis of Compensated Waveguide Junctions Using Off-Centered Partial-Height Metallic Posts; T-MTT Jan. 2007 168-175 Sancho, S., Suarez, A., and Ramirez, F., Phase and Amplitude Noise Analysis in Microwave Oscillators Using Nodal Harmonic Balance; T-MTT Jul. 2007 1568-1583 Sanders, S. R., see Stauth, J. T., T-MTT Oct. 2007 2043-2052 Sangster, A. J., see Zeng, J., T-MTT Jun. 2007 1171-1181 Sankaran, K., see Fumeaux, C., T-MTT Dec. 2007 2773-2781 Santarelli, A., see Raffo, A., T-MTT Oct. 2007 1997-2005 Sanyal, S., see Mandal, M. K., T-MTT Dec. 2007 2593-2598 Sanz-Izquierdo, B., see Sobhy, M. I., T-MTT Apr. 2007 729-735 Sarabandi, K., see Lee, J., T-MTT Jun. 2007 1163-1170

+ Check author entry for coauthors

11

Sarkar, T. K., see Traina, D., T-MTT May 2007 974-982 Sasagawa, K., Kanno, A., Kawanishi, T., and Tsuchiya, M., Live Electrooptic Imaging System Based on Ultraparallel Photonic Heterodyne for Microwave Near-Fields; T-MTT Dec. 2007 2782-2791 Sasaki, K., see Wake, K., T-MTT Mar. 2007 588-596 Savelyev, T. G., see Yarovoy, A. G., T-MTT Jun. 2007 1288-1295 Sawdai, D., see Radisic, V., T-MTT Nov. 2007 2329-2335 Scheele, P., Giere, A., Zheng, Y., Goelden, F., and Jakoby, R., Modeling and Applications of Ferroelectric-Thick Film Devices With Resistive Electrodes for Linearity Improvement and Tuning-Voltage Reduction; T-MTT Feb. 2007 383-390 Schick, C., see Ojefors, E., T-MTT Jul. 2007 1467-1475 Schiek, B., see Pohl, N., T-MTT Jun. 2007 1374-1381 Schima, S. A., see Mateu, J., T-MTT Feb. 2007 391-396 Schlechtweg, M., see Seelmann-Eggebert, M., T-MTT Feb. 2007 195-206 Schoenthal, G. S., see Xu, H., T-MTT Apr. 2007 648-655 Scholz, C., see Chandramouli, S., T-MTT Dec. 2007 2740-2746 Scholz, C., see Kim, H., T-MTT Dec. 2007 2942-2950 Schubler, M., see Damm, C., T-MTT Jun. 2007 1348-1354 Schumacher, H., see Ojefors, E., T-MTT Jul. 2007 1467-1475 Schwartz, J. D., Azana, J., and Plant, D. V., A Fully Electronic System for the Time Magnification of Ultra-Wideband Signals; T-MTT Feb. 2007 327-334 Schwartz, J. D., Azana, J., and Plant, D. V., Authors’ Reply; T-MTT Oct. 2007 2271-2272 Scott, D., see Radisic, V., T-MTT Nov. 2007 2329-2335 Scott, J. B., see Blockley, P. S., T-MTT Oct. 2007 2231-2239 Sedano, B., see Cendoya, I., T-MTT May 2007 1046-1053 See, C. H., Abd-Alhameed, R. A., and Excell, P. S., Computation of Electromagnetic Fields in Assemblages of Biological Cells Using a Modified Finite-Difference Time-Domain Scheme; T-MTT Sep. 2007 1986-1994 Seelmann-Eggebert, M., Merkle, T., van Raay, F., Quay, R., and Schlechtweg, M., A Systematic State–Space Approach to Large-Signal Transistor Modeling; T-MTT Feb. 2007 195-206 Selberherr, S., see Movahhedi, M., T-MTT Jun. 2007 1322-1331 Seman, N., see Bialkowski, M. E., T-MTT Oct. 2007 2216-2223 Semenov, A. D., Richter, H., Hubers, H.-W., Gunther, B., Smirnov, A., Il'in, K. S., Siegel, M., and Karamarkovic, J. P., Terahertz Performance of Integrated Lens Antennas With a Hot-Electron Bolometer; T-MTT Feb. 2007 239-247 Semouchkin, G., see Semouchkina, E., T-MTT Jun. 2007 1305-1313 Semouchkina, E., Miyamoto, Y., Kirihara, S., Semouchkin, G., and Lanagan, M., Analysis of Electromagnetic Response of 3-D Dielectric Fractals of Menger Sponge Type; T-MTT Jun. 2007 1305-1313 Senguttuvan, R., Bhattacharya, S., and Chatterjee, A., Test Method for Measuring Bit Error Rate of Pulsed Transceivers in Presence of Narrowband Interferers; T-MTT Sep. 2007 1942-1950 Seo, B.-I, see Nam, I., T-MTT Apr. 2007 682-689 Seo, K.-S., see Yoo, C.-S., T-MTT May 2007 906-915 Seok, S., Rolland, N., and Rolland, P.-A., Design, Fabrication, and Measurement of Benzocyclobutene Polymer Zero-Level Packaging for MillimeterWave Applications; T-MTT May 2007 1040-1045 Seppa, H., see Kaajakari, V., T-MTT Aug. 2007 1666-1672 Sevimli, O., see Dyadyuk, V., T-MTT Dec. 2007 2813-2821 Shaman, H., see Hong, J.-S., T-MTT Aug. 2007 1764-1770 Shaman, H., and Hong, J.-S., Wideband Bandstop Filter With Cross-Coupling; T-MTT Aug. 2007 1780-1785 Shaman, H., and Hong, J.-S., Input and Output Cross-Coupled Wideband Bandpass Filter; T-MTT Dec. 2007 2562-2568 Shameli, A., Safarian, A., Rofougaran, A., Rofougaran, M., and De Flaviis, F., Power Harvester Design for Passive UHF RFID Tag Using a Voltage Boosting Technique; T-MTT Jun. 2007 1089-1097 Sharifi, H., see Choi, T., T-MTT Nov. 2007 2298-2305 Sharma, S. B., see Bera, S. C., T-MTT Feb. 2007 215-221 Shaw, M., see Lu, C., T-MTT Nov. 2007 2320-2328 Shen, P., see Nkansah, A., T-MTT Jun. 2007 1219-1228 Shen, T.-M., Chen, C.-F., Huang, T.-Y., and Wu, R.-B., Design of Vertically Stacked Waveguide Filters in LTCC; T-MTT Aug. 2007 1771-1779 Shi, J., see Issaoun, A., T-MTT Sep. 2007 1813-1823 Shi, J., Yin, W.-Y., Kang, K., Mao, J.-F., and Li, L.-W., Frequency-Thermal Characterization of On-Chip Transformers With Patterned Ground Shields; T-MTT Jan. 2007 1-12 Shih, E., see Kuo, J.-T., T-MTT Aug. 2007 1747-1755 Shimko, A. Y., see Buslov, O. Y., T-MTT Dec. 2007 2951-2956

12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Shiroma, G. S., see Watanabe, M. K., T-MTT Dec. 2007 2856-2864 Shiroma, G. S., Miyamoto, R. Y., Roque, J. D., Cardenas, J. M., and Shiroma, W. A., A High-Directivity Combined Self-Beam/Null-Steering Array for Secure Point-to-Point Communications; T-MTT May 2007 838-844 Shiroma, W. A., see Shiroma, G. S., T-MTT May 2007 838-844 Shiroma, W. A., see Watanabe, M. K., T-MTT Dec. 2007 2856-2864 Shiroma, W., Guest Editorial; T-MTT Dec. 2007 2659-2659 Shu, Y.-H., see Lin, C.-S., T-MTT Sep. 2007 1862-1868 Shyroki, D. M., Efficient Cartesian-Grid-Based Modeling of Rotationally Symmetric Bodies; T-MTT Jun. 2007 1132-1138 Si Moussa, M., see El Kaamouchi, M., T-MTT Dec. 2007 2822-2831 Sia, C. B., see Tong, A. F., T-MTT Sep. 2007 1844-1853 Sickel, T., Meyer, P., and van der Walt, P. W., An in situ Tunable Diode -Band Waveguide Switches; Mounting Topology for High-Power T-MTT Feb. 2007 281-286 Siegel, M., see Semenov, A. D., T-MTT Feb. 2007 239-247 Sigmarsson, H. H., see Choi, T., T-MTT Nov. 2007 2298-2305 Sijercic, E., see Hall, S., T-MTT Dec. 2007 2614-2624 Simsek, S., and Topuz, E., Some Properties of Generalized Scattering Matrix Representations for Metallic Waveguides With Periodic Dielectric Loading; T-MTT Nov. 2007 2336-2344 Singh, R. S., see Saddik, G. N., T-MTT Jul. 2007 1431-1437 Singh, R. V., see Bera, S. C., T-MTT Feb. 2007 215-221 Siqueira, P., Ahmed, R., Wirth, J. W., and Bachmann, A., Variable Precision Two-Channel Phase, Amplitude, and Timing Measurements for Radar Interferometry and Polarimetry; T-MTT Oct. 2007 2248-2256 Smirnov, A., see Semenov, A. D., T-MTT Feb. 2007 239-247 Smith, G. M., see Hunter, R. I., T-MTT May 2007 890-898 Snowden, C. M., and Pollard, R. D., Guest Editorial; T-MTT Jun. 2007 12631263 Sobhy, M. I., Sanz-Izquierdo, B., and Batchelor, J. C., System and Circuit Models for Microwave Antennas; T-MTT Apr. 2007 729-735 Solano, M. A., see Pitarch, J., T-MTT Jan. 2007 108-116 Sommet, R., see Mazeau, J., T-MTT Nov. 2007 2290-2297 Song, S. C., see Ahn, G., T-MTT Jun. 2007 1105-1111 Song, S.-J., see Cho, N., T-MTT May 2007 1080-1086 Sonmez, E., see Ojefors, E., T-MTT Jul. 2007 1467-1475 Spencer, T. J., Joseph, P. J., Kim, T. H., Swaminathan, M., and Kohl, P. A., Air-Gap Transmission Lines on Organic Substrates for Low-Loss Interconnects; T-MTT Sep. 2007 1919-1925 Spielman, B. E., see Zhang, Y., T-MTT Sep. 2007 1887-1898 Spirito, M., see Rejaei, B., T-MTT Oct. 2007 2240-2247 Spulber, O., see Fioravanti, P., T-MTT May 2007 829-837 Stadius, K., Rapinoja, T., Kaukovuori, J., Ryynanen, J., and Halonen, K. A. I., Multitone Fast Frequency-Hopping Synthesizer for UWB Radio; T-MTT Aug. 2007 1633-1641 Stauth, J. T., and Sanders, S. R., Power Supply Rejection for RF Amplifiers: Theory and Measurements; T-MTT Oct. 2007 2043-2052 Steer, M. B., see Hart, F. P., T-MTT Oct. 2007 2147-2156 Steer, M. B., see Victor, A., T-MTT Dec. 2007 2488-2494 Stelzer, A., see Winkler, S. A., T-MTT Jun. 2007 1398-1404 Stern, J. A., see Kooi, J. W., T-MTT Oct. 2007 2086-2096 Stokes, L., see Dyadyuk, V., T-MTT Dec. 2007 2813-2821 Stornelli, V., see Leuzzi, G., T-MTT Jun. 2007 1405-1412 Su, B., see Hu, W., T-MTT Feb. 2007 418-424 Su, H. T., see Corona-Chavez, A., T-MTT Jan. 2007 117-120 Suarez, A., see Sancho, S., T-MTT Jul. 2007 1568-1583 Suarez, A., see Barquinero, C., T-MTT Oct. 2007 2024-2033 Suaya, R., see Qian, Z. G., T-MTT Nov. 2007 2354-2364 Suherman, P. M., Jackson, T. J., and Lancaster, M. J., Comparison of Techniques for Microwave Characterization of BST Thin Films; T-MTT Feb. 2007 397-401 Sumner, M. C., see Kooi, J. W., T-MTT Oct. 2007 2086-2096 Sun, G., and Trueman, C. W., Corrections to “Efficient Implementations of the Crank–Nicolson Scheme for the Finite-Difference Time-Domain Method; T-MTT Feb. 2007 351-351 Sun, K.-J., see Wang, T.-P., T-MTT Jan. 2007 66-77 Sun, L., see Li, F., T-MTT Apr. 2007 760-767 Sun, L. L., see Luo, G. Q., T-MTT Dec. 2007 2481-2487 Sun, L., see Li, S., T-MTT Apr. 2007 754-759 Sun, S., and Zhu, L., Wideband Microstrip Ring Resonator Bandpass Filters Under Multiple Resonances; T-MTT Oct. 2007 2176-2182 Swaminathan, M., see Spencer, T. J., T-MTT Sep. 2007 1919-1925

X

+ Check author entry for coauthors

T Tai, C.-M., and Liao, C.-N., A Physical Model of Solenoid Inductors on Silicon Substrates; T-MTT Dec. 2007 2579-2585 Tajima, K., Hayashi, R., and Isota, Y., Frequency and Phase Difference Control Using Fractional- PLL Synthesizers by Composition of Control Data; T-MTT Dec. 2007 2832-2838 Takase, B. O., see Watanabe, M. K., T-MTT Dec. 2007 2856-2864 Takayama, Y., see Mizutani, H., T-MTT Apr. 2007 664-671 Taki, M., see Wake, K., T-MTT Feb. 2007 343-350 Taki, M., see Wake, K., T-MTT Mar. 2007 588-596 Tang, C.-W., and Chen, M.-G., Synthesizing Microstrip Branch-Line Couplers With Predetermined Compact Size and Bandwidth; T-MTT Sep. 2007 19261934 Tang, C.-W., and Chen, M.-G., A Microstrip Ultra-Wideband Bandpass Filter With Cascaded Broadband Bandpass and Bandstop Filters; T-MTT Nov. 2007 2412-2418 Tang, H. J., see Liu, B., T-MTT Dec. 2007 2586-2592 Tang, H. J., Hong, W., Chen, J.-X., Luo, G. Q., and Wu, K., Development of Millimeter-Wave Planar Diplexers Based on Complementary Characters of Dual-Mode Substrate Integrated Waveguide Filters With Circular and Elliptic Cavities; T-MTT Apr. 2007 776-782 Tang, W.-H., and Gedney, S. D., An Efficient Application of the Discrete Complex Image Method for Quasi-3-D Microwave Circuits in Layered Media; T-MTT Aug. 2007 1723-1729 Tang, X.-H., see Mao, R.-J., T-MTT Jul. 2007 1539-1547 Tannir, D., and Khazaka, R., Moments-Based Computation of Intermodulation Distortion of RF Circuits; T-MTT Oct. 2007 2135-2146 Tascone, R., see Virone, G., T-MTT Feb. 2007 309-317 Teixeira, F. L., see Chilton, R. A., T-MTT Dec. 2007 2631-2641 Teng, Z. L., see Tong, A. F., T-MTT Sep. 2007 1844-1853 Tentzeris, M., see Li, Y., T-MTT Dec. 2007 2902-2910 Tentzeris, M. M., see Lee, J.-H., T-MTT Sep. 2007 1869-1879 Tentzeris, M. M., see Horst, S., T-MTT Nov. 2007 2439-2446 Tentzeris, M. M., see Yang, L., T-MTT Dec. 2007 2894-2901 Teyssier, J.-P., see Jardel, O., T-MTT Dec. 2007 2660-2669 Thevenot, M., see Troubat, M., T-MTT Jun. 2007 1182-1189 Thumm, M., see Prinz, H. O., T-MTT Aug. 2007 1697-1703 Tiemeijer, L. F., Pijper, M. T., Havens, R. J., and Hubert, O., Corrections on “Low-Loss Patterned Ground Shield Interconnect Transmission Lines in Advanced IC Processes”; T-MTT Aug. 2007 1811-1811 Tiemeijer, L. F., Pijper, R. M. T., Havens, R. J., and Hubert, O., Low-Loss Patterned Ground Shield Interconnect Transmission Lines in Advanced IC Processes; T-MTT Mar. 2007 561-570 Timms, G. P., see Li, Y., T-MTT Jun. 2007 1246-1254 Tombak, A., A Ferroelectric-Capacitor-Based Tunable Matching Network for Quad-Band Cellular Power Amplifiers; T-MTT Feb. 2007 370-375 Tong, A. F., Lim, W. M., Sia, C. B., Yeo, K. S., Teng, Z. L., and Ng, P. F., RFCMOS Unit Width Optimization Technique; T-MTT Sep. 2007 18441853 Tong, W., Hu, Z., Chua, H. S., Curtis, P. D., Gibson, A. A. P., and Missous, M., Left-Handed Metamaterial Coplanar Waveguide Components and Circuits in GaAs MMIC Technology; T-MTT Aug. 2007 1794-1800 Topuz, E., see Simsek, S., T-MTT Nov. 2007 2336-2344 Toscano, A., see Bilotti, F., T-MTT Dec. 2007 2865-2873 Traina, D., Macchiarella, G., and Sarkar, T. K., Robust Formulations of the Cauchy Method Suitable for Microwave Duplexers Modeling; T-MTT May 2007 974-982 Trankle, G., see Rudolph, M., T-MTT Jan. 2007 37-43 Traverso, P. A., see Raffo, A., T-MTT Oct. 2007 1997-2005 Tretyakov, S. A., see Ikonen, P. M. T., T-MTT Jan. 2007 92-99 Trolier-McKinstry, S., see Polcawich, R. G., T-MTT Dec. 2007 2642-2654 Troubat, M., Bila, S., Thevenot, M., Baillargeat, D., Monediere, T., Verdeyme, S., and Jecko, B., Mutual Synthesis of Combined Microwave Circuits Applied to the Design of a Filter-Antenna Subsystem; T-MTT Jun. 2007 11821189 Trueman, C. W., see Sun, G., T-MTT Feb. 2007 351-351 Tsai, C.-M., see Lee, H.-M., T-MTT May 2007 1002-1009 Tsai, J. M.-L., see Yang, T.-R., T-MTT Jul. 2007 1417-1424 Tsai, J.-H., and Huang, T.-W., 35–65-GHz CMOS Broadband Modulator and Demodulator With Sub-Harmonic Pumping for MMW Wireless Gigabit Applications; T-MTT Oct. 2007 2075-2085

N

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Tsai, Z.-M., see Wang, T.-P., T-MTT Jan. 2007 66-77 Tsai, Z.-M., see Chao, S.-F., T-MTT Dec. 2007 2691-2699 Tsai, Z.-M., see Lei, M.-F., T-MTT Dec. 2007 2802-2812 Tsai, Z.-M., Jiang, Y.-S., Lee, J., Lin, K.-Y., and Wang, H., Analysis and Design of Bandpass Single-Pole–Double-Throw FET Filter-Integrated Switches; T-MTT Aug. 2007 1601-1610 Tsang, L., see Gu, X., T-MTT Mar. 2007 511-517 Tseng, S.-C., see Wu, T.-H., T-MTT May 2007 880-889 Tsiboukis, T. D., see Polimeridis, A. G., T-MTT Jan. 2007 100-107 Tsuchiya, M., see Kiuchi, H., T-MTT Sep. 2007 1964-1972 Tsuchiya, M., see Sasagawa, K., T-MTT Dec. 2007 2782-2791 Tsui, J. B. Y., see George, K., T-MTT Apr. 2007 788-794 Tzuang, C.-K. C., see Chiang, M.-J., T-MTT Dec. 2007 2512-2520

U Ubolli, A., see Grivet-Talocia, S., T-MTT Nov. 2007 2374-2383 Ueda, T., Lai, A., and Itoh, T., Demonstration of Negative Refraction in a Cutoff Parallel-Plate Waveguide Loaded With 2-D Square Lattice of Dielectric Resonators; T-MTT Jun. 2007 1280-1287 Uhm, M., Nam, S., and Kim, J., Synthesis of Resonator Filters With Arbitrary Topology Using Hybrid Method; T-MTT Oct. 2007 2157-2167 Uno, T., see Wake, K., T-MTT Feb. 2007 343-350 Uno, T., see Wake, K., T-MTT Mar. 2007 588-596 Urban, A., see Vossiek, M., T-MTT Nov. 2007 2447-2453 Urick, V. J., see Devgan, P. S., T-MTT Sep. 2007 1973-1977 Urick, V. J., Bucholtz, F., Devgan, P. S., McKinney, J. D., and Williams, K. J., Phase Modulation With Interferometric Detection as an Alternative to Intensity Modulation With Direct Detection for Analog-Photonic Links; T-MTT Sep. 2007 1978-1985 Uyeda, J., see Deal, W. R., T-MTT Dec. 2007 2719-2726 Uzunoglu, N. K., see Valagiannopoulos, C. A., T-MTT Aug. 2007 1673-1684

V Vahldieck, R., see Pergola, L., T-MTT Mar. 2007 579-587 Vahldieck, R., see Fumeaux, C., T-MTT Dec. 2007 2773-2781 Valagiannopoulos, C. A., and Uzunoglu, N. K., Rigorous Analysis of a Metallic Circular Post in a Rectangular Waveguide With Step Discontinuity of Sidewalls; T-MTT Aug. 2007 1673-1684 Valderas, D., see Cendoya, I., T-MTT May 2007 1046-1053 Valley, G. C., see Conway, J. A., T-MTT Oct. 2007 2270-2271 Van, J., see Ahn, G., T-MTT Jun. 2007 1105-1111 Van, J.-H., see Jung, S.-C., T-MTT Jan. 2007 52-59 Van, J., see Park, H., T-MTT Nov. 2007 2313-2319 van der Walt, P. W., see de Villiers, D. I. L., T-MTT Feb. 2007 302-308 van der Walt, P. W., see Sickel, T., T-MTT Feb. 2007 281-286 van der Weide, D. W., see Kim, H., T-MTT Mar. 2007 571-578 Vanhille, K. J., Fontaine, D. L., Nichols, C., Popovic, Z., and Filipovic, D. S., -Band Miniaturized Quasi-Planar High- Resonators; T-MTT Jun. 2007 1272-1279 Vanhoenacker-Janvier, D., see El Kaamouchi, M., T-MTT Dec. 2007 28222831 Vannini, G., see Raffo, A., T-MTT Oct. 2007 1997-2005 van Raay, F., see Seelmann-Eggebert, M., T-MTT Feb. 2007 195-206 Varadan, V. V., and Ro, R., Unique Retrieval of Complex Permittivity and Permeability of Dispersive Materials From Reflection and Transmitted Fields by Enforcing Causality; T-MTT Oct. 2007 2224-2230 Vasilyev, V. S., see Marsh, E. D., T-MTT Jan. 2007 78-84 Vegni, L., see Bilotti, F., T-MTT Dec. 2007 2865-2873 Velu, G., Blary, K., Burgnies, L., Marteau, A., Houzet, G., Lippens, D., and Carru, J.-C., A 360 BST Phase Shifter With Moderate Bias Voltage at 30 GHz; T-MTT Feb. 2007 438-444 Venanzoni, G., see Morini, A., T-MTT Sep. 2007 1905-1911 Vendik, O. G., Insertion Loss in Reflection-Type Microwave Phase Shifter Based on Ferroelectric Tunable Capacitor; T-MTT Feb. 2007 425-429 Verbeyst, F., see Williams, D. F., T-MTT Sep. 2007 1951-1957 Verdeyme, S., see Delhote, N., T-MTT Mar. 2007 548-554 Verdeyme, S., see Troubat, M., T-MTT Jun. 2007 1182-1189 Vicente, C., Wolk, D., Hartnagel, H. L., Gimeno, B., Boria, V. E., and Raboso, D., Experimental Analysis of Passive Intermodulation at Waveguide Flange Bolted Connections; T-MTT May 2007 1018-1028

Ka

+ Check author entry for coauthors

Q

13

Victor, A., and Steer, M. B., Reflection Coefficient Shaping of a 5-GHz VoltageTuned Oscillator for Improved Tuning; T-MTT Dec. 2007 2488-2494 Vidal Pantaleoni, A., see Quesada Pereira, F. D., T-MTT Apr. 2007 715-721 Virone, G., Tascone, R., Baralis, M., Olivieri, A., Peverini, O. A., and Orta, R., Five-Level Waveguide Correlation Unit for Astrophysical Polarimetric Measurements; T-MTT Feb. 2007 309-317 Volakis, J. L., see Bayram, Y., T-MTT May 2007 941-950 Vorobiev, A., see Norling, M., T-MTT Feb. 2007 361-369 Vossiek, M., Urban, A., Max, S., and Gulden, P., Inverse Synthetic Aperture Secondary Radar Concept for Precise Wireless Positioning; T-MTT Nov. 2007 2447-2453 Vountesmery, V. S., and Vountesmery, Y. V., Temperature Characteristics of Broadband Helicon Isolators for Meter and Decameter Waves; T-MTT Oct. 2007 2097-2102 Vountesmery, Y. V., see Vountesmery, V. S., T-MTT Oct. 2007 2097-2102 Vyas, R., see Yang, L., T-MTT Dec. 2007 2894-2901

W Wake, K., Hongo, H., Watanabe, S., Taki, M., Kamimura, Y., Yamanaka, Y., Uno, T., Kojima, M., Hata, I., and Sasaki, K., Development of a 2.45-GHz Local Exposure System for In Vivo Study on Ocular Effects; T-MTT Mar. 2007 588-596 Wake, K., Mukoyama, A., Watanabe, S., Yamanaka, Y., Uno, T., and Taki, M., An Exposure System for Long-Term and Large-Scale Animal Bioassay of 1.5-GHz Digital Cellular Phones; T-MTT Feb. 2007 343-350 Wang, B.-Z., see Wang, X. H., T-MTT Sep. 2007 1912-1918 Wang, C., see Zeng, J., T-MTT Jun. 2007 1171-1181 Wang, C. W., Ma, T. G., and Yang, C. F., A New Planar Artificial Transmission Line and Its Applications to a Miniaturized Butler Matrix; T-MTT Dec. 2007 2792-2801 Wang, C.-C., and Kuo, C.-W., An Efficient Scheme for Processing Arbitrary Lumped Multiport Devices in the Finite-Difference Time-Domain Method; T-MTT May 2007 958-965 Wang, C.-H., see Lin, S.-C., T-MTT Jan. 2007 137-146 Wang, C.-H., see Wu, C.-H., T-MTT Feb. 2007 287-295 Wang, C.-H., see Wu, C.-H., T-MTT Aug. 2007 1756-1763 Wang, C.-H., Deng, P.-H., and Chen, C. H., Coplanar-Waveguide-Fed Microstrip Bandpass Filters With Capacitively Broadside-Coupled Structures for Multiple Spurious Suppression; T-MTT Apr. 2007 768-775 Wang, G., see Lugo, C., T-MTT Feb. 2007 376-382 Wang, G., see Cao, Y., T-MTT Dec. 2007 2473-2480 Wang, H., see Wang, T.-P., T-MTT Jan. 2007 66-77 Wang, H., see Lin, C.-S., T-MTT Jun. 2007 1190-1199 Wang, H., see Tsai, Z.-M., T-MTT Aug. 2007 1601-1610 Wang, H., see Chao, S.-F., T-MTT Dec. 2007 2691-2699 Wang, H., see Lei, M.-F., T-MTT Dec. 2007 2802-2812 Wang, T., see Lin, Y.-T., T-MTT Sep. 2007 1832-1843 Wang, T.-P., Tsai, Z.-M., Sun, K.-J., and Wang, H., Phase-Noise Reduction of -Band Push–Push Oscillator With Second-Harmonic Self-Injection Techniques; T-MTT Jan. 2007 66-77 Wang, X., see Lugo, C., T-MTT Feb. 2007 376-382 Wang, X. H., Wang, B.-Z., Zhang, H., and Chen, K. J., A Tunable Bandstop Resonator Based on a Compact Slotted Ground Structure; T-MTT Sep. 2007 1912-1918 Wang, X., see Zhao, Z., T-MTT Feb. 2007 430-437 Wang, X., Katehi, L. P. B., and Peroulis, D., Time-Varying Matching Networks for Signal-Centric Systems; T-MTT Dec. 2007 2599-2613 Wang, Y., see Lancaster, M. J., T-MTT Jul. 2007 1548-1553 Wang, Y. E., see Yeung, L. K., T-MTT Dec. 2007 2625-2630 Watanabe, M. K., Pang, R. N., Takase, B. O., Akagi, J. M., Shiroma, G. S., and Shiroma, W. A., A 2-D Phase-Detecting/Heterodyne-Scanning Retrodirective Array; T-MTT Dec. 2007 2856-2864 Watanabe, S., see Wake, K., T-MTT Feb. 2007 343-350 Watanabe, S., see Wake, K., T-MTT Mar. 2007 588-596 Webb, J. P., Singular Tetrahedral Finite Elements for Vector Electromagnetics; T-MTT Mar. 2007 533-540 Wei, B., see Guo, X., T-MTT Dec. 2007 2555-2561 Wei, J. S., Power Transfer in a Large Parallel Array of Coupled Dielectric Waveguides; T-MTT Nov. 2007 2345-2353 Weikle, R. M., see Xu, H., T-MTT Apr. 2007 648-655 Weinreb, S., Bardin, J. C., and Mani, H., Design of Cryogenic SiGe Low-Noise Amplifiers; T-MTT Nov. 2007 2306-2312

X

14

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Weller, T. M., see Lakshminarayanan, B., T-MTT Feb. 2007 335-342 Wiktor, M., and Mrozowski, M., Projection Framework for Hybrid Methods Derived From Finite-Difference Operators in Time and Frequency Domain; T-MTT Oct. 2007 2103-2114 Will, B., see Pohl, N., T-MTT Jun. 2007 1374-1381 Williams, D. F., Clement, T. S., Remley, K. A., Hale, P. D., and Verbeyst, F., Systematic Error of the Nose-to-Nose Sampling-Oscilloscope Calibration; T-MTT Sep. 2007 1951-1957 Williams, K. J., see Devgan, P. S., T-MTT Sep. 2007 1973-1977 Williams, K. J., see Urick, V. J., T-MTT Sep. 2007 1978-1985 Williamson, A. G., see Zhu, Q. C., T-MTT Aug. 2007 1685-1688 Winkler, S. A., Wu, K., and Stelzer, A., Integrated Receiver Based on a HighOrder Subharmonic Self-Oscillating Mixer; T-MTT Jun. 2007 1398-1404 Wirth, J. W., see Siqueira, P., T-MTT Oct. 2007 2248-2256 Wolk, D., see Vicente, C., T-MTT May 2007 1018-1028 Won, K.-H., see Yoo, C.-S., T-MTT May 2007 906-915 Woo, Y. Y., see Hong, S., T-MTT May 2007 925-931 Woo, Y. Y., Kim, J., Yi, J., Hong, S., Kim, I., Moon, J., and Kim, B., Adaptive Digital Feedback Predistortion Technique for Linearizing Power Amplifiers; T-MTT May 2007 932-940 Wu, C.-H., Wang, C.-H., and Chen, C. H., Novel Balanced Coupled-Line Bandpass Filters With Common-Mode Noise Suppression; T-MTT Feb. 2007 287-295 Wu, C.-H., Wang, C.-H., and Chen, C. H., Balanced Coupled-Resonator Bandpass Filters Using Multisection Resonators for Common-Mode Suppression and Stopband Extension; T-MTT Aug. 2007 1756-1763 Wu, C.-R., Hsieh, H.-H., and Lu, L.-H., An Ultra-Wideband Distributed Active Mixer MMIC in 0.18-m CMOS Technology; T-MTT Apr. 2007 625-632 Wu, C., and Yu, C., Design and Analysis of a Millimeter-Wave Direct InjectionLocked Frequency Divider With Large Frequency Locking Range; T-MTT Aug. 2007 1649-1658 Wu, H.-S., see Chiang, M.-J., T-MTT Dec. 2007 2512-2520 Wu, K., see Tang, H. J., T-MTT Apr. 2007 776-782 Wu, K.-L., see Yeung, L. K., T-MTT Nov. 2007 2406-2411 Wu, K.-L., and Meng, W., A Direct Synthesis Approach for Microwave Filters With a Complex Load and Its Application to Direct Diplexer Design; T-MTT May 2007 1010-1017 Wu, K., see Xu, F., T-MTT Apr. 2007 697-702 Wu, K., see Winkler, S. A., T-MTT Jun. 2007 1398-1404 Wu, K., see Li, L., T-MTT Nov. 2007 2427-2433 Wu, K., see Chen, X.-P., T-MTT Dec. 2007 2569-2578 Wu, K., see Yang, N., T-MTT Dec. 2007 2874-2886 Wu, K., see Liu, B., T-MTT Dec. 2007 2586-2592 Wu, K., see Ding, Y., T-MTT Dec. 2007 2839-2844 Wu, K., see Xu, F., T-MTT Dec. 2007 2502-2511 Wu, K., see Luo, G. Q., T-MTT Dec. 2007 2481-2487 Wu, P.-S., see Lin, C.-S., T-MTT Jun. 2007 1190-1199 Wu, R.-B., see Shen, T.-M., T-MTT Aug. 2007 1771-1779 Wu, T.-H., Tseng, S.-C., Meng, C.-C., and Huang, G.-W., GaInP/GaAs HBT Sub-Harmonic Gilbert Mixers Using Stacked-LO and Leveled-LO Topologies; T-MTT May 2007 880-889 Wurfl, J., see Rudolph, M., T-MTT Jan. 2007 37-43 Wybo, G., see El Kaamouchi, M., T-MTT Dec. 2007 2822-2831

X Xiao, F., see Mao, R.-J., T-MTT Jul. 2007 1539-1547 Xiong, Y. Z., see Issaoun, A., T-MTT Sep. 2007 1813-1823 Xu, F., see Li, L., T-MTT Nov. 2007 2427-2433 Xu, F., Wu, K., and Hong, W., Equivalent Resonant Cavity Model of Arbitrary Periodic Guided-Wave Structures and Its Application to Finite-Difference Frequency-Domain Algorithm; T-MTT Apr. 2007 697-702 Xu, F., Wu, K., and Hong, W., Finite-Difference Time-Domain Modeling of Periodic Guided-Wave Structures and Its Application to the Analysis of Substrate Integrated Nonradiative Dielectric Waveguide; T-MTT Dec. 2007 2502-2511 Xu, H., Schoenthal, G. S., Hesler, J. L., Crowe, T. W., and Weikle, R. M., Nonohmic Contact Planar Varactor Frequency Upconverters for Terahertz Applications; T-MTT Apr. 2007 648-655 Xue, Q., see Mo, T. T., T-MTT Jan. 2007 161-167 Xue, Q., see Chen, J.-X., T-MTT Sep. 2007 1899-1904 Xue, Q., see Zhang, X. Y., T-MTT Oct. 2007 2183-2190 Xue, Q., see Chiu, L., T-MTT Nov. 2007 2419-2426 + Check author entry for coauthors

Y Yakovlev, A. B., see Zhang, Y., T-MTT Jun. 2007 1332-1340 Yamada, M., see Kiuchi, H., T-MTT Sep. 2007 1964-1972 Yamanaka, Y., see Wake, K., T-MTT Feb. 2007 343-350 Yamanaka, Y., see Wake, K., T-MTT Mar. 2007 588-596 Yamanouchi, S., Aoki, Y., Kunihiro, K., Hirayama, T., Miyazaki, T., and Hida, H., Analysis and Design of a Dynamic Predistorter for WCDMA Handset Power Amplifiers; T-MTT Mar. 2007 493-503 Yan, W. D., see Daneshmand, M., T-MTT Jun. 2007 1229-1236 Yan, W. D., and Mansour, R. R., Tunable Dielectric Resonator Bandpass Filter With Embedded MEMS Tuning Elements; T-MTT Jan. 2007 154-160 Yang, C. F., see Wang, C. W., T-MTT Dec. 2007 2792-2801 Yang, J., see Choi, J., T-MTT Dec. 2007 2679-2690 Yang, L.-Y., see Chen, Y.-J. E., T-MTT Oct. 2007 2053-2058 Yang, L., Rida, A., Vyas, R., and Tentzeris, M. M., RFID Tag and RF Structures on a Paper Substrate Using Inkjet-Printing Technology; T-MTT Dec. 2007 2894-2901 Yang, N., Caloz, C., Wu, K., and Chen, Z. N., Broadband and Compact Coupled Coplanar Stripline Filters With Impedance Steps; T-MTT Dec. 2007 28742886 Yang, T.-R., Tsai, J. M.-L., Ho, C.-L., and Hu, R., SiGe HBT’s Small-Signal Pi Modeling; T-MTT Jul. 2007 1417-1424 Yang, Y., see Jung, S.-C., T-MTT Jan. 2007 52-59 Yang, Y., see Ahn, G., T-MTT Jun. 2007 1105-1111 Yang, Y., see Park, H., T-MTT Nov. 2007 2313-2319 Yao, J., see Chi, H., T-MTT Sep. 2007 1958-1963 Yarovoy, A. G., Savelyev, T. G., Aubry, P. J., Lys, P. E., and Ligthart, L. P., UWB Array-Based Sensor for Near-Field Imaging; T-MTT Jun. 2007 12881295 Yedlin, M. J., see Lam, K., T-MTT Apr. 2007 801-808 Yeh, M.-C., see Lin, C.-S., T-MTT Jun. 2007 1190-1199 Yeh, W.-C., see Chen, Y.-J. E., T-MTT Oct. 2007 2053-2058 Yeo, K. S., see Tong, A. F., T-MTT Sep. 2007 1844-1853 Yeo, S.-P., see Chen, Y., T-MTT Nov. 2007 2434-2438 Yeung, L. K., and Wang, Y. E., A Novel 180 Hybrid Using Broadside-Coupled Asymmetric Coplanar Striplines; T-MTT Dec. 2007 2625-2630 Yeung, L. K., and Wu, K.-L., A Dual-Band Coupled-Line Balun Filter; T-MTT Nov. 2007 2406-2411 Yi, J., see Hong, S., T-MTT May 2007 925-931 Yi, J., see Woo, Y. Y., T-MTT May 2007 932-940 Yim, J., see Choi, J., T-MTT Dec. 2007 2679-2690 Yin, W.-Y., see Shi, J., T-MTT Jan. 2007 1-12 Yin, X., see Liu, B., T-MTT Dec. 2007 2586-2592 Yioultsis, T. V., see Polimeridis, A. G., T-MTT Jan. 2007 100-107 Yoo, C.-S., Lee, J.-K., Kim, D., Park, S.-D., Won, K.-H., Kang, N.-K., Seo, K.-S., and Lee, W.-S., RF Front-End Passive Circuit Implementation Including Antenna for ZigBee Applications; T-MTT May 2007 906-915 Yoo, H.-J., see Cho, N., T-MTT May 2007 1080-1086 Yoo, J., see Cho, N., T-MTT May 2007 1080-1086 Yook, J.-G., see Myoung, S.-S., T-MTT Jul. 2007 1531-1538 Yoon, S.-W., Static and Dynamic Error Vector Magnitude Behavior of 2.4-GHz Power Amplifier; T-MTT Apr. 2007 643-647 Yoon, Y. J., see Kim, H., T-MTT May 2007 916-924 York, R. A., see Pervez, N. K., T-MTT Feb. 2007 410-417 Yoshida, W., see Deal, W. R., T-MTT Dec. 2007 2719-2726 Yu, A., see Karim, M. F., T-MTT Jun. 2007 1154-1162 Yu, C., see Wu, C., T-MTT Aug. 2007 1649-1658 Yu, M., see Zheng, J., T-MTT Nov. 2007 2365-2373 Yuan, X., see Peng, Z., T-MTT Dec. 2007 2911-2918

Z Zaghloul, M. E., see Nordin, A. N., T-MTT May 2007 992-1001 Zakrzewski, Z., see Pollak, J., T-MTT May 2007 951-957 Zapata, J., see de la Rubia, V., T-MTT Jul. 2007 1520-1530 Zedler, M., Caloz, C., and Russer, P., A 3-D Isotropic Left-Handed Metamaterial Based on the Rotated Transmission-Line Matrix (TLM) Scheme; T-MTT Dec. 2007 2930-2941 Zeng, J., Wang, C., and Sangster, A. J., Theoretical and Experimental Studies of Flip-Chip Assembled High-Q Suspended MEMS Inductors; T-MTT Jun. 2007 1171-1181 Zhang, D., see Hu, W., T-MTT Feb. 2007 418-424

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Zhang, H., see Wang, X. H., T-MTT Sep. 2007 1912-1918 Zhang, M.-S., Li, Y.-S., Jia, C., and Li, L.-P., Signal Integrity Analysis of the Traces in Electromagnetic-Bandgap Structure in High-Speed Printed Circuit Boards and Packages; T-MTT May 2007 1054-1062 Zhang, M.-S., Li, Y.-S., Jia, C., and Li, L.-P., Simultaneous Switching Noise Suppression in Printed Circuit Boards Using a Compact 3-D Cascaded Electromagnetic-Bandgap Structure; T-MTT Oct. 2007 2200-2207 Zhang, Q., see Li, F., T-MTT Apr. 2007 760-767 Zhang, Q., see Li, S., T-MTT Apr. 2007 754-759 Zhang, R., and Mansour, R. R., Low-Cost Dielectric-Resonator Filters With Improved Spurious Performance; T-MTT Oct. 2007 2168-2175 Zhang, X., see Li, F., T-MTT Apr. 2007 760-767 Zhang, X. Y., and Xue, Q., Novel Dual-Mode Dual-Band Filters Using Coplanar-Waveguide-Fed Ring Resonators; T-MTT Oct. 2007 2183-2190 Zhang, X., see Li, S., T-MTT Apr. 2007 754-759 Zhang, X., see Guo, X., T-MTT Dec. 2007 2555-2561 Zhang, Y., see Liu, B., T-MTT Dec. 2007 2586-2592 Zhang, Y. P., see Poh, A., T-MTT Mar. 2007 458-466 Zhang, Y. P., see Li, Q., T-MTT Oct. 2007 2015-2023 Zhang, Y., Kishk, A. A., Yakovlev, A. B., and Glisson, A. W., Analysis of Wideband Dielectric Resonator Antenna Arrays for Waveguide-Based Spatial Power Combining; T-MTT Jun. 2007 1332-1340 Zhang, Y., and Lu, S.-W., Genetic Algorithm in Reduction of Numerical Dispersion of 3-D Alternating-Direction-Implicit Finite-Difference Time-Domain Method; T-MTT May 2007 966-973 Zhang, Y., and Spielman, B. E., A Stability Analysis for Time-Domain Method-of-Moments Analysis of 1-D Double-Negative Transmission Lines; T-MTT Sep. 2007 1887-1898 Zhao, Y., and Grischkowsky, D. R., 2-D Terahertz Metallic Photonic Crystals in Parallel-Plate Waveguides; T-MTT Apr. 2007 656-663 Zhao, Z., see Lugo, C., T-MTT Feb. 2007 376-382 Zhao, Z., Wang, X., Choi, K., Lugo, C., and Hunt, A. T., Ferroelectric Phase Shifters at 20 and 30 GHz; T-MTT Feb. 2007 430-437 Zheng, J., and Yu, M., Rigorous Mode-Matching Method of Circular to OffCenter Rectangular Side-Coupled Waveguide Junctions for Filter Applications; T-MTT Nov. 2007 2365-2373 Zheng, Y., see Scheele, P., T-MTT Feb. 2007 383-390 Zhou, J., see Lancaster, M. J., T-MTT Jul. 2007 1548-1553 Zhou, J., Morris, K. A., and Lancaster, M. J., General Design of Multiway Multisection Power Dividers by Interconnecting Two-Way Dividers; T-MTT Oct. 2007 2208-2215 Zhou, Y., see Cheng, Z. Q., T-MTT Jan. 2007 23-29 Zhu, A., Pedro, J. C., and Cunha, T. R., Pruning the Volterra Series for Behavioral Modeling of Power Amplifiers Using Physical Knowledge; T-MTT May 2007 813-821 Zhu, L., see Sun, S., T-MTT Oct. 2007 2176-2182 Zhu, Q. C., Williamson, A. G., and Neve, M. J., Reactance of Posts in Circular Waveguide; T-MTT Aug. 2007 1685-1688 Zhu, X. A., see Fu, J.-S., T-MTT Feb. 2007 354-360 Zhurbenko, V., see Jensen, T., T-MTT Dec. 2007 2957-2965 Ziemann, V., see Cheng, S., T-MTT Oct. 2007 2257-2261 Zirath, H., see Gunnarsson, S. E., T-MTT Oct. 2007 2065-2074 SUBJECT INDEX

A

Active antennas Wideband Design of the Fully Integrated Transmitter Front-End With High Power-Added Efficiency. Kim, H., +, T-MTT May 2007 916-924 Active Integrated Antenna Based on Planar Dielectric Resonator With Tuning Ferroelectric Varactor. Buslov, O. Y., +, T-MTT Dec. 2007 2951-2956 Active networks A Compact Quadrature Hybrid MMIC Using CMOS Active Inductors. Hsieh, H.-H., +, T-MTT Jun. 2007 1098-1104 Air gaps Air-Gap Transmission Lines on Organic Substrates for Low-Loss Interconnects. Spencer, T. J., +, T-MTT Sep. 2007 1919-1925

+ Check author entry for coauthors

15

All-pass filters Ferroelectric Phase Shifters at 20 and 30 GHz. Zhao, Z., +, T-MTT Feb. 2007 430-437 Aluminium compounds A Low Phase-Noise -Band MMIC VCO Using High-Linearity and Ga N/GaN Low-Noise Composite-Channel Al Ga N/Al HEMTs. Cheng, Z. Q., +, T-MTT Jan. 2007 23-29 Global Modeling Analysis of HEMTs by the Spectral Balance Technique. Leuzzi, G., +, T-MTT Jun. 2007 1405-1412 Traceable 2-D Finite-Element Simulation of the Whispering-Gallery Modes of Axisymmetric Electromagnetic Resonators. Oxborrow, M., T-MTT Jun. 2007 1209-1218 Amplification Analytic Large-Signal Modeling of Silicon RF Power MOSFETs. Fioravanti, P., +, T-MTT May 2007 829-837 Amplifiers 3–10-GHz Ultra-Wideband Low-Noise Amplifier Utilizing Miller Effect and Inductive Shunt–Shunt Feedback Technique. Lin, Y.-T., +, T-MTT Sep. 2007 1832-1843 A 2.4-GHz Fully Integrated ESD-Protected Low-Noise Amplifier in 130-nm PD SOI CMOS Technology. El Kaamouchi, M., +, T-MTT Dec. 2007 28222831 Amplitude estimation Variable Precision Two-Channel Phase, Amplitude, and Timing Measurements for Radar Interferometry and Polarimetry. Siqueira, P., +, T-MTT Oct. 2007 2248-2256 Amplitude shift keying 60-GHz System-on-Package Transmitter Integrating Sub-Harmonic Frequency Amplitude Shift-Keying Modulator. Jung, D. Y., +, T-MTT Aug. 2007 1786-1793 Analog circuits Phase Modulation With Interferometric Detection as an Alternative to Intensity Modulation With Direct Detection for Analog-Photonic Links. Urick, V. J., +, T-MTT Sep. 2007 1978-1985 10-Gb/s Optical Fiber Transmission Using a Fully Analog Electronic Dispersion Compensator (EDC) With Unclocked Decision-Feedback Equalization. Chandramouli, S., +, T-MTT Dec. 2007 2740-2746 Analogue multipliers A Fully Electronic System for the Time Magnification of Ultra-Wideband Signals. Schwartz, J. D., +, T-MTT Feb. 2007 327-334 Analogue-digital conversion Multitone Fast Frequency-Hopping Synthesizer for UWB Radio. Stadius, K., +, T-MTT Aug. 2007 1633-1641 Analytical models Behavioral Thermal Modeling for Microwave Power Amplifier Design. Mazeau, J., +, T-MTT Nov. 2007 2290-2297 Anisotropic media Efficient Cartesian-Grid-Based Modeling of Rotationally Symmetric Bodies. Shyroki, D. M., T-MTT Jun. 2007 1132-1138 Fourier Decomposition Analysis of Anisotropic Inhomogeneous Dielectric Waveguide Structures. Pashaie, R., T-MTT Aug. 2007 1689-1696 Antenna arrays UWB Array-Based Sensor for Near-Field Imaging. Yarovoy, A. G., +, T-MTT Jun. 2007 1288-1295 Antenna feeds Terahertz Performance of Integrated Lens Antennas With a Hot-Electron Bolometer. Semenov, A. D., +, T-MTT Feb. 2007 239-247 Analysis of Wideband Dielectric Resonator Antenna Arrays for WaveguideBased Spatial Power Combining. Zhang, Y., +, T-MTT Jun. 2007 1332-1340 Antenna phased arrays A High-Directivity Combined Self-Beam/Null-Steering Array for Secure Point-to-Point Communications. Shiroma, G. S., +, T-MTT May 2007 838-844 Antenna radiation patterns Terahertz Performance of Integrated Lens Antennas With a Hot-Electron Bolometer. Semenov, A. D., +, T-MTT Feb. 2007 239-247 Analysis of Wideband Dielectric Resonator Antenna Arrays for WaveguideBased Spatial Power Combining. Zhang, Y., +, T-MTT Jun. 2007 1332-1340 Mutual Synthesis of Combined Microwave Circuits Applied to the Design of a Filter-Antenna Subsystem. Troubat, M., +, T-MTT Jun. 2007 1182-1189 Aperture antennas Ultra-Wideband Multifunctional Communications/Radar System. Saddik, G. N., +, T-MTT Jul. 2007 1431-1437

X

16

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Analysis and Design of Monolithic Rectangular Coaxial Lines for Minimum Coupling. Saito, Y., +, T-MTT Dec. 2007 2521-2530 Apertures Inverse Synthetic Aperture Secondary Radar Concept for Precise Wireless Positioning. Vossiek, M., +, T-MTT Nov. 2007 2447-2453 Approximation methods Passivity Enforcement With Relative Error Control. Grivet-Talocia, S., +, T-MTT Nov. 2007 2374-2383 Approximation theory Space-Mapping Optimization With Adaptive Surrogate Model. Koziel, S., +, T-MTT Mar. 2007 541-547 A Nonlinear Finite-Element Leaky-Waveguide Solver. Allilomes, P. C., +, T-MTT Jul. 2007 1496-1510 Computation of Electromagnetic Fields in Assemblages of Biological Cells Using a Modified Finite-Difference Time-Domain Scheme. See, C. H., +, T-MTT Sep. 2007 1986-1994 Generalized Coupled-Mode Approach of Metamaterial Coupled-Line Couplers: Coupling Theory, Phenomenological Explanation, and Experimental Demonstration. Nguyen, H. V., +, T-MTT May 2007 1029-1039 Arrayed waveguide gratings Power Transfer in a Large Parallel Array of Coupled Dielectric Waveguides. Wei, J. S., T-MTT Nov. 2007 2345-2353 Arrays A 2-D Phase-Detecting/Heterodyne-Scanning Retrodirective Array. Watanabe, M. K., +, T-MTT Dec. 2007 2856-2864 Assembling Theoretical and Experimental Studies of Flip-Chip Assembled High- Suspended MEMS Inductors. Zeng, J., +, T-MTT Jun. 2007 1171-1181 Astronomical polarimetry Five-Level Waveguide Correlation Unit for Astrophysical Polarimetric Measurements. Virone, G., +, T-MTT Feb. 2007 309-317

Q

B Backscatter Inverse Synthetic Aperture Secondary Radar Concept for Precise Wireless Positioning. Vossiek, M., +, T-MTT Nov. 2007 2447-2453 Baluns RF Front-End Passive Circuit Implementation Including Antenna for ZigBee Applications. Yoo, C.-S., +, T-MTT May 2007 906-915 Analysis of Multiconductor Coupled-Line Mar.and Baluns for Miniature MMIC Design. Lin, C.-S., +, T-MTT Jun. 2007 1190-1199 Modified Wilkinson Power Dividers for Millimeter-Wave Integrated Circuits. Horst, S., +, T-MTT Nov. 2007 2439-2446 Band pass filters A 25–75-MHz RF MEMS Tunable Filter. Entesari, K., +, T-MTT Nov. 2007 2399-2405 Band-pass filters Novel Patch-Via-Spiral Resonators for the Development of Miniaturized Bandpass Filters With Transmission Zeros. Lin, S.-C., +, T-MTT Jan. 2007 137-146 40-GHz MMIC SPDT and Multiple-Port Bandpass Filter-Integrated Switches. Chao, S.-F., +, T-MTT Dec. 2007 2691-2699 A 12-Pole Narrowband Highly Selective High-Temperature Superconducting Filter for the Application in the Third-Generation Wireless Communications. Li, S., +, T-MTT Apr. 2007 754-759 A Dual-Band Coupled-Line Balun Filter. Yeung, L. K., +, T-MTT Nov. 2007 2406-2411 A Microstrip Ultra-Wideband Bandpass Filter With Cascaded Broadband Bandpass and Bandstop Filters. Tang, C.-W., +, T-MTT Nov. 2007 24122418 A Reconfigurable Micromachined Switching Filter Using Periodic Structures. Karim, M. F., +, T-MTT Jun. 2007 1154-1162 A Synthesis Method for Dual-Passband Microwave Filters. Lee, J., +, T-MTT Jun. 2007 1163-1170 Analysis and Design of Bandpass Single-Pole–Double-Throw FET FilterIntegrated Switches. Tsai, Z.-M., +, T-MTT Aug. 2007 1601-1610 Balanced Coupled-Resonator Bandpass Filters Using Multisection Resonators for Common-Mode Suppression and Stopband Extension. Wu, C.-H., +, T-MTT Aug. 2007 1756-1763 Ceramic Layer-By-Layer Stereolithography for the Manufacturing of 3-D Millimeter-Wave Filters. Delhote, N., +, T-MTT Mar. 2007 548-554 + Check author entry for coauthors

Compact Planar Quasi-Elliptic Function Filter With Inline SteppedImpedance Resonators. Kuo, J.-T., +, T-MTT Aug. 2007 1747-1755 Composite Right/Left-Handed Metamaterial Transmission Lines Based on Complementary Split-Rings Resonators and Their Applications to Very Wideband and Compact Filter Design. Gil, M., +, T-MTT Jun. 2007 12961304 Coplanar-Waveguide-Fed Microstrip Bandpass Filters With Capacitively Broadside-Coupled Structures for Multiple Spurious Suppression. Wang, C.-H., +, T-MTT Apr. 2007 768-775 Design and Analysis of Super-Wide Bandpass Filters Using a Novel Compact Meta-Structure. Lin, X. Q., +, T-MTT Apr. 2007 747-753 Design and Development of Advanced Cavity-Based Dual-Mode Filters Using Low-Temperature Co-Fired Ceramic Technology for -Band Gigabit Wireless Systems. Lee, J.-H., +, T-MTT Sep. 2007 1869-1879 Design of Bandpass Elliptic Filters Employing Inductive Windows and Dielectric Objects. Perez Soler, F. J., +, T-MTT Nov. 2007 2393-2398 Design of Bandpass Transversal Filters Employing a Novel Hybrid Structure. Martinez-Mendoza, M., +, T-MTT Dec. 2007 2670-2678 Design of H-Bridge Class-D Power Amplifiers for Digital Pulse Modulation Transmitters. Hung, T.-P., +, T-MTT Dec. 2007 2845-2855 Design of Stepped-Impedance Combline Bandpass Filters With Symmetric Insertion-Loss Response and Wide Stopband Range. Chen, Y.-M., +, T-MTT Oct. 2007 2191-2199 Design of Vertically Stacked Waveguide Filters in LTCC. Shen, T.-M., +, T-MTT Aug. 2007 1771-1779 Design of a High-Power Superconducting Filter Using Resonators With Different Linewidths. Guo, X., +, T-MTT Dec. 2007 2555-2561 Double-Sided Parallel-Strip Line With an Inserted Conductor Plane and Its Applications. Chen, J.-X., +, T-MTT Sep. 2007 1899-1904 Dual-Band and Triple-Band Substrate Integrated Waveguide Filters With Chebyshev and Quasi-Elliptic Responses. Chen, X.-P., +, T-MTT Dec. 2007 2569-2578 Frequency and Bandwidth Agile Millimeter-Wave Filter Using Ferroelectric Capacitors and MEMS Cantilevers. Lugo, C., +, T-MTT Feb. 2007 376-382 Input and Output Cross-Coupled Wideband Bandpass Filter. Shaman, H., +, T-MTT Dec. 2007 2562-2568 Left-Handed Metamaterial Coplanar Waveguide Components and Circuits in GaAs MMIC Technology. Tong, W., +, T-MTT Aug. 2007 1794-1800 Miniaturized Dual-Mode Ring Bandpass Filters With Patterned Ground Plane. Mao, R.-J., +, T-MTT Jul. 2007 1539-1547 Novel Balanced Coupled-Line Bandpass Filters With Common-Mode Noise Suppression. Wu, C.-H., +, T-MTT Feb. 2007 287-295 Novel Dual-Mode Dual-Band Filters Using Coplanar-Waveguide-Fed Ring Resonators. Zhang, X. Y., +, T-MTT Oct. 2007 2183-2190 Physical Interpretation and Implications of Similarity Transformations in Coupled Resonator Filter Design. Amari, S., +, T-MTT Jun. 2007 11391153 Planar Bandpass Filters for Ultra-Wideband Applications. Abbosh, A. M., T-MTT Oct. 2007 2262-2269 Planar Realization of a Triple-Mode Bandpass Filter Using a Multilayer Configuration. Lugo, C., +, T-MTT Feb. 2007 296-301 RF Front-End Passive Circuit Implementation Including Antenna for ZigBee Applications. Yoo, C.-S., +, T-MTT May 2007 906-915 Rigorous Mode-Matching Method of Circular to Off-Center Rectangular Side-Coupled Waveguide Junctions for Filter Applications. Zheng, J., +, T-MTT Nov. 2007 2365-2373 Tunable Dielectric Resonator Bandpass Filter With Embedded MEMS Tuning Elements. Yan, W. D., +, T-MTT Jan. 2007 154-160 Ultra-Wideband Multifunctional Communications/Radar System. Saddik, G. N., +, T-MTT Jul. 2007 1431-1437 Wideband Microstrip Ring Resonator Bandpass Filters Under Multiple Resonances. Sun, S., +, T-MTT Oct. 2007 2176-2182 Band-stop filters A Novel Band-Reject Element for Pseudoelliptic Bandstop Filters. Rosenberg, U., +, T-MTT Apr. 2007 742-746 A Reconfigurable Micromachined Switching Filter Using Periodic Structures. Karim, M. F., +, T-MTT Jun. 2007 1154-1162 A Tunable Bandstop Resonator Based on a Compact Slotted Ground Structure. Wang, X. H., +, T-MTT Sep. 2007 1912-1918 Design of Stepped-Impedance Combline Bandpass Filters With Symmetric Insertion-Loss Response and Wide Stopband Range. Chen, Y.-M., +, T-MTT Oct. 2007 2191-2199 Wideband Bandstop Filter With Cross-Coupling. Shaman, H., +, T-MTT Aug. 2007 1780-1785

V

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Bandwidth A 275–425-GHz Tunerless Waveguide Receiver Based on AlN-Barrier SIS Technology. Kooi, J. W., +, T-MTT Oct. 2007 2086-2096 A Microstrip Ultra-Wideband Bandpass Filter With Cascaded Broadband Bandpass and Bandstop Filters. Tang, C.-W., +, T-MTT Nov. 2007 24122418 A Symmetrical Four-Port Microstrip Coupler for Crossover Application. Chen, Y., +, T-MTT Nov. 2007 2434-2438 Barium alloys Improving Linearity of Ferroelectric-Based Microwave Tunable Circuits. Fu, J.-S., +, T-MTT Feb. 2007 354-360 A 360 BST Phase Shifter With Moderate Bias Voltage at 30 GHz. Velu, G., +, T-MTT Feb. 2007 438-444 Comparison of Techniques for Microwave Characterization of BST Thin Films. Suherman, P. M., +, T-MTT Feb. 2007 397-401 Ferroelectric Phase Shifters at 20 and 30 GHz. Zhao, Z., +, T-MTT Feb. 2007 430-437 Geometry-Dependent Quality Factors in Ba Sr TiO Parallel-Plate Capacitors. Pervez, N. K., +, T-MTT Feb. 2007 410-417 Investigation of Ferroelectric Thick-Film Varactors for Microwave Phase Shifters. Hu, W., +, T-MTT Feb. 2007 418-424 Barium compounds Ceramic Layer-By-Layer Stereolithography for the Manufacturing of 3-D Millimeter-Wave Filters. Delhote, N., +, T-MTT Mar. 2007 548-554 A 12-Pole Narrowband Highly Selective High-Temperature Superconducting Filter for the Application in the Third-Generation Wireless Communications. Li, S., +, T-MTT Apr. 2007 754-759 Surface Micromachined Microelectromechancial Ohmic Series Switch Using Thin-Film Piezoelectric Actuators. Polcawich, R. G., +, T-MTT Dec. 2007 2642-2654 Baseband An Efficient Time-Domain Simulation Method for Multirate RF Nonlinear Circuits. Oliveira, J. F., +, T-MTT Nov. 2007 2384-2392 Beam steering UWB Array-Based Sensor for Near-Field Imaging. Yarovoy, A. G., +, T-MTT Jun. 2007 1288-1295 Beams (structures) Optimization and Implementation of Impedance-Matched True-Time-Delay Phase Shifters on Quartz Substrate. Lakshminarayanan, B., +, T-MTT Feb. 2007 335-342 BiCMOS digital integrated circuits Fully Integrated Differential Distributed VCO in 0.35-m SiGe BiCMOS Technology. Bilionis, G. P., +, T-MTT Jan. 2007 13-22 BiCMOS integrated circuits Polar SiGe Class E and F Amplifiers Using Switch-Mode Supply Modulation. Kitchen, J. N., +, T-MTT May 2007 845-856 Biological effects of microwaves An Exposure System for Long-Term and Large-Scale Animal Bioassay of 1.5-GHz Digital Cellular Phones. Wake, K., +, T-MTT Feb. 2007 343-350 A Real-Time Exposure System for Electrophysiological Recording in Brain Slices. Paffi, A., +, T-MTT Nov. 2007 2463-2471 Development of a 2.45-GHz Local Exposure System for In Vivo Study on Ocular Effects. Wake, K., +, T-MTT Mar. 2007 588-596 Biological effects of radiation Rigorous Characterization of Resonant Hot Spot Conditions in a Stratified Tissue Model. Razansky, D., +, T-MTT May 2007 1063-1072 Biological techniques Rigorous Characterization of Resonant Hot Spot Conditions in a Stratified Tissue Model. Razansky, D., +, T-MTT May 2007 1063-1072 Biological tissues Rigorous Characterization of Resonant Hot Spot Conditions in a Stratified Tissue Model. Razansky, D., +, T-MTT May 2007 1063-1072 Biomedical communication The Human Body Characteristics as a Signal Transmission Medium for Intrabody Communication. Cho, N., +, T-MTT May 2007 1080-1086 Biomedical ultrasonics Arctangent Demodulation With DC Offset Compensation in Quadrature Doppler Radar Receiver Systems. Park, B.-K., +, T-MTT May 2007 1073-1079 Biomembranes Computation of Electromagnetic Fields in Assemblages of Biological Cells Using a Modified Finite-Difference Time-Domain Scheme. See, C. H., +, T-MTT Sep. 2007 1986-1994

+ Check author entry for coauthors

17

Bipolar MMIC A New X -Band Low Phase-Noise Multiple-Device Oscillator Based on the Extended-Resonance Technique. Choi, J., +, T-MTT Aug. 2007 1642-1648 Bipolar analogue integrated circuits A Wideband CMOS Variable Gain Amplifier With an Exponential Gain Control. Lee, H. D., +, T-MTT Jun. 2007 1363-1373 Bipolar integrated circuits RF Characterization of SiGe HBT Power Amplifiers Under Load Mismatch. Keerti, A., +, T-MTT Feb. 2007 207-214 Analysis and Design of a Dynamic Predistorter for WCDMA Handset Power Amplifiers. Yamanouchi, S., +, T-MTT Mar. 2007 493-503 GaInP/GaAs HBT Sub-Harmonic Gilbert Mixers Using Stacked-LO and Leveled-LO Topologies. Wu, T.-H., +, T-MTT May 2007 880-889 Static and Dynamic Error Vector Magnitude Behavior of 2.4-GHz Power Amplifier. Yoon, S.-W., T-MTT Apr. 2007 643-647 Bipolar transistors A 2.4-GHz Low-Power Low-IF Receiver and Direct-Conversion Transmitter in 0.18-m CMOS for IEEE 802.15.4 WPAN Applications. Nam, I., +, T-MTT Apr. 2007 682-689 Integrated Heterojunction Bipolar Transistor Optically Injection-Locked Self-Oscillating Opto-Electronic Mixers for Bi-Directional Fiber-Fed Wireless Applications. Kim, J.-Y., +, T-MTT Dec. 2007 2734-2739 Bolometers Terahertz Performance of Integrated Lens Antennas With a Hot-Electron Bolometer. Semenov, A. D., +, T-MTT Feb. 2007 239-247 The Direct Detection Effect in the Hot-Electron Bolometer Mixer Sensitivity Calibration. Cherednichenko, S., +, T-MTT Mar. 2007 504-510 Boltzmann equation Global Modeling Analysis of HEMTs by the Spectral Balance Technique. Leuzzi, G., +, T-MTT Jun. 2007 1405-1412 Bonding processes Theoretical and Experimental Studies of Flip-Chip Assembled High-Q Suspended MEMS Inductors. Zeng, J., +, T-MTT Jun. 2007 1171-1181 Boundary conditions Generalized Impedance Boundary Condition for Conductor Modeling in Surface Integral Equation. Qian, Z. G., +, T-MTT Nov. 2007 2354-2364 Boundary integral equations On the Fast and Rigorous Analysis of Compensated Waveguide Junctions Using Off-Centered Partial-Height Metallic Posts. San Blas, A. A., +, T-MTT Jan. 2007 168-175 Brain A Real-Time Exposure System for Electrophysiological Recording in Brain Slices. Paffi, A., +, T-MTT Nov. 2007 2463-2471 Broadband amplifiers Linearization of CMOS Broadband Power Amplifiers Through Combined Multigated Transistors and Capacitance Compensation. Lu, C., +, T-MTT Nov. 2007 2320-2328 Broadband antennas Wideband Design of the Fully Integrated Transmitter Front-End With High Power-Added Efficiency. Kim, H., +, T-MTT May 2007 916-924 Analysis of Wideband Dielectric Resonator Antenna Arrays for WaveguideBased Spatial Power Combining. Zhang, Y., +, T-MTT Jun. 2007 1332-1340 Broadband networks Linearization of CMOS Broadband Power Amplifiers Through Combined Multigated Transistors and Capacitance Compensation. Lu, C., +, T-MTT Nov. 2007 2320-2328 A Microstrip Ultra-Wideband Bandpass Filter With Cascaded Broadband Bandpass and Bandstop Filters. Tang, C.-W., +, T-MTT Nov. 2007 24122418 A Wideband and Scalable Model of Spiral Inductors Using Space-Mapping Neural Network. Cao, Y., +, T-MTT Dec. 2007 2473-2480 Broadband Dielectric Characterization of Tumorous and Nontumorous Breast Tissues. Khan, U. A., +, T-MTT Dec. 2007 2887-2893 Broadband and Compact Coupled Coplanar Stripline Filters With Impedance Steps. Yang, N., +, T-MTT Dec. 2007 2874-2886 Input and Output Cross-Coupled Wideband Bandpass Filter. Shaman, H., +, T-MTT Dec. 2007 2562-2568 Liquid RF MEMS Wideband Reflective and Absorptive Switches. Chen, C.-H., +, T-MTT Dec. 2007 2919-2929

18

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

C

CAD A Space-Mapping Approach to Microwave Device Modeling Exploiting Fuzzy Systems. Koziel, S., +, T-MTT Dec. 2007 2539-2547 CMOS analogue integrated circuits Electrical Backplane Equalization Using Programmable Analog Zeros and Folded Active Inductors. Chen, J., +, T-MTT Jul. 2007 1459-1466 Power Supply Rejection for RF Amplifiers: Theory and Measurements. Stauth, J. T., +, T-MTT Oct. 2007 2043-2052 Printed and Integrated CMOS Positive/Negative Refractive-Index Phase Shifters Using Tunable Active Inductors. Abdalla, M. A. Y., +, T-MTT Aug. 2007 1611-1623 CMOS integrated circuits Ultra-Compact High-Linearity High-Power Fully Integrated DC–20-GHz 0.18-m CMOS T/R Switch. Jin, Y., +, T-MTT Jan. 2007 30-36 3-D Integration of 10-GHz Filter and CMOS Receiver Front-End. Choi, T., +, T-MTT Nov. 2007 2298-2305 35–65-GHz CMOS Broadband Modulator and Demodulator With Sub-Harmonic Pumping for MMW Wireless Gigabit Applications. Tsai, J.-H., +, T-MTT Oct. 2007 2075-2085 A 1-V Wideband Low-Power CMOS Active Differential Power Splitter for Wireless Communication. Lee, S., +, T-MTT Aug. 2007 1593-1600 A 1.5-V 2–9.6-GHz Inductorless Low-Noise Amplifier in 0.13-m CMOS. Li, Q., +, T-MTT Oct. 2007 2015-2023 A 1.9-GHz CMOS Power Amplifier Using Three-Port Asymmetric Transmission Line Transformer for a Polar Transmitter. Park, C., +, T-MTT Feb. 2007 230-238 A 15/30-GHz Dual-Band Multiphase Voltage-Controlled Oscillator in 0.18-m CMOS. Hsieh, H.-H., +, T-MTT Mar. 2007 474-483 A 16-GHz Triple-Modulus Phase-Switching Prescaler and Its Application to a 15-GHz Frequency Synthesizer in 0.18-m CMOS. Peng, Y.-H., +, T-MTT Jan. 2007 44-51 A 2.4-GHz Fully Integrated ESD-Protected Low-Noise Amplifier in 130-nm PD SOI CMOS Technology. El Kaamouchi, M., +, T-MTT Dec. 2007 28222831 A 2.4-GHz Low-Power Low-IF Receiver and Direct-Conversion Transmitter in 0.18-m CMOS for IEEE 802.15.4 WPAN Applications. Nam, I., +, T-MTT Apr. 2007 682-689 A 4-bit CMOS Phase Shifter Using Distributed Active Switches. Kang, D.-W., +, T-MTT Jul. 2007 1476-1483 A Compact Quadrature Hybrid MMIC Using CMOS Active Inductors. Hsieh, H.-H., +, T-MTT Jun. 2007 1098-1104 A Fully Integrated UHF CMOS Power Amplifier for Spacecraft Applications. Jeon, J., +, T-MTT Oct. 2007 2006-2014 A High-Performance CMOS Voltage-Controlled Oscillator for Ultra-LowVoltage Operations. Hsieh, H.-H., +, T-MTT Mar. 2007 467-473 A Millimeter-Wave CMOS LC-Tank VCO With an Admittance-Transforming Technique. Hsieh, H.-H., +, T-MTT Sep. 2007 1854-1861 A Novel Multilayer Aperture-Coupled Cavity Resonator for Millimeter-Wave CMOS RFICs. Miao, M., +, T-MTT Apr. 2007 783-787 A Wideband CMOS Variable Gain Amplifier With an Exponential Gain Control. Lee, H. D., +, T-MTT Jun. 2007 1363-1373 An Ultra-Wideband Distributed Active Mixer MMIC in 0.18-m CMOS Technology. Wu, C.-R., +, T-MTT Apr. 2007 625-632 Corrections to “A Low-Power CMOS Direct Conversion Receiver With 3-dB NF and 30-kHz Flicker-Noise Corner for 915-MHz Band IEEE 802.15.4 ZigBee Standard” [Feb 06 735-741]. Oh, N.-J., T-MTT Jun. 2007 1256-1256 Corrections to “CMOS Low-Noise Amplifier Design Optimization Techniques” [May 04 1433-1442]. Oh, N.-J., T-MTT Jun. 2007 1255-1255 Design and Analysis of Transmit/Receive Switch in Triple-Well CMOS for MIMO Wireless Systems. Poh, A., +, T-MTT Mar. 2007 458-466 Design and Analysis of a Millimeter-Wave Direct Injection-Locked Frequency Divider With Large Frequency Locking Range. Wu, C., +, T-MTT Aug. 2007 1649-1658 Design of H-Bridge Class-D Power Amplifiers for Digital Pulse Modulation Transmitters. Hung, T.-P., +, T-MTT Dec. 2007 2845-2855 Design of Synthetic Quasi-TEM Transmission Line for CMOS Compact Integrated Circuit. Chiang, M.-J., +, T-MTT Dec. 2007 2512-2520 Design of Ultra-Low-Voltage RF Frontends With Complementary CurrentReused Architectures. Hsieh, H.-H., +, T-MTT Jul. 2007 1445-1458 + Check author entry for coauthors

Frequency-Thermal Characterization of On-Chip Transformers With Patterned Ground Shields. Shi, J., +, T-MTT Jan. 2007 1-12 High-Performance CMOS-Compatible Solenoidal Transformers With a Concave-Suspended Configuration. Gu, L., +, T-MTT Jun. 2007 1237-1245 Linearization of CMOS Broadband Power Amplifiers Through Combined Multigated Transistors and Capacitance Compensation. Lu, C., +, T-MTT Nov. 2007 2320-2328 Modeling and Fabrication of CMOS Surface Acoustic Wave Resonators. Nordin, A. N., +, T-MTT May 2007 992-1001 On the Deembedding Issue of CMOS Multigigahertz Measurements. Issaoun, A., +, T-MTT Sep. 2007 1813-1823 Phase-Noise Reduction of X -Band Push–Push Oscillator With Second-Harmonic Self-Injection Techniques. Wang, T.-P., +, T-MTT Jan. 2007 66-77 RFCMOS Unit Width Optimization Technique. Tong, A. F., +, T-MTT Sep. 2007 1844-1853 Tournament-Shaped Magnetically Coupled Power-Combiner Architecture for RF CMOS Power Amplifier. Park, C., +, T-MTT Oct. 2007 2034-2042 CMOS logic circuits A 20-Gb/s 1:2 Demultiplexer With Capacitive-Splitting Current-ModeLogic Latches. Chien, J.-C., +, T-MTT Aug. 2007 1624-1632 CW radar High Precision Radar Distance Measurements in Overmoded Circular Waveguides. Pohl, N., +, T-MTT Jun. 2007 1374-1381 Calibration The Direct Detection Effect in the Hot-Electron Bolometer Mixer Sensitivity Calibration. Cherednichenko, S., +, T-MTT Mar. 2007 504-510 A Calibration Approach for the Segmentation and Analysis of Microwave Circuits. Farina, M., +, T-MTT Oct. 2007 2124-2134 Systematic Error of the Nose-to-Nose Sampling-Oscilloscope Calibration. Williams, D. F., +, T-MTT Sep. 2007 1951-1957 Cantilevers Frequency and Bandwidth Agile Millimeter-Wave Filter Using Ferroelectric Capacitors and MEMS Cantilevers. Lugo, C., +, T-MTT Feb. 2007 376-382 Capacitance Design of Class E Amplifier With Nonlinear and Linear Shunt Capacitances for Any Duty Cycle. Mediano, A., +, T-MTT Mar. 2007 484-492 Linearization of CMOS Broadband Power Amplifiers Through Combined Multigated Transistors and Capacitance Compensation. Lu, C., +, T-MTT Nov. 2007 2320-2328 Slow-Wave Line Coupler With Interdigital Capacitor Loading. Li, L., +, T-MTT Nov. 2007 2427-2433 Capacitors Improving Linearity of Ferroelectric-Based Microwave Tunable Circuits. Fu, J.-S., +, T-MTT Feb. 2007 354-360 A 25–75-MHz RF MEMS Tunable Filter. Entesari, K., +, T-MTT Nov. 2007 2399-2405 A New Compact Load Network for Doherty Amplifiers Using an Imperfect Quarter-Wave Line. Park, H., +, T-MTT Nov. 2007 2313-2319 A Novel Approach to Modeling Metal–Insulator–Metal Capacitors Over Vias With Significant Electrical Length. Asahara, M., +, T-MTT Apr. 2007 709-714 Bandwidth-Compensation Method for Miniaturized Parallel Coupled-Line Filters. Myoung, S.-S., +, T-MTT Jul. 2007 1531-1538 SiGe HBT’s Small-Signal Pi Modeling. Yang, T.-R., +, T-MTT Jul. 2007 1417-1424 Slow-Wave Line Coupler With Interdigital Capacitor Loading. Li, L., +, T-MTT Nov. 2007 2427-2433 Sub-Microsecond RF MEMS Switched Capacitors. Lacroix, B., +, T-MTT Jun. 2007 1314-1321 Cardiology Arctangent Demodulation With DC Offset Compensation in Quadrature Doppler Radar Receiver Systems. Park, B.-K., +, T-MTT May 2007 1073-1079 Cascade networks Efficient Technique for the Cascade Connection of Multiple Two-Port Scattering Matrices. Bachiller, C., +, T-MTT Sep. 2007 1880-1886 Causality Multigigahertz Causal Transmission Line Modeling Methodology Using a 3-D Hemispherical Surface Roughness Approach. Hall, S., +, T-MTT Dec. 2007 2614-2624 Cavity filters Design and Characterization of a W -Band Micromachined Cavity Filter Including a Novel Integrated Transition From CPW Feeding Lines. Li, Y., +, T-MTT Dec. 2007 2902-2910

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

19

Cavity resonator filters Design of Vertically Stacked Waveguide Filters in LTCC. Shen, T.-M., +, T-MTT Aug. 2007 1771-1779 Design and Development of Advanced Cavity-Based Dual-Mode Filters -Band Using Low-Temperature Co-Fired Ceramic Technology for Gigabit Wireless Systems. Lee, J.-H., +, T-MTT Sep. 2007 1869-1879 Cavity resonators An Alternative Algorithm for Both Narrowband and Wideband Lorentzian Dispersive Materials Modeling in the Finite-Difference Time-Domain Method. Aksoy, S., T-MTT Apr. 2007 703-708 -Band Miniaturized Quasi-Planar High- Resonators. Vanhille, K. J., +, T-MTT Jun. 2007 1272-1279 A Novel Multilayer Aperture-Coupled Cavity Resonator for Millimeter-Wave CMOS RFICs. Miao, M., +, T-MTT Apr. 2007 783-787 Analysis of Circular Cavity With Cylindrical Objects. Lech, R., +, T-MTT Oct. 2007 2115-2123 Calculation of the Properties of Reentrant Cylindrical Cavity Resonators. Carter, R. G., +, T-MTT Dec. 2007 2531-2538 Design of Bandpass Elliptic Filters Employing Inductive Windows and Dielectric Objects. Perez Soler, F. J., +, T-MTT Nov. 2007 2393-2398 Equivalent Resonant Cavity Model of Arbitrary Periodic Guided-Wave Structures and Its Application to Finite-Difference Frequency-Domain Algorithm. Xu, F., +, T-MTT Apr. 2007 697-702 Finite-Difference Time-Domain Modeling of Periodic Guided-Wave Structures and Its Application to the Analysis of Substrate Integrated Nonradiative Dielectric Waveguide. Xu, F., +, T-MTT Dec. 2007 2502-2511 RFID Tag and RF Structures on a Paper Substrate Using Inkjet-Printing Technology. Yang, L., +, T-MTT Dec. 2007 2894-2901 Rigorous Mode-Matching Method of Circular to Off-Center Rectangular Side-Coupled Waveguide Junctions for Filter Applications. Zheng, J., +, T-MTT Nov. 2007 2365-2373 Cellular biophysics Computation of Electromagnetic Fields in Assemblages of Biological Cells Using a Modified Finite-Difference Time-Domain Scheme. See, C. H., +, T-MTT Sep. 2007 1986-1994 Cellular radio An Exposure System for Long-Term and Large-Scale Animal Bioassay of 1.5-GHz Digital Cellular Phones. Wake, K., +, T-MTT Feb. 2007 343-350 Polar SiGe Class E and F Amplifiers Using Switch-Mode Supply Modulation. Kitchen, J. N., +, T-MTT May 2007 845-856 The Doherty Power Amplifier With On-Chip Dynamic Bias Control Circuit for Handset Application. Nam, J., +, T-MTT Apr. 2007 633-642 Ceramic packaging An LTCC-Based Wireless Transceiver for Radio-Over-Fiber Applications. Pergola, L., +, T-MTT Mar. 2007 579-587 60-GHz System-on-Package Transmitter Integrating Sub-Harmonic Frequency Amplitude Shift-Keying Modulator. Jung, D. Y., +, T-MTT Aug. 2007 1786-1793 Design and Development of Advanced Cavity-Based Dual-Mode Filters Using Low-Temperature Co-Fired Ceramic Technology for -Band Gigabit Wireless Systems. Lee, J.-H., +, T-MTT Sep. 2007 1869-1879 Design of Vertically Stacked Waveguide Filters in LTCC. Shen, T.-M., +, T-MTT Aug. 2007 1771-1779 Ceramics Ceramic Layer-By-Layer Stereolithography for the Manufacturing of 3-D Millimeter-Wave Filters. Delhote, N., +, T-MTT Mar. 2007 548-554 -Band LTCC Star Mixer With Broadband IF Output Network. Baras, T., +, T-MTT Dec. 2007 2766-2772 Charge injection Superposition Model for Dielectric Charging of RF MEMS Capacitive Switches Under Bipolar Control-Voltage Waveforms. Peng, Z., +, T-MTT Dec. 2007 2911-2918 Chebyshev filters Novel Multifold Finite-Ground-Width CPW Quarter-Wavelength Filters With Attenuation Poles. Chen, C.-H., +, T-MTT Jan. 2007 128-136 A Direct Synthesis Approach for Microwave Filters With a Complex Load and Its Application to Direct Diplexer Design. Wu, K.-L., +, T-MTT May 2007 1010-1017 Microstrip Realization of Generalized Chebyshev Filters With Box-Like Coupling Schemes. Liao, C.-K., +, T-MTT Jan. 2007 147-153 Chip-on-board packaging Signal Integrity Analysis of the Traces in Electromagnetic-Bandgap Structure in High-Speed Printed Circuit Boards and Packages. Zhang, M.-S., +, T-MTT May 2007 1054-1062

V

Ka

Q

V

K

+ Check author entry for coauthors

Chirality microwave materials A 3-D Isotropic Left-Handed Metamaterial Based on the Rotated Transmission-Line Matrix (TLM) Scheme. Zedler, M., +, T-MTT Dec. 2007 29302941 Chirp modulation All-Fiber Chirped Microwave Pulses Generation Based on Spectral Shaping and Wavelength-to-Time Conversion. Chi, H., +, T-MTT Sep. 2007 19581963 Circuit analysis computing On the Robustness of Digital Predistortion Function Synthesis and Average Power Tracking for Highly Nonlinear Power Amplifiers. Hammi, O., +, T-MTT Jun. 2007 1382-1389 Moments-Based Computation of Intermodulation Distortion of RF Circuits. Tannir, D., +, T-MTT Oct. 2007 2135-2146 Circuit feedback A High-Performance CMOS Voltage-Controlled Oscillator for Ultra-LowVoltage Operations. Hsieh, H.-H., +, T-MTT Mar. 2007 467-473 Adaptive Digital Feedback Predistortion Technique for Linearizing Power Amplifiers. Woo, Y. Y., +, T-MTT May 2007 932-940 High Extinction Ratio Mach–Zehnder Modulator Applied to a Highly Stable Optical Signal Generator. Kiuchi, H., +, T-MTT Sep. 2007 1964-1972 Circuit noise Authors’ Reply. Nallatamby, J.-C., +, T-MTT Jan. 2007 185-186 Comments on “Extension of the Leeson Formula to Phase Noise Calculation in Transistor Oscillators With Complex Tanks”. Ohira, T., T-MTT Jan. 2007 185-185 Corrections to “A Low-Power CMOS Direct Conversion Receiver With 3-dB NF and 30-kHz Flicker-Noise Corner for 915-MHz Band IEEE 802.15.4 ZigBee Standard” [Feb 06 735-741]. Oh, N.-J., T-MTT Jun. 2007 1256-1256 Corrections to “CMOS Low-Noise Amplifier Design Optimization Techniques” [May 04 1433-1442]. Oh, N.-J., T-MTT Jun. 2007 1255-1255 Circuit optimisation Space-Mapping Optimization With Adaptive Surrogate Model. Koziel, S., +, T-MTT Mar. 2007 541-547 Electron Device Model Parameter Identification Through Large-Signal-Predictive Small-Signal-Based Error Functions. Raffo, A., +, T-MTT Oct. 2007 1997-2005 Study and Design Optimization of Multiharmonic Transmission-Line Load Networks for Class-E and Class-F -Band MMIC Power Amplifiers. Negra, R., +, T-MTT Jun. 2007 1390-1397 Circuit oscillations Complete Stability Analysis of Multifunction MMIC Circuits. Barquinero, C., +, T-MTT Oct. 2007 2024-2033 Circuit resonance Wideband Microstrip Ring Resonator Bandpass Filters Under Multiple Resonances. Sun, S., +, T-MTT Oct. 2007 2176-2182 Circuit simulation Generalized Coupled-Mode Approach of Metamaterial Coupled-Line Couplers: Coupling Theory, Phenomenological Explanation, and Experimental Demonstration. Nguyen, H. V., +, T-MTT May 2007 1029-1039 Moments-Based Computation of Intermodulation Distortion of RF Circuits. Tannir, D., +, T-MTT Oct. 2007 2135-2146 Pruning the Volterra Series for Behavioral Modeling of Power Amplifiers Using Physical Knowledge. Zhu, A., +, T-MTT May 2007 813-821 Circuit tuning Improving Linearity of Ferroelectric-Based Microwave Tunable Circuits. Fu, J.-S., +, T-MTT Feb. 2007 354-360 A Compact Quadrature Hybrid MMIC Using CMOS Active Inductors. Hsieh, H.-H., +, T-MTT Jun. 2007 1098-1104 A Millimeter-Wave CMOS LC-Tank VCO With an Admittance-Transforming Technique. Hsieh, H.-H., +, T-MTT Sep. 2007 1854-1861 Printed and Integrated CMOS Positive/Negative Refractive-Index Phase Shifters Using Tunable Active Inductors. Abdalla, M. A. Y., +, T-MTT Aug. 2007 1611-1623 Wideband Design of the Fully Integrated Transmitter Front-End With High Power-Added Efficiency. Kim, H., +, T-MTT May 2007 916-924 Circular waveguides High Precision Radar Distance Measurements in Overmoded Circular Waveguides. Pohl, N., +, T-MTT Jun. 2007 1374-1381 Reactance of Posts in Circular Waveguide. Zhu, Q. C., +, T-MTT Aug. 2007 1685-1688

K

20

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Circulators Compact Waveguide-Based Power Divider Feeding Independently Any Number of Coaxial Lines. Pollak, J., +, T-MTT May 2007 951-957 Coaxial cables Gold-Plated Micromachined Millimeter-Wave Resonators Based on Rectangular Coaxial Transmission Lines. Marsh, E. D., +, T-MTT Jan. 2007 78-84 Compact Waveguide-Based Power Divider Feeding Independently Any Number of Coaxial Lines. Pollak, J., +, T-MTT May 2007 951-957 Design and High Performance of a Micromachined K -Band Rectangular Coaxial Cable. Lancaster, M. J., +, T-MTT Jul. 2007 1548-1553 Modeling of 3-D Surface Roughness Effects With Application to -Coaxial Lines. Lukic, M. V., +, T-MTT Mar. 2007 518-525 Coaxial waveguides Analysis and Design of Monolithic Rectangular Coaxial Lines for Minimum Coupling. Saito, Y., +, T-MTT Dec. 2007 2521-2530 Code division multiple access Volterra Behavioral Model for Wideband RF Amplifiers. Crespo-Cadenas, C., +, T-MTT Mar. 2007 449-457 -Digitized Polar RF Transmitter. Choi, J., +, T-MTT Dec. 2007 2679A 2690 Adaptive Digital Feedback Predistortion Technique for Linearizing Power Amplifiers. Woo, Y. Y., +, T-MTT May 2007 932-940 Analysis and Design of a Dynamic Predistorter for WCDMA Handset Power Amplifiers. Yamanouchi, S., +, T-MTT Mar. 2007 493-503 Design of H-Bridge Class-D Power Amplifiers for Digital Pulse Modulation Transmitters. Hung, T.-P., +, T-MTT Dec. 2007 2845-2855 The Doherty Power Amplifier With On-Chip Dynamic Bias Control Circuit for Handset Application. Nam, J., +, T-MTT Apr. 2007 633-642 Weighted Polynomial Digital Predistortion for Low Memory Effect Doherty Power Amplifier. Hong, S., +, T-MTT May 2007 925-931 Cognitive radio An Integrated Wideband Power Amplifier for Cognitive Radio. Chen, Y.-J. E., +, T-MTT Oct. 2007 2053-2058 Comb filters Novel Multifold Finite-Ground-Width CPW Quarter-Wavelength Filters With Attenuation Poles. Chen, C.-H., +, T-MTT Jan. 2007 128-136 Compensation Optimum Bias Load-Line Compensates Temperature Variation of Junction Diode’s RF Resistance. Bera, S. C., +, T-MTT Feb. 2007 215-221 Arctangent Demodulation With DC Offset Compensation in Quadrature Doppler Radar Receiver Systems. Park, B.-K., +, T-MTT May 2007 1073-1079 Bandwidth-Compensation Method for Miniaturized Parallel Coupled-Line Filters. Myoung, S.-S., +, T-MTT Jul. 2007 1531-1538 Extension of Two-Signal Spurious-Free Dynamic Range of Wideband Digital Receivers Using Kaiser Window and Compensation Method. George, K., +, T-MTT Apr. 2007 788-794 Composite materials Effective Parameters for Metamorphic Materials and Metamaterials Through a Resonant Inverse Scattering Approach. Alexopoulos, N. G., +, T-MTT Feb. 2007 254-267 Design and Analysis of Super-Wide Bandpass Filters Using a Novel Compact Meta-Structure. Lin, X. Q., +, T-MTT Apr. 2007 747-753 Composition Frequency and Phase Difference Control Using Fractional-N PLL Synthesizers by Composition of Control Data. Tajima, K., +, T-MTT Dec. 2007 2832-2838 Computational electromagnetics Corrections to “Efficient Implementations of the Crank–Nicolson Scheme for the Finite-Difference Time-Domain Method. Sun, G., +, T-MTT Feb. 2007 351-351 Computation of Electromagnetic Fields in Assemblages of Biological Cells Using a Modified Finite-Difference Time-Domain Scheme. See, C. H., +, T-MTT Sep. 2007 1986-1994 Dual-Mode Microstrip Open-Loop Resonators and Filters. Hong, J.-S., +, T-MTT Aug. 2007 1764-1770 Projection Framework for Hybrid Methods Derived From Finite-Difference Operators in Time and Frequency Domain. Wiktor, M., +, T-MTT Oct. 2007 2103-2114 Computational modeling Mildly Nonquasi-Static Two-Port Device Model Extraction by Integrating Linearized Large-Signal Vector Measurements. Cidronali, A., +, T-MTT Nov. 2007 2277-2289

16

+ Check author entry for coauthors

Computerised tomography Development of a 2.45-GHz Local Exposure System for In Vivo Study on Ocular Effects. Wake, K., +, T-MTT Mar. 2007 588-596 Conducting bodies Efficient Cartesian-Grid-Based Modeling of Rotationally Symmetric Bodies. Shyroki, D. M., T-MTT Jun. 2007 1132-1138 Conducting materials Modeling Effects of Random Rough Interface on Power Absorption Between Dielectric and Conductive Medium in 3-D Problem. Gu, X., +, T-MTT Mar. 2007 511-517 Conductors Passivity Enforcement With Relative Error Control. Grivet-Talocia, S., +, T-MTT Nov. 2007 2374-2383 Conductors (electric) Effective Parameters for Metamorphic Materials and Metamaterials Through a Resonant Inverse Scattering Approach. Alexopoulos, N. G., +, T-MTT Feb. 2007 254-267 Effects of Losses on the Current Spectrum of a Printed-Circuit Line. Bernal, J., +, T-MTT Jul. 2007 1511-1519 Generalized Impedance Boundary Condition for Conductor Modeling in Surface Integral Equation. Qian, Z. G., +, T-MTT Nov. 2007 2354-2364 Controllability Passivity Enforcement With Relative Error Control. Grivet-Talocia, S., +, T-MTT Nov. 2007 2374-2383 Coplanar transmission lines Frequency Tuning and Spurious Signal Generation at Microwave Frequencies in Ferroelectric SrTiO Thin-Film Transmission Lines. Mateu, J., +, T-MTT Feb. 2007 391-396 A Reconfigurable Micromachined Switching Filter Using Periodic Structures. Karim, M. F., +, T-MTT Jun. 2007 1154-1162 Coplanar waveguide components Novel Multifold Finite-Ground-Width CPW Quarter-Wavelength Filters With Attenuation Poles. Chen, C.-H., +, T-MTT Jan. 2007 128-136 A Broadband Compact Microstrip Rat-Race Hybrid Using a Novel CPW Inverter. Mo, T. T., +, T-MTT Jan. 2007 161-167 Comparison of Techniques for Microwave Characterization of BST Thin Films. Suherman, P. M., +, T-MTT Feb. 2007 397-401 Left-Handed Metamaterial Coplanar Waveguide Components and Circuits in GaAs MMIC Technology. Tong, W., +, T-MTT Aug. 2007 1794-1800 Coplanar waveguides Design of Compact Low-Pass Elliptic Filters Using Double-Sided MIC Technology. del Castillo Velazquez-Ahumada, M., +, T-MTT Jan. 2007 121-127 A 15/30-GHz Dual-Band Multiphase Voltage-Controlled Oscillator in 0.18-m CMOS. Hsieh, H.-H., +, T-MTT Mar. 2007 474-483 A 360 BST Phase Shifter With Moderate Bias Voltage at 30 GHz. Velu, G., +, T-MTT Feb. 2007 438-444 A Novel 180 Hybrid Using Broadside-Coupled Asymmetric Coplanar Striplines. Yeung, L. K., +, T-MTT Dec. 2007 2625-2630 A Real-Time Exposure System for Electrophysiological Recording in Brain Slices. Paffi, A., +, T-MTT Nov. 2007 2463-2471 A Reconfigurable Micromachined Switching Filter Using Periodic Structures. Karim, M. F., +, T-MTT Jun. 2007 1154-1162 Broadband and Compact Coupled Coplanar Stripline Filters With Impedance Steps. Yang, N., +, T-MTT Dec. 2007 2874-2886 Coplanar-Waveguide-Fed Microstrip Bandpass Filters With Capacitively Broadside-Coupled Structures for Multiple Spurious Suppression. Wang, C.-H., +, T-MTT Apr. 2007 768-775 Demonstration of a 311-GHz Fundamental Oscillator Using InP HBT Technology. Radisic, V., +, T-MTT Nov. 2007 2329-2335 Design and Analysis of Super-Wide Bandpass Filters Using a Novel Compact Meta-Structure. Lin, X. Q., +, T-MTT Apr. 2007 747-753 Design and Characterization of a W -Band Micromachined Cavity Filter Including a Novel Integrated Transition From CPW Feeding Lines. Li, Y., +, T-MTT Dec. 2007 2902-2910 Design, Fabrication, and Measurement of Benzocyclobutene Polymer ZeroLevel Packaging for Millimeter-Wave Applications. Seok, S., +, T-MTT May 2007 1040-1045 Development of Sub-Millimeter-Wave Power Amplifiers. Deal, W. R., +, T-MTT Dec. 2007 2719-2726 Modified Green’s Function and Spectral-Domain Approach for Analyzing Anisotropic and Multidielectric Layer Coplanar Waveguide Ferroelectric Phase Shifters. Kim, W., +, T-MTT Feb. 2007 402-409

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Novel Dual-Mode Dual-Band Filters Using Coplanar-Waveguide-Fed Ring Resonators. Zhang, X. Y., +, T-MTT Oct. 2007 2183-2190 Slow-Wave Line Coupler With Interdigital Capacitor Loading. Li, L., +, T-MTT Nov. 2007 2427-2433 Copper compounds A 12-Pole Narrowband Highly Selective High-Temperature Superconducting Filter for the Application in the Third-Generation Wireless Communications. Li, S., +, T-MTT Apr. 2007 754-759 Coupled circuits Design of Compact Directional Couplers for UWB Applications. Abbosh, A. M., +, T-MTT Feb. 2007 189-194 A Novel Multilayer Aperture-Coupled Cavity Resonator for Millimeter-Wave CMOS RFICs. Miao, M., +, T-MTT Apr. 2007 783-787 Modified Adaptive Prototype Inclusive of the External Couplings for the Design of Coaxial Filters. Morini, A., +, T-MTT Sep. 2007 1905-1911 Wideband Bandstop Filter With Cross-Coupling. Shaman, H., +, T-MTT Aug. 2007 1780-1785 Coupled mode analysis Generalized Coupled-Mode Approach of Metamaterial Coupled-Line Couplers: Coupling Theory, Phenomenological Explanation, and Experimental Demonstration. Nguyen, H. V., +, T-MTT May 2007 1029-1039 Coupled resonators Dual-Band and Triple-Band Substrate Integrated Waveguide Filters With Chebyshev and Quasi-Elliptic Responses. Chen, X.-P., +, T-MTT Dec. 2007 2569-2578 Coupled transmission lines Bandwidth-Compensation Method for Miniaturized Parallel Coupled-Line Filters. Myoung, S.-S., +, T-MTT Jul. 2007 1531-1538 Microwave Parametric Frequency Dividers With Conversion Gain. Heshmati, Z., +, T-MTT Oct. 2007 2059-2064 Wideband Bandstop Filter With Cross-Coupling. Shaman, H., +, T-MTT Aug. 2007 1780-1785 Coupled waveguides Coupled Transmission Lines as Impedance Transformer. Jensen, T., +, T-MTT Dec. 2007 2957-2965 Couplers A Symmetrical Four-Port Microstrip Coupler for Crossover Application. Chen, Y., +, T-MTT Nov. 2007 2434-2438 Power Transfer in a Large Parallel Array of Coupled Dielectric Waveguides. Wei, J. S., T-MTT Nov. 2007 2345-2353 Reduced-Length Rat-Race Couplers. Mandal, M. K., +, T-MTT Dec. 2007 2593-2598 Slow-Wave Line Coupler With Interdigital Capacitor Loading. Li, L., +, T-MTT Nov. 2007 2427-2433 Couplings Reduction of the Coupling to External Sources and Modes of Propagation by a Nearly Confocal Resonator. Cheng, S., +, T-MTT Oct. 2007 2257-2261 A Real-Time Exposure System for Electrophysiological Recording in Brain Slices. Paffi, A., +, T-MTT Nov. 2007 2463-2471 Tournament-Shaped Magnetically Coupled Power-Combiner Architecture for RF CMOS Power Amplifier. Park, C., +, T-MTT Oct. 2007 2034-2042 Covariance matrices The Random Component of Mixer-Based Nonlinear Vector Network Analyzer Measurement Uncertainty. Blockley, P. S., +, T-MTT Oct. 2007 22312239 Crosstalk Monolithic Integration of a Folded Dipole Antenna With a 24-GHz Receiver in SiGe HBT Technology. Ojefors, E., +, T-MTT Jul. 2007 1467-1475 Cryogenic electronics A 12-Pole Narrowband Highly Selective High-Temperature Superconducting Filter for the Application in the Third-Generation Wireless Communications. Li, S., +, T-MTT Apr. 2007 754-759 A Ten-Beam Ka-Band Radiometric Receiver Using Custom Designed InP MMICs at Cryogenic Temperatures. Kettle, D., +, T-MTT Dec. 2007 27002708 Design of Cryogenic SiGe Low-Noise Amplifiers. Weinreb, S., +, T-MTT Nov. 2007 2306-2312 Traceable 2-D Finite-Element Simulation of the Whispering-Gallery Modes of Axisymmetric Electromagnetic Resonators. Oxborrow, M., T-MTT Jun. 2007 1209-1218 Current distribution Modeling Superconducting Transmission Line Bends and Their Impact on Nonlinear Effects. Mateu, J., +, T-MTT May 2007 822-828

+ Check author entry for coauthors

21

Current measurement Design of Cryogenic SiGe Low-Noise Amplifiers. Weinreb, S., +, T-MTT Nov. 2007 2306-2312 Current-mode logic A 20-Gb/s 1:2 Demultiplexer With Capacitive-Splitting Current-ModeLogic Latches. Chien, J.-C., +, T-MTT Aug. 2007 1624-1632 Curve fitting On the Robustness of Digital Predistortion Function Synthesis and Average Power Tracking for Highly Nonlinear Power Amplifiers. Hammi, O., +, T-MTT Jun. 2007 1382-1389 Cyclic shifts Frequency and Phase Difference Control Using Fractional-N PLL Synthesizers by Composition of Control Data. Tajima, K., +, T-MTT Dec. 2007 2832-2838

D DC-DC power convertors The Doherty Power Amplifier With On-Chip Dynamic Bias Control Circuit for Handset Application. Nam, J., +, T-MTT Apr. 2007 633-642 Polar SiGe Class E and F Amplifiers Using Switch-Mode Supply Modulation. Kitchen, J. N., +, T-MTT May 2007 845-856 Data communication Multilevel Modulated Signal Transmission Over Serial Single-Mode and Multimode Fiber Links Using Vertical-Cavity Surface-Emitting Lasers for Millimeter-Wave Wireless Communications. Nkansah, A., +, T-MTT Jun. 2007 1219-1228 Data transmission Test Method for Measuring Bit Error Rate of Pulsed Transceivers in Presence of Narrowband Interferers. Senguttuvan, R., +, T-MTT Sep. 2007 19421950 Decision feedback equalizers 10-Gb/s Optical Fiber Transmission Using a Fully Analog Electronic Dispersion Compensator (EDC) With Unclocked Decision-Feedback Equalization. Chandramouli, S., +, T-MTT Dec. 2007 2740-2746 Delays Unique Retrieval of Complex Permittivity and Permeability of Dispersive Materials From Reflection and Transmitted Fields by Enforcing Causality. Varadan, V. V., +, T-MTT Oct. 2007 2224-2230 A Quadrature Radar Topology With Tx Leakage Canceller for 24-GHz Radar Applications. Kim, C.-Y., +, T-MTT Jul. 2007 1438-1444 Fully Integrated Differential Distributed VCO in 0.35-m SiGe BiCMOS Technology. Bilionis, G. P., +, T-MTT Jan. 2007 13-22 Delta sigma modulation Design of H-Bridge Class-D Power Amplifiers for Digital Pulse Modulation Transmitters. Hung, T.-P., +, T-MTT Dec. 2007 2845-2855 Demodulation Arctangent Demodulation With DC Offset Compensation in Quadrature Doppler Radar Receiver Systems. Park, B.-K., +, T-MTT May 2007 1073-1079 A 2-D Phase-Detecting/Heterodyne-Scanning Retrodirective Array. Watanabe, M. K., +, T-MTT Dec. 2007 2856-2864 Fringe Management for a T-Shaped Millimeter-Wave Imaging System. Li, Y., +, T-MTT Jun. 2007 1246-1254 Demodulators 35–65-GHz CMOS Broadband Modulator and Demodulator With Sub-Harmonic Pumping for MMW Wireless Gigabit Applications. Tsai, J.-H., +, T-MTT Oct. 2007 2075-2085 Demultiplexing equipment A 20-Gb/s 1:2 Demultiplexer With Capacitive-Splitting Current-ModeLogic Latches. Chien, J.-C., +, T-MTT Aug. 2007 1624-1632 Design engineering Interpolated Coarse Models for Microwave Design Optimization With Space Mapping. Koziel, S., +, T-MTT Aug. 2007 1739-1746 Diagnostic radiography Arctangent Demodulation With DC Offset Compensation in Quadrature Doppler Radar Receiver Systems. Park, B.-K., +, T-MTT May 2007 1073-1079 Dielectric bodies Efficient Cartesian-Grid-Based Modeling of Rotationally Symmetric Bodies. Shyroki, D. M., T-MTT Jun. 2007 1132-1138

22

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Dielectric constants Multigigahertz Causal Transmission Line Modeling Methodology Using a 3-D Hemispherical Surface Roughness Approach. Hall, S., +, T-MTT Dec. 2007 2614-2624 Dielectric films Superposition Model for Dielectric Charging of RF MEMS Capacitive Switches Under Bipolar Control-Voltage Waveforms. Peng, Z., +, T-MTT Dec. 2007 2911-2918 Dielectric loss measurement Air-Gap Transmission Lines on Organic Substrates for Low-Loss Interconnects. Spencer, T. J., +, T-MTT Sep. 2007 1919-1925 Dielectric losses Determination of Generalized Permeability Function and Field Energy Density in Artificial Magnetics Using the Equivalent-Circuit Method. Ikonen, P. M. T., +, T-MTT Jan. 2007 92-99 Design and High Performance of a Micromachined -Band Rectangular Coaxial Cable. Lancaster, M. J., +, T-MTT Jul. 2007 1548-1553 Effects of Losses on the Current Spectrum of a Printed-Circuit Line. Bernal, J., +, T-MTT Jul. 2007 1511-1519 Dielectric materials Uniform Electric Field Distribution in Microwave Heating Applicators by Means of Genetic Algorithms Optimization of Dielectric Multilayer Structures. Dominguez-Tortajada, E., +, T-MTT Jan. 2007 85-91 Analysis of Electromagnetic Response of 3-D Dielectric Fractals of Menger Sponge Type. Semouchkina, E., +, T-MTT Jun. 2007 1305-1313 Development of a 2.45-GHz Local Exposure System for In Vivo Study on Ocular Effects. Wake, K., +, T-MTT Mar. 2007 588-596 Manufacturing Tolerance Analysis, Fabrication, and Characterization of 3-D Submillimeter-Wave Electromagnetic-Bandgap Crystals. Martinez, B., +, T-MTT Apr. 2007 672-681 Modeling Effects of Random Rough Interface on Power Absorption Between Dielectric and Conductive Medium in 3-D Problem. Gu, X., +, T-MTT Mar. 2007 511-517 Superposition Model for Dielectric Charging of RF MEMS Capacitive Switches Under Bipolar Control-Voltage Waveforms. Peng, Z., +, T-MTT Dec. 2007 2911-2918 Dielectric resonator antennas Analysis of Wideband Dielectric Resonator Antenna Arrays for WaveguideBased Spatial Power Combining. Zhang, Y., +, T-MTT Jun. 2007 1332-1340 Dielectric resonator filters Tunable Dielectric Resonator Bandpass Filter With Embedded MEMS Tuning Elements. Yan, W. D., +, T-MTT Jan. 2007 154-160 Low-Cost Dielectric-Resonator Filters With Improved Spurious Performance. Zhang, R., +, T-MTT Oct. 2007 2168-2175 Dielectric resonators Efficient Analysis of Arbitrarily Shaped Inductive Obstacles in Rectangular Waveguides Using a Surface Integral-Equation Formulation. Quesada Pereira, F. D., +, T-MTT Apr. 2007 715-721 Active Integrated Antenna Based on Planar Dielectric Resonator With Tuning Ferroelectric Varactor. Buslov, O. Y., +, T-MTT Dec. 2007 2951-2956 Demonstration of Negative Refraction in a Cutoff Parallel-Plate Waveguide Loaded With 2-D Square Lattice of Dielectric Resonators. Ueda, T., +, T-MTT Jun. 2007 1280-1287 Traceable 2-D Finite-Element Simulation of the Whispering-Gallery Modes of Axisymmetric Electromagnetic Resonators. Oxborrow, M., T-MTT Jun. 2007 1209-1218 Dielectric thin films Comparison of Techniques for Microwave Characterization of BST Thin Films. Suherman, P. M., +, T-MTT Feb. 2007 397-401 Dielectric waveguides Efficient Cartesian-Grid-Based Modeling of Rotationally Symmetric Bodies. Shyroki, D. M., T-MTT Jun. 2007 1132-1138 -Band Waveguide Impedance Tuner Utilizing Dielectric-Based Backshorts. Kiuru, T., +, T-MTT Aug. 2007 1659-1665 Finite-Difference Time-Domain Modeling of Periodic Guided-Wave Structures and Its Application to the Analysis of Substrate Integrated Nonradiative Dielectric Waveguide. Xu, F., +, T-MTT Dec. 2007 2502-2511 Fourier Decomposition Analysis of Anisotropic Inhomogeneous Dielectric Waveguide Structures. Pashaie, R., T-MTT Aug. 2007 1689-1696 Dielectric-loaded antennas Analysis of Wideband Dielectric Resonator Antenna Arrays for WaveguideBased Spatial Power Combining. Zhang, Y., +, T-MTT Jun. 2007 1332-1340

K

W

+ Check author entry for coauthors

Dielectric-loaded waveguides Demonstration of Negative Refraction in a Cutoff Parallel-Plate Waveguide Loaded With 2-D Square Lattice of Dielectric Resonators. Ueda, T., +, T-MTT Jun. 2007 1280-1287 Analysis of Wideband Dielectric Resonator Antenna Arrays for WaveguideBased Spatial Power Combining. Zhang, Y., +, T-MTT Jun. 2007 1332-1340 Magnetic-Type Dyadic Green’s Functions for a Corrugated Rectangular Metaguide Based on Asymptotic Boundary Conditions. Eshrah, I. A., +, T-MTT Jun. 2007 1124-1131 Dielectrics Some Properties of Generalized Scattering Matrix Representations for Metallic Waveguides With Periodic Dielectric Loading. Simsek, S., +, T-MTT Nov. 2007 2336-2344 Design of Bandpass Elliptic Filters Employing Inductive Windows and Dielectric Objects. Perez Soler, F. J., +, T-MTT Nov. 2007 2393-2398 Generalized Impedance Boundary Condition for Conductor Modeling in Surface Integral Equation. Qian, Z. G., +, T-MTT Nov. 2007 2354-2364 Power Transfer in a Large Parallel Array of Coupled Dielectric Waveguides. Wei, J. S., T-MTT Nov. 2007 2345-2353 Using a priori Data to Improve the Reconstruction of Small Objects in Microwave Tomography. Fhager, A., +, T-MTT Nov. 2007 2454-2462 Differential equations A General Multigrid-Subgridding Formulation for the Transmission Line Matrix Method. Pierantoni, L., +, T-MTT Aug. 2007 1709-1716 Differential phase shift keying Design of a High-Efficiency and High-Power Inverted Doherty Amplifier. Ahn, G., +, T-MTT Jun. 2007 1105-1111 Differential transformers A New Methodology for the On-Wafer Characterization of RF Integrated Transformers. Cendoya, I., +, T-MTT May 2007 1046-1053 Diffraction Reduction of the Coupling to External Sources and Modes of Propagation by a Nearly Confocal Resonator. Cheng, S., +, T-MTT Oct. 2007 2257-2261 Digital communication System and Circuit Models for Microwave Antennas. Sobhy, M. I., +, T-MTT Apr. 2007 729-735 A High-Directivity Combined Self-Beam/Null-Steering Array for Secure Point-to-Point Communications. Shiroma, G. S., +, T-MTT May 2007 838-844 Digital control A 4-bit CMOS Phase Shifter Using Distributed Active Switches. Kang, D.-W., +, T-MTT Jul. 2007 1476-1483 Digital-analog conversion An Electronic Dispersion Compensator (EDC) With an Analog Eye-Opening Monitor (EOM) for 1.25-Gb/s Gigabit Passive Optical Network (GPON) Upstream Links. Kim, H., +, T-MTT Dec. 2007 2942-2950 Dipole antennas Monolithic Integration of a Folded Dipole Antenna With a 24-GHz Receiver in SiGe HBT Technology. Ojefors, E., +, T-MTT Jul. 2007 1467-1475 Directional couplers Design of Compact Directional Couplers for UWB Applications. Abbosh, A. M., +, T-MTT Feb. 2007 189-194 A New Planar Artificial Transmission Line and Its Applications to a Miniaturized Butler Matrix. Wang, C. W., +, T-MTT Dec. 2007 2792-2801 Coupled Transmission Lines as Impedance Transformer. Jensen, T., +, T-MTT Dec. 2007 2957-2965 Half Mode Substrate Integrated Waveguide 180 3-dB Directional Couplers. Liu, B., +, T-MTT Dec. 2007 2586-2592 Synthesizing Microstrip Branch-Line Couplers With Predetermined Compact Size and Bandwidth. Tang, C.-W., +, T-MTT Sep. 2007 1926-1934 Dispersion (wave) A Fully Electronic System for the Time Magnification of Ultra-Wideband Signals. Schwartz, J. D., +, T-MTT Feb. 2007 327-334 A New Brillouin Dispersion Diagram for 1-D Periodic Printed Structures. Baccarelli, P., +, T-MTT Jul. 2007 1484-1495 A Reconfigurable Micromachined Switching Filter Using Periodic Structures. Karim, M. F., +, T-MTT Jun. 2007 1154-1162 Frozen Modes in Parallel-Plate Waveguides Loaded With Magnetic Photonic Crystals. Chilton, R. A., +, T-MTT Dec. 2007 2631-2641 Dispersive media A Stability Analysis for Time-Domain Method-of-Moments Analysis of 1-D Double-Negative Transmission Lines. Zhang, Y., +, T-MTT Sep. 2007 18871898

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Distance measurement High Precision Radar Distance Measurements in Overmoded Circular Waveguides. Pohl, N., +, T-MTT Jun. 2007 1374-1381 Distortion A 20-W Chireix Outphasing Transmitter for WCDMA Base Stations. Huttunen, A., +, T-MTT Dec. 2007 2709-2718 Nonlinear Distortion Analysis of Polar Transmitters. Pedro, J. C., +, T-MTT Dec. 2007 2757-2765 Distributed Bragg reflectors Traceable 2-D Finite-Element Simulation of the Whispering-Gallery Modes of Axisymmetric Electromagnetic Resonators. Oxborrow, M., T-MTT Jun. 2007 1209-1218 Doherty amplifiers A New Compact Load Network for Doherty Amplifiers Using an Imperfect Quarter-Wave Line. Park, H., +, T-MTT Nov. 2007 2313-2319 Doppler radar Arctangent Demodulation With DC Offset Compensation in Quadrature Doppler Radar Receiver Systems. Park, B.-K., +, T-MTT May 2007 1073-1079 A Quadrature Radar Topology With Tx Leakage Canceller for 24-GHz Radar Applications. Kim, C.-Y., +, T-MTT Jul. 2007 1438-1444 Doppler shift A Quadrature Radar Topology With Tx Leakage Canceller for 24-GHz Radar Applications. Kim, C.-Y., +, T-MTT Jul. 2007 1438-1444 Dosimetry Development of a 2.45-GHz Local Exposure System for In Vivo Study on Ocular Effects. Wake, K., +, T-MTT Mar. 2007 588-596 Rigorous Characterization of Resonant Hot Spot Conditions in a Stratified Tissue Model. Razansky, D., +, T-MTT May 2007 1063-1072 Dual mode filters Design and Experimental Verification of Compact Frequency-Selective Surface With Quasi-Elliptic Bandpass Response. Luo, G. Q., +, T-MTT Dec. 2007 2481-2487 Dual models Design and Experimental Verification of Compact Frequency-Selective Surface With Quasi-Elliptic Bandpass Response. Luo, G. Q., +, T-MTT Dec. 2007 2481-2487

E

Earthing Frequency-Thermal Characterization of On-Chip Transformers With Patterned Ground Shields. Shi, J., +, T-MTT Jan. 2007 1-12 Eddy currents High-Performance CMOS-Compatible Solenoidal Transformers With a Concave-Suspended Configuration. Gu, L., +, T-MTT Jun. 2007 1237-1245 Eigenvalues and eigenfunctions A New Coupling Matrix Extracting Method From the Frequency Response. Li, F., +, T-MTT Apr. 2007 760-767 A Nonlinear Finite-Element Leaky-Waveguide Solver. Allilomes, P. C., +, T-MTT Jul. 2007 1496-1510 A Symmetrical Four-Port Microstrip Coupler for Crossover Application. Chen, Y., +, T-MTT Nov. 2007 2434-2438 Equivalent Resonant Cavity Model of Arbitrary Periodic Guided-Wave Structures and Its Application to Finite-Difference Frequency-Domain Algorithm. Xu, F., +, T-MTT Apr. 2007 697-702 Fourier Decomposition Analysis of Anisotropic Inhomogeneous Dielectric Waveguide Structures. Pashaie, R., T-MTT Aug. 2007 1689-1696 Phase and Amplitude Noise Analysis in Microwave Oscillators Using Nodal Harmonic Balance. Sancho, S., +, T-MTT Jul. 2007 1568-1583 Physical Interpretation and Implications of Similarity Transformations in Coupled Resonator Filter Design. Amari, S., +, T-MTT Jun. 2007 11391153 Projection Framework for Hybrid Methods Derived From Finite-Difference Operators in Time and Frequency Domain. Wiktor, M., +, T-MTT Oct. 2007 2103-2114 Some Properties of Generalized Scattering Matrix Representations for Metallic Waveguides With Periodic Dielectric Loading. Simsek, S., +, T-MTT Nov. 2007 2336-2344

+ Check author entry for coauthors

23

Electric admittance Microwave Circuit Design by Means of Direct Decomposition in the FiniteElement Method. de la Rubia, V., +, T-MTT Jul. 2007 1520-1530 Electric field integral equations Application of Total Least Squares to the Derivation of Closed-Form Green’s Functions for Planar Layered Media. Boix, R. R., +, T-MTT Feb. 2007 268-280 Electric fields Uniform Electric Field Distribution in Microwave Heating Applicators by Means of Genetic Algorithms Optimization of Dielectric Multilayer Structures. Dominguez-Tortajada, E., +, T-MTT Jan. 2007 85-91 Electric impedance W -Band Waveguide Impedance Tuner Utilizing Dielectric-Based Backshorts. Kiuru, T., +, T-MTT Aug. 2007 1659-1665 Compact Planar Quasi-Elliptic Function Filter With Inline SteppedImpedance Resonators. Kuo, J.-T., +, T-MTT Aug. 2007 1747-1755 Electric noise measurement A Low Phase-Noise Voltage-Controlled SAW Oscillator With Surface Transverse Wave Resonator for SONET Application. Lin, J.-H., +, T-MTT Jan. 2007 60-65 C -Band Noise-Parameter Measurement of Microwave Amplifiers Under Nonlinear Conditions. Chambon, C., +, T-MTT Apr. 2007 795-800 Electric reactance Reactance of Posts in Circular Waveguide. Zhu, Q. C., +, T-MTT Aug. 2007 1685-1688 Electric resistance measurement Optimum Bias Load-Line Compensates Temperature Variation of Junction Diode’s RF Resistance. Bera, S. C., +, T-MTT Feb. 2007 215-221 Electro-optical effects Systematic Error of the Nose-to-Nose Sampling-Oscilloscope Calibration. Williams, D. F., +, T-MTT Sep. 2007 1951-1957 Electro-optical modulation Live Electrooptic Imaging System Based on Ultraparallel Photonic Heterodyne for Microwave Near-Fields. Sasagawa, K., +, T-MTT Dec. 2007 2782-2791 Electrodes A Real-Time Exposure System for Electrophysiological Recording in Brain Slices. Paffi, A., +, T-MTT Nov. 2007 2463-2471 Electromagnetic coupling Novel Patch-Via-Spiral Resonators for the Development of Miniaturized Bandpass Filters With Transmission Zeros. Lin, S.-C., +, T-MTT Jan. 2007 137-146 Electromagnetic devices Efficient Cartesian-Grid-Based Modeling of Rotationally Symmetric Bodies. Shyroki, D. M., T-MTT Jun. 2007 1132-1138 Electromagnetic effects Synthesis of Compact Lumped Models From Electromagnetic Analysis Results. Rautio, J. C., T-MTT Dec. 2007 2548-2554 A Space-Mapping Approach to Microwave Device Modeling Exploiting Fuzzy Systems. Koziel, S., +, T-MTT Dec. 2007 2539-2547 Electromagnetic field imaging Live Electrooptic Imaging System Based on Ultraparallel Photonic Heterodyne for Microwave Near-Fields. Sasagawa, K., +, T-MTT Dec. 2007 2782-2791 Electromagnetic fields Determination of Generalized Permeability Function and Field Energy Density in Artificial Magnetics Using the Equivalent-Circuit Method. Ikonen, P. M. T., +, T-MTT Jan. 2007 92-99 Computation of Electromagnetic Fields in Assemblages of Biological Cells Using a Modified Finite-Difference Time-Domain Scheme. See, C. H., +, T-MTT Sep. 2007 1986-1994 Singular Tetrahedral Finite Elements for Vector Electromagnetics. Webb, J. P., T-MTT Mar. 2007 533-540 Electromagnetic interference Simultaneous Switching Noise Suppression in Printed Circuit Boards Using a Compact 3-D Cascaded Electromagnetic-Bandgap Structure. Zhang, M.-S., +, T-MTT Oct. 2007 2200-2207 Electromagnetic shielding Low-Loss Patterned Ground Shield Interconnect Transmission Lines in Advanced IC Processes. Tiemeijer, L. F., +, T-MTT Mar. 2007 561-570 Electromagnetic wave absorption Rigorous Characterization of Resonant Hot Spot Conditions in a Stratified Tissue Model. Razansky, D., +, T-MTT May 2007 1063-1072

24

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Resonance Absorption in Nonsymmetrical Lossy Dielectric Inserts in Rectangular Waveguides. Rud, L. A., T-MTT Aug. 2007 1717-1722 Electromagnetic wave propagation Fast Numerical Computation of Green’s Functions for Unbounded Planar Stratified Media With a Finite-Difference Technique and Gaussian Spectral Rules. Polimeridis, A. G., +, T-MTT Jan. 2007 100-107 Analysis of Electromagnetic Response of 3-D Dielectric Fractals of Menger Sponge Type. Semouchkina, E., +, T-MTT Jun. 2007 1305-1313 Equivalent Resonant Cavity Model of Arbitrary Periodic Guided-Wave Structures and Its Application to Finite-Difference Frequency-Domain Algorithm. Xu, F., +, T-MTT Apr. 2007 697-702 Electromagnetic wave scattering Effective Parameters for Metamorphic Materials and Metamaterials Through a Resonant Inverse Scattering Approach. Alexopoulos, N. G., +, T-MTT Feb. 2007 254-267 Analysis of Circular Cavity With Cylindrical Objects. Lech, R., +, T-MTT Oct. 2007 2115-2123 Application of Total Least Squares to the Derivation of Closed-Form Green’s Functions for Planar Layered Media. Boix, R. R., +, T-MTT Feb. 2007 268-280 Genetic Algorithm in Reduction of Numerical Dispersion of 3-D Alternating-Direction-Implicit Finite-Difference Time-Domain Method. Zhang, Y., +, T-MTT May 2007 966-973 Electromagnetic waves The Human Body Characteristics as a Signal Transmission Medium for Intrabody Communication. Cho, N., +, T-MTT May 2007 1080-1086 118-GHz Quasi-Optical Mode Converter. Prinz, H. Analysis of a TE O., +, T-MTT Aug. 2007 1697-1703 Electronics packaging Design, Fabrication, and Measurement of Benzocyclobutene Polymer ZeroLevel Packaging for Millimeter-Wave Applications. Seok, S., +, T-MTT May 2007 1040-1045 Electroplating Gold-Plated Micromachined Millimeter-Wave Resonators Based on Rectangular Coaxial Transmission Lines. Marsh, E. D., +, T-MTT Jan. 2007 78-84 Design, Fabrication, and Measurement of Benzocyclobutene Polymer ZeroLevel Packaging for Millimeter-Wave Applications. Seok, S., +, T-MTT May 2007 1040-1045 Electrostatic discharges A 2.4-GHz Fully Integrated ESD-Protected Low-Noise Amplifier in 130-nm PD SOI CMOS Technology. El Kaamouchi, M., +, T-MTT Dec. 2007 28222831 Elemental semiconductors Gold-Plated Micromachined Millimeter-Wave Resonators Based on Rectangular Coaxial Transmission Lines. Marsh, E. D., +, T-MTT Jan. 2007 78-84 A Low-Noise -Band VCO Based on Room-Temperature Ferroelectric Varactors. Norling, M., +, T-MTT Feb. 2007 361-369 Modeling and Characterization of On-Chip Transformers for Silicon RFIC. El-Gharniti, O., +, T-MTT Apr. 2007 607-615 Elliptic filters HTS Quasi-Elliptic Filter Using Capacitive-Loaded Cross-Shape Resonators With Low Sensitivity to Substrate Thickness. Corona-Chavez, A., +, T-MTT Jan. 2007 117-120 Compact Planar Quasi-Elliptic Function Filter With Inline SteppedImpedance Resonators. Kuo, J.-T., +, T-MTT Aug. 2007 1747-1755 Design of Compact Low-Pass Elliptic Filters Using Double-Sided MIC Technology. del Castillo Velazquez-Ahumada, M., +, T-MTT Jan. 2007 121-127 Design of Vertically Stacked Waveguide Filters in LTCC. Shen, T.-M., +, T-MTT Aug. 2007 1771-1779 Intermodulation Distortion in Coupled-Resonator Filters With Nonuniformly Distributed Nonlinear Properties—Use in HTS IMD Compensation. Mateu, J., +, T-MTT Apr. 2007 616-624 Energy gap Manufacturing Tolerance Analysis, Fabrication, and Characterization of 3-D Submillimeter-Wave Electromagnetic-Bandgap Crystals. Martinez, B., +, T-MTT Apr. 2007 672-681 Equalisers Electrical Backplane Equalization Using Programmable Analog Zeros and Folded Active Inductors. Chen, J., +, T-MTT Jul. 2007 1459-1466 Equalizers 10-Gb/s Optical Fiber Transmission Using a Fully Analog Electronic Dispersion Compensator (EDC) With Unclocked Decision-Feedback Equalization. Chandramouli, S., +, T-MTT Dec. 2007 2740-2746

K

+ Check author entry for coauthors

Equations Modified Wilkinson Power Dividers for Millimeter-Wave Integrated Circuits. Horst, S., +, T-MTT Nov. 2007 2439-2446 Power Transfer in a Large Parallel Array of Coupled Dielectric Waveguides. Wei, J. S., T-MTT Nov. 2007 2345-2353 Equivalent circuits Determination of Generalized Permeability Function and Field Energy Density in Artificial Magnetics Using the Equivalent-Circuit Method. Ikonen, P. M. T., +, T-MTT Jan. 2007 92-99 A Reconfigurable Micromachined Switching Filter Using Periodic Structures. Karim, M. F., +, T-MTT Jun. 2007 1154-1162 A Symmetrical Four-Port Microstrip Coupler for Crossover Application. Chen, Y., +, T-MTT Nov. 2007 2434-2438 Compact Planar Quasi-Elliptic Function Filter With Inline SteppedImpedance Resonators. Kuo, J.-T., +, T-MTT Aug. 2007 1747-1755 Compact Waveguide-Based Power Divider Feeding Independently Any Number of Coaxial Lines. Pollak, J., +, T-MTT May 2007 951-957 Dual-Band Filter Design With Flexible Passband Frequency and Bandwidth Selections. Lee, H.-M., +, T-MTT May 2007 1002-1009 Electron Device Model Parameter Identification Through Large-Signal-Predictive Small-Signal-Based Error Functions. Raffo, A., +, T-MTT Oct. 2007 1997-2005 Equivalent SPICE Circuits With Guaranteed Passivity From Nonpassive Models. Lamecki, A., +, T-MTT Mar. 2007 526-532 Equivalent-Circuit Models for the Design of Metamaterials Based on Artificial Magnetic Inclusions. Bilotti, F., +, T-MTT Dec. 2007 2865-2873 High-Performance CMOS-Compatible Solenoidal Transformers With a Concave-Suspended Configuration. Gu, L., +, T-MTT Jun. 2007 1237-1245 Inverted Slot-Mode Slow-Wave Structures for Traveling-Wave Tubes. Christie, V. L., +, T-MTT Jun. 2007 1112-1117 Left-Handed Metamaterial Coplanar Waveguide Components and Circuits in GaAs MMIC Technology. Tong, W., +, T-MTT Aug. 2007 1794-1800 Low-Loss Patterned Ground Shield Interconnect Transmission Lines in Advanced IC Processes. Tiemeijer, L. F., +, T-MTT Mar. 2007 561-570 Miniaturized Dual-Mode Ring Bandpass Filters With Patterned Ground Plane. Mao, R.-J., +, T-MTT Jul. 2007 1539-1547 Modeling and Characterization of On-Chip Transformers for Silicon RFIC. El-Gharniti, O., +, T-MTT Apr. 2007 607-615 Modeling and Fabrication of CMOS Surface Acoustic Wave Resonators. Nordin, A. N., +, T-MTT May 2007 992-1001 Novel Balanced Coupled-Line Bandpass Filters With Common-Mode Noise Suppression. Wu, C.-H., +, T-MTT Feb. 2007 287-295 Novel Patch-Via-Spiral Resonators for the Development of Miniaturized Bandpass Filters With Transmission Zeros. Lin, S.-C., +, T-MTT Jan. 2007 137-146 On the Deembedding Issue of CMOS Multigigahertz Measurements. Issaoun, A., +, T-MTT Sep. 2007 1813-1823 Rigorous Analysis of a Metallic Circular Post in a Rectangular Waveguide With Step Discontinuity of Sidewalls. Valagiannopoulos, C. A., +, T-MTT Aug. 2007 1673-1684 System and Circuit Models for Microwave Antennas. Sobhy, M. I., +, T-MTT Apr. 2007 729-735 Error correction Passivity Enforcement With Relative Error Control. Grivet-Talocia, S., +, T-MTT Nov. 2007 2374-2383 Error statistics Ultra-Wideband Multifunctional Communications/Radar System. Saddik, G. N., +, T-MTT Jul. 2007 1431-1437 Test Method for Measuring Bit Error Rate of Pulsed Transceivers in Presence of Narrowband Interferers. Senguttuvan, R., +, T-MTT Sep. 2007 19421950 Etching Analysis and Design of Monolithic Rectangular Coaxial Lines for Minimum Coupling. Saito, Y., +, T-MTT Dec. 2007 2521-2530 Extrapolation Space-Mapping Optimization With Adaptive Surrogate Model. Koziel, S., +, T-MTT Mar. 2007 541-547 Eye Development of a 2.45-GHz Local Exposure System for In Vivo Study on Ocular Effects. Wake, K., +, T-MTT Mar. 2007 588-596

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

F FM radar High Precision Radar Distance Measurements in Overmoded Circular Waveguides. Pohl, N., +, T-MTT Jun. 2007 1374-1381 Ultra-Wideband Multifunctional Communications/Radar System. Saddik, G. N., +, T-MTT Jul. 2007 1431-1437 Fabry-Perot resonantors An Electronic Dispersion Compensator (EDC) With an Analog Eye-Opening Monitor (EOM) for 1.25-Gb/s Gigabit Passive Optical Network (GPON) Upstream Links. Kim, H., +, T-MTT Dec. 2007 2942-2950 Faraday effect A Low-Loss 74–110-GHz Faraday Polarization Rotator. Erickson, N. R., +, T-MTT Dec. 2007 2495-2501 Fast Fourier transforms Broadband Dielectric Characterization of Tumorous and Nontumorous Breast Tissues. Khan, U. A., +, T-MTT Dec. 2007 2887-2893 Feedback 3–10-GHz Ultra-Wideband Low-Noise Amplifier Utilizing Miller Effect and Inductive Shunt–Shunt Feedback Technique. Lin, Y.-T., +, T-MTT Sep. 2007 1832-1843 Feedback oscillators A Low Phase-Noise Voltage-Controlled SAW Oscillator With Surface Transverse Wave Resonator for SONET Application. Lin, J.-H., +, T-MTT Jan. 2007 60-65 Authors’ Reply. Nallatamby, J.-C., +, T-MTT Jan. 2007 185-186 Comments on “Extension of the Leeson Formula to Phase Noise Calculation in Transistor Oscillators With Complex Tanks”. Ohira, T., T-MTT Jan. 2007 185-185 10-Gb/s Optical Fiber Transmission Using a Fully Analog Electronic Dispersion Compensator (EDC) With Unclocked Decision-Feedback Equalization. Chandramouli, S., +, T-MTT Dec. 2007 2740-2746 Feedforward Design of a Power-Amplifier Feed-Forward RF Model With Physical Knowledge Considerations. Cunha, T. R., +, T-MTT Dec. 2007 2747-2756 Ferrite circulators Design of High-Performance Millimeter Wave and Sub-Millimeter Wave Quasi-Optical Isolators and Circulators. Hunter, R. I., +, T-MTT May 2007 890-898 Ferrite isolators Design of High-Performance Millimeter Wave and Sub-Millimeter Wave Quasi-Optical Isolators and Circulators. Hunter, R. I., +, T-MTT May 2007 890-898 Compact Waveguide-Based Power Divider Feeding Independently Any Number of Coaxial Lines. Pollak, J., +, T-MTT May 2007 951-957 Ferrite phase shifters Modified Green’s Function and Spectral-Domain Approach for Analyzing Anisotropic and Multidielectric Layer Coplanar Waveguide Ferroelectric Phase Shifters. Kim, W., +, T-MTT Feb. 2007 402-409 Ferroelectric Phase Shifters at 20 and 30 GHz. Zhao, Z., +, T-MTT Feb. 2007 430-437 Ferroelectric capacitors Investigation of Ferroelectric Thick-Film Varactors for Microwave Phase Shifters. Hu, W., +, T-MTT Feb. 2007 418-424 A Ferroelectric-Capacitor-Based Tunable Matching Network for Quad-Band Cellular Power Amplifiers. Tombak, A., T-MTT Feb. 2007 370-375 Frequency and Bandwidth Agile Millimeter-Wave Filter Using Ferroelectric Capacitors and MEMS Cantilevers. Lugo, C., +, T-MTT Feb. 2007 376-382 Insertion Loss in Reflection-Type Microwave Phase Shifter Based on Ferroelectric Tunable Capacitor. Vendik, O. G., T-MTT Feb. 2007 425-429 Modeling and Applications of Ferroelectric-Thick Film Devices With Resistive Electrodes for Linearity Improvement and Tuning-Voltage Reduction. Scheele, P., +, T-MTT Feb. 2007 383-390 Ferroelectric devices Improving Linearity of Ferroelectric-Based Microwave Tunable Circuits. Fu, J.-S., +, T-MTT Feb. 2007 354-360 A Low-Noise -Band VCO Based on Room-Temperature Ferroelectric Varactors. Norling, M., +, T-MTT Feb. 2007 361-369 Active Integrated Antenna Based on Planar Dielectric Resonator With Tuning Ferroelectric Varactor. Buslov, O. Y., +, T-MTT Dec. 2007 2951-2956

K

+ Check author entry for coauthors

25

Ferroelectric Phase Shifters at 20 and 30 GHz. Zhao, Z., +, T-MTT Feb. 2007 430-437 Frequency Tuning and Spurious Signal Generation at Microwave Frequencies in Ferroelectric SrTiO Thin-Film Transmission Lines. Mateu, J., +, T-MTT Feb. 2007 391-396 Intermodulation Distortion in Coupled-Resonator Filters With Nonuniformly Distributed Nonlinear Properties—Use in HTS IMD Compensation. Mateu, J., +, T-MTT Apr. 2007 616-624 Ferroelectric materials Modified Green’s Function and Spectral-Domain Approach for Analyzing Anisotropic and Multidielectric Layer Coplanar Waveguide Ferroelectric Phase Shifters. Kim, W., +, T-MTT Feb. 2007 402-409 Ferroelectric thin films Frequency Tuning and Spurious Signal Generation at Microwave Frequencies in Ferroelectric SrTiO Thin-Film Transmission Lines. Mateu, J., +, T-MTT Feb. 2007 391-396 A 360 BST Phase Shifter With Moderate Bias Voltage at 30 GHz. Velu, G., +, T-MTT Feb. 2007 438-444 Fiber dispersion An Electronic Dispersion Compensator (EDC) With an Analog Eye-Opening Monitor (EOM) for 1.25-Gb/s Gigabit Passive Optical Network (GPON) Upstream Links. Kim, H., +, T-MTT Dec. 2007 2942-2950 Field effect MIMIC Design and Analysis of a Millimeter-Wave Direct Injection-Locked Frequency Divider With Large Frequency Locking Range. Wu, C., +, T-MTT Aug. 2007 1649-1658 Analysis and Design of Bandpass Single-Pole–Double-Throw FET FilterIntegrated Switches. Tsai, Z.-M., +, T-MTT Aug. 2007 1601-1610 Field effect MMIC Design Considerations for Traveling-Wave Single-Pole Multithrow MMIC Switch Using Fully Distributed FET. Mizutani, H., +, T-MTT Apr. 2007 664-671 A 1-V Wideband Low-Power CMOS Active Differential Power Splitter for Wireless Communication. Lee, S., +, T-MTT Aug. 2007 1593-1600 Field effect transistor circuits Analysis and Design of Millimeter-Wave FET-Based Image Reject Mixers. Gunnarsson, S. E., +, T-MTT Oct. 2007 2065-2074 Field effect transistor switches Ultra-Compact High-Linearity High-Power Fully Integrated DC–20-GHz 0.18-m CMOS T/R Switch. Jin, Y., +, T-MTT Jan. 2007 30-36 Analysis and Design of Bandpass Single-Pole–Double-Throw FET FilterIntegrated Switches. Tsai, Z.-M., +, T-MTT Aug. 2007 1601-1610 Field effect transistors Heat Conduction in Microwave Devices With Orthotropic and TemperatureDependent Thermal Conductivity. Ditri, J., T-MTT Mar. 2007 555-560 Filtering theory A Dual-Band Coupled-Line Balun Filter. Yeung, L. K., +, T-MTT Nov. 2007 2406-2411 A 25–75-MHz RF MEMS Tunable Filter. Entesari, K., +, T-MTT Nov. 2007 2399-2405 A Microstrip Ultra-Wideband Bandpass Filter With Cascaded Broadband Bandpass and Bandstop Filters. Tang, C.-W., +, T-MTT Nov. 2007 24122418 Design of Bandpass Elliptic Filters Employing Inductive Windows and Dielectric Objects. Perez Soler, F. J., +, T-MTT Nov. 2007 2393-2398 Rigorous Mode-Matching Method of Circular to Off-Center Rectangular Side-Coupled Waveguide Junctions for Filter Applications. Zheng, J., +, T-MTT Nov. 2007 2365-2373 Filters A Note on the Multiplicity of Poles in the Vector Fitting Macromodeling Method. Deschrijver, D., +, T-MTT Apr. 2007 736-741 Broadband and Compact Coupled Coplanar Stripline Filters With Impedance Steps. Yang, N., +, T-MTT Dec. 2007 2874-2886 Design and Characterization of a W -Band Micromachined Cavity Filter Including a Novel Integrated Transition From CPW Feeding Lines. Li, Y., +, T-MTT Dec. 2007 2902-2910 Finite difference methods Fast Numerical Computation of Green’s Functions for Unbounded Planar Stratified Media With a Finite-Difference Technique and Gaussian Spectral Rules. Polimeridis, A. G., +, T-MTT Jan. 2007 100-107 Efficient Cartesian-Grid-Based Modeling of Rotationally Symmetric Bodies. Shyroki, D. M., T-MTT Jun. 2007 1132-1138

26

Equivalent Resonant Cavity Model of Arbitrary Periodic Guided-Wave Structures and Its Application to Finite-Difference Frequency-Domain Algorithm. Xu, F., +, T-MTT Apr. 2007 697-702 Projection Framework for Hybrid Methods Derived From Finite-Difference Operators in Time and Frequency Domain. Wiktor, M., +, T-MTT Oct. 2007 2103-2114 Finite difference time domain analysis Finite-Difference Time-Domain Modeling of Periodic Guided-Wave Structures and Its Application to the Analysis of Substrate Integrated Nonradiative Dielectric Waveguide. Xu, F., +, T-MTT Dec. 2007 2502-2511 Modeling and Applications of Ferroelectric-Thick Film Devices With Resistive Electrodes for Linearity Improvement and Tuning-Voltage Reduction. Scheele, P., +, T-MTT Feb. 2007 383-390 Alternating-Direction Implicit Formulation of the Finite-Element Time-Domain Method. Movahhedi, M., +, T-MTT Jun. 2007 1322-1331 An Alternative Algorithm for Both Narrowband and Wideband Lorentzian Dispersive Materials Modeling in the Finite-Difference Time-Domain Method. Aksoy, S., T-MTT Apr. 2007 703-708 An Efficient Scheme for Processing Arbitrary Lumped Multiport Devices in the Finite-Difference Time-Domain Method. Wang, C.-C., +, T-MTT May 2007 958-965 Analysis of Wideband Dielectric Resonator Antenna Arrays for WaveguideBased Spatial Power Combining. Zhang, Y., +, T-MTT Jun. 2007 1332-1340 Computation of Electromagnetic Fields in Assemblages of Biological Cells Using a Modified Finite-Difference Time-Domain Scheme. See, C. H., +, T-MTT Sep. 2007 1986-1994 Corrections to “Efficient Implementations of the Crank–Nicolson Scheme for the Finite-Difference Time-Domain Method. Sun, G., +, T-MTT Feb. 2007 351-351 Development of a 2.45-GHz Local Exposure System for In Vivo Study on Ocular Effects. Wake, K., +, T-MTT Mar. 2007 588-596 Genetic Algorithm in Reduction of Numerical Dispersion of 3-D Alternating-Direction-Implicit Finite-Difference Time-Domain Method. Zhang, Y., +, T-MTT May 2007 966-973 The Stochastic Gabor Function Enhances Bandwidth In Finite-DifferenceTime Domain S -Parameter Estimation. Bonmassar, G., T-MTT Apr. 2007 601-606 Finite element analysis On the Fast and Rigorous Analysis of Compensated Waveguide Junctions Using Off-Centered Partial-Height Metallic Posts. San Blas, A. A., +, T-MTT Jan. 2007 168-175 A Nonlinear Finite-Element Leaky-Waveguide Solver. Allilomes, P. C., +, T-MTT Jul. 2007 1496-1510 Alternating-Direction Implicit Formulation of the Finite-Element Time-Domain Method. Movahhedi, M., +, T-MTT Jun. 2007 1322-1331 Microwave Circuit Design by Means of Direct Decomposition in the FiniteElement Method. de la Rubia, V., +, T-MTT Jul. 2007 1520-1530 Modeling of 3-D Surface Roughness Effects With Application to -Coaxial Lines. Lukic, M. V., +, T-MTT Mar. 2007 518-525 Self-Consistent Electrothermal Modeling of Class A, AB, and B Power GaN HEMTs Under Modulated RF Excitation. CaMar.ia, V., +, T-MTT Sep. 2007 1824-1831 Singular Tetrahedral Finite Elements for Vector Electromagnetics. Webb, J. P., T-MTT Mar. 2007 533-540 Traceable 2-D Finite-Element Simulation of the Whispering-Gallery Modes of Axisymmetric Electromagnetic Resonators. Oxborrow, M., T-MTT Jun. 2007 1209-1218 Flanges Experimental Analysis of Passive Intermodulation at Waveguide Flange Bolted Connections. Vicente, C., +, T-MTT May 2007 1018-1028 Flicker noise RFCMOS Unit Width Optimization Technique. Tong, A. F., +, T-MTT Sep. 2007 1844-1853 Flip-chip devices A Low-Noise K -Band VCO Based on Room-Temperature Ferroelectric Varactors. Norling, M., +, T-MTT Feb. 2007 361-369 Theoretical and Experimental Studies of Flip-Chip Assembled High-Q Suspended MEMS Inductors. Zeng, J., +, T-MTT Jun. 2007 1171-1181 Flip-flops A 20-Gb/s 1:2 Demultiplexer With Capacitive-Splitting Current-ModeLogic Latches. Chien, J.-C., +, T-MTT Aug. 2007 1624-1632 Fluctuations An Efficient Time-Domain Simulation Method for Multirate RF Nonlinear Circuits. Oliveira, J. F., +, T-MTT Nov. 2007 2384-2392 + Check author entry for coauthors

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Fourier transform spectroscopy Terahertz Performance of Integrated Lens Antennas With a Hot-Electron Bolometer. Semenov, A. D., +, T-MTT Feb. 2007 239-247 Frequency Calculation of the Properties of Reentrant Cylindrical Cavity Resonators. Carter, R. G., +, T-MTT Dec. 2007 2531-2538 Frequency control Frequency and Bandwidth Agile Millimeter-Wave Filter Using Ferroelectric Capacitors and MEMS Cantilevers. Lugo, C., +, T-MTT Feb. 2007 376-382 Frequency dividers A 16-GHz Triple-Modulus Phase-Switching Prescaler and Its Application to a 15-GHz Frequency Synthesizer in 0.18-m CMOS. Peng, Y.-H., +, T-MTT Jan. 2007 44-51 Design and Analysis of a Millimeter-Wave Direct Injection-Locked Frequency Divider With Large Frequency Locking Range. Wu, C., +, T-MTT Aug. 2007 1649-1658 Microwave Parametric Frequency Dividers With Conversion Gain. Heshmati, Z., +, T-MTT Oct. 2007 2059-2064 Frequency locked loops Design and Analysis of a Millimeter-Wave Direct Injection-Locked Frequency Divider With Large Frequency Locking Range. Wu, C., +, T-MTT Aug. 2007 1649-1658 Frequency measurement Mildly Nonquasi-Static Two-Port Device Model Extraction by Integrating Linearized Large-Signal Vector Measurements. Cidronali, A., +, T-MTT Nov. 2007 2277-2289 Design of Cryogenic SiGe Low-Noise Amplifiers. Weinreb, S., +, T-MTT Nov. 2007 2306-2312 Frequency modulation Inverse Synthetic Aperture Secondary Radar Concept for Precise Wireless Positioning. Vossiek, M., +, T-MTT Nov. 2007 2447-2453 Frequency multipliers A 15/30-GHz Dual-Band Multiphase Voltage-Controlled Oscillator in 0.18-m CMOS. Hsieh, H.-H., +, T-MTT Mar. 2007 474-483 Analysis of Multiconductor Coupled-Line Mar.and Baluns for Miniature MMIC Design. Lin, C.-S., +, T-MTT Jun. 2007 1190-1199 Frequency response Equivalent SPICE Circuits With Guaranteed Passivity From Nonpassive Models. Lamecki, A., +, T-MTT Mar. 2007 526-532 Frequency synthesizers A 16-GHz Triple-Modulus Phase-Switching Prescaler and Its Application to a 15-GHz Frequency Synthesizer in 0.18-m CMOS. Peng, Y.-H., +, T-MTT Jan. 2007 44-51 Multitone Fast Frequency-Hopping Synthesizer for UWB Radio. Stadius, K., +, T-MTT Aug. 2007 1633-1641 Frequency-domain analysis A Note on the Multiplicity of Poles in the Vector Fitting Macromodeling Method. Deschrijver, D., +, T-MTT Apr. 2007 736-741 Efficient Cartesian-Grid-Based Modeling of Rotationally Symmetric Bodies. Shyroki, D. M., T-MTT Jun. 2007 1132-1138 Equivalent Resonant Cavity Model of Arbitrary Periodic Guided-Wave Structures and Its Application to Finite-Difference Frequency-Domain Algorithm. Xu, F., +, T-MTT Apr. 2007 697-702 Global Modeling Analysis of HEMTs by the Spectral Balance Technique. Leuzzi, G., +, T-MTT Jun. 2007 1405-1412 Pruning the Volterra Series for Behavioral Modeling of Power Amplifiers Using Physical Knowledge. Zhu, A., +, T-MTT May 2007 813-821 Fuzzy systems A Space-Mapping Approach to Microwave Device Modeling Exploiting Fuzzy Systems. Koziel, S., +, T-MTT Dec. 2007 2539-2547

G

Gain Demonstration of a 311-GHz Fundamental Oscillator Using InP HBT Technology. Radisic, V., +, T-MTT Nov. 2007 2329-2335 RFCMOS Unit Width Optimization Technique. Tong, A. F., +, T-MTT Sep. 2007 1844-1853 Galerkin method A 3-D Spectral-Element Time-Domain Method for Electromagnetic Simulation. Lee, J.-H., +, T-MTT May 2007 983-991

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Gallium arsenide Ultra-Compact High-Linearity High-Power Fully Integrated DC–20-GHz 0.18-m CMOS T/R Switch. Jin, Y., +, T-MTT Jan. 2007 30-36 Analysis and Design of a Dynamic Predistorter for WCDMA Handset Power Amplifiers. Yamanouchi, S., +, T-MTT Mar. 2007 493-503 GaInP/GaAs HBT Sub-Harmonic Gilbert Mixers Using Stacked-LO and Leveled-LO Topologies. Wu, T.-H., +, T-MTT May 2007 880-889 Global Modeling Analysis of HEMTs by the Spectral Balance Technique. Leuzzi, G., +, T-MTT Jun. 2007 1405-1412 Heat Conduction in Microwave Devices With Orthotropic and TemperatureDependent Thermal Conductivity. Ditri, J., T-MTT Mar. 2007 555-560 Left-Handed Metamaterial Coplanar Waveguide Components and Circuits in GaAs MMIC Technology. Tong, W., +, T-MTT Aug. 2007 1794-1800 Static and Dynamic Error Vector Magnitude Behavior of 2.4-GHz Power Amplifier. Yoon, S.-W., T-MTT Apr. 2007 643-647 Traceable 2-D Finite-Element Simulation of the Whispering-Gallery Modes of Axisymmetric Electromagnetic Resonators. Oxborrow, M., T-MTT Jun. 2007 1209-1218 Gallium compounds A Low Phase-Noise X -Band MMIC VCO Using High-Linearity and Ga N/GaN Low-Noise Composite-Channel Al Ga N/Al HEMTs. Cheng, Z. Q., +, T-MTT Jan. 2007 23-29 A Systematic State–Space Approach to Large-Signal Transistor Modeling. Seelmann-Eggebert, M., +, T-MTT Feb. 2007 195-206 Analysis and Design of a Dynamic Predistorter for WCDMA Handset Power Amplifiers. Yamanouchi, S., +, T-MTT Mar. 2007 493-503 Analysis of the Survivability of GaN Low-Noise Amplifiers. Rudolph, M., +, T-MTT Jan. 2007 37-43 GaInP/GaAs HBT Sub-Harmonic Gilbert Mixers Using Stacked-LO and Leveled-LO Topologies. Wu, T.-H., +, T-MTT May 2007 880-889 Self-Consistent Electrothermal Modeling of Class A, AB, and B Power GaN HEMTs Under Modulated RF Excitation. CaMar.ia, V., +, T-MTT Sep. 2007 1824-1831 Gaussian processes The Stochastic Gabor Function Enhances Bandwidth In Finite-DifferenceTime Domain S -Parameter Estimation. Bonmassar, G., T-MTT Apr. 2007 601-606 Ge-Si alloys Fully Integrated Differential Distributed VCO in 0.35-m SiGe BiCMOS Technology. Bilionis, G. P., +, T-MTT Jan. 2007 13-22 A 23-dBm 60-GHz Distributed Active Transformer in a Silicon Process Technology. Pfeiffer, U. R., +, T-MTT May 2007 857-865 A New X -Band Low Phase-Noise Multiple-Device Oscillator Based on the Extended-Resonance Technique. Choi, J., +, T-MTT Aug. 2007 1642-1648 Polar SiGe Class E and F Amplifiers Using Switch-Mode Supply Modulation. Kitchen, J. N., +, T-MTT May 2007 845-856 SiGe HBT’s Small-Signal Pi Modeling. Yang, T.-R., +, T-MTT Jul. 2007 1417-1424 Genetic algorithms Uniform Electric Field Distribution in Microwave Heating Applicators by Means of Genetic Algorithms Optimization of Dielectric Multilayer Structures. Dominguez-Tortajada, E., +, T-MTT Jan. 2007 85-91 Genetic Algorithm in Reduction of Numerical Dispersion of 3-D Alternating-Direction-Implicit Finite-Difference Time-Domain Method. Zhang, Y., +, T-MTT May 2007 966-973 Synthesis of Resonator Filters With Arbitrary Topology Using Hybrid Method. Uhm, M., +, T-MTT Oct. 2007 2157-2167 Gold Gold-Plated Micromachined Millimeter-Wave Resonators Based on Rectangular Coaxial Transmission Lines. Marsh, E. D., +, T-MTT Jan. 2007 78-84 Green's function methods Fast Numerical Computation of Green’s Functions for Unbounded Planar Stratified Media With a Finite-Difference Technique and Gaussian Spectral Rules. Polimeridis, A. G., +, T-MTT Jan. 2007 100-107 A Periodically Loaded Transmission Line Excited by an Aperiodic Source—A Green’s Function Approach. Eshrah, I. A., +, T-MTT Jun. 2007 1118-1123 An Efficient Application of the Discrete Complex Image Method for Quasi-3-D Microwave Circuits in Layered Media. Tang, W.-H., +, T-MTT Aug. 2007 1723-1729 Application of Total Least Squares to the Derivation of Closed-Form Green’s Functions for Planar Layered Media. Boix, R. R., +, T-MTT Feb. 2007 268-280

+ Check author entry for coauthors

27

Efficient Analysis of Arbitrarily Shaped Inductive Obstacles in Rectangular Waveguides Using a Surface Integral-Equation Formulation. Quesada Pereira, F. D., +, T-MTT Apr. 2007 715-721 Magnetic-Type Dyadic Green’s Functions for a Corrugated Rectangular Metaguide Based on Asymptotic Boundary Conditions. Eshrah, I. A., +, T-MTT Jun. 2007 1124-1131 Millimeter-Wave Transition From Waveguide to Two Microstrip Lines Using Rectangular Patch Element. Iizuka, H., +, T-MTT May 2007 899-905 Modified Green’s Function and Spectral-Domain Approach for Analyzing Anisotropic and Multidielectric Layer Coplanar Waveguide Ferroelectric Phase Shifters. Kim, W., +, T-MTT Feb. 2007 402-409 New Series Expansions for the 3-D Green’s Function of Multilayered Media With 1-D Periodicity Based on Perfectly Matched Layers. Rogier, H., T-MTT Aug. 2007 1730-1738 Rigorous Analysis of a Metallic Circular Post in a Rectangular Waveguide With Step Discontinuity of Sidewalls. Valagiannopoulos, C. A., +, T-MTT Aug. 2007 1673-1684 Ground penetrating radar UWB Array-Based Sensor for Near-Field Imaging. Yarovoy, A. G., +, T-MTT Jun. 2007 1288-1295 Ground support equipment A Tunable Bandstop Resonator Based on a Compact Slotted Ground Structure. Wang, X. H., +, T-MTT Sep. 2007 1912-1918 Gyrotrons Analysis of a TE 118-GHz Quasi-Optical Mode Converter. Prinz, H. O., +, T-MTT Aug. 2007 1697-1703 H HEMT circuits Analysis and Design of Millimeter-Wave FET-Based Image Reject Mixers. Gunnarsson, S. E., +, T-MTT Oct. 2007 2065-2074 An Electrothermal Model for AlGaN/GaN Power HEMTs Including Trapping Effects to Improve Large-Signal Simulation Results on High VSWR. Jardel, O., +, T-MTT Dec. 2007 2660-2669 Development of Sub-Millimeter-Wave Power Amplifiers. Deal, W. R., +, T-MTT Dec. 2007 2719-2726 Microwave Parametric Frequency Dividers With Conversion Gain. Heshmati, Z., +, T-MTT Oct. 2007 2059-2064 HEMT integrated circuits Analysis and Design of Bandpass Single-Pole–Double-Throw FET FilterIntegrated Switches. Tsai, Z.-M., +, T-MTT Aug. 2007 1601-1610 Hankel matrices A Nonlinear Finite-Element Leaky-Waveguide Solver. Allilomes, P. C., +, T-MTT Jul. 2007 1496-1510 Harmonic generation Compact Left-Handed Transmission Line as a Linear Phase–Voltage Modulator and Efficient Harmonic Generator. Kim, H., +, T-MTT Mar. 2007 571-578 Weighted Polynomial Digital Predistortion for Low Memory Effect Doherty Power Amplifier. Hong, S., +, T-MTT May 2007 925-931 Harmonic suppression A New Planar Artificial Transmission Line and Its Applications to a Miniaturized Butler Matrix. Wang, C. W., +, T-MTT Dec. 2007 2792-2801 Harmonics suppression Study and Design Optimization of Multiharmonic Transmission-Line Load Networks for Class-E and Class-F K -Band MMIC Power Amplifiers. Negra, R., +, T-MTT Jun. 2007 1390-1397 Integrated Receiver Based on a High-Order Subharmonic Self-Oscillating Mixer. Winkler, S. A., +, T-MTT Jun. 2007 1398-1404 Heat conduction Heat Conduction in Microwave Devices With Orthotropic and TemperatureDependent Thermal Conductivity. Ditri, J., T-MTT Mar. 2007 555-560 Helicons Temperature Characteristics of Broadband Helicon Isolators for Meter and Decameter Waves. Vountesmery, V. S., +, T-MTT Oct. 2007 2097-2102 Heterodyne detection A 2-D Phase-Detecting/Heterodyne-Scanning Retrodirective Array. Watanabe, M. K., +, T-MTT Dec. 2007 2856-2864 Live Electrooptic Imaging System Based on Ultraparallel Photonic Heterodyne for Microwave Near-Fields. Sasagawa, K., +, T-MTT Dec. 2007 2782-2791

28

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Heterojunction bipolar transistors Monolithic Integration of a Folded Dipole Antenna With a 24-GHz Receiver in SiGe HBT Technology. Ojefors, E., +, T-MTT Jul. 2007 1467-1475 3–10-GHz Ultra-Wideband Low-Noise Amplifier Utilizing Miller Effect and Inductive Shunt–Shunt Feedback Technique. Lin, Y.-T., +, T-MTT Sep. 2007 1832-1843 A New -Band Low Phase-Noise Multiple-Device Oscillator Based on the Extended-Resonance Technique. Choi, J., +, T-MTT Aug. 2007 1642-1648 Demonstration of a 311-GHz Fundamental Oscillator Using InP HBT Technology. Radisic, V., +, T-MTT Nov. 2007 2329-2335 SiGe HBT’s Small-Signal Pi Modeling. Yang, T.-R., +, T-MTT Jul. 2007 1417-1424 High electron mobility transistors A Low Phase-Noise -Band MMIC VCO Using High-Linearity and Ga N/GaN Low-Noise Composite-Channel Al Ga N/Al HEMTs. Cheng, Z. Q., +, T-MTT Jan. 2007 23-29 A Systematic State–Space Approach to Large-Signal Transistor Modeling. Seelmann-Eggebert, M., +, T-MTT Feb. 2007 195-206 Analysis of the Survivability of GaN Low-Noise Amplifiers. Rudolph, M., +, T-MTT Jan. 2007 37-43 Electron Device Model Parameter Identification Through Large-Signal-Predictive Small-Signal-Based Error Functions. Raffo, A., +, T-MTT Oct. 2007 1997-2005 Global Modeling Analysis of HEMTs by the Spectral Balance Technique. Leuzzi, G., +, T-MTT Jun. 2007 1405-1412 Self-Consistent Electrothermal Modeling of Class A, AB, and B Power GaN HEMTs Under Modulated RF Excitation. CaMar.ia, V., +, T-MTT Sep. 2007 1824-1831 High temperature techniques Design of a High-Power Superconducting Filter Using Resonators With Different Linewidths. Guo, X., +, T-MTT Dec. 2007 2555-2561 High-frequency transmission lines Design and High Performance of a Micromachined K -Band Rectangular Coaxial Cable. Lancaster, M. J., +, T-MTT Jul. 2007 1548-1553 High-pass filters A 4-bit CMOS Phase Shifter Using Distributed Active Switches. Kang, D.-W., +, T-MTT Jul. 2007 1476-1483 High-temperature superconductors HTS Quasi-Elliptic Filter Using Capacitive-Loaded Cross-Shape Resonators With Low Sensitivity to Substrate Thickness. Corona-Chavez, A., +, T-MTT Jan. 2007 117-120 A 12-Pole Narrowband Highly Selective High-Temperature Superconducting Filter for the Application in the Third-Generation Wireless Communications. Li, S., +, T-MTT Apr. 2007 754-759 Comparison of Techniques for Microwave Characterization of BST Thin Films. Suherman, P. M., +, T-MTT Feb. 2007 397-401 Intermodulation Distortion in Coupled-Resonator Filters With Nonuniformly Distributed Nonlinear Properties—Use in HTS IMD Compensation. Mateu, J., +, T-MTT Apr. 2007 616-624 Horn antennas Analysis of Wideband Dielectric Resonator Antenna Arrays for WaveguideBased Spatial Power Combining. Zhang, Y., +, T-MTT Jun. 2007 1332-1340 Hot carriers Terahertz Performance of Integrated Lens Antennas With a Hot-Electron Bolometer. Semenov, A. D., +, T-MTT Feb. 2007 239-247 The Direct Detection Effect in the Hot-Electron Bolometer Mixer Sensitivity Calibration. Cherednichenko, S., +, T-MTT Mar. 2007 504-510 Human factors Human Presence Detection Using Millimeter-Wave Radiometry. Nanzer, J. A., +, T-MTT Dec. 2007 2727-2733

X

X

I IEEE standards A 2.4-GHz Low-Power Low-IF Receiver and Direct-Conversion Transmitter in 0.18-m CMOS for IEEE 802.15.4 WPAN Applications. Nam, I., +, T-MTT Apr. 2007 682-689 Corrections to “A Low-Power CMOS Direct Conversion Receiver With 3-dB NF and 30-kHz Flicker-Noise Corner for 915-MHz Band IEEE 802.15.4 ZigBee Standard” [Feb 06 735-741]. Oh, N.-J., T-MTT Jun. 2007 1256-1256 Static and Dynamic Error Vector Magnitude Behavior of 2.4-GHz Power Amplifier. Yoon, S.-W., T-MTT Apr. 2007 643-647 + Check author entry for coauthors

III-V semiconductors A Low Phase-Noise X -Band MMIC VCO Using High-Linearity and Ga N/GaN Low-Noise Composite-Channel Al Ga N/Al HEMTs. Cheng, Z. Q., +, T-MTT Jan. 2007 23-29 A Systematic State–Space Approach to Large-Signal Transistor Modeling. Seelmann-Eggebert, M., +, T-MTT Feb. 2007 195-206 Analysis and Design of a Dynamic Predistorter for WCDMA Handset Power Amplifiers. Yamanouchi, S., +, T-MTT Mar. 2007 493-503 Analysis of the Survivability of GaN Low-Noise Amplifiers. Rudolph, M., +, T-MTT Jan. 2007 37-43 GaInP/GaAs HBT Sub-Harmonic Gilbert Mixers Using Stacked-LO and Leveled-LO Topologies. Wu, T.-H., +, T-MTT May 2007 880-889 Global Modeling Analysis of HEMTs by the Spectral Balance Technique. Leuzzi, G., +, T-MTT Jun. 2007 1405-1412 Heat Conduction in Microwave Devices With Orthotropic and TemperatureDependent Thermal Conductivity. Ditri, J., T-MTT Mar. 2007 555-560 Left-Handed Metamaterial Coplanar Waveguide Components and Circuits in GaAs MMIC Technology. Tong, W., +, T-MTT Aug. 2007 1794-1800 Static and Dynamic Error Vector Magnitude Behavior of 2.4-GHz Power Amplifier. Yoon, S.-W., T-MTT Apr. 2007 643-647 Image processing Live Electrooptic Imaging System Based on Ultraparallel Photonic Heterodyne for Microwave Near-Fields. Sasagawa, K., +, T-MTT Dec. 2007 2782-2791 Image reconstruction Using a priori Data to Improve the Reconstruction of Small Objects in Microwave Tomography. Fhager, A., +, T-MTT Nov. 2007 2454-2462 Impedance A 275–425-GHz Tunerless Waveguide Receiver Based on AlN-Barrier SIS Technology. Kooi, J. W., +, T-MTT Oct. 2007 2086-2096 Impedance convertors Design Considerations for Traveling-Wave Single-Pole Multithrow MMIC Switch Using Fully Distributed FET. Mizutani, H., +, T-MTT Apr. 2007 664-671 A 23-dBm 60-GHz Distributed Active Transformer in a Silicon Process Technology. Pfeiffer, U. R., +, T-MTT May 2007 857-865 Wideband Design of the Fully Integrated Transmitter Front-End With High Power-Added Efficiency. Kim, H., +, T-MTT May 2007 916-924 Impedance matching Design of a Ten-Way Conical Transmission Line Power Combiner. de Villiers, D. I. L., +, T-MTT Feb. 2007 302-308 A Dual-Band Coupled-Line Balun Filter. Yeung, L. K., +, T-MTT Nov. 2007 2406-2411 Analytic Large-Signal Modeling of Silicon RF Power MOSFETs. Fioravanti, P., +, T-MTT May 2007 829-837 Concurrent Dual-Band Class-E Power Amplifier Using Composite Right/ Left-Handed Transmission Lines. Ji, S. H., +, T-MTT Jun. 2007 1341-1347 Coupled Transmission Lines as Impedance Transformer. Jensen, T., +, T-MTT Dec. 2007 2957-2965 Design of a High-Efficiency and High-Power Inverted Doherty Amplifier. Ahn, G., +, T-MTT Jun. 2007 1105-1111 Electrically Controllable Artificial Transmission Line Transformer for Matching Purposes. Damm, C., +, T-MTT Jun. 2007 1348-1354 Modeling and Applications of Ferroelectric-Thick Film Devices With Resistive Electrodes for Linearity Improvement and Tuning-Voltage Reduction. Scheele, P., +, T-MTT Feb. 2007 383-390 Optimization and Implementation of Impedance-Matched True-Time-Delay Phase Shifters on Quartz Substrate. Lakshminarayanan, B., +, T-MTT Feb. 2007 335-342 Systematic Error of the Nose-to-Nose Sampling-Oscilloscope Calibration. Williams, D. F., +, T-MTT Sep. 2007 1951-1957 Time-Varying Matching Networks for Signal-Centric Systems. Wang, X., +, T-MTT Dec. 2007 2599-2613 Variable Antenna Load for Transmitter Efficiency Improvement. Kaajakari, V., +, T-MTT Aug. 2007 1666-1672 Impedance resonators 40-GHz MMIC SPDT and Multiple-Port Bandpass Filter-Integrated Switches. Chao, S.-F., +, T-MTT Dec. 2007 2691-2699 A Dual-Band Coupled-Line Balun Filter. Yeung, L. K., +, T-MTT Nov. 2007 2406-2411 A Microstrip Ultra-Wideband Bandpass Filter With Cascaded Broadband Bandpass and Bandstop Filters. Tang, C.-W., +, T-MTT Nov. 2007 24122418

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

29

A New Compact Load Network for Doherty Amplifiers Using an Imperfect Quarter-Wave Line. Park, H., +, T-MTT Nov. 2007 2313-2319 A Parallel-Strip Ring Power Divider With High Isolation and Arbitrary Power-Dividing Ratio. Chiu, L., +, T-MTT Nov. 2007 2419-2426 Generalized Impedance Boundary Condition for Conductor Modeling in Surface Integral Equation. Qian, Z. G., +, T-MTT Nov. 2007 2354-2364 Modified Wilkinson Power Dividers for Millimeter-Wave Integrated Circuits. Horst, S., +, T-MTT Nov. 2007 2439-2446 Tournament-Shaped Magnetically Coupled Power-Combiner Architecture for RF CMOS Power Amplifier. Park, C., +, T-MTT Oct. 2007 2034-2042 Unique Retrieval of Complex Permittivity and Permeability of Dispersive Materials From Reflection and Transmitted Fields by Enforcing Causality. Varadan, V. V., +, T-MTT Oct. 2007 2224-2230 Inclusions Equivalent-Circuit Models for the Design of Metamaterials Based on Artificial Magnetic Inclusions. Bilotti, F., +, T-MTT Dec. 2007 2865-2873 Indium compounds Analysis and Design of a Dynamic Predistorter for WCDMA Handset Power Amplifiers. Yamanouchi, S., +, T-MTT Mar. 2007 493-503 GaInP/GaAs HBT Sub-Harmonic Gilbert Mixers Using Stacked-LO and Leveled-LO Topologies. Wu, T.-H., +, T-MTT May 2007 880-889 Global Modeling Analysis of HEMTs by the Spectral Balance Technique. Leuzzi, G., +, T-MTT Jun. 2007 1405-1412 Indium phosphide Demonstration of a 311-GHz Fundamental Oscillator Using InP HBT Technology. Radisic, V., +, T-MTT Nov. 2007 2329-2335 Inductance Analytic Large-Signal Modeling of Silicon RF Power MOSFETs. Fioravanti, P., +, T-MTT May 2007 829-837 Inductors Compact Left-Handed Transmission Line as a Linear Phase–Voltage Modulator and Efficient Harmonic Generator. Kim, H., +, T-MTT Mar. 2007 571-578 3-D Integration of 10-GHz Filter and CMOS Receiver Front-End. Choi, T., +, T-MTT Nov. 2007 2298-2305 A Compact Quadrature Hybrid MMIC Using CMOS Active Inductors. Hsieh, H.-H., +, T-MTT Jun. 2007 1098-1104 A New Compact Load Network for Doherty Amplifiers Using an Imperfect Quarter-Wave Line. Park, H., +, T-MTT Nov. 2007 2313-2319 A Wideband and Scalable Model of Spiral Inductors Using Space-Mapping Neural Network. Cao, Y., +, T-MTT Dec. 2007 2473-2480 Authors’ Reply. Danesh, M., +, T-MTT Apr. 2007 809-810 Comments on “Differentially Driven Symmetric Microstrip Inductors”. Minerva, V., T-MTT Apr. 2007 809-809 Effect of a Local Ground and Probe Radiation on the Microwave Characterization of Integrated Inductors. Rejaei, B., +, T-MTT Oct. 2007 2240-2247 Electrical Backplane Equalization Using Programmable Analog Zeros and Folded Active Inductors. Chen, J., +, T-MTT Jul. 2007 1459-1466 Printed and Integrated CMOS Positive/Negative Refractive-Index Phase Shifters Using Tunable Active Inductors. Abdalla, M. A. Y., +, T-MTT Aug. 2007 1611-1623 RF Front-End Passive Circuit Implementation Including Antenna for ZigBee Applications. Yoo, C.-S., +, T-MTT May 2007 906-915 Theoretical and Experimental Studies of Flip-Chip Assembled High- Suspended MEMS Inductors. Zeng, J., +, T-MTT Jun. 2007 1171-1181 Inhomogeneous media Efficient Modal Analysis of Bianisotropic Waveguides by the Coupled Mode Method. Pitarch, J., +, T-MTT Jan. 2007 108-116 An Efficient Application of the Discrete Complex Image Method for Quasi-3-D Microwave Circuits in Layered Media. Tang, W.-H., +, T-MTT Aug. 2007 1723-1729 Application of Total Least Squares to the Derivation of Closed-Form Green’s Functions for Planar Layered Media. Boix, R. R., +, T-MTT Feb. 2007 268-280 Fourier Decomposition Analysis of Anisotropic Inhomogeneous Dielectric Waveguide Structures. Pashaie, R., T-MTT Aug. 2007 1689-1696 Injection locking Integrated Heterojunction Bipolar Transistor Optically Injection-Locked Self-Oscillating Opto-Electronic Mixers for Bi-Directional Fiber-Fed Wireless Applications. Kim, J.-Y., +, T-MTT Dec. 2007 2734-2739 Ink jet printers RFID Tag and RF Structures on a Paper Substrate Using Inkjet-Printing Technology. Yang, L., +, T-MTT Dec. 2007 2894-2901

Q

+ Check author entry for coauthors

Integral equations Efficient Analysis of Arbitrarily Shaped Inductive Obstacles in Rectangular Waveguides Using a Surface Integral-Equation Formulation. Quesada Pereira, F. D., +, T-MTT Apr. 2007 715-721 An Efficient Application of the Discrete Complex Image Method for Quasi-3-D Microwave Circuits in Layered Media. Tang, W.-H., +, T-MTT Aug. 2007 1723-1729 Generalized Impedance Boundary Condition for Conductor Modeling in Surface Integral Equation. Qian, Z. G., +, T-MTT Nov. 2007 2354-2364 Rigorous Analysis of a Metallic Circular Post in a Rectangular Waveguide With Step Discontinuity of Sidewalls. Valagiannopoulos, C. A., +, T-MTT Aug. 2007 1673-1684 Integrated circuit design A Ferroelectric-Capacitor-Based Tunable Matching Network for Quad-Band Cellular Power Amplifiers. Tombak, A., T-MTT Feb. 2007 370-375 A Compact Quadrature Hybrid MMIC Using CMOS Active Inductors. Hsieh, H.-H., +, T-MTT Jun. 2007 1098-1104 Analysis and Design of Bandpass Single-Pole–Double-Throw FET FilterIntegrated Switches. Tsai, Z.-M., +, T-MTT Aug. 2007 1601-1610 Analysis of Multiconductor Coupled-Line Mar.and Baluns for Miniature MMIC Design. Lin, C.-S., +, T-MTT Jun. 2007 1190-1199 Design Considerations for Traveling-Wave Single-Pole Multithrow MMIC Switch Using Fully Distributed FET. Mizutani, H., +, T-MTT Apr. 2007 664-671 Design of Ultra-Low-Voltage RF Frontends With Complementary CurrentReused Architectures. Hsieh, H.-H., +, T-MTT Jul. 2007 1445-1458 Modeling and Characterization of On-Chip Transformers for Silicon RFIC. El-Gharniti, O., +, T-MTT Apr. 2007 607-615 Space-Mapping Optimization With Adaptive Surrogate Model. Koziel, S., +, T-MTT Mar. 2007 541-547 Integrated circuit interconnections Modeling Effects of Random Rough Interface on Power Absorption Between Dielectric and Conductive Medium in 3-D Problem. Gu, X., +, T-MTT Mar. 2007 511-517 3-D Integration of 10-GHz Filter and CMOS Receiver Front-End. Choi, T., +, T-MTT Nov. 2007 2298-2305 A Novel Multilayer Aperture-Coupled Cavity Resonator for Millimeter-Wave CMOS RFICs. Miao, M., +, T-MTT Apr. 2007 783-787 Low-Loss Patterned Ground Shield Interconnect Transmission Lines in Advanced IC Processes. Tiemeijer, L. F., +, T-MTT Mar. 2007 561-570 Integrated circuit layout Low-Loss Patterned Ground Shield Interconnect Transmission Lines in Advanced IC Processes. Tiemeijer, L. F., +, T-MTT Mar. 2007 561-570 Integrated circuit measurement On the Deembedding Issue of CMOS Multigigahertz Measurements. Issaoun, A., +, T-MTT Sep. 2007 1813-1823 Integrated circuit modeling Behavioral Thermal Modeling for Microwave Power Amplifier Design. Mazeau, J., +, T-MTT Nov. 2007 2290-2297 An Efficient Time-Domain Simulation Method for Multirate RF Nonlinear Circuits. Oliveira, J. F., +, T-MTT Nov. 2007 2384-2392 Slow-Wave Line Coupler With Interdigital Capacitor Loading. Li, L., +, T-MTT Nov. 2007 2427-2433 Integrated circuit modelling Space-Mapping Optimization With Adaptive Surrogate Model. Koziel, S., +, T-MTT Mar. 2007 541-547 Equivalent SPICE Circuits With Guaranteed Passivity From Nonpassive Models. Lamecki, A., +, T-MTT Mar. 2007 526-532 Modeling and Characterization of On-Chip Transformers for Silicon RFIC. El-Gharniti, O., +, T-MTT Apr. 2007 607-615 Integrated circuit noise Analysis of the Survivability of GaN Low-Noise Amplifiers. Rudolph, M., +, T-MTT Jan. 2007 37-43 Integrated circuit technology Authors’ Reply. Danesh, M., +, T-MTT Apr. 2007 809-810 Integrated circuit testing A Ferroelectric-Capacitor-Based Tunable Matching Network for Quad-Band Cellular Power Amplifiers. Tombak, A., T-MTT Feb. 2007 370-375 Integrated circuits 40-GHz MMIC SPDT and Multiple-Port Bandpass Filter-Integrated Switches. Chao, S.-F., +, T-MTT Dec. 2007 2691-2699

30

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

A Multigigabit Millimeter-Wave Communication System With Improved Spectral Efficiency. Dyadyuk, V., +, T-MTT Dec. 2007 2813-2821 A Ten-Beam a-Band Radiometric Receiver Using Custom Designed InP MMICs at Cryogenic Temperatures. Kettle, D., +, T-MTT Dec. 2007 27002708 Substrate Integrated Waveguide-to-Microstrip Transition in Multilayer Substrate. Ding, Y., +, T-MTT Dec. 2007 2839-2844 Integrated optics Fourier Decomposition Analysis of Anisotropic Inhomogeneous Dielectric Waveguide Structures. Pashaie, R., T-MTT Aug. 2007 1689-1696 Interconnections Hybrid S -Parameters for Transmission Line Networks With Linear/Nonlinear Load Terminations Subject to Arbitrary Excitations. Bayram, Y., +, T-MTT May 2007 941-950 Interference suppression Phase-Noise Reduction of X -Band Push–Push Oscillator With Second-Harmonic Self-Injection Techniques. Wang, T.-P., +, T-MTT Jan. 2007 66-77 Coplanar-Waveguide-Fed Microstrip Bandpass Filters With Capacitively Broadside-Coupled Structures for Multiple Spurious Suppression. Wang, C.-H., +, T-MTT Apr. 2007 768-775 Integrated Receiver Based on a High-Order Subharmonic Self-Oscillating Mixer. Winkler, S. A., +, T-MTT Jun. 2007 1398-1404 Novel Balanced Coupled-Line Bandpass Filters With Common-Mode Noise Suppression. Wu, C.-H., +, T-MTT Feb. 2007 287-295 Signal Integrity Analysis of the Traces in Electromagnetic-Bandgap Structure in High-Speed Printed Circuit Boards and Packages. Zhang, M.-S., +, T-MTT May 2007 1054-1062 Simultaneous Switching Noise Suppression in Printed Circuit Boards Using a Compact 3-D Cascaded Electromagnetic-Bandgap Structure. Zhang, M.-S., +, T-MTT Oct. 2007 2200-2207 Intermodulation distortion A New Envelope Predistorter With Envelope Delay Taps for Memory Effect Compensation. Jung, S.-C., +, T-MTT Jan. 2007 52-59 Experimental Analysis of Passive Intermodulation at Waveguide Flange Bolted Connections. Vicente, C., +, T-MTT May 2007 1018-1028 Intermodulation Distortion in Coupled-Resonator Filters With Nonuniformly Distributed Nonlinear Properties—Use in HTS IMD Compensation. Mateu, J., +, T-MTT Apr. 2007 616-624 Intermodulation Distortion of Third-Order Nonlinear Systems With Memory Under Multisine Excitations. Martins, J. P., +, T-MTT Jun. 2007 1264-1271 Inverse problems Effective Parameters for Metamorphic Materials and Metamaterials Through a Resonant Inverse Scattering Approach. Alexopoulos, N. G., +, T-MTT Feb. 2007 254-267 Two-Dimensional Radio Frequency Tomography. Lam, K., +, T-MTT Apr. 2007 801-808 Inverters A Dual-Band Coupled-Line Balun Filter. Yeung, L. K., +, T-MTT Nov. 2007 2406-2411 Broadband and Compact Coupled Coplanar Stripline Filters With Impedance Steps. Yang, N., +, T-MTT Dec. 2007 2874-2886 Invertors High-Efficiency Power Amplifier Using Novel Dynamic Bias Switching. Jeon, Y.-S., +, T-MTT Apr. 2007 690-696

K

J Junctions A 275–425-GHz Tunerless Waveguide Receiver Based on AlN-Barrier SIS Technology. Kooi, J. W., +, T-MTT Oct. 2007 2086-2096 Rigorous Mode-Matching Method of Circular to Off-Center Rectangular Side-Coupled Waveguide Junctions for Filter Applications. Zheng, J., +, T-MTT Nov. 2007 2365-2373 L Landmine detection UWB Array-Based Sensor for Near-Field Imaging. Yarovoy, A. G., +, T-MTT Jun. 2007 1288-1295 Lasers An Electronic Dispersion Compensator (EDC) With an Analog Eye-Opening Monitor (EOM) for 1.25-Gb/s Gigabit Passive Optical + Check author entry for coauthors

Network (GPON) Upstream Links. Kim, H., +, T-MTT Dec. 2007 2942-2950 Lead Surface Micromachined Microelectromechancial Ohmic Series Switch Using Thin-Film Piezoelectric Actuators. Polcawich, R. G., +, T-MTT Dec. 2007 2642-2654 Least squares approximations Application of Total Least Squares to the Derivation of Closed-Form Green’s Functions for Planar Layered Media. Boix, R. R., +, T-MTT Feb. 2007 268-280 Adaptive Digital Feedback Predistortion Technique for Linearizing Power Amplifiers. Woo, Y. Y., +, T-MTT May 2007 932-940 Two-Dimensional Radio Frequency Tomography. Lam, K., +, T-MTT Apr. 2007 801-808 Weighted Polynomial Digital Predistortion for Low Memory Effect Doherty Power Amplifier. Hong, S., +, T-MTT May 2007 925-931 Lens antennas Terahertz Performance of Integrated Lens Antennas With a Hot-Electron Bolometer. Semenov, A. D., +, T-MTT Feb. 2007 239-247 Linear network synthesis Design of Class E Amplifier With Nonlinear and Linear Shunt Capacitances for Any Duty Cycle. Mediano, A., +, T-MTT Mar. 2007 484-492 Linear transmission A 20-W Chireix Outphasing Transmitter for WCDMA Base Stations. Huttunen, A., +, T-MTT Dec. 2007 2709-2718 Linearisation techniques A New Envelope Predistorter With Envelope Delay Taps for Memory Effect Compensation. Jung, S.-C., +, T-MTT Jan. 2007 52-59 A Mixed-Signal Approach Towards Linear and Efficient N -Way Doherty Amplifiers. Neo, W. C. E., +, T-MTT May 2007 866-879 Adaptive Digital Feedback Predistortion Technique for Linearizing Power Amplifiers. Woo, Y. Y., +, T-MTT May 2007 932-940 On the Robustness of Digital Predistortion Function Synthesis and Average Power Tracking for Highly Nonlinear Power Amplifiers. Hammi, O., +, T-MTT Jun. 2007 1382-1389 Linearity Linearization of CMOS Broadband Power Amplifiers Through Combined Multigated Transistors and Capacitance Compensation. Lu, C., +, T-MTT Nov. 2007 2320-2328 Liquid helium Traceable 2-D Finite-Element Simulation of the Whispering-Gallery Modes of Axisymmetric Electromagnetic Resonators. Oxborrow, M., T-MTT Jun. 2007 1209-1218 Load modeling Slow-Wave Line Coupler With Interdigital Capacitor Loading. Li, L., +, T-MTT Nov. 2007 2427-2433 Loaded waveguides Some Properties of Generalized Scattering Matrix Representations for Metallic Waveguides With Periodic Dielectric Loading. Simsek, S., +, T-MTT Nov. 2007 2336-2344 Local area networks RFID Tag and RF Structures on a Paper Substrate Using Inkjet-Printing Technology. Yang, L., +, T-MTT Dec. 2007 2894-2901 Log periodic antennas Terahertz Performance of Integrated Lens Antennas With a Hot-Electron Bolometer. Semenov, A. D., +, T-MTT Feb. 2007 239-247 Logic circuits Fully Integrated Differential Distributed VCO in 0.35-m SiGe BiCMOS Technology. Bilionis, G. P., +, T-MTT Jan. 2007 13-22 Logic gates Tournament-Shaped Magnetically Coupled Power-Combiner Architecture for RF CMOS Power Amplifier. Park, C., +, T-MTT Oct. 2007 2034-2042 Linearization of CMOS Broadband Power Amplifiers Through Combined Multigated Transistors and Capacitance Compensation. Lu, C., +, T-MTT Nov. 2007 2320-2328 Losses A Reconfigurable Micromachined Switching Filter Using Periodic Structures. Karim, M. F., +, T-MTT Jun. 2007 1154-1162 A Physical Model of Solenoid Inductors on Silicon Substrates. Tai, C.-M., +, T-MTT Dec. 2007 2579-2585 Air-Gap Transmission Lines on Organic Substrates for Low-Loss Interconnects. Spencer, T. J., +, T-MTT Sep. 2007 1919-1925 Effects of Losses on the Current Spectrum of a Printed-Circuit Line. Bernal, J., +, T-MTT Jul. 2007 1511-1519

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Multigigahertz Causal Transmission Line Modeling Methodology Using a 3-D Hemispherical Surface Roughness Approach. Hall, S., +, T-MTT Dec. 2007 2614-2624 Thermally Actuated Multiport RF MEMS Switches and Their Performance in a Vacuumed Environment. Daneshmand, M., +, T-MTT Jun. 2007 12291236 Low noise amplifiers Analysis of the Survivability of GaN Low-Noise Amplifiers. Rudolph, M., +, T-MTT Jan. 2007 37-43 3–10-GHz Ultra-Wideband Low-Noise Amplifier Utilizing Miller Effect and Inductive Shunt–Shunt Feedback Technique. Lin, Y.-T., +, T-MTT Sep. 2007 1832-1843 A 1.5-V 2–9.6-GHz Inductorless Low-Noise Amplifier in 0.13-m CMOS. Li, Q., +, T-MTT Oct. 2007 2015-2023 Corrections to “A Low-Power CMOS Direct Conversion Receiver With 3-dB NF and 30-kHz Flicker-Noise Corner for 915-MHz Band IEEE 802.15.4 ZigBee Standard” [Feb 06 735-741]. Oh, N.-J., T-MTT Jun. 2007 1256-1256 Corrections to “CMOS Low-Noise Amplifier Design Optimization Techniques” [May 04 1433-1442]. Oh, N.-J., T-MTT Jun. 2007 1255-1255 Design of Ultra-Low-Voltage RF Frontends With Complementary CurrentReused Architectures. Hsieh, H.-H., +, T-MTT Jul. 2007 1445-1458 Low-pass filters Design of Compact Low-Pass Elliptic Filters Using Double-Sided MIC Technology. del Castillo Velazquez-Ahumada, M., +, T-MTT Jan. 2007 121-127 C -Band Noise-Parameter Measurement of Microwave Amplifiers Under Nonlinear Conditions. Chambon, C., +, T-MTT Apr. 2007 795-800 A 4-bit CMOS Phase Shifter Using Distributed Active Switches. Kang, D.-W., +, T-MTT Jul. 2007 1476-1483 Low-power electronics A High-Performance CMOS Voltage-Controlled Oscillator for Ultra-LowVoltage Operations. Hsieh, H.-H., +, T-MTT Mar. 2007 467-473 A 1-V Wideband Low-Power CMOS Active Differential Power Splitter for Wireless Communication. Lee, S., +, T-MTT Aug. 2007 1593-1600 A 2.4-GHz Low-Power Low-IF Receiver and Direct-Conversion Transmitter in 0.18-m CMOS for IEEE 802.15.4 WPAN Applications. Nam, I., +, T-MTT Apr. 2007 682-689 An 11-Mb/s 2.1-mW Synchronous Superregenerative Receiver at 2.4 GHz. Moncunill-Geniz, F. X., +, T-MTT Jun. 2007 1355-1362 Corrections to “A Low-Power CMOS Direct Conversion Receiver With 3-dB NF and 30-kHz Flicker-Noise Corner for 915-MHz Band IEEE 802.15.4 ZigBee Standard” [Feb 06 735-741]. Oh, N.-J., T-MTT Jun. 2007 1256-1256 Corrections to “CMOS Low-Noise Amplifier Design Optimization Techniques” [May 04 1433-1442]. Oh, N.-J., T-MTT Jun. 2007 1255-1255 Design of Ultra-Low-Voltage RF Frontends With Complementary CurrentReused Architectures. Hsieh, H.-H., +, T-MTT Jul. 2007 1445-1458 Electrical Backplane Equalization Using Programmable Analog Zeros and Folded Active Inductors. Chen, J., +, T-MTT Jul. 2007 1459-1466 Thermally Actuated Multiport RF MEMS Switches and Their Performance in a Vacuumed Environment. Daneshmand, M., +, T-MTT Jun. 2007 12291236 Lumped parameter networks Modeling and Characterization of On-Chip Transformers for Silicon RFIC. El-Gharniti, O., +, T-MTT Apr. 2007 607-615 An Efficient Scheme for Processing Arbitrary Lumped Multiport Devices in the Finite-Difference Time-Domain Method. Wang, C.-C., +, T-MTT May 2007 958-965 Complete Stability Analysis of Multifunction MMIC Circuits. Barquinero, C., +, T-MTT Oct. 2007 2024-2033 RF Front-End Passive Circuit Implementation Including Antenna for ZigBee Applications. Yoo, C.-S., +, T-MTT May 2007 906-915

M MESFET integrated circuits 0.7–2.7-GHz 12-W Power-Amplifier MMIC Developed Using MLP Technology. Bahl, I. J., T-MTT Feb. 2007 222-229 MIM devices A Novel Approach to Modeling Metal–Insulator–Metal Capacitors Over Vias With Significant Electrical Length. Asahara, M., +, T-MTT Apr. 2007 709-714 + Check author entry for coauthors

31

MIMIC Analysis and Design of Millimeter-Wave FET-Based Image Reject Mixers. Gunnarsson, S. E., +, T-MTT Oct. 2007 2065-2074 35–65-GHz CMOS Broadband Modulator and Demodulator With Sub-Harmonic Pumping for MMW Wireless Gigabit Applications. Tsai, J.-H., +, T-MTT Oct. 2007 2075-2085 MIMO communication Design and Analysis of Transmit/Receive Switch in Triple-Well CMOS for MIMO Wireless Systems. Poh, A., +, T-MTT Mar. 2007 458-466 MMIC A Novel Approach to Modeling Metal–Insulator–Metal Capacitors Over Vias With Significant Electrical Length. Asahara, M., +, T-MTT Apr. 2007 709-714 MMIC amplifiers Analysis of the Survivability of GaN Low-Noise Amplifiers. Rudolph, M., +, T-MTT Jan. 2007 37-43 A 1.5-V 2–9.6-GHz Inductorless Low-Noise Amplifier in 0.13-m CMOS. Li, Q., +, T-MTT Oct. 2007 2015-2023 Design of Ultra-Low-Voltage RF Frontends With Complementary CurrentReused Architectures. Hsieh, H.-H., +, T-MTT Jul. 2007 1445-1458 MMIC mixers An Ultra-Wideband Distributed Active Mixer MMIC in 0.18-m CMOS Technology. Wu, C.-R., +, T-MTT Apr. 2007 625-632 Analysis and Design of Millimeter-Wave FET-Based Image Reject Mixers. Gunnarsson, S. E., +, T-MTT Oct. 2007 2065-2074 Design of Ultra-Low-Voltage RF Frontends With Complementary CurrentReused Architectures. Hsieh, H.-H., +, T-MTT Jul. 2007 1445-1458 MMIC oscillators A Low Phase-Noise X -Band MMIC VCO Using High-Linearity and Low-Noise Composite-Channel Al Ga N/Al Ga N/GaN HEMTs. Cheng, Z. Q., +, T-MTT Jan. 2007 23-29 MMIC phase shifters Printed and Integrated CMOS Positive/Negative Refractive-Index Phase Shifters Using Tunable Active Inductors. Abdalla, M. A. Y., +, T-MTT Aug. 2007 1611-1623 MMIC power amplifiers 0.7–2.7-GHz 12-W Power-Amplifier MMIC Developed Using MLP Technology. Bahl, I. J., T-MTT Feb. 2007 222-229 Study and Design Optimization of Multiharmonic Transmission-Line Load Networks for Class-E and Class-F K -Band MMIC Power Amplifiers. Negra, R., +, T-MTT Jun. 2007 1390-1397 The Doherty Power Amplifier With On-Chip Dynamic Bias Control Circuit for Handset Application. Nam, J., +, T-MTT Apr. 2007 633-642 A Compact Quadrature Hybrid MMIC Using CMOS Active Inductors. Hsieh, H.-H., +, T-MTT Jun. 2007 1098-1104 Analysis of Multiconductor Coupled-Line Mar.and Baluns for Miniature MMIC Design. Lin, C.-S., +, T-MTT Jun. 2007 1190-1199 Complete Stability Analysis of Multifunction MMIC Circuits. Barquinero, C., +, T-MTT Oct. 2007 2024-2033 Demonstration of a 311-GHz Fundamental Oscillator Using InP HBT Technology. Radisic, V., +, T-MTT Nov. 2007 2329-2335 Design and Analysis of Stacked Power Amplifier in Series-Input and SeriesOutput Configuration. Lei, M.-F., +, T-MTT Dec. 2007 2802-2812 Development of Sub-Millimeter-Wave Power Amplifiers. Deal, W. R., +, T-MTT Dec. 2007 2719-2726 Integrated Heterojunction Bipolar Transistor Optically Injection-Locked Self-Oscillating Opto-Electronic Mixers for Bi-Directional Fiber-Fed Wireless Applications. Kim, J.-Y., +, T-MTT Dec. 2007 2734-2739 Left-Handed Metamaterial Coplanar Waveguide Components and Circuits in GaAs MMIC Technology. Tong, W., +, T-MTT Aug. 2007 1794-1800 Monolithic Integration of a Folded Dipole Antenna With a 24-GHz Receiver in SiGe HBT Technology. Ojefors, E., +, T-MTT Jul. 2007 1467-1475 MOS analogue integrated circuits A Mixed-Signal Approach Towards Linear and Efficient N -Way Doherty Amplifiers. Neo, W. C. E., +, T-MTT May 2007 866-879 MOSFET Ultra-Compact High-Linearity High-Power Fully Integrated DC–20-GHz 0.18-m CMOS T/R Switch. Jin, Y., +, T-MTT Jan. 2007 30-36 MOSFET circuits Weighted Polynomial Digital Predistortion for Low Memory Effect Doherty Power Amplifier. Hong, S., +, T-MTT May 2007 925-931 A Fully Integrated UHF CMOS Power Amplifier for Spacecraft Applications. Jeon, J., +, T-MTT Oct. 2007 2006-2014

32

On the Deembedding Issue of CMOS Multigigahertz Measurements. Issaoun, A., +, T-MTT Sep. 2007 1813-1823 Magnetic cooling Frozen Modes in Parallel-Plate Waveguides Loaded With Magnetic Photonic Crystals. Chilton, R. A., +, T-MTT Dec. 2007 2631-2641 Magnetic materials Determination of Generalized Permeability Function and Field Energy Density in Artificial Magnetics Using the Equivalent-Circuit Method. Ikonen, P. M. T., +, T-MTT Jan. 2007 92-99 Magnetic microwave devices Temperature Characteristics of Broadband Helicon Isolators for Meter and Decameter Waves. Vountesmery, V. S., +, T-MTT Oct. 2007 2097-2102 Magnetic permeability Design of High-Performance Millimeter Wave and Sub-Millimeter Wave Quasi-Optical Isolators and Circulators. Hunter, R. I., +, T-MTT May 2007 890-898 Magnetic permeability measurement A Swept-Frequency Measurement of Complex Permittivity and Complex Permeability of a Columnar Specimen Inserted in a Rectangular Waveguide. Nishikata, A., T-MTT Jul. 2007 1554-1567 Efficient Cartesian-Grid-Based Modeling of Rotationally Symmetric Bodies. Shyroki, D. M., T-MTT Jun. 2007 1132-1138 Mammography Broadband Dielectric Characterization of Tumorous and Nontumorous Breast Tissues. Khan, U. A., +, T-MTT Dec. 2007 2887-2893 Mars A Fully Integrated UHF CMOS Power Amplifier for Spacecraft Applications. Jeon, J., +, T-MTT Oct. 2007 2006-2014 Matched filters A Ferroelectric-Capacitor-Based Tunable Matching Network for Quad-Band Cellular Power Amplifiers. Tombak, A., T-MTT Feb. 2007 370-375 Mathematical model Unique Retrieval of Complex Permittivity and Permeability of Dispersive Materials From Reflection and Transmitted Fields by Enforcing Causality. Varadan, V. V., +, T-MTT Oct. 2007 2224-2230 Behavioral Thermal Modeling for Microwave Power Amplifier Design. Mazeau, J., +, T-MTT Nov. 2007 2290-2297 Generalized Impedance Boundary Condition for Conductor Modeling in Surface Integral Equation. Qian, Z. G., +, T-MTT Nov. 2007 2354-2364 Mildly Nonquasi-Static Two-Port Device Model Extraction by Integrating Linearized Large-Signal Vector Measurements. Cidronali, A., +, T-MTT Nov. 2007 2277-2289 Power Transfer in a Large Parallel Array of Coupled Dielectric Waveguides. Wei, J. S., T-MTT Nov. 2007 2345-2353 Matrix algebra A New Coupling Matrix Extracting Method From the Frequency Response. Li, F., +, T-MTT Apr. 2007 760-767 Compact Planar Quasi-Elliptic Function Filter With Inline SteppedImpedance Resonators. Kuo, J.-T., +, T-MTT Aug. 2007 1747-1755 Hybrid S -Parameters for Transmission Line Networks With Linear/Nonlinear Load Terminations Subject to Arbitrary Excitations. Bayram, Y., +, T-MTT May 2007 941-950 Physical Interpretation and Implications of Similarity Transformations in Coupled Resonator Filter Design. Amari, S., +, T-MTT Jun. 2007 11391153 Maximum likelihood estimation Variable Precision Two-Channel Phase, Amplitude, and Timing Measurements for Radar Interferometry and Polarimetry. Siqueira, P., +, T-MTT Oct. 2007 2248-2256 Maxwell equations A 3-D Spectral-Element Time-Domain Method for Electromagnetic Simulation. Lee, J.-H., +, T-MTT May 2007 983-991 Alternating-Direction Implicit Formulation of the Finite-Element Time-Domain Method. Movahhedi, M., +, T-MTT Jun. 2007 1322-1331 Efficient Cartesian-Grid-Based Modeling of Rotationally Symmetric Bodies. Shyroki, D. M., T-MTT Jun. 2007 1132-1138 Spherical Perfectly Matched Absorber for Finite-Volume 3-D Domain Truncation. Fumeaux, C., +, T-MTT Dec. 2007 2773-2781 Traceable 2-D Finite-Element Simulation of the Whispering-Gallery Modes of Axisymmetric Electromagnetic Resonators. Oxborrow, M., T-MTT Jun. 2007 1209-1218

+ Check author entry for coauthors

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Measurement Wideband Nonlinear Response of High-Temperature Superconducting Thin Films From Transmission-Line Measurements. Mateu, J., +, T-MTT Jul. 2007 1425-1430 A New Methodology for the On-Wafer Characterization of RF Integrated Transformers. Cendoya, I., +, T-MTT May 2007 1046-1053 Submillimeter-Wave Phasor Beam-Pattern Measurement Based on Two-Stage Heterodyne Mixing With Unitary Harmonic Difference. Hwang, Y.-J., +, T-MTT Jun. 2007 1200-1208 Measurement uncertainty The Random Component of Mixer-Based Nonlinear Vector Network Analyzer Measurement Uncertainty. Blockley, P. S., +, T-MTT Oct. 2007 22312239 Media Unique Retrieval of Complex Permittivity and Permeability of Dispersive Materials From Reflection and Transmitted Fields by Enforcing Causality. Varadan, V. V., +, T-MTT Oct. 2007 2224-2230 Medical signal detection Arctangent Demodulation With DC Offset Compensation in Quadrature Doppler Radar Receiver Systems. Park, B.-K., +, T-MTT May 2007 1073-1079 Medical signal processing The Human Body Characteristics as a Signal Transmission Medium for Intrabody Communication. Cho, N., +, T-MTT May 2007 1080-1086 Meetings Guest Editorial. Snowden, C. M., +, T-MTT Jun. 2007 1263-1263 Guest Editorial. Shiroma, W., T-MTT Dec. 2007 2659-2659 Metals Demonstration of a 311-GHz Fundamental Oscillator Using InP HBT Technology. Radisic, V., +, T-MTT Nov. 2007 2329-2335 Metamaterials Determination of Generalized Permeability Function and Field Energy Density in Artificial Magnetics Using the Equivalent-Circuit Method. Ikonen, P. M. T., +, T-MTT Jan. 2007 92-99 A 3-D Isotropic Left-Handed Metamaterial Based on the Rotated Transmission-Line Matrix (TLM) Scheme. Zedler, M., +, T-MTT Dec. 2007 29302941 Compact Left-Handed Transmission Line as a Linear Phase–Voltage Modulator and Efficient Harmonic Generator. Kim, H., +, T-MTT Mar. 2007 571-578 Composite Right/Left-Handed Metamaterial Transmission Lines Based on Complementary Split-Rings Resonators and Their Applications to Very Wideband and Compact Filter Design. Gil, M., +, T-MTT Jun. 2007 12961304 Concurrent Dual-Band Class-E Power Amplifier Using Composite Right/ Left-Handed Transmission Lines. Ji, S. H., +, T-MTT Jun. 2007 1341-1347 Demonstration of Negative Refraction in a Cutoff Parallel-Plate Waveguide Loaded With 2-D Square Lattice of Dielectric Resonators. Ueda, T., +, T-MTT Jun. 2007 1280-1287 Design and Analysis of Super-Wide Bandpass Filters Using a Novel Compact Meta-Structure. Lin, X. Q., +, T-MTT Apr. 2007 747-753 Effective Parameters for Metamorphic Materials and Metamaterials Through a Resonant Inverse Scattering Approach. Alexopoulos, N. G., +, T-MTT Feb. 2007 254-267 Electrically Controllable Artificial Transmission Line Transformer for Matching Purposes. Damm, C., +, T-MTT Jun. 2007 1348-1354 Equivalent-Circuit Models for the Design of Metamaterials Based on Artificial Magnetic Inclusions. Bilotti, F., +, T-MTT Dec. 2007 2865-2873 Generalized Coupled-Mode Approach of Metamaterial Coupled-Line Couplers: Coupling Theory, Phenomenological Explanation, and Experimental Demonstration. Nguyen, H. V., +, T-MTT May 2007 1029-1039 Left-Handed Metamaterial Coplanar Waveguide Components and Circuits in GaAs MMIC Technology. Tong, W., +, T-MTT Aug. 2007 1794-1800 Magnetic-Type Dyadic Green’s Functions for a Corrugated Rectangular Metaguide Based on Asymptotic Boundary Conditions. Eshrah, I. A., +, T-MTT Jun. 2007 1124-1131 Unique Retrieval of Complex Permittivity and Permeability of Dispersive Materials From Reflection and Transmitted Fields by Enforcing Causality. Varadan, V. V., +, T-MTT Oct. 2007 2224-2230 Method of moments Terahertz Performance of Integrated Lens Antennas With a Hot-Electron Bolometer. Semenov, A. D., +, T-MTT Feb. 2007 239-247 A New Brillouin Dispersion Diagram for 1-D Periodic Printed Structures. Baccarelli, P., +, T-MTT Jul. 2007 1484-1495

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

33

A Stability Analysis for Time-Domain Method-of-Moments Analysis of 1-D Double-Negative Transmission Lines. Zhang, Y., +, T-MTT Sep. 2007 18871898 Calculation of the Properties of Reentrant Cylindrical Cavity Resonators. Carter, R. G., +, T-MTT Dec. 2007 2531-2538 Synthesis of Compact Lumped Models From Electromagnetic Analysis Results. Rautio, J. C., T-MTT Dec. 2007 2548-2554 Microactuators Thermally Actuated Multiport RF MEMS Switches and Their Performance in a Vacuumed Environment. Daneshmand, M., +, T-MTT Jun. 2007 12291236 Microcavities Traceable 2-D Finite-Element Simulation of the Whispering-Gallery Modes of Axisymmetric Electromagnetic Resonators. Oxborrow, M., T-MTT Jun. 2007 1209-1218 Micromachining Gold-Plated Micromachined Millimeter-Wave Resonators Based on Rectangular Coaxial Transmission Lines. Marsh, E. D., +, T-MTT Jan. 2007 78-84 A Reconfigurable Micromachined Switching Filter Using Periodic Structures. Karim, M. F., +, T-MTT Jun. 2007 1154-1162 Design and Characterization of a -Band Micromachined Cavity Filter Including a Novel Integrated Transition From CPW Feeding Lines. Li, Y., +, T-MTT Dec. 2007 2902-2910 Design and High Performance of a Micromachined -Band Rectangular Coaxial Cable. Lancaster, M. J., +, T-MTT Jul. 2007 1548-1553 Micromechanical devices Optimization and Implementation of Impedance-Matched True-Time-Delay Phase Shifters on Quartz Substrate. Lakshminarayanan, B., +, T-MTT Feb. 2007 335-342 A 25–75-MHz RF MEMS Tunable Filter. Entesari, K., +, T-MTT Nov. 2007 2399-2405 Liquid RF MEMS Wideband Reflective and Absorptive Switches. Chen, C.-H., +, T-MTT Dec. 2007 2919-2929 Sub-Microsecond RF MEMS Switched Capacitors. Lacroix, B., +, T-MTT Jun. 2007 1314-1321 Superposition Model for Dielectric Charging of RF MEMS Capacitive Switches Under Bipolar Control-Voltage Waveforms. Peng, Z., +, T-MTT Dec. 2007 2911-2918 Surface Micromachined Microelectromechancial Ohmic Series Switch Using Thin-Film Piezoelectric Actuators. Polcawich, R. G., +, T-MTT Dec. 2007 2642-2654 Theoretical and Experimental Studies of Flip-Chip Assembled High- Suspended MEMS Inductors. Zeng, J., +, T-MTT Jun. 2007 1171-1181 Micromechanical resonators Tunable Dielectric Resonator Bandpass Filter With Embedded MEMS Tuning Elements. Yan, W. D., +, T-MTT Jan. 2007 154-160 Design, Fabrication, and Measurement of Benzocyclobutene Polymer ZeroLevel Packaging for Millimeter-Wave Applications. Seok, S., +, T-MTT May 2007 1040-1045 Microstrip A New Compact Load Network for Doherty Amplifiers Using an Imperfect Quarter-Wave Line. Park, H., +, T-MTT Nov. 2007 2313-2319 Microstrip antennas Millimeter-Wave Transition From Waveguide to Two Microstrip Lines Using Rectangular Patch Element. Iizuka, H., +, T-MTT May 2007 899-905 Microstrip circuits A Fully Electronic System for the Time Magnification of Ultra-Wideband Signals. Schwartz, J. D., +, T-MTT Feb. 2007 327-334 Authors’ Reply. Danesh, M., +, T-MTT Apr. 2007 809-810 Microstrip components A Broadband Compact Microstrip Rat-Race Hybrid Using a Novel CPW Inverter. Mo, T. T., +, T-MTT Jan. 2007 161-167 Comments on “Differentially Driven Symmetric Microstrip Inductors”. Minerva, V., T-MTT Apr. 2007 809-809 Microstrip couplers Compact Microwave Six-Port Vector Voltmeters for Ultra-Wideband Applications. Bialkowski, M. E., +, T-MTT Oct. 2007 2216-2223 Microstrip filters Microstrip Realization of Generalized Chebyshev Filters With Box-Like Coupling Schemes. Liao, C.-K., +, T-MTT Jan. 2007 147-153 Broadband and Compact Coupled Coplanar Stripline Filters With Impedance Steps. Yang, N., +, T-MTT Dec. 2007 2874-2886 Compact Planar Quasi-Elliptic Function Filter With Inline SteppedImpedance Resonators. Kuo, J.-T., +, T-MTT Aug. 2007 1747-1755

W

K

Q

+ Check author entry for coauthors

Coplanar-Waveguide-Fed Microstrip Bandpass Filters With Capacitively Broadside-Coupled Structures for Multiple Spurious Suppression. Wang, C.-H., +, T-MTT Apr. 2007 768-775 Dual-Mode Microstrip Open-Loop Resonators and Filters. Hong, J.-S., +, T-MTT Aug. 2007 1764-1770 Input and Output Cross-Coupled Wideband Bandpass Filter. Shaman, H., +, T-MTT Dec. 2007 2562-2568 Novel Dual-Mode Dual-Band Filters Using Coplanar-Waveguide-Fed Ring Resonators. Zhang, X. Y., +, T-MTT Oct. 2007 2183-2190 Novel Patch-Via-Spiral Resonators for the Development of Miniaturized Bandpass Filters With Transmission Zeros. Lin, S.-C., +, T-MTT Jan. 2007 137-146 Planar Bandpass Filters for Ultra-Wideband Applications. Abbosh, A. M., T-MTT Oct. 2007 2262-2269 Wideband Microstrip Ring Resonator Bandpass Filters Under Multiple Resonances. Sun, S., +, T-MTT Oct. 2007 2176-2182 Microstrip lines Novel Patch-Via-Spiral Resonators for the Development of Miniaturized Bandpass Filters With Transmission Zeros. Lin, S.-C., +, T-MTT Jan. 2007 137-146 A Broadband Compact Microstrip Rat-Race Hybrid Using a Novel CPW Inverter. Mo, T. T., +, T-MTT Jan. 2007 161-167 A Tunable Bandstop Resonator Based on a Compact Slotted Ground Structure. Wang, X. H., +, T-MTT Sep. 2007 1912-1918 Composite Right/Left-Handed Metamaterial Transmission Lines Based on Complementary Split-Rings Resonators and Their Applications to Very Wideband and Compact Filter Design. Gil, M., +, T-MTT Jun. 2007 12961304 Coupled Transmission Lines as Impedance Transformer. Jensen, T., +, T-MTT Dec. 2007 2957-2965 Design of Compact Directional Couplers for UWB Applications. Abbosh, A. M., +, T-MTT Feb. 2007 189-194 Design of Synthetic Quasi-TEM Transmission Line for CMOS Compact Integrated Circuit. Chiang, M.-J., +, T-MTT Dec. 2007 2512-2520 Effects of Losses on the Current Spectrum of a Printed-Circuit Line. Bernal, J., +, T-MTT Jul. 2007 1511-1519 Printed and Integrated CMOS Positive/Negative Refractive-Index Phase Shifters Using Tunable Active Inductors. Abdalla, M. A. Y., +, T-MTT Aug. 2007 1611-1623 Substrate Integrated Waveguide-to-Microstrip Transition in Multilayer Substrate. Ding, Y., +, T-MTT Dec. 2007 2839-2844 Synthesizing Microstrip Branch-Line Couplers With Predetermined Compact Size and Bandwidth. Tang, C.-W., +, T-MTT Sep. 2007 1926-1934 Ultra-Wideband Phase Shifters. Abbosh, A. M., T-MTT Sep. 2007 1935-1941 Microstrip resonators Design, Fabrication, and Measurement of Benzocyclobutene Polymer ZeroLevel Packaging for Millimeter-Wave Applications. Seok, S., +, T-MTT May 2007 1040-1045 Dual-Mode Microstrip Open-Loop Resonators and Filters. Hong, J.-S., +, T-MTT Aug. 2007 1764-1770 Wideband Microstrip Ring Resonator Bandpass Filters Under Multiple Resonances. Sun, S., +, T-MTT Oct. 2007 2176-2182 Microstrip transitions Millimeter-Wave Transition From Waveguide to Two Microstrip Lines Using Rectangular Patch Element. Iizuka, H., +, T-MTT May 2007 899-905 A Symmetrical Four-Port Microstrip Coupler for Crossover Application. Chen, Y., +, T-MTT Nov. 2007 2434-2438 Microswitches Frequency and Bandwidth Agile Millimeter-Wave Filter Using Ferroelectric Capacitors and MEMS Cantilevers. Lugo, C., +, T-MTT Feb. 2007 376-382 A 25–75-MHz RF MEMS Tunable Filter. Entesari, K., +, T-MTT Nov. 2007 2399-2405 A Reconfigurable Micromachined Switching Filter Using Periodic Structures. Karim, M. F., +, T-MTT Jun. 2007 1154-1162 Planar Models of Reconfigurable MEMS Circuits. Arcioni, P., +, T-MTT Apr. 2007 722-728 Sub-Microsecond RF MEMS Switched Capacitors. Lacroix, B., +, T-MTT Jun. 2007 1314-1321 Thermally Actuated Multiport RF MEMS Switches and Their Performance in a Vacuumed Environment. Daneshmand, M., +, T-MTT Jun. 2007 12291236 Microwave FET integrated circuits Using a priori Data to Improve the Reconstruction of Small Objects in Microwave Tomography. Fhager, A., +, T-MTT Nov. 2007 2454-2462

34

Microwave amplifiers Design of Class E Amplifier With Nonlinear and Linear Shunt Capacitances for Any Duty Cycle. Mediano, A., +, T-MTT Mar. 2007 484-492 C -Band Noise-Parameter Measurement of Microwave Amplifiers Under Nonlinear Conditions. Chambon, C., +, T-MTT Apr. 2007 795-800 A Parallel-Strip Ring Power Divider With High Isolation and Arbitrary Power-Dividing Ratio. Chiu, L., +, T-MTT Nov. 2007 2419-2426 Behavioral Thermal Modeling for Microwave Power Amplifier Design. Mazeau, J., +, T-MTT Nov. 2007 2290-2297 Modeling the Nonlinear Response of Multitones With Uncorrelated Phase. Hart, F. P., +, T-MTT Oct. 2007 2147-2156 Microwave antennas System and Circuit Models for Microwave Antennas. Sobhy, M. I., +, T-MTT Apr. 2007 729-735 Mutual Synthesis of Combined Microwave Circuits Applied to the Design of a Filter-Antenna Subsystem. Troubat, M., +, T-MTT Jun. 2007 1182-1189 RF Front-End Passive Circuit Implementation Including Antenna for ZigBee Applications. Yoo, C.-S., +, T-MTT May 2007 906-915 Variable Antenna Load for Transmitter Efficiency Improvement. Kaajakari, V., +, T-MTT Aug. 2007 1666-1672 Microwave circuits On the Fast and Rigorous Analysis of Compensated Waveguide Junctions Using Off-Centered Partial-Height Metallic Posts. San Blas, A. A., +, T-MTT Jan. 2007 168-175 A Calibration Approach for the Segmentation and Analysis of Microwave Circuits. Farina, M., +, T-MTT Oct. 2007 2124-2134 A Fully Electronic System for the Time Magnification of Ultra-Wideband Signals. Schwartz, J. D., +, T-MTT Feb. 2007 327-334 A New Methodology for the On-Wafer Characterization of RF Integrated Transformers. Cendoya, I., +, T-MTT May 2007 1046-1053 A Parallel-Strip Ring Power Divider With High Isolation and Arbitrary Power-Dividing Ratio. Chiu, L., +, T-MTT Nov. 2007 2419-2426 An Efficient Application of the Discrete Complex Image Method for Quasi-3-D Microwave Circuits in Layered Media. Tang, W.-H., +, T-MTT Aug. 2007 1723-1729 An Efficient Scheme for Processing Arbitrary Lumped Multiport Devices in the Finite-Difference Time-Domain Method. Wang, C.-C., +, T-MTT May 2007 958-965 Improving Linearity of Ferroelectric-Based Microwave Tunable Circuits. Fu, J.-S., +, T-MTT Feb. 2007 354-360 Microwave Circuit Design by Means of Direct Decomposition in the FiniteElement Method. de la Rubia, V., +, T-MTT Jul. 2007 1520-1530 Robust Formulations of the Cauchy Method Suitable for Microwave Duplexers Modeling. Traina, D., +, T-MTT May 2007 974-982 Microwave detectors Optical Summation of RF Signals. Chtioui, M., +, T-MTT Feb. 2007 318-326 Microwave devices Design of a Ten-Way Conical Transmission Line Power Combiner. de Villiers, D. I. L., +, T-MTT Feb. 2007 302-308 Ka-Band Miniaturized Quasi-Planar High-Q Resonators. Vanhille, K. J., +, T-MTT Jun. 2007 1272-1279 A 1-V Wideband Low-Power CMOS Active Differential Power Splitter for Wireless Communication. Lee, S., +, T-MTT Aug. 2007 1593-1600 Efficient Technique for the Cascade Connection of Multiple Two-Port Scattering Matrices. Bachiller, C., +, T-MTT Sep. 2007 1880-1886 Heat Conduction in Microwave Devices With Orthotropic and TemperatureDependent Thermal Conductivity. Ditri, J., T-MTT Mar. 2007 555-560 Interpolated Coarse Models for Microwave Design Optimization With Space Mapping. Koziel, S., +, T-MTT Aug. 2007 1739-1746 Traceable 2-D Finite-Element Simulation of the Whispering-Gallery Modes of Axisymmetric Electromagnetic Resonators. Oxborrow, M., T-MTT Jun. 2007 1209-1218 Microwave field effect transistors Analytic Large-Signal Modeling of Silicon RF Power MOSFETs. Fioravanti, P., +, T-MTT May 2007 829-837 Global Modeling Analysis of HEMTs by the Spectral Balance Technique. Leuzzi, G., +, T-MTT Jun. 2007 1405-1412 Microwave filters HTS Quasi-Elliptic Filter Using Capacitive-Loaded Cross-Shape Resonators With Low Sensitivity to Substrate Thickness. Corona-Chavez, A., +, T-MTT Jan. 2007 117-120 3-D Integration of 10-GHz Filter and CMOS Receiver Front-End. Choi, T., +, T-MTT Nov. 2007 2298-2305

+ Check author entry for coauthors

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

A 25–75-MHz RF MEMS Tunable Filter. Entesari, K., +, T-MTT Nov. 2007 2399-2405 A Direct Synthesis Approach for Microwave Filters With a Complex Load and Its Application to Direct Diplexer Design. Wu, K.-L., +, T-MTT May 2007 1010-1017 A Dual-Band Coupled-Line Balun Filter. Yeung, L. K., +, T-MTT Nov. 2007 2406-2411 A Microstrip Ultra-Wideband Bandpass Filter With Cascaded Broadband Bandpass and Bandstop Filters. Tang, C.-W., +, T-MTT Nov. 2007 24122418 A Reconfigurable Micromachined Switching Filter Using Periodic Structures. Karim, M. F., +, T-MTT Jun. 2007 1154-1162 A Synthesis Method for Dual-Passband Microwave Filters. Lee, J., +, T-MTT Jun. 2007 1163-1170 Bandwidth-Compensation Method for Miniaturized Parallel Coupled-Line Filters. Myoung, S.-S., +, T-MTT Jul. 2007 1531-1538 Composite Right/Left-Handed Metamaterial Transmission Lines Based on Complementary Split-Rings Resonators and Their Applications to Very Wideband and Compact Filter Design. Gil, M., +, T-MTT Jun. 2007 12961304 Design of Bandpass Elliptic Filters Employing Inductive Windows and Dielectric Objects. Perez Soler, F. J., +, T-MTT Nov. 2007 2393-2398 Design of Compact Low-Pass Elliptic Filters Using Double-Sided MIC Technology. del Castillo Velazquez-Ahumada, M., +, T-MTT Jan. 2007 121-127 Development of Millimeter-Wave Planar Diplexers Based on Complementary Characters of Dual-Mode Substrate Integrated Waveguide Filters With Circular and Elliptic Cavities. Tang, H. J., +, T-MTT Apr. 2007 776-782 Left-Handed Metamaterial Coplanar Waveguide Components and Circuits in GaAs MMIC Technology. Tong, W., +, T-MTT Aug. 2007 1794-1800 Modified Adaptive Prototype Inclusive of the External Couplings for the Design of Coaxial Filters. Morini, A., +, T-MTT Sep. 2007 1905-1911 Mutual Synthesis of Combined Microwave Circuits Applied to the Design of a Filter-Antenna Subsystem. Troubat, M., +, T-MTT Jun. 2007 1182-1189 Novel Balanced Coupled-Line Bandpass Filters With Common-Mode Noise Suppression. Wu, C.-H., +, T-MTT Feb. 2007 287-295 Physical Interpretation and Implications of Similarity Transformations in Coupled Resonator Filter Design. Amari, S., +, T-MTT Jun. 2007 11391153 Planar Bandpass Filters for Ultra-Wideband Applications. Abbosh, A. M., T-MTT Oct. 2007 2262-2269 Planar Realization of a Triple-Mode Bandpass Filter Using a Multilayer Configuration. Lugo, C., +, T-MTT Feb. 2007 296-301 Rigorous Mode-Matching Method of Circular to Off-Center Rectangular Side-Coupled Waveguide Junctions for Filter Applications. Zheng, J., +, T-MTT Nov. 2007 2365-2373 Tunable Dielectric Resonator Bandpass Filter With Embedded MEMS Tuning Elements. Yan, W. D., +, T-MTT Jan. 2007 154-160 Wideband Bandstop Filter With Cross-Coupling. Shaman, H., +, T-MTT Aug. 2007 1780-1785 Microwave frequency convertors A 15/30-GHz Dual-Band Multiphase Voltage-Controlled Oscillator in 0.18-m CMOS. Hsieh, H.-H., +, T-MTT Mar. 2007 474-483 Microwave Parametric Frequency Dividers With Conversion Gain. Heshmati, Z., +, T-MTT Oct. 2007 2059-2064 Microwave generation All-Fiber Chirped Microwave Pulses Generation Based on Spectral Shaping and Wavelength-to-Time Conversion. Chi, H., +, T-MTT Sep. 2007 19581963 Microwave heating Uniform Electric Field Distribution in Microwave Heating Applicators by Means of Genetic Algorithms Optimization of Dielectric Multilayer Structures. Dominguez-Tortajada, E., +, T-MTT Jan. 2007 85-91 Microwave imaging Authors’ Reply. Schwartz, J. D., +, T-MTT Oct. 2007 2271-2272 Using a priori Data to Improve the Reconstruction of Small Objects in Microwave Tomography. Fhager, A., +, T-MTT Nov. 2007 2454-2462 Microwave integrated circuits Extension of Two-Signal Spurious-Free Dynamic Range of Wideband Digital Receivers Using Kaiser Window and Compensation Method. George, K., +, T-MTT Apr. 2007 788-794 A Novel Multilayer Aperture-Coupled Cavity Resonator for Millimeter-Wave CMOS RFICs. Miao, M., +, T-MTT Apr. 2007 783-787

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Effect of a Local Ground and Probe Radiation on the Microwave Characterization of Integrated Inductors. Rejaei, B., +, T-MTT Oct. 2007 2240-2247 GaInP/GaAs HBT Sub-Harmonic Gilbert Mixers Using Stacked-LO and Leveled-LO Topologies. Wu, T.-H., +, T-MTT May 2007 880-889 Using a priori Data to Improve the Reconstruction of Small Objects in Microwave Tomography. Fhager, A., +, T-MTT Nov. 2007 2454-2462 Microwave isolators Temperature Characteristics of Broadband Helicon Isolators for Meter and Decameter Waves. Vountesmery, V. S., +, T-MTT Oct. 2007 2097-2102 Microwave materials Manufacturing Tolerance Analysis, Fabrication, and Characterization of 3-D Submillimeter-Wave Electromagnetic-Bandgap Crystals. Martinez, B., +, T-MTT Apr. 2007 672-681 Demonstration of Negative Refraction in a Cutoff Parallel-Plate Waveguide Loaded With 2-D Square Lattice of Dielectric Resonators. Ueda, T., +, T-MTT Jun. 2007 1280-1287 Microwave measurement C -Band Noise-Parameter Measurement of Microwave Amplifiers Under Nonlinear Conditions. Chambon, C., +, T-MTT Apr. 2007 795-800 A Swept-Frequency Measurement of Complex Permittivity and Complex Permeability of a Columnar Specimen Inserted in a Rectangular Waveguide. Nishikata, A., T-MTT Jul. 2007 1554-1567 Compact Microwave Six-Port Vector Voltmeters for Ultra-Wideband Applications. Bialkowski, M. E., +, T-MTT Oct. 2007 2216-2223 Two-Dimensional Radio Frequency Tomography. Lam, K., +, T-MTT Apr. 2007 801-808 Microwave measurements Mildly Nonquasi-Static Two-Port Device Model Extraction by Integrating Linearized Large-Signal Vector Measurements. Cidronali, A., +, T-MTT Nov. 2007 2277-2289 Microwave mixers GaInP/GaAs HBT Sub-Harmonic Gilbert Mixers Using Stacked-LO and Leveled-LO Topologies. Wu, T.-H., +, T-MTT May 2007 880-889 Integrated Receiver Based on a High-Order Subharmonic Self-Oscillating Mixer. Winkler, S. A., +, T-MTT Jun. 2007 1398-1404 Microwave oscillators Phase-Noise Reduction of X -Band Push–Push Oscillator With Second-Harmonic Self-Injection Techniques. Wang, T.-P., +, T-MTT Jan. 2007 66-77 A 15/30-GHz Dual-Band Multiphase Voltage-Controlled Oscillator in 0.18-m CMOS. Hsieh, H.-H., +, T-MTT Mar. 2007 474-483 A High-Performance CMOS Voltage-Controlled Oscillator for Ultra-LowVoltage Operations. Hsieh, H.-H., +, T-MTT Mar. 2007 467-473 A New X -Band Low Phase-Noise Multiple-Device Oscillator Based on the Extended-Resonance Technique. Choi, J., +, T-MTT Aug. 2007 1642-1648 Phase and Amplitude Noise Analysis in Microwave Oscillators Using Nodal Harmonic Balance. Sancho, S., +, T-MTT Jul. 2007 1568-1583 Microwave parametric devices Microwave Parametric Frequency Dividers With Conversion Gain. Heshmati, Z., +, T-MTT Oct. 2007 2059-2064 Microwave phase shifters Investigation of Ferroelectric Thick-Film Varactors for Microwave Phase Shifters. Hu, W., +, T-MTT Feb. 2007 418-424 Design of a Reflection-Type Phase Shifter With Wide Relative Phase Shift and Constant Insertion Loss. Lin, C.-S., +, T-MTT Sep. 2007 1862-1868 Five-Level Waveguide Correlation Unit for Astrophysical Polarimetric Measurements. Virone, G., +, T-MTT Feb. 2007 309-317 Insertion Loss in Reflection-Type Microwave Phase Shifter Based on Ferroelectric Tunable Capacitor. Vendik, O. G., T-MTT Feb. 2007 425-429 Optimization and Implementation of Impedance-Matched True-Time-Delay Phase Shifters on Quartz Substrate. Lakshminarayanan, B., +, T-MTT Feb. 2007 335-342 Ultra-Wideband Phase Shifters. Abbosh, A. M., T-MTT Sep. 2007 1935-1941 Microwave photonics Corrections to “Limits on the Performance of RF-Over-Fiber Links and Their Impact on Device Design”. Cox, C. H., +, T-MTT Feb. 2007 351-351 Authors’ Reply. Schwartz, J. D., +, T-MTT Oct. 2007 2271-2272 Comments on “A Fully Electronic System for Time Magnification of UltraWideband Signals”. Conway, J. A., +, T-MTT Oct. 2007 2270-2271 Multilevel Modulated Signal Transmission Over Serial Single-Mode and Multimode Fiber Links Using Vertical-Cavity Surface-Emitting Lasers for Millimeter-Wave Wireless Communications. Nkansah, A., +, T-MTT Jun. 2007 1219-1228

+ Check author entry for coauthors

35

Microwave power amplifiers Volterra Behavioral Model for Wideband RF Amplifiers. Crespo-Cadenas, C., +, T-MTT Mar. 2007 449-457 Pruning the Volterra Series for Behavioral Modeling of Power Amplifiers Using Physical Knowledge. Zhu, A., +, T-MTT May 2007 813-821 Microwave power transistors Analytic Large-Signal Modeling of Silicon RF Power MOSFETs. Fioravanti, P., +, T-MTT May 2007 829-837 Microwave propagation Demonstration of Negative Refraction in a Cutoff Parallel-Plate Waveguide Loaded With 2-D Square Lattice of Dielectric Resonators. Ueda, T., +, T-MTT Jun. 2007 1280-1287 Microwave receivers Extension of Two-Signal Spurious-Free Dynamic Range of Wideband Digital Receivers Using Kaiser Window and Compensation Method. George, K., +, T-MTT Apr. 2007 788-794 Integrated Receiver Based on a High-Order Subharmonic Self-Oscillating Mixer. Winkler, S. A., +, T-MTT Jun. 2007 1398-1404 Microwave switches An in situ Tunable Diode Mounting Topology for High-Power X -Band Waveguide Switches. Sickel, T., +, T-MTT Feb. 2007 281-286 A Reconfigurable Micromachined Switching Filter Using Periodic Structures. Karim, M. F., +, T-MTT Jun. 2007 1154-1162 Analysis and Design of Bandpass Single-Pole–Double-Throw FET FilterIntegrated Switches. Tsai, Z.-M., +, T-MTT Aug. 2007 1601-1610 Design Considerations for Traveling-Wave Single-Pole Multithrow MMIC Switch Using Fully Distributed FET. Mizutani, H., +, T-MTT Apr. 2007 664-671 Design and Analysis of Transmit/Receive Switch in Triple-Well CMOS for MIMO Wireless Systems. Poh, A., +, T-MTT Mar. 2007 458-466 Thermally Actuated Multiport RF MEMS Switches and Their Performance in a Vacuumed Environment. Daneshmand, M., +, T-MTT Jun. 2007 12291236 Microwave technology Guest Editorial. Snowden, C. M., +, T-MTT Jun. 2007 1263-1263 Millimeter wave circuits Modified Wilkinson Power Dividers for Millimeter-Wave Integrated Circuits. Horst, S., +, T-MTT Nov. 2007 2439-2446 Millimeter wave devices A Multigigabit Millimeter-Wave Communication System With Improved Spectral Efficiency. Dyadyuk, V., +, T-MTT Dec. 2007 2813-2821 A Low-Loss 74–110-GHz Faraday Polarization Rotator. Erickson, N. R., +, T-MTT Dec. 2007 2495-2501 Millimeter wave technology Modified Wilkinson Power Dividers for Millimeter-Wave Integrated Circuits. Horst, S., +, T-MTT Nov. 2007 2439-2446 Millimeter waveguides Broadband Dielectric Characterization of Tumorous and Nontumorous Breast Tissues. Khan, U. A., +, T-MTT Dec. 2007 2887-2893 Millimetre wave antennas Terahertz Performance of Integrated Lens Antennas With a Hot-Electron Bolometer. Semenov, A. D., +, T-MTT Feb. 2007 239-247 Millimetre wave circulators Design of High-Performance Millimeter Wave and Sub-Millimeter Wave Quasi-Optical Isolators and Circulators. Hunter, R. I., +, T-MTT May 2007 890-898 Millimetre wave devices Gold-Plated Micromachined Millimeter-Wave Resonators Based on Rectangular Coaxial Transmission Lines. Marsh, E. D., +, T-MTT Jan. 2007 78-84 A Novel Approach to Modeling Metal–Insulator–Metal Capacitors Over Vias With Significant Electrical Length. Asahara, M., +, T-MTT Apr. 2007 709-714 Design, Fabrication, and Measurement of Benzocyclobutene Polymer ZeroLevel Packaging for Millimeter-Wave Applications. Seok, S., +, T-MTT May 2007 1040-1045 Millimetre wave filters Frequency and Bandwidth Agile Millimeter-Wave Filter Using Ferroelectric Capacitors and MEMS Cantilevers. Lugo, C., +, T-MTT Feb. 2007 376-382 Ceramic Layer-By-Layer Stereolithography for the Manufacturing of 3-D Millimeter-Wave Filters. Delhote, N., +, T-MTT Mar. 2007 548-554 Development of Millimeter-Wave Planar Diplexers Based on Complementary Characters of Dual-Mode Substrate Integrated Waveguide Filters With Circular and Elliptic Cavities. Tang, H. J., +, T-MTT Apr. 2007 776-782

36

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Millimetre wave frequency convertors Nonohmic Contact Planar Varactor Frequency Upconverters for Terahertz Applications. Xu, H., +, T-MTT Apr. 2007 648-655 Design and Analysis of a Millimeter-Wave Direct Injection-Locked Frequency Divider With Large Frequency Locking Range. Wu, C., +, T-MTT Aug. 2007 1649-1658 Millimetre wave imaging Fringe Management for a T-Shaped Millimeter-Wave Imaging System. Li, Y., +, T-MTT Jun. 2007 1246-1254 Millimetre wave integrated circuits A Novel Multilayer Aperture-Coupled Cavity Resonator for Millimeter-Wave CMOS RFICs. Miao, M., +, T-MTT Apr. 2007 783-787 60-GHz System-on-Package Transmitter Integrating Sub-Harmonic Frequency Amplitude Shift-Keying Modulator. Jung, D. Y., +, T-MTT Aug. 2007 1786-1793 A 23-dBm 60-GHz Distributed Active Transformer in a Silicon Process Technology. Pfeiffer, U. R., +, T-MTT May 2007 857-865 Design and Analysis of a Millimeter-Wave Direct Injection-Locked Frequency Divider With Large Frequency Locking Range. Wu, C., +, T-MTT Aug. 2007 1649-1658 Millimetre wave mixers -Band Waveguide Impedance Tuner Utilizing Dielectric-Based Backshorts. Kiuru, T., +, T-MTT Aug. 2007 1659-1665 35–65-GHz CMOS Broadband Modulator and Demodulator With Sub-Harmonic Pumping for MMW Wireless Gigabit Applications. Tsai, J.-H., +, T-MTT Oct. 2007 2075-2085 Analysis and Design of Millimeter-Wave FET-Based Image Reject Mixers. Gunnarsson, S. E., +, T-MTT Oct. 2007 2065-2074 Millimetre wave oscillators Integrated Receiver Based on a High-Order Subharmonic Self-Oscillating Mixer. Winkler, S. A., +, T-MTT Jun. 2007 1398-1404 A Millimeter-Wave CMOS LC-Tank VCO With an Admittance-Transforming Technique. Hsieh, H.-H., +, T-MTT Sep. 2007 1854-1861 Millimetre wave phase shifters Optimization and Implementation of Impedance-Matched True-Time-Delay Phase Shifters on Quartz Substrate. Lakshminarayanan, B., +, T-MTT Feb. 2007 335-342 Millimetre wave power amplifiers A 23-dBm 60-GHz Distributed Active Transformer in a Silicon Process Technology. Pfeiffer, U. R., +, T-MTT May 2007 857-865 Millimetre wave receivers Integrated Receiver Based on a High-Order Subharmonic Self-Oscillating Mixer. Winkler, S. A., +, T-MTT Jun. 2007 1398-1404 Minimisation Two-Dimensional Radio Frequency Tomography. Lam, K., +, T-MTT Apr. 2007 801-808 Mirrors Reduction of the Coupling to External Sources and Modes of Propagation by a Nearly Confocal Resonator. Cheng, S., +, T-MTT Oct. 2007 2257-2261 Mixers (circuits) Complete Stability Analysis of Multifunction MMIC Circuits. Barquinero, C., +, T-MTT Oct. 2007 2024-2033 The Random Component of Mixer-Based Nonlinear Vector Network Analyzer Measurement Uncertainty. Blockley, P. S., +, T-MTT Oct. 2007 22312239 Mobile handsets The Doherty Power Amplifier With On-Chip Dynamic Bias Control Circuit for Handset Application. Nam, J., +, T-MTT Apr. 2007 633-642 Modal analysis Efficient Modal Analysis of Bianisotropic Waveguides by the Coupled Mode Method. Pitarch, J., +, T-MTT Jan. 2007 108-116 Mode matching Rigorous Analysis of a Metallic Circular Post in a Rectangular Waveguide With Step Discontinuity of Sidewalls. Valagiannopoulos, C. A., +, T-MTT Aug. 2007 1673-1684 Analysis of Circular Cavity With Cylindrical Objects. Lech, R., +, T-MTT Oct. 2007 2115-2123 Model synthesis Synthesis of Compact Lumped Models From Electromagnetic Analysis Results. Rautio, J. C., T-MTT Dec. 2007 2548-2554 Modeling Synthesis of Compact Lumped Models From Electromagnetic Analysis Results. Rautio, J. C., T-MTT Dec. 2007 2548-2554

W

+ Check author entry for coauthors

A Space-Mapping Approach to Microwave Device Modeling Exploiting Fuzzy Systems. Koziel, S., +, T-MTT Dec. 2007 2539-2547 A Wideband and Scalable Model of Spiral Inductors Using Space-Mapping Neural Network. Cao, Y., +, T-MTT Dec. 2007 2473-2480 An Electrothermal Model for AlGaN/GaN Power HEMTs Including Trapping Effects to Improve Large-Signal Simulation Results on High VSWR. Jardel, O., +, T-MTT Dec. 2007 2660-2669 Modems A Multigigabit Millimeter-Wave Communication System With Improved Spectral Efficiency. Dyadyuk, V., +, T-MTT Dec. 2007 2813-2821 Modulation Phase Modulation With Interferometric Detection as an Alternative to Intensity Modulation With Direct Detection for Analog-Photonic Links. Urick, V. J., +, T-MTT Sep. 2007 1978-1985 A -Digitized Polar RF Transmitter. Choi, J., +, T-MTT Dec. 2007 26792690 Modulators Compact Left-Handed Transmission Line as a Linear Phase–Voltage Modulator and Efficient Harmonic Generator. Kim, H., +, T-MTT Mar. 2007 571-578 35–65-GHz CMOS Broadband Modulator and Demodulator With Sub-Harmonic Pumping for MMW Wireless Gigabit Applications. Tsai, J.-H., +, T-MTT Oct. 2007 2075-2085 60-GHz System-on-Package Transmitter Integrating Sub-Harmonic Frequency Amplitude Shift-Keying Modulator. Jung, D. Y., +, T-MTT Aug. 2007 1786-1793 High Extinction Ratio Mach–Zehnder Modulator Applied to a Highly Stable Optical Signal Generator. Kiuchi, H., +, T-MTT Sep. 2007 1964-1972 Monolithic integrated circuits Design and Analysis of Stacked Power Amplifier in Series-Input and SeriesOutput Configuration. Lei, M.-F., +, T-MTT Dec. 2007 2802-2812 A Ten-Beam -Band Radiometric Receiver Using Custom Designed InP MMICs at Cryogenic Temperatures. Kettle, D., +, T-MTT Dec. 2007 27002708 Development of Sub-Millimeter-Wave Power Amplifiers. Deal, W. R., +, T-MTT Dec. 2007 2719-2726 Integrated Heterojunction Bipolar Transistor Optically Injection-Locked Self-Oscillating Opto-Electronic Mixers for Bi-Directional Fiber-Fed Wireless Applications. Kim, J.-Y., +, T-MTT Dec. 2007 2734-2739 Mountings An in situ Tunable Diode Mounting Topology for High-Power -Band Waveguide Switches. Sickel, T., +, T-MTT Feb. 2007 281-286 Multilayers Planar Realization of a Triple-Mode Bandpass Filter Using a Multilayer Configuration. Lugo, C., +, T-MTT Feb. 2007 296-301 Multiplexing equipment Development of Millimeter-Wave Planar Diplexers Based on Complementary Characters of Dual-Mode Substrate Integrated Waveguide Filters With Circular and Elliptic Cavities. Tang, H. J., +, T-MTT Apr. 2007 776-782 A Direct Synthesis Approach for Microwave Filters With a Complex Load and Its Application to Direct Diplexer Design. Wu, K.-L., +, T-MTT May 2007 1010-1017 Robust Formulations of the Cauchy Method Suitable for Microwave Duplexers Modeling. Traina, D., +, T-MTT May 2007 974-982 Multiport networks Power Supply Rejection for RF Amplifiers: Theory and Measurements. Stauth, J. T., +, T-MTT Oct. 2007 2043-2052

16

Ka

X

N Narrowband A 2.4-GHz Fully Integrated ESD-Protected Low-Noise Amplifier in 130-nm PD SOI CMOS Technology. El Kaamouchi, M., +, T-MTT Dec. 2007 28222831 Negative resistance Reflection Coefficient Shaping of a 5-GHz Voltage-Tuned Oscillator for Improved Tuning. Victor, A., +, T-MTT Dec. 2007 2488-2494 Network analyzers Virtual Auxiliary Termination for Multiport Scattering Matrix Measurement Using Two-Port Network Analyzer. Chen, C.-J., +, T-MTT Aug. 2007 18011810 Authors’ Reply. Danesh, M., +, T-MTT Apr. 2007 809-810

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Comments on “Differentially Driven Symmetric Microstrip Inductors”. Minerva, V., T-MTT Apr. 2007 809-809 An Electrothermal Model for AlGaN/GaN Power HEMTs Including Trapping Effects to Improve Large-Signal Simulation Results on High VSWR. Jardel, O., +, T-MTT Dec. 2007 2660-2669 Network synthesis A Note on the Multiplicity of Poles in the Vector Fitting Macromodeling Method. Deschrijver, D., +, T-MTT Apr. 2007 736-741 An Integrated Wideband Power Amplifier for Cognitive Radio. Chen, Y.-J. E., +, T-MTT Oct. 2007 2053-2058 Microwave Circuit Design by Means of Direct Decomposition in the FiniteElement Method. de la Rubia, V., +, T-MTT Jul. 2007 1520-1530 Network topology Complete Stability Analysis of Multifunction MMIC Circuits. Barquinero, C., +, T-MTT Oct. 2007 2024-2033 Network-on-chip Extension of Two-Signal Spurious-Free Dynamic Range of Wideband Digital Receivers Using Kaiser Window and Compensation Method. George, K., +, T-MTT Apr. 2007 788-794 Neural nets A Wideband and Scalable Model of Spiral Inductors Using Space-Mapping Neural Network. Cao, Y., +, T-MTT Dec. 2007 2473-2480 Nickel Gold-Plated Micromachined Millimeter-Wave Resonators Based on Rectangular Coaxial Transmission Lines. Marsh, E. D., +, T-MTT Jan. 2007 78-84 Noise RFCMOS Unit Width Optimization Technique. Tong, A. F., +, T-MTT Sep. 2007 1844-1853 Design of Cryogenic SiGe Low-Noise Amplifiers. Weinreb, S., +, T-MTT Nov. 2007 2306-2312 A 2.4-GHz Fully Integrated ESD-Protected Low-Noise Amplifier in 130-nm PD SOI CMOS Technology. El Kaamouchi, M., +, T-MTT Dec. 2007 28222831 A 275–425-GHz Tunerless Waveguide Receiver Based on AlN-Barrier SIS Technology. Kooi, J. W., +, T-MTT Oct. 2007 2086-2096 Design of Cryogenic SiGe Low-Noise Amplifiers. Weinreb, S., +, T-MTT Nov. 2007 2306-2312 Nonlinear circuits An Efficient Time-Domain Simulation Method for Multirate RF Nonlinear Circuits. Oliveira, J. F., +, T-MTT Nov. 2007 2384-2392 Nonlinear differential equations Global Modeling Analysis of HEMTs by the Spectral Balance Technique. Leuzzi, G., +, T-MTT Jun. 2007 1405-1412 Nonlinear distortion Nonlinear Distortion Due to Cross-Phase Modulation in Microwave FiberOptic Links With Optical Single-Sideband or Electrooptical Upconversion. Cheng, L., +, T-MTT Jan. 2007 176-184 Modeling Superconducting Transmission Line Bends and Their Impact on Nonlinear Effects. Mateu, J., +, T-MTT May 2007 822-828 Nonlinear dynamical systems Self-Consistent Electrothermal Modeling of Class A, AB, and B Power GaN HEMTs Under Modulated RF Excitation. CaMar.ia, V., +, T-MTT Sep. 2007 1824-1831 Nonlinear equations A Nonlinear Finite-Element Leaky-Waveguide Solver. Allilomes, P. C., +, T-MTT Jul. 2007 1496-1510 Nonlinear filters Intermodulation Distortion in Coupled-Resonator Filters With Nonuniformly Distributed Nonlinear Properties—Use in HTS IMD Compensation. Mateu, J., +, T-MTT Apr. 2007 616-624 Nonlinear network analysis Intermodulation Distortion of Third-Order Nonlinear Systems With Memory Under Multisine Excitations. Martins, J. P., +, T-MTT Jun. 2007 1264-1271 The Random Component of Mixer-Based Nonlinear Vector Network Analyzer Measurement Uncertainty. Blockley, P. S., +, T-MTT Oct. 2007 22312239 Nonlinear network synthesis Design of Class E Amplifier With Nonlinear and Linear Shunt Capacitances for Any Duty Cycle. Mediano, A., +, T-MTT Mar. 2007 484-492 Nonlinear systems Wideband Nonlinear Response of High-Temperature Superconducting Thin Films From Transmission-Line Measurements. Mateu, J., +, T-MTT Jul. 2007 1425-1430 + Check author entry for coauthors

37

Design of a Power-Amplifier Feed-Forward RF Model With Physical Knowledge Considerations. Cunha, T. R., +, T-MTT Dec. 2007 2747-2756 Nonlinear Distortion Analysis of Polar Transmitters. Pedro, J. C., +, T-MTT Dec. 2007 2757-2765 Numerical analysis Reactance of Posts in Circular Waveguide. Zhu, Q. C., +, T-MTT Aug. 2007 1685-1688 Analysis of Circular Cavity With Cylindrical Objects. Lech, R., +, T-MTT Oct. 2007 2115-2123 Numerical models Slow-Wave Line Coupler With Interdigital Capacitor Loading. Li, L., +, T-MTT Nov. 2007 2427-2433 Numerical stability Alternating-Direction Implicit Formulation of the Finite-Element Time-Domain Method. Movahhedi, M., +, T-MTT Jun. 2007 1322-1331

O Ohmic contacts Nonohmic Contact Planar Varactor Frequency Upconverters for Terahertz Applications. Xu, H., +, T-MTT Apr. 2007 648-655 Optical communication equipment A 20-Gb/s 1:2 Demultiplexer With Capacitive-Splitting Current-ModeLogic Latches. Chien, J.-C., +, T-MTT Aug. 2007 1624-1632 Optical correlation Fringe Management for a T-Shaped Millimeter-Wave Imaging System. Li, Y., +, T-MTT Jun. 2007 1246-1254 Optical fiber transmission 10-Gb/s Optical Fiber Transmission Using a Fully Analog Electronic Dispersion Compensator (EDC) With Unclocked Decision-Feedback Equalization. Chandramouli, S., +, T-MTT Dec. 2007 2740-2746 Optical fibre LAN An LTCC-Based Wireless Transceiver for Radio-Over-Fiber Applications. Pergola, L., +, T-MTT Mar. 2007 579-587 Optical fibre amplifiers Cascaded Noise Penalty for Amplified Long-Haul Analog Fiber-Optic Links. Devgan, P. S., +, T-MTT Sep. 2007 1973-1977 Optical fibre dispersion Nonlinear Distortion Due to Cross-Phase Modulation in Microwave FiberOptic Links With Optical Single-Sideband or Electrooptical Upconversion. Cheng, L., +, T-MTT Jan. 2007 176-184 Optical links Nonlinear Distortion Due to Cross-Phase Modulation in Microwave FiberOptic Links With Optical Single-Sideband or Electrooptical Upconversion. Cheng, L., +, T-MTT Jan. 2007 176-184 Cascaded Noise Penalty for Amplified Long-Haul Analog Fiber-Optic Links. Devgan, P. S., +, T-MTT Sep. 2007 1973-1977 Corrections to “Limits on the Performance of RF-Over-Fiber Links and Their Impact on Device Design”. Cox, C. H., +, T-MTT Feb. 2007 351-351 Optical modulation Nonlinear Distortion Due to Cross-Phase Modulation in Microwave FiberOptic Links With Optical Single-Sideband or Electrooptical Upconversion. Cheng, L., +, T-MTT Jan. 2007 176-184 Comments on “A Fully Electronic System for Time Magnification of UltraWideband Signals”. Conway, J. A., +, T-MTT Oct. 2007 2270-2271 High Extinction Ratio Mach–Zehnder Modulator Applied to a Highly Stable Optical Signal Generator. Kiuchi, H., +, T-MTT Sep. 2007 1964-1972 Optical noise Cascaded Noise Penalty for Amplified Long-Haul Analog Fiber-Optic Links. Devgan, P. S., +, T-MTT Sep. 2007 1973-1977 Optical receivers An LTCC-Based Wireless Transceiver for Radio-Over-Fiber Applications. Pergola, L., +, T-MTT Mar. 2007 579-587 Optical repeaters Cascaded Noise Penalty for Amplified Long-Haul Analog Fiber-Optic Links. Devgan, P. S., +, T-MTT Sep. 2007 1973-1977 Optical resonators Reduction of the Coupling to External Sources and Modes of Propagation by a Nearly Confocal Resonator. Cheng, S., +, T-MTT Oct. 2007 2257-2261 Optical signal detection High Extinction Ratio Mach–Zehnder Modulator Applied to a Highly Stable Optical Signal Generator. Kiuchi, H., +, T-MTT Sep. 2007 1964-1972

38

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Optical transmitters An LTCC-Based Wireless Transceiver for Radio-Over-Fiber Applications. Pergola, L., +, T-MTT Mar. 2007 579-587 Optical waveguide theory Fourier Decomposition Analysis of Anisotropic Inhomogeneous Dielectric Waveguide Structures. Pashaie, R., T-MTT Aug. 2007 1689-1696 Power Transfer in a Large Parallel Array of Coupled Dielectric Waveguides. Wei, J. S., T-MTT Nov. 2007 2345-2353 Optical waveguides Power Transfer in a Large Parallel Array of Coupled Dielectric Waveguides. Wei, J. S., T-MTT Nov. 2007 2345-2353 Optimisation Interpolated Coarse Models for Microwave Design Optimization With Space Mapping. Koziel, S., +, T-MTT Aug. 2007 1739-1746 Optimization RFCMOS Unit Width Optimization Technique. Tong, A. F., +, T-MTT Sep. 2007 1844-1853 Oscillators GaInP/GaAs HBT Sub-Harmonic Gilbert Mixers Using Stacked-LO and Leveled-LO Topologies. Wu, T.-H., +, T-MTT May 2007 880-889 35–65-GHz CMOS Broadband Modulator and Demodulator With Sub-Harmonic Pumping for MMW Wireless Gigabit Applications. Tsai, J.-H., +, T-MTT Oct. 2007 2075-2085 Active Integrated Antenna Based on Planar Dielectric Resonator With Tuning Ferroelectric Varactor. Buslov, O. Y., +, T-MTT Dec. 2007 2951-2956 Demonstration of a 311-GHz Fundamental Oscillator Using InP HBT Technology. Radisic, V., +, T-MTT Nov. 2007 2329-2335 Integrated Heterojunction Bipolar Transistor Optically Injection-Locked Self-Oscillating Opto-Electronic Mixers for Bi-Directional Fiber-Fed Wireless Applications. Kim, J.-Y., +, T-MTT Dec. 2007 2734-2739 Reflection Coefficient Shaping of a 5-GHz Voltage-Tuned Oscillator for Improved Tuning. Victor, A., +, T-MTT Dec. 2007 2488-2494 Oscilloscopes Systematic Error of the Nose-to-Nose Sampling-Oscilloscope Calibration. Williams, D. F., +, T-MTT Sep. 2007 1951-1957

P

Parallel plate waveguides 2-D Terahertz Metallic Photonic Crystals in Parallel-Plate Waveguides. Zhao, Y., +, T-MTT Apr. 2007 656-663 Demonstration of Negative Refraction in a Cutoff Parallel-Plate Waveguide Loaded With 2-D Square Lattice of Dielectric Resonators. Ueda, T., +, T-MTT Jun. 2007 1280-1287 Partial differential equations Traceable 2-D Finite-Element Simulation of the Whispering-Gallery Modes of Axisymmetric Electromagnetic Resonators. Oxborrow, M., T-MTT Jun. 2007 1209-1218 Fourier Decomposition Analysis of Anisotropic Inhomogeneous Dielectric Waveguide Structures. Pashaie, R., T-MTT Aug. 2007 1689-1696 Passive networks A Low-Noise -Band VCO Based on Room-Temperature Ferroelectric Varactors. Norling, M., +, T-MTT Feb. 2007 361-369 Periodic structures Ceramic Layer-By-Layer Stereolithography for the Manufacturing of 3-D Millimeter-Wave Filters. Delhote, N., +, T-MTT Mar. 2007 548-554 A New Brillouin Dispersion Diagram for 1-D Periodic Printed Structures. Baccarelli, P., +, T-MTT Jul. 2007 1484-1495 A Periodically Loaded Transmission Line Excited by an Aperiodic Source—A Green’s Function Approach. Eshrah, I. A., +, T-MTT Jun. 2007 1118-1123 A Reconfigurable Micromachined Switching Filter Using Periodic Structures. Karim, M. F., +, T-MTT Jun. 2007 1154-1162 Some Properties of Generalized Scattering Matrix Representations for Metallic Waveguides With Periodic Dielectric Loading. Simsek, S., +, T-MTT Nov. 2007 2336-2344 Permeability Determination of Generalized Permeability Function and Field Energy Density in Artificial Magnetics Using the Equivalent-Circuit Method. Ikonen, P. M. T., +, T-MTT Jan. 2007 92-99

K

+ Check author entry for coauthors

Effective Parameters for Metamorphic Materials and Metamaterials Through a Resonant Inverse Scattering Approach. Alexopoulos, N. G., +, T-MTT Feb. 2007 254-267 Permittivity Uniform Electric Field Distribution in Microwave Heating Applicators by Means of Genetic Algorithms Optimization of Dielectric Multilayer Structures. Dominguez-Tortajada, E., +, T-MTT Jan. 2007 85-91 Two-Dimensional Radio Frequency Tomography. Lam, K., +, T-MTT Apr. 2007 801-808 A Swept-Frequency Measurement of Complex Permittivity and Complex Permeability of a Columnar Specimen Inserted in a Rectangular Waveguide. Nishikata, A., T-MTT Jul. 2007 1554-1567 Ceramic Layer-By-Layer Stereolithography for the Manufacturing of 3-D Millimeter-Wave Filters. Delhote, N., +, T-MTT Mar. 2007 548-554 Design of High-Performance Millimeter Wave and Sub-Millimeter Wave Quasi-Optical Isolators and Circulators. Hunter, R. I., +, T-MTT May 2007 890-898 Effective Parameters for Metamorphic Materials and Metamaterials Through a Resonant Inverse Scattering Approach. Alexopoulos, N. G., +, T-MTT Feb. 2007 254-267 Efficient Cartesian-Grid-Based Modeling of Rotationally Symmetric Bodies. Shyroki, D. M., T-MTT Jun. 2007 1132-1138 RFID Tag and RF Structures on a Paper Substrate Using Inkjet-Printing Technology. Yang, L., +, T-MTT Dec. 2007 2894-2901 Traceable 2-D Finite-Element Simulation of the Whispering-Gallery Modes of Axisymmetric Electromagnetic Resonators. Oxborrow, M., T-MTT Jun. 2007 1209-1218 Unique Retrieval of Complex Permittivity and Permeability of Dispersive Materials From Reflection and Transmitted Fields by Enforcing Causality. Varadan, V. V., +, T-MTT Oct. 2007 2224-2230 Personal area networks A 2.4-GHz Low-Power Low-IF Receiver and Direct-Conversion Transmitter in 0.18-m CMOS for IEEE 802.15.4 WPAN Applications. Nam, I., +, T-MTT Apr. 2007 682-689 Perturbation theory Modeling Effects of Random Rough Interface on Power Absorption Between Dielectric and Conductive Medium in 3-D Problem. Gu, X., +, T-MTT Mar. 2007 511-517 Phase convertors 118-GHz Quasi-Optical Mode Converter. Prinz, H. Analysis of a TE O., +, T-MTT Aug. 2007 1697-1703 Phase locked loops A 16-GHz Triple-Modulus Phase-Switching Prescaler and Its Application to a 15-GHz Frequency Synthesizer in 0.18-m CMOS. Peng, Y.-H., +, T-MTT Jan. 2007 44-51 An 11-Mb/s 2.1-mW Synchronous Superregenerative Receiver at 2.4 GHz. Moncunill-Geniz, F. X., +, T-MTT Jun. 2007 1355-1362 Design and Analysis of a Millimeter-Wave Direct Injection-Locked Frequency Divider With Large Frequency Locking Range. Wu, C., +, T-MTT Aug. 2007 1649-1658 Frequency and Phase Difference Control Using Fractional-N PLL Synthesizers by Composition of Control Data. Tajima, K., +, T-MTT Dec. 2007 2832-2838 High Extinction Ratio Mach–Zehnder Modulator Applied to a Highly Stable Optical Signal Generator. Kiuchi, H., +, T-MTT Sep. 2007 1964-1972 Multitone Fast Frequency-Hopping Synthesizer for UWB Radio. Stadius, K., +, T-MTT Aug. 2007 1633-1641 Phase measurement Submillimeter-Wave Phasor Beam-Pattern Measurement Based on Two-Stage Heterodyne Mixing With Unitary Harmonic Difference. Hwang, Y.-J., +, T-MTT Jun. 2007 1200-1208 Variable Precision Two-Channel Phase, Amplitude, and Timing Measurements for Radar Interferometry and Polarimetry. Siqueira, P., +, T-MTT Oct. 2007 2248-2256 Phase modulation Nonlinear Distortion Due to Cross-Phase Modulation in Microwave FiberOptic Links With Optical Single-Sideband or Electrooptical Upconversion. Cheng, L., +, T-MTT Jan. 2007 176-184 Phase Modulation With Interferometric Detection as an Alternative to Intensity Modulation With Direct Detection for Analog-Photonic Links. Urick, V. J., +, T-MTT Sep. 2007 1978-1985

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Phase noise A Low Phase-Noise Voltage-Controlled SAW Oscillator With Surface Transverse Wave Resonator for SONET Application. Lin, J.-H., +, T-MTT Jan. 2007 60-65 C -Band Noise-Parameter Measurement of Microwave Amplifiers Under Nonlinear Conditions. Chambon, C., +, T-MTT Apr. 2007 795-800 A High-Performance CMOS Voltage-Controlled Oscillator for Ultra-LowVoltage Operations. Hsieh, H.-H., +, T-MTT Mar. 2007 467-473 A Millimeter-Wave CMOS LC-Tank VCO With an Admittance-Transforming Technique. Hsieh, H.-H., +, T-MTT Sep. 2007 1854-1861 A New X -Band Low Phase-Noise Multiple-Device Oscillator Based on the Extended-Resonance Technique. Choi, J., +, T-MTT Aug. 2007 1642-1648 Authors’ Reply. Nallatamby, J.-C., +, T-MTT Jan. 2007 185-186 Comments on “Extension of the Leeson Formula to Phase Noise Calculation in Transistor Oscillators With Complex Tanks”. Ohira, T., T-MTT Jan. 2007 185-185 Phase and Amplitude Noise Analysis in Microwave Oscillators Using Nodal Harmonic Balance. Sancho, S., +, T-MTT Jul. 2007 1568-1583 Phase-Noise Reduction of X -Band Push–Push Oscillator With Second-Harmonic Self-Injection Techniques. Wang, T.-P., +, T-MTT Jan. 2007 66-77 Phase shift keying Volterra Behavioral Model for Wideband RF Amplifiers. Crespo-Cadenas, C., +, T-MTT Mar. 2007 449-457 A Multigigabit Millimeter-Wave Communication System With Improved Spectral Efficiency. Dyadyuk, V., +, T-MTT Dec. 2007 2813-2821 Phase shifters A 360 BST Phase Shifter With Moderate Bias Voltage at 30 GHz. Velu, G., +, T-MTT Feb. 2007 438-444 A 4-bit CMOS Phase Shifter Using Distributed Active Switches. Kang, D.-W., +, T-MTT Jul. 2007 1476-1483 Compact Left-Handed Transmission Line as a Linear Phase–Voltage Modulator and Efficient Harmonic Generator. Kim, H., +, T-MTT Mar. 2007 571-578 Fringe Management for a T-Shaped Millimeter-Wave Imaging System. Li, Y., +, T-MTT Jun. 2007 1246-1254 Phased arrays A 2-D Phase-Detecting/Heterodyne-Scanning Retrodirective Array. Watanabe, M. K., +, T-MTT Dec. 2007 2856-2864 Photodetectors Optical Summation of RF Signals. Chtioui, M., +, T-MTT Feb. 2007 318-326 Photolithography Ka-Band Miniaturized Quasi-Planar High-Q Resonators. Vanhille, K. J., +, T-MTT Jun. 2007 1272-1279 Photonic band gap A Fully Electronic System for the Time Magnification of Ultra-Wideband Signals. Schwartz, J. D., +, T-MTT Feb. 2007 327-334 Signal Integrity Analysis of the Traces in Electromagnetic-Bandgap Structure in High-Speed Printed Circuit Boards and Packages. Zhang, M.-S., +, T-MTT May 2007 1054-1062 Simultaneous Switching Noise Suppression in Printed Circuit Boards Using a Compact 3-D Cascaded Electromagnetic-Bandgap Structure. Zhang, M.-S., +, T-MTT Oct. 2007 2200-2207 Photonic crystals Effective Parameters for Metamorphic Materials and Metamaterials Through a Resonant Inverse Scattering Approach. Alexopoulos, N. G., +, T-MTT Feb. 2007 254-267 2-D Terahertz Metallic Photonic Crystals in Parallel-Plate Waveguides. Zhao, Y., +, T-MTT Apr. 2007 656-663 Frozen Modes in Parallel-Plate Waveguides Loaded With Magnetic Photonic Crystals. Chilton, R. A., +, T-MTT Dec. 2007 2631-2641 Manufacturing Tolerance Analysis, Fabrication, and Characterization of 3-D Submillimeter-Wave Electromagnetic-Bandgap Crystals. Martinez, B., +, T-MTT Apr. 2007 672-681 Photonics Phase Modulation With Interferometric Detection as an Alternative to Intensity Modulation With Direct Detection for Analog-Photonic Links. Urick, V. J., +, T-MTT Sep. 2007 1978-1985 Photoresists Design and High Performance of a Micromachined K -Band Rectangular Coaxial Cable. Lancaster, M. J., +, T-MTT Jul. 2007 1548-1553 Picocellular radio Multilevel Modulated Signal Transmission Over Serial Single-Mode and Multimode Fiber Links Using Vertical-Cavity Surface-Emitting Lasers for

+ Check author entry for coauthors

39

Millimeter-Wave Wireless Communications. Nkansah, A., +, T-MTT Jun. 2007 1219-1228 Piezoelectric materials Surface Micromachined Microelectromechancial Ohmic Series Switch Using Thin-Film Piezoelectric Actuators. Polcawich, R. G., +, T-MTT Dec. 2007 2642-2654 P-i-n diodes Optimum Bias Load-Line Compensates Temperature Variation of Junction Diode’s RF Resistance. Bera, S. C., +, T-MTT Feb. 2007 215-221 A Reconfigurable Micromachined Switching Filter Using Periodic Structures. Karim, M. F., +, T-MTT Jun. 2007 1154-1162 An in situ Tunable Diode Mounting Topology for High-Power X -Band Waveguide Switches. Sickel, T., +, T-MTT Feb. 2007 281-286 Planar inverted-F antennas Variable Antenna Load for Transmitter Efficiency Improvement. Kaajakari, V., +, T-MTT Aug. 2007 1666-1672 Planar waveguides Development of Millimeter-Wave Planar Diplexers Based on Complementary Characters of Dual-Mode Substrate Integrated Waveguide Filters With Circular and Elliptic Cavities. Tang, H. J., +, T-MTT Apr. 2007 776-782 Plasma sources Compact Waveguide-Based Power Divider Feeding Independently Any Number of Coaxial Lines. Pollak, J., +, T-MTT May 2007 951-957 Platinum Investigation of Ferroelectric Thick-Film Varactors for Microwave Phase Shifters. Hu, W., +, T-MTT Feb. 2007 418-424 P-n junctions Optimum Bias Load-Line Compensates Temperature Variation of Junction Diode’s RF Resistance. Bera, S. C., +, T-MTT Feb. 2007 215-221 Point defects 2-D Terahertz Metallic Photonic Crystals in Parallel-Plate Waveguides. Zhao, Y., +, T-MTT Apr. 2007 656-663 Poles and zeros Planar Realization of a Triple-Mode Bandpass Filter Using a Multilayer Configuration. Lugo, C., +, T-MTT Feb. 2007 296-301 A 12-Pole Narrowband Highly Selective High-Temperature Superconducting Filter for the Application in the Third-Generation Wireless Communications. Li, S., +, T-MTT Apr. 2007 754-759 A Note on the Multiplicity of Poles in the Vector Fitting Macromodeling Method. Deschrijver, D., +, T-MTT Apr. 2007 736-741 Compact Planar Quasi-Elliptic Function Filter With Inline SteppedImpedance Resonators. Kuo, J.-T., +, T-MTT Aug. 2007 1747-1755 Complete Stability Analysis of Multifunction MMIC Circuits. Barquinero, C., +, T-MTT Oct. 2007 2024-2033 Coplanar-Waveguide-Fed Microstrip Bandpass Filters With Capacitively Broadside-Coupled Structures for Multiple Spurious Suppression. Wang, C.-H., +, T-MTT Apr. 2007 768-775 Intermodulation Distortion in Coupled-Resonator Filters With Nonuniformly Distributed Nonlinear Properties—Use in HTS IMD Compensation. Mateu, J., +, T-MTT Apr. 2007 616-624 Novel Balanced Coupled-Line Bandpass Filters With Common-Mode Noise Suppression. Wu, C.-H., +, T-MTT Feb. 2007 287-295 Polymer films Design, Fabrication, and Measurement of Benzocyclobutene Polymer ZeroLevel Packaging for Millimeter-Wave Applications. Seok, S., +, T-MTT May 2007 1040-1045 Polynomial approximation Weighted Polynomial Digital Predistortion for Low Memory Effect Doherty Power Amplifier. Hong, S., +, T-MTT May 2007 925-931 Polynomial matrices Synthesis of Resonator Filters With Arbitrary Topology Using Hybrid Method. Uhm, M., +, T-MTT Oct. 2007 2157-2167 Polynomials Robust Formulations of the Cauchy Method Suitable for Microwave Duplexers Modeling. Traina, D., +, T-MTT May 2007 974-982 Power HEMT An Integrated Wideband Power Amplifier for Cognitive Radio. Chen, Y.-J. E., +, T-MTT Oct. 2007 2053-2058 Power MOSFET Analytic Large-Signal Modeling of Silicon RF Power MOSFETs. Fioravanti, P., +, T-MTT May 2007 829-837 Power amplifiers Design and Analysis of Stacked Power Amplifier in Series-Input and SeriesOutput Configuration. Lei, M.-F., +, T-MTT Dec. 2007 2802-2812

40

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

A New Envelope Predistorter With Envelope Delay Taps for Memory Effect Compensation. Jung, S.-C., +, T-MTT Jan. 2007 52-59 A 20-W Chireix Outphasing Transmitter for WCDMA Base Stations. Huttunen, A., +, T-MTT Dec. 2007 2709-2718 -Digitized Polar RF Transmitter. Choi, J., +, T-MTT Dec. 2007 2679A 2690 A Ferroelectric-Capacitor-Based Tunable Matching Network for Quad-Band Cellular Power Amplifiers. Tombak, A., T-MTT Feb. 2007 370-375 A Mixed-Signal Approach Towards Linear and Efficient N -Way Doherty Amplifiers. Neo, W. C. E., +, T-MTT May 2007 866-879 A New Compact Load Network for Doherty Amplifiers Using an Imperfect Quarter-Wave Line. Park, H., +, T-MTT Nov. 2007 2313-2319 A New Mode-Multiplexing LINC Architecture to Boost the Efficiency of WiMAX Up-Link Transmitters. Helaoui, M., +, T-MTT Feb. 2007 248-253 An Efficient Time-Domain Simulation Method for Multirate RF Nonlinear Circuits. Oliveira, J. F., +, T-MTT Nov. 2007 2384-2392 An Integrated Wideband Power Amplifier for Cognitive Radio. Chen, Y.-J. E., +, T-MTT Oct. 2007 2053-2058 Analysis and Design of a Dynamic Predistorter for WCDMA Handset Power Amplifiers. Yamanouchi, S., +, T-MTT Mar. 2007 493-503 Behavioral Thermal Modeling for Microwave Power Amplifier Design. Mazeau, J., +, T-MTT Nov. 2007 2290-2297 Design of H-Bridge Class-D Power Amplifiers for Digital Pulse Modulation Transmitters. Hung, T.-P., +, T-MTT Dec. 2007 2845-2855 Design of a Power-Amplifier Feed-Forward RF Model With Physical Knowledge Considerations. Cunha, T. R., +, T-MTT Dec. 2007 2747-2756 Development of Sub-Millimeter-Wave Power Amplifiers. Deal, W. R., +, T-MTT Dec. 2007 2719-2726 Electron Device Model Parameter Identification Through Large-Signal-Predictive Small-Signal-Based Error Functions. Raffo, A., +, T-MTT Oct. 2007 1997-2005 High-Efficiency Power Amplifier Using Novel Dynamic Bias Switching. Jeon, Y.-S., +, T-MTT Apr. 2007 690-696 Nonlinear Distortion Analysis of Polar Transmitters. Pedro, J. C., +, T-MTT Dec. 2007 2757-2765 On the Robustness of Digital Predistortion Function Synthesis and Average Power Tracking for Highly Nonlinear Power Amplifiers. Hammi, O., +, T-MTT Jun. 2007 1382-1389 Polar SiGe Class E and F Amplifiers Using Switch-Mode Supply Modulation. Kitchen, J. N., +, T-MTT May 2007 845-856 Tournament-Shaped Magnetically Coupled Power-Combiner Architecture for RF CMOS Power Amplifier. Park, C., +, T-MTT Oct. 2007 2034-2042 Weighted Polynomial Digital Predistortion for Low Memory Effect Doherty Power Amplifier. Hong, S., +, T-MTT May 2007 925-931 Power combiners Design of a Ten-Way Conical Transmission Line Power Combiner. de Villiers, D. I. L., +, T-MTT Feb. 2007 302-308 Analysis of Wideband Dielectric Resonator Antenna Arrays for WaveguideBased Spatial Power Combining. Zhang, Y., +, T-MTT Jun. 2007 1332-1340 Tournament-Shaped Magnetically Coupled Power-Combiner Architecture for RF CMOS Power Amplifier. Park, C., +, T-MTT Oct. 2007 2034-2042 Power consumption Phase-Noise Reduction of X -Band Push–Push Oscillator With Second-Harmonic Self-Injection Techniques. Wang, T.-P., +, T-MTT Jan. 2007 66-77 Power dividers Millimeter-Wave Transition From Waveguide to Two Microstrip Lines Using Rectangular Patch Element. Iizuka, H., +, T-MTT May 2007 899-905 A Parallel-Strip Ring Power Divider With High Isolation and Arbitrary Power-Dividing Ratio. Chiu, L., +, T-MTT Nov. 2007 2419-2426 Compact Microwave Six-Port Vector Voltmeters for Ultra-Wideband Applications. Bialkowski, M. E., +, T-MTT Oct. 2007 2216-2223 Compact Waveguide-Based Power Divider Feeding Independently Any Number of Coaxial Lines. Pollak, J., +, T-MTT May 2007 951-957 General Design of Multiway Multisection Power Dividers by Interconnecting Two-Way Dividers. Zhou, J., +, T-MTT Oct. 2007 2208-2215 Left-Handed Metamaterial Coplanar Waveguide Components and Circuits in GaAs MMIC Technology. Tong, W., +, T-MTT Aug. 2007 1794-1800 Modified Wilkinson Power Dividers for Millimeter-Wave Integrated Circuits. Horst, S., +, T-MTT Nov. 2007 2439-2446 Power filters Design of a High-Power Superconducting Filter Using Resonators With Different Linewidths. Guo, X., +, T-MTT Dec. 2007 2555-2561

16

+ Check author entry for coauthors

Power supply circuits Power Supply Rejection for RF Amplifiers: Theory and Measurements. Stauth, J. T., +, T-MTT Oct. 2007 2043-2052 Power supply quality Power Supply Rejection for RF Amplifiers: Theory and Measurements. Stauth, J. T., +, T-MTT Oct. 2007 2043-2052 Power transistors Wideband Design of the Fully Integrated Transmitter Front-End With High Power-Added Efficiency. Kim, H., +, T-MTT May 2007 916-924 Power transmission lines Tournament-Shaped Magnetically Coupled Power-Combiner Architecture for RF CMOS Power Amplifier. Park, C., +, T-MTT Oct. 2007 2034-2042 A Parallel-Strip Ring Power Divider With High Isolation and Arbitrary Power-Dividing Ratio. Chiu, L., +, T-MTT Nov. 2007 2419-2426 Modified Wilkinson Power Dividers for Millimeter-Wave Integrated Circuits. Horst, S., +, T-MTT Nov. 2007 2439-2446 Predictive models Behavioral Thermal Modeling for Microwave Power Amplifier Design. Mazeau, J., +, T-MTT Nov. 2007 2290-2297 Prescalers A 16-GHz Triple-Modulus Phase-Switching Prescaler and Its Application to a 15-GHz Frequency Synthesizer in 0.18-m CMOS. Peng, Y.-H., +, T-MTT Jan. 2007 44-51 Printed circuits Development of Millimeter-Wave Planar Diplexers Based on Complementary Characters of Dual-Mode Substrate Integrated Waveguide Filters With Circular and Elliptic Cavities. Tang, H. J., +, T-MTT Apr. 2007 776-782 Hybrid S -Parameters for Transmission Line Networks With Linear/Nonlinear Load Terminations Subject to Arbitrary Excitations. Bayram, Y., +, T-MTT May 2007 941-950 Signal Integrity Analysis of the Traces in Electromagnetic-Bandgap Structure in High-Speed Printed Circuit Boards and Packages. Zhang, M.-S., +, T-MTT May 2007 1054-1062 Simultaneous Switching Noise Suppression in Printed Circuit Boards Using a Compact 3-D Cascaded Electromagnetic-Bandgap Structure. Zhang, M.-S., +, T-MTT Oct. 2007 2200-2207 Probability Authors’ Reply. Guvenc, I., +, T-MTT Mar. 2007 598-598 Comments on “TOA Estimation for IR-UWB Systems With Different Transceiver Types”. Nadarajah, S., T-MTT Mar. 2007 597-598 Probes A 275–425-GHz Tunerless Waveguide Receiver Based on AlN-Barrier SIS Technology. Kooi, J. W., +, T-MTT Oct. 2007 2086-2096 Programmable circuits Electrical Backplane Equalization Using Programmable Analog Zeros and Folded Active Inductors. Chen, J., +, T-MTT Jul. 2007 1459-1466 Propagation Frozen Modes in Parallel-Plate Waveguides Loaded With Magnetic Photonic Crystals. Chilton, R. A., +, T-MTT Dec. 2007 2631-2641 Finite-Difference Time-Domain Modeling of Periodic Guided-Wave Structures and Its Application to the Analysis of Substrate Integrated Nonradiative Dielectric Waveguide. Xu, F., +, T-MTT Dec. 2007 2502-2511 Pulse generators All-Fiber Chirped Microwave Pulses Generation Based on Spectral Shaping and Wavelength-to-Time Conversion. Chi, H., +, T-MTT Sep. 2007 19581963 Pulse modulation A 2.4-GHz Fully Integrated ESD-Protected Low-Noise Amplifier in 130-nm PD SOI CMOS Technology. El Kaamouchi, M., +, T-MTT Dec. 2007 28222831 Pulse width modulation Polar SiGe Class E and F Amplifiers Using Switch-Mode Supply Modulation. Kitchen, J. N., +, T-MTT May 2007 845-856 Q Q factor Reduction of the Coupling to External Sources and Modes of Propagation by a Nearly Confocal Resonator. Cheng, S., +, T-MTT Oct. 2007 2257-2261 A Low Phase-Noise X -Band MMIC VCO Using High-Linearity and Ga N/GaN Low-Noise Composite-Channel Al Ga N/Al HEMTs. Cheng, Z. Q., +, T-MTT Jan. 2007 23-29

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

K a-Band Miniaturized Quasi-Planar High-Q Resonators. Vanhille, K. J., +,

T-MTT Jun. 2007 1272-1279 Authors’ Reply. Nallatamby, J.-C., +, T-MTT Jan. 2007 185-186 Ceramic Layer-By-Layer Stereolithography for the Manufacturing of 3-D Millimeter-Wave Filters. Delhote, N., +, T-MTT Mar. 2007 548-554 Comments on “Extension of the Leeson Formula to Phase Noise Calculation in Transistor Oscillators With Complex Tanks”. Ohira, T., T-MTT Jan. 2007 185-185 Design of Class E Amplifier With Nonlinear and Linear Shunt Capacitances for Any Duty Cycle. Mediano, A., +, T-MTT Mar. 2007 484-492 Determination of Generalized Permeability Function and Field Energy Density in Artificial Magnetics Using the Equivalent-Circuit Method. Ikonen, P. M. T., +, T-MTT Jan. 2007 92-99 Geometry-Dependent Quality Factors in Ba Sr TiO Parallel-Plate Capacitors. Pervez, N. K., +, T-MTT Feb. 2007 410-417 Gold-Plated Micromachined Millimeter-Wave Resonators Based on Rectangular Coaxial Transmission Lines. Marsh, E. D., +, T-MTT Jan. 2007 78-84 High-Performance CMOS-Compatible Solenoidal Transformers With a Concave-Suspended Configuration. Gu, L., +, T-MTT Jun. 2007 1237-1245 Modeling and Characterization of On-Chip Transformers for Silicon RFIC. El-Gharniti, O., +, T-MTT Apr. 2007 607-615 Modeling and Fabrication of CMOS Surface Acoustic Wave Resonators. Nordin, A. N., +, T-MTT May 2007 992-1001 Novel Dual-Mode Dual-Band Filters Using Coplanar-Waveguide-Fed Ring Resonators. Zhang, X. Y., +, T-MTT Oct. 2007 2183-2190 Theoretical and Experimental Studies of Flip-Chip Assembled High-Q Suspended MEMS Inductors. Zeng, J., +, T-MTT Jun. 2007 1171-1181 Quadrature amplitude modulation Volterra Behavioral Model for Wideband RF Amplifiers. Crespo-Cadenas, C., +, T-MTT Mar. 2007 449-457 Multilevel Modulated Signal Transmission Over Serial Single-Mode and Multimode Fiber Links Using Vertical-Cavity Surface-Emitting Lasers for Millimeter-Wave Wireless Communications. Nkansah, A., +, T-MTT Jun. 2007 1219-1228 Quadrature phase shift keying A High-Directivity Combined Self-Beam/Null-Steering Array for Secure Point-to-Point Communications. Shiroma, G. S., +, T-MTT May 2007 838-844 Design of a High-Efficiency and High-Power Inverted Doherty Amplifier. Ahn, G., +, T-MTT Jun. 2007 1105-1111 Multilevel Modulated Signal Transmission Over Serial Single-Mode and Multimode Fiber Links Using Vertical-Cavity Surface-Emitting Lasers for Millimeter-Wave Wireless Communications. Nkansah, A., +, T-MTT Jun. 2007 1219-1228 Quality A Physical Model of Solenoid Inductors on Silicon Substrates. Tai, C.-M., +, T-MTT Dec. 2007 2579-2585 Finite-Difference Time-Domain Modeling of Periodic Guided-Wave Structures and Its Application to the Analysis of Substrate Integrated Nonradiative Dielectric Waveguide. Xu, F., +, T-MTT Dec. 2007 2502-2511 Quartz Optimization and Implementation of Impedance-Matched True-Time-Delay Phase Shifters on Quartz Substrate. Lakshminarayanan, B., +, T-MTT Feb. 2007 335-342 R Radar Inverse Synthetic Aperture Secondary Radar Concept for Precise Wireless Positioning. Vossiek, M., +, T-MTT Nov. 2007 2447-2453 Radar antennas UWB Array-Based Sensor for Near-Field Imaging. Yarovoy, A. G., +, T-MTT Jun. 2007 1288-1295 Radar applications HTS Quasi-Elliptic Filter Using Capacitive-Loaded Cross-Shape Resonators With Low Sensitivity to Substrate Thickness. Corona-Chavez, A., +, T-MTT Jan. 2007 117-120 A Quadrature Radar Topology With Tx Leakage Canceller for 24-GHz Radar Applications. Kim, C.-Y., +, T-MTT Jul. 2007 1438-1444 Radar clutter Arctangent Demodulation With DC Offset Compensation in Quadrature Doppler Radar Receiver Systems. Park, B.-K., +, T-MTT May 2007 1073-1079 + Check author entry for coauthors

41

Radar imaging UWB Array-Based Sensor for Near-Field Imaging. Yarovoy, A. G., +, T-MTT Jun. 2007 1288-1295 Radar interferometry Variable Precision Two-Channel Phase, Amplitude, and Timing Measurements for Radar Interferometry and Polarimetry. Siqueira, P., +, T-MTT Oct. 2007 2248-2256 Radar measurements Inverse Synthetic Aperture Secondary Radar Concept for Precise Wireless Positioning. Vossiek, M., +, T-MTT Nov. 2007 2447-2453 Radar polarimetry Variable Precision Two-Channel Phase, Amplitude, and Timing Measurements for Radar Interferometry and Polarimetry. Siqueira, P., +, T-MTT Oct. 2007 2248-2256 Radar receivers Arctangent Demodulation With DC Offset Compensation in Quadrature Doppler Radar Receiver Systems. Park, B.-K., +, T-MTT May 2007 1073-1079 Radar signal processing A Quadrature Radar Topology With Tx Leakage Canceller for 24-GHz Radar Applications. Kim, C.-Y., +, T-MTT Jul. 2007 1438-1444 Radio astronomy A Ten-Beam Ka-Band Radiometric Receiver Using Custom Designed InP MMICs at Cryogenic Temperatures. Kettle, D., +, T-MTT Dec. 2007 27002708 Radio frequency identification RFID Tag and RF Structures on a Paper Substrate Using Inkjet-Printing Technology. Yang, L., +, T-MTT Dec. 2007 2894-2901 A New Planar Artificial Transmission Line and Its Applications to a Miniaturized Butler Matrix. Wang, C. W., +, T-MTT Dec. 2007 2792-2801 Radio receivers Extension of Two-Signal Spurious-Free Dynamic Range of Wideband Digital Receivers Using Kaiser Window and Compensation Method. George, K., +, T-MTT Apr. 2007 788-794 A 2.4-GHz Low-Power Low-IF Receiver and Direct-Conversion Transmitter in 0.18-m CMOS for IEEE 802.15.4 WPAN Applications. Nam, I., +, T-MTT Apr. 2007 682-689 An 11-Mb/s 2.1-mW Synchronous Superregenerative Receiver at 2.4 GHz. Moncunill-Geniz, F. X., +, T-MTT Jun. 2007 1355-1362 Design of Ultra-Low-Voltage RF Frontends With Complementary CurrentReused Architectures. Hsieh, H.-H., +, T-MTT Jul. 2007 1445-1458 Monolithic Integration of a Folded Dipole Antenna With a 24-GHz Receiver in SiGe HBT Technology. Ojefors, E., +, T-MTT Jul. 2007 1467-1475 Radio transmitters A New Mode-Multiplexing LINC Architecture to Boost the Efficiency of WiMAX Up-Link Transmitters. Helaoui, M., +, T-MTT Feb. 2007 248-253 60-GHz System-on-Package Transmitter Integrating Sub-Harmonic Frequency Amplitude Shift-Keying Modulator. Jung, D. Y., +, T-MTT Aug. 2007 1786-1793 A 1.9-GHz CMOS Power Amplifier Using Three-Port Asymmetric Transmission Line Transformer for a Polar Transmitter. Park, C., +, T-MTT Feb. 2007 230-238 A 2.4-GHz Low-Power Low-IF Receiver and Direct-Conversion Transmitter in 0.18-m CMOS for IEEE 802.15.4 WPAN Applications. Nam, I., +, T-MTT Apr. 2007 682-689 Variable Antenna Load for Transmitter Efficiency Improvement. Kaajakari, V., +, T-MTT Aug. 2007 1666-1672 Wideband Design of the Fully Integrated Transmitter Front-End With High Power-Added Efficiency. Kim, H., +, T-MTT May 2007 916-924 Radio-over-fibre Corrections to “Limits on the Performance of RF-Over-Fiber Links and Their Impact on Device Design”. Cox, C. H., +, T-MTT Feb. 2007 351-351 An LTCC-Based Wireless Transceiver for Radio-Over-Fiber Applications. Pergola, L., +, T-MTT Mar. 2007 579-587 Multilevel Modulated Signal Transmission Over Serial Single-Mode and Multimode Fiber Links Using Vertical-Cavity Surface-Emitting Lasers for Millimeter-Wave Wireless Communications. Nkansah, A., +, T-MTT Jun. 2007 1219-1228 Radiocommunication A High-Directivity Combined Self-Beam/Null-Steering Array for Secure Point-to-Point Communications. Shiroma, G. S., +, T-MTT May 2007 838-844 A Multigigabit Millimeter-Wave Communication System With Improved Spectral Efficiency. Dyadyuk, V., +, T-MTT Dec. 2007 2813-2821

42

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Radio frequency Design of H-Bridge Class-D Power Amplifiers for Digital Pulse Modulation Transmitters. Hung, T.-P., +, T-MTT Dec. 2007 2845-2855 Radiofrequency amplifiers High-Efficiency Power Amplifier Using Novel Dynamic Bias Switching. Jeon, Y.-S., +, T-MTT Apr. 2007 690-696 Radiofrequency identification Power Harvester Design for Passive UHF RFID Tag Using a Voltage Boosting Technique. Shameli, A., +, T-MTT Jun. 2007 1089-1097 Radiofrequency integrated circuits Frequency-Thermal Characterization of On-Chip Transformers With Patterned Ground Shields. Shi, J., +, T-MTT Jan. 2007 1-12 High-Performance CMOS-Compatible Solenoidal Transformers With a Concave-Suspended Configuration. Gu, L., +, T-MTT Jun. 2007 1237-1245 Modeling and Characterization of On-Chip Transformers for Silicon RFIC. El-Gharniti, O., +, T-MTT Apr. 2007 607-615 Moments-Based Computation of Intermodulation Distortion of RF Circuits. Tannir, D., +, T-MTT Oct. 2007 2135-2146 RF Front-End Passive Circuit Implementation Including Antenna for ZigBee Applications. Yoo, C.-S., +, T-MTT May 2007 906-915 Theoretical and Experimental Studies of Flip-Chip Assembled High- Suspended MEMS Inductors. Zeng, J., +, T-MTT Jun. 2007 1171-1181 Radiometry Human Presence Detection Using Millimeter-Wave Radiometry. Nanzer, J. A., +, T-MTT Dec. 2007 2727-2733 A Ten-Beam -Band Radiometric Receiver Using Custom Designed InP MMICs at Cryogenic Temperatures. Kettle, D., +, T-MTT Dec. 2007 27002708 Random noise The Random Component of Mixer-Based Nonlinear Vector Network Analyzer Measurement Uncertainty. Blockley, P. S., +, T-MTT Oct. 2007 22312239 Ray tracing Terahertz Performance of Integrated Lens Antennas With a Hot-Electron Bolometer. Semenov, A. D., +, T-MTT Feb. 2007 239-247 RC circuits Static and Dynamic Error Vector Magnitude Behavior of 2.4-GHz Power Amplifier. Yoon, S.-W., T-MTT Apr. 2007 643-647 Real-time systems A Real-Time Exposure System for Electrophysiological Recording in Brain Slices. Paffi, A., +, T-MTT Nov. 2007 2463-2471 Live Electrooptic Imaging System Based on Ultraparallel Photonic Heterodyne for Microwave Near-Fields. Sasagawa, K., +, T-MTT Dec. 2007 2782-2791 Receivers A 275–425-GHz Tunerless Waveguide Receiver Based on AlN-Barrier SIS Technology. Kooi, J. W., +, T-MTT Oct. 2007 2086-2096 3-D Integration of 10-GHz Filter and CMOS Receiver Front-End. Choi, T., +, T-MTT Nov. 2007 2298-2305 Rectangular waveguides Efficient Analysis of Arbitrarily Shaped Inductive Obstacles in Rectangular Waveguides Using a Surface Integral-Equation Formulation. Quesada Pereira, F. D., +, T-MTT Apr. 2007 715-721 A Swept-Frequency Measurement of Complex Permittivity and Complex Permeability of a Columnar Specimen Inserted in a Rectangular Waveguide. Nishikata, A., T-MTT Jul. 2007 1554-1567 Analysis of Wideband Dielectric Resonator Antenna Arrays for WaveguideBased Spatial Power Combining. Zhang, Y., +, T-MTT Jun. 2007 1332-1340 Experimental Analysis of Passive Intermodulation at Waveguide Flange Bolted Connections. Vicente, C., +, T-MTT May 2007 1018-1028 Magnetic-Type Dyadic Green’s Functions for a Corrugated Rectangular Metaguide Based on Asymptotic Boundary Conditions. Eshrah, I. A., +, T-MTT Jun. 2007 1124-1131 Rigorous Analysis of a Metallic Circular Post in a Rectangular Waveguide With Step Discontinuity of Sidewalls. Valagiannopoulos, C. A., +, T-MTT Aug. 2007 1673-1684 Rigorous Mode-Matching Method of Circular to Off-Center Rectangular Side-Coupled Waveguide Junctions for Filter Applications. Zheng, J., +, T-MTT Nov. 2007 2365-2373 Rectifiers High-Efficiency Power Amplifier Using Novel Dynamic Bias Switching. Jeon, Y.-S., +, T-MTT Apr. 2007 690-696 Power Harvester Design for Passive UHF RFID Tag Using a Voltage Boosting Technique. Shameli, A., +, T-MTT Jun. 2007 1089-1097

Q

Ka

+ Check author entry for coauthors

Recursive estimation Adaptive Digital Feedback Predistortion Technique for Linearizing Power Amplifiers. Woo, Y. Y., +, T-MTT May 2007 932-940 Reduced order systems Synthesis of Compact Lumped Models From Electromagnetic Analysis Results. Rautio, J. C., T-MTT Dec. 2007 2548-2554 Reflection Some Properties of Generalized Scattering Matrix Representations for Metallic Waveguides With Periodic Dielectric Loading. Simsek, S., +, T-MTT Nov. 2007 2336-2344 Refractive index Effective Parameters for Metamorphic Materials and Metamaterials Through a Resonant Inverse Scattering Approach. Alexopoulos, N. G., +, T-MTT Feb. 2007 254-267 Reliability Sub-Microsecond RF MEMS Switched Capacitors. Lacroix, B., +, T-MTT Jun. 2007 1314-1321 Resistors SiGe HBT’s Small-Signal Pi Modeling. Yang, T.-R., +, T-MTT Jul. 2007 1417-1424 A Parallel-Strip Ring Power Divider With High Isolation and Arbitrary Power-Dividing Ratio. Chiu, L., +, T-MTT Nov. 2007 2419-2426 Modified Wilkinson Power Dividers for Millimeter-Wave Integrated Circuits. Horst, S., +, T-MTT Nov. 2007 2439-2446 Resonant frequency Reduction of the Coupling to External Sources and Modes of Propagation by a Nearly Confocal Resonator. Cheng, S., +, T-MTT Oct. 2007 2257-2261 Resonator filters Novel Multifold Finite-Ground-Width CPW Quarter-Wavelength Filters With Attenuation Poles. Chen, C.-H., +, T-MTT Jan. 2007 128-136 A 25–75-MHz RF MEMS Tunable Filter. Entesari, K., +, T-MTT Nov. 2007 2399-2405 A Dual-Band Coupled-Line Balun Filter. Yeung, L. K., +, T-MTT Nov. 2007 2406-2411 A Microstrip Ultra-Wideband Bandpass Filter With Cascaded Broadband Bandpass and Bandstop Filters. Tang, C.-W., +, T-MTT Nov. 2007 24122418 A New Coupling Matrix Extracting Method From the Frequency Response. Li, F., +, T-MTT Apr. 2007 760-767 A Novel Band-Reject Element for Pseudoelliptic Bandstop Filters. Rosenberg, U., +, T-MTT Apr. 2007 742-746 Balanced Coupled-Resonator Bandpass Filters Using Multisection Resonators for Common-Mode Suppression and Stopband Extension. Wu, C.-H., +, T-MTT Aug. 2007 1756-1763 Compact Planar Quasi-Elliptic Function Filter With Inline SteppedImpedance Resonators. Kuo, J.-T., +, T-MTT Aug. 2007 1747-1755 Composite Right/Left-Handed Metamaterial Transmission Lines Based on Complementary Split-Rings Resonators and Their Applications to Very Wideband and Compact Filter Design. Gil, M., +, T-MTT Jun. 2007 12961304 Design of Bandpass Elliptic Filters Employing Inductive Windows and Dielectric Objects. Perez Soler, F. J., +, T-MTT Nov. 2007 2393-2398 Design of Stepped-Impedance Combline Bandpass Filters With Symmetric Insertion-Loss Response and Wide Stopband Range. Chen, Y.-M., +, T-MTT Oct. 2007 2191-2199 Dual-Band Filter Design With Flexible Passband Frequency and Bandwidth Selections. Lee, H.-M., +, T-MTT May 2007 1002-1009 Intermodulation Distortion in Coupled-Resonator Filters With Nonuniformly Distributed Nonlinear Properties—Use in HTS IMD Compensation. Mateu, J., +, T-MTT Apr. 2007 616-624 Miniaturized Dual-Mode Ring Bandpass Filters With Patterned Ground Plane. Mao, R.-J., +, T-MTT Jul. 2007 1539-1547 Novel Dual-Mode Dual-Band Filters Using Coplanar-Waveguide-Fed Ring Resonators. Zhang, X. Y., +, T-MTT Oct. 2007 2183-2190 Novel Patch-Via-Spiral Resonators for the Development of Miniaturized Bandpass Filters With Transmission Zeros. Lin, S.-C., +, T-MTT Jan. 2007 137-146 Physical Interpretation and Implications of Similarity Transformations in Coupled Resonator Filter Design. Amari, S., +, T-MTT Jun. 2007 11391153 Planar Realization of a Triple-Mode Bandpass Filter Using a Multilayer Configuration. Lugo, C., +, T-MTT Feb. 2007 296-301 Synthesis of Resonator Filters With Arbitrary Topology Using Hybrid Method. Uhm, M., +, T-MTT Oct. 2007 2157-2167

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Resonators HTS Quasi-Elliptic Filter Using Capacitive-Loaded Cross-Shape Resonators With Low Sensitivity to Substrate Thickness. Corona-Chavez, A., +, T-MTT Jan. 2007 117-120 40-GHz MMIC SPDT and Multiple-Port Bandpass Filter-Integrated Switches. Chao, S.-F., +, T-MTT Dec. 2007 2691-2699 A 360 BST Phase Shifter With Moderate Bias Voltage at 30 GHz. Velu, G., +, T-MTT Feb. 2007 438-444 Design of Bandpass Transversal Filters Employing a Novel Hybrid Structure. Martinez-Mendoza, M., +, T-MTT Dec. 2007 2670-2678 Dual-Band and Triple-Band Substrate Integrated Waveguide Filters With Chebyshev and Quasi-Elliptic Responses. Chen, X.-P., +, T-MTT Dec. 2007 2569-2578 Efficient Cartesian-Grid-Based Modeling of Rotationally Symmetric Bodies. Shyroki, D. M., T-MTT Jun. 2007 1132-1138 Equivalent-Circuit Models for the Design of Metamaterials Based on Artificial Magnetic Inclusions. Bilotti, F., +, T-MTT Dec. 2007 2865-2873 Finite-Difference Time-Domain Modeling of Periodic Guided-Wave Structures and Its Application to the Analysis of Substrate Integrated Nonradiative Dielectric Waveguide. Xu, F., +, T-MTT Dec. 2007 2502-2511 Gold-Plated Micromachined Millimeter-Wave Resonators Based on Rectangular Coaxial Transmission Lines. Marsh, E. D., +, T-MTT Jan. 2007 78-84 Reflection Coefficient Shaping of a 5-GHz Voltage-Tuned Oscillator for Improved Tuning. Victor, A., +, T-MTT Dec. 2007 2488-2494 Resonance Absorption in Nonsymmetrical Lossy Dielectric Inserts in Rectangular Waveguides. Rud, L. A., T-MTT Aug. 2007 1717-1722 Temperature Characteristics of Broadband Helicon Isolators for Meter and Decameter Waves. Vountesmery, V. S., +, T-MTT Oct. 2007 2097-2102 RFID RFID Tag and RF Structures on a Paper Substrate Using Inkjet-Printing Technology. Yang, L., +, T-MTT Dec. 2007 2894-2901 A New Planar Artificial Transmission Line and Its Applications to a Miniaturized Butler Matrix. Wang, C. W., +, T-MTT Dec. 2007 2792-2801 RLC circuits A Note on the Multiplicity of Poles in the Vector Fitting Macromodeling Method. Deschrijver, D., +, T-MTT Apr. 2007 736-741 Robots Human Presence Detection Using Millimeter-Wave Radiometry. Nanzer, J. A., +, T-MTT Dec. 2007 2727-2733 Rotators A Low-Loss 74–110-GHz Faraday Polarization Rotator. Erickson, N. R., +, T-MTT Dec. 2007 2495-2501 Roughness Multigigahertz Causal Transmission Line Modeling Methodology Using a 3-D Hemispherical Surface Roughness Approach. Hall, S., +, T-MTT Dec. 2007 2614-2624 Runge-Kutta methods A 3-D Spectral-Element Time-Domain Method for Electromagnetic Simulation. Lee, J.-H., +, T-MTT May 2007 983-991

S Sapphire Traceable 2-D Finite-Element Simulation of the Whispering-Gallery Modes of Axisymmetric Electromagnetic Resonators. Oxborrow, M., T-MTT Jun. 2007 1209-1218 Scattering Some Properties of Generalized Scattering Matrix Representations for Metallic Waveguides With Periodic Dielectric Loading. Simsek, S., +, T-MTT Nov. 2007 2336-2344 A Symmetrical Four-Port Microstrip Coupler for Crossover Application. Chen, Y., +, T-MTT Nov. 2007 2434-2438 Passivity Enforcement With Relative Error Control. Grivet-Talocia, S., +, T-MTT Nov. 2007 2374-2383 Schottky diodes Optimum Bias Load-Line Compensates Temperature Variation of Junction Diode’s RF Resistance. Bera, S. C., +, T-MTT Feb. 2007 215-221 Nonohmic Contact Planar Varactor Frequency Upconverters for Terahertz Applications. Xu, H., +, T-MTT Apr. 2007 648-655 Security Human Presence Detection Using Millimeter-Wave Radiometry. Nanzer, J. A., +, T-MTT Dec. 2007 2727-2733 + Check author entry for coauthors

43

Semiconductor device models Optimum Bias Load-Line Compensates Temperature Variation of Junction Diode’s RF Resistance. Bera, S. C., +, T-MTT Feb. 2007 215-221 A Systematic State–Space Approach to Large-Signal Transistor Modeling. Seelmann-Eggebert, M., +, T-MTT Feb. 2007 195-206 Analytic Large-Signal Modeling of Silicon RF Power MOSFETs. Fioravanti, P., +, T-MTT May 2007 829-837 Global Modeling Analysis of HEMTs by the Spectral Balance Technique. Leuzzi, G., +, T-MTT Jun. 2007 1405-1412 Self-Consistent Electrothermal Modeling of Class A, AB, and B Power GaN HEMTs Under Modulated RF Excitation. CaMar.ia, V., +, T-MTT Sep. 2007 1824-1831 SiGe HBT’s Small-Signal Pi Modeling. Yang, T.-R., +, T-MTT Jul. 2007 1417-1424 Semiconductor device noise Analysis of the Survivability of GaN Low-Noise Amplifiers. Rudolph, M., +, T-MTT Jan. 2007 37-43 Semiconductor materials A New -Band Low Phase-Noise Multiple-Device Oscillator Based on the Extended-Resonance Technique. Choi, J., +, T-MTT Aug. 2007 1642-1648 Semiconductor thin films Comparison of Techniques for Microwave Characterization of BST Thin Films. Suherman, P. M., +, T-MTT Feb. 2007 397-401 Sensitivity Surface Micromachined Microelectromechancial Ohmic Series Switch Using Thin-Film Piezoelectric Actuators. Polcawich, R. G., +, T-MTT Dec. 2007 2642-2654 Sensors Human Presence Detection Using Millimeter-Wave Radiometry. Nanzer, J. A., +, T-MTT Dec. 2007 2727-2733 Short-circuit currents RFCMOS Unit Width Optimization Technique. Tong, A. F., +, T-MTT Sep. 2007 1844-1853 Sigma delta modulation -Digitized Polar RF Transmitter. Choi, J., +, T-MTT Dec. 2007 2679A 2690 Polar SiGe Class E and F Amplifiers Using Switch-Mode Supply Modulation. Kitchen, J. N., +, T-MTT May 2007 845-856 Signal detection Authors’ Reply. Guvenc, I., +, T-MTT Mar. 2007 598-598 Comments on “TOA Estimation for IR-UWB Systems With Different Transceiver Types”. Nadarajah, S., T-MTT Mar. 2007 597-598 Signal generators Frequency Tuning and Spurious Signal Generation at Microwave Frequencies in Ferroelectric SrTiO Thin-Film Transmission Lines. Mateu, J., +, T-MTT Feb. 2007 391-396 High Extinction Ratio Mach–Zehnder Modulator Applied to a Highly Stable Optical Signal Generator. Kiuchi, H., +, T-MTT Sep. 2007 1964-1972 Signal processing Optical Summation of RF Signals. Chtioui, M., +, T-MTT Feb. 2007 318-326 A Fully Electronic System for the Time Magnification of Ultra-Wideband Signals. Schwartz, J. D., +, T-MTT Feb. 2007 327-334 Extension of Two-Signal Spurious-Free Dynamic Range of Wideband Digital Receivers Using Kaiser Window and Compensation Method. George, K., +, T-MTT Apr. 2007 788-794 Signal sampling Authors’ Reply. Guvenc, I., +, T-MTT Mar. 2007 598-598 Comments on “TOA Estimation for IR-UWB Systems With Different Transceiver Types”. Nadarajah, S., T-MTT Mar. 2007 597-598 Variable Precision Two-Channel Phase, Amplitude, and Timing Measurements for Radar Interferometry and Polarimetry. Siqueira, P., +, T-MTT Oct. 2007 2248-2256 Silicon A Low-Noise -Band VCO Based on Room-Temperature Ferroelectric Varactors. Norling, M., +, T-MTT Feb. 2007 361-369 Silicon compounds Optimization and Implementation of Impedance-Matched True-Time-Delay Phase Shifters on Quartz Substrate. Lakshminarayanan, B., +, T-MTT Feb. 2007 335-342 Monolithic Integration of a Folded Dipole Antenna With a 24-GHz Receiver in SiGe HBT Technology. Ojefors, E., +, T-MTT Jul. 2007 1467-1475 Traceable 2-D Finite-Element Simulation of the Whispering-Gallery Modes of Axisymmetric Electromagnetic Resonators. Oxborrow, M., T-MTT Jun. 2007 1209-1218

X

16

K

44

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Silicon-on-insulator A 2.4-GHz Fully Integrated ESD-Protected Low-Noise Amplifier in 130-nm PD SOI CMOS Technology. El Kaamouchi, M., +, T-MTT Dec. 2007 28222831 3-D Integration of 10-GHz Filter and CMOS Receiver Front-End. Choi, T., +, T-MTT Nov. 2007 2298-2305 A Physical Model of Solenoid Inductors on Silicon Substrates. Tai, C.-M., +, T-MTT Dec. 2007 2579-2585 Modeling and Characterization of On-Chip Transformers for Silicon RFIC. El-Gharniti, O., +, T-MTT Apr. 2007 607-615 Theoretical and Experimental Studies of Flip-Chip Assembled High- Suspended MEMS Inductors. Zeng, J., +, T-MTT Jun. 2007 1171-1181 Silver Investigation of Ferroelectric Thick-Film Varactors for Microwave Phase Shifters. Hu, W., +, T-MTT Feb. 2007 418-424 Size control Reduced-Length Rat-Race Couplers. Mandal, M. K., +, T-MTT Dec. 2007 2593-2598 Slot line components Miniaturized Dual-Mode Ring Bandpass Filters With Patterned Ground Plane. Mao, R.-J., +, T-MTT Jul. 2007 1539-1547 S-matrix theory Efficient Modal Analysis of Bianisotropic Waveguides by the Coupled Mode Method. Pitarch, J., +, T-MTT Jan. 2007 108-116 A General Multigrid-Subgridding Formulation for the Transmission Line Matrix Method. Pierantoni, L., +, T-MTT Aug. 2007 1709-1716 Effective Parameters for Metamorphic Materials and Metamaterials Through a Resonant Inverse Scattering Approach. Alexopoulos, N. G., +, T-MTT Feb. 2007 254-267 Efficient Technique for the Cascade Connection of Multiple Two-Port Scattering Matrices. Bachiller, C., +, T-MTT Sep. 2007 1880-1886 Mixed-Mode Chain Scattering Parameters: Theory and Verification. Erkens, H., +, T-MTT Aug. 2007 1704-1708 Virtual Auxiliary Termination for Multiport Scattering Matrix Measurement Using Two-Port Network Analyzer. Chen, C.-J., +, T-MTT Aug. 2007 18011810 Sol-gel processing A 360 BST Phase Shifter With Moderate Bias Voltage at 30 GHz. Velu, G., +, T-MTT Feb. 2007 438-444 Solenoids A Physical Model of Solenoid Inductors on Silicon Substrates. Tai, C.-M., +, T-MTT Dec. 2007 2579-2585 Solid modeling Mildly Nonquasi-Static Two-Port Device Model Extraction by Integrating Linearized Large-Signal Vector Measurements. Cidronali, A., +, T-MTT Nov. 2007 2277-2289 Passivity Enforcement With Relative Error Control. Grivet-Talocia, S., +, T-MTT Nov. 2007 2374-2383 SONET A Low Phase-Noise Voltage-Controlled SAW Oscillator With Surface Transverse Wave Resonator for SONET Application. Lin, J.-H., +, T-MTT Jan. 2007 60-65 Space mapping A Wideband and Scalable Model of Spiral Inductors Using Space-Mapping Neural Network. Cao, Y., +, T-MTT Dec. 2007 2473-2480 A Space-Mapping Approach to Microwave Device Modeling Exploiting Fuzzy Systems. Koziel, S., +, T-MTT Dec. 2007 2539-2547 Space vehicle antennas A Fully Integrated UHF CMOS Power Amplifier for Spacecraft Applications. Jeon, J., +, T-MTT Oct. 2007 2006-2014 Space vehicle electronics A Fully Integrated UHF CMOS Power Amplifier for Spacecraft Applications. Jeon, J., +, T-MTT Oct. 2007 2006-2014 S-parameters Frequency-Thermal Characterization of On-Chip Transformers With Patterned Ground Shields. Shi, J., +, T-MTT Jan. 2007 1-12 A Swept-Frequency Measurement of Complex Permittivity and Complex Permeability of a Columnar Specimen Inserted in a Rectangular Waveguide. Nishikata, A., T-MTT Jul. 2007 1554-1567 Comments on “Differentially Driven Symmetric Microstrip Inductors”. Minerva, V., T-MTT Apr. 2007 809-809 Electrically Controllable Artificial Transmission Line Transformer for Matching Purposes. Damm, C., +, T-MTT Jun. 2007 1348-1354

Q

+ Check author entry for coauthors

Equivalent Resonant Cavity Model of Arbitrary Periodic Guided-Wave Structures and Its Application to Finite-Difference Frequency-Domain Algorithm. Xu, F., +, T-MTT Apr. 2007 697-702 Hybrid -Parameters for Transmission Line Networks With Linear/Nonlinear Load Terminations Subject to Arbitrary Excitations. Bayram, Y., +, T-MTT May 2007 941-950 Mixed-Mode Chain Scattering Parameters: Theory and Verification. Erkens, H., +, T-MTT Aug. 2007 1704-1708 Modeling and Characterization of On-Chip Transformers for Silicon RFIC. El-Gharniti, O., +, T-MTT Apr. 2007 607-615 Rigorous Analysis of a Metallic Circular Post in a Rectangular Waveguide With Step Discontinuity of Sidewalls. Valagiannopoulos, C. A., +, T-MTT Aug. 2007 1673-1684 Singular Tetrahedral Finite Elements for Vector Electromagnetics. Webb, J. P., T-MTT Mar. 2007 533-540 The Stochastic Gabor Function Enhances Bandwidth In Finite-DifferenceTime Domain -Parameter Estimation. Bonmassar, G., T-MTT Apr. 2007 601-606 Sparse matrices A 3-D Spectral-Element Time-Domain Method for Electromagnetic Simulation. Lee, J.-H., +, T-MTT May 2007 983-991 Special issues and sections Guest Editorial. Snowden, C. M., +, T-MTT Jun. 2007 1263-1263 Guest Editorial. Shiroma, W., T-MTT Dec. 2007 2659-2659 Spectral analysis Extension of Two-Signal Spurious-Free Dynamic Range of Wideband Digital Receivers Using Kaiser Window and Compensation Method. George, K., +, T-MTT Apr. 2007 788-794 A 3-D Spectral-Element Time-Domain Method for Electromagnetic Simulation. Lee, J.-H., +, T-MTT May 2007 983-991 All-Fiber Chirped Microwave Pulses Generation Based on Spectral Shaping and Wavelength-to-Time Conversion. Chi, H., +, T-MTT Sep. 2007 19581963 Spectral-domain analysis A New Brillouin Dispersion Diagram for 1-D Periodic Printed Structures. Baccarelli, P., +, T-MTT Jul. 2007 1484-1495 Spectroscopy Broadband Dielectric Characterization of Tumorous and Nontumorous Breast Tissues. Khan, U. A., +, T-MTT Dec. 2007 2887-2893 SPICE Equivalent SPICE Circuits With Guaranteed Passivity From Nonpassive Models. Lamecki, A., +, T-MTT Mar. 2007 526-532 Spiral antennas Terahertz Performance of Integrated Lens Antennas With a Hot-Electron Bolometer. Semenov, A. D., +, T-MTT Feb. 2007 239-247 Sputter deposition Modeling and Fabrication of CMOS Surface Acoustic Wave Resonators. Nordin, A. N., +, T-MTT May 2007 992-1001 Sputter etching Modeling and Fabrication of CMOS Surface Acoustic Wave Resonators. Nordin, A. N., +, T-MTT May 2007 992-1001 Stability A Stability Analysis for Time-Domain Method-of-Moments Analysis of 1-D Double-Negative Transmission Lines. Zhang, Y., +, T-MTT Sep. 2007 18871898 Complete Stability Analysis of Multifunction MMIC Circuits. Barquinero, C., +, T-MTT Oct. 2007 2024-2033 Standards High Extinction Ratio Mach–Zehnder Modulator Applied to a Highly Stable Optical Signal Generator. Kiuchi, H., +, T-MTT Sep. 2007 1964-1972 State-space methods A Systematic State–Space Approach to Large-Signal Transistor Modeling. Seelmann-Eggebert, M., +, T-MTT Feb. 2007 195-206 Statistical distributions Weighted Polynomial Digital Predistortion for Low Memory Effect Doherty Power Amplifier. Hong, S., +, T-MTT May 2007 925-931 Stereolithography Ceramic Layer-By-Layer Stereolithography for the Manufacturing of 3-D Millimeter-Wave Filters. Delhote, N., +, T-MTT Mar. 2007 548-554 Strip line filters A Synthesis Method for Dual-Passband Microwave Filters. Lee, J., +, T-MTT Jun. 2007 1163-1170

S

S

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Strip line resonators Modeling Superconducting Transmission Line Bends and Their Impact on Nonlinear Effects. Mateu, J., +, T-MTT May 2007 822-828 Strip lines A 15/30-GHz Dual-Band Multiphase Voltage-Controlled Oscillator in 0.18-m CMOS. Hsieh, H.-H., +, T-MTT Mar. 2007 474-483 Design, Fabrication, and Measurement of Benzocyclobutene Polymer ZeroLevel Packaging for Millimeter-Wave Applications. Seok, S., +, T-MTT May 2007 1040-1045 Double-Sided Parallel-Strip Line With an Inserted Conductor Plane and Its Applications. Chen, J.-X., +, T-MTT Sep. 2007 1899-1904 Modeling Superconducting Transmission Line Bends and Their Impact on Nonlinear Effects. Mateu, J., +, T-MTT May 2007 822-828 Signal Integrity Analysis of the Traces in Electromagnetic-Bandgap Structure in High-Speed Printed Circuit Boards and Packages. Zhang, M.-S., +, T-MTT May 2007 1054-1062 The Stochastic Gabor Function Enhances Bandwidth In Finite-DifferenceTime Domain S -Parameter Estimation. Bonmassar, G., T-MTT Apr. 2007 601-606 A Parallel-Strip Ring Power Divider With High Isolation and Arbitrary Power-Dividing Ratio. Chiu, L., +, T-MTT Nov. 2007 2419-2426 Strontium alloys Improving Linearity of Ferroelectric-Based Microwave Tunable Circuits. Fu, J.-S., +, T-MTT Feb. 2007 354-360 A 360 BST Phase Shifter With Moderate Bias Voltage at 30 GHz. Velu, G., +, T-MTT Feb. 2007 438-444 Comparison of Techniques for Microwave Characterization of BST Thin Films. Suherman, P. M., +, T-MTT Feb. 2007 397-401 Ferroelectric Phase Shifters at 20 and 30 GHz. Zhao, Z., +, T-MTT Feb. 2007 430-437 Geometry-Dependent Quality Factors in Ba Sr TiO Parallel-Plate Capacitors. Pervez, N. K., +, T-MTT Feb. 2007 410-417 Investigation of Ferroelectric Thick-Film Varactors for Microwave Phase Shifters. Hu, W., +, T-MTT Feb. 2007 418-424 Strontium compounds Frequency Tuning and Spurious Signal Generation at Microwave Frequencies in Ferroelectric SrTiO Thin-Film Transmission Lines. Mateu, J., +, T-MTT Feb. 2007 391-396 Submillimeter waves Broadband Dielectric Characterization of Tumorous and Nontumorous Breast Tissues. Khan, U. A., +, T-MTT Dec. 2007 2887-2893 Development of Sub-Millimeter-Wave Power Amplifiers. Deal, W. R., +, T-MTT Dec. 2007 2719-2726 Submillimetre wave detectors The Direct Detection Effect in the Hot-Electron Bolometer Mixer Sensitivity Calibration. Cherednichenko, S., +, T-MTT Mar. 2007 504-510 Submillimetre wave devices Manufacturing Tolerance Analysis, Fabrication, and Characterization of 3-D Submillimeter-Wave Electromagnetic-Bandgap Crystals. Martinez, B., +, T-MTT Apr. 2007 672-681 Design of High-Performance Millimeter Wave and Sub-Millimeter Wave Quasi-Optical Isolators and Circulators. Hunter, R. I., +, T-MTT May 2007 890-898 Submillimetre wave measurement Submillimeter-Wave Phasor Beam-Pattern Measurement Based on Two-Stage Heterodyne Mixing With Unitary Harmonic Difference. Hwang, Y.-J., +, T-MTT Jun. 2007 1200-1208 Submillimetre wave mixers The Direct Detection Effect in the Hot-Electron Bolometer Mixer Sensitivity Calibration. Cherednichenko, S., +, T-MTT Mar. 2007 504-510 Submillimetre wave oscillators Submillimeter-Wave Phasor Beam-Pattern Measurement Based on Two-Stage Heterodyne Mixing With Unitary Harmonic Difference. Hwang, Y.-J., +, T-MTT Jun. 2007 1200-1208 Submillimetre wave receivers Submillimeter-Wave Phasor Beam-Pattern Measurement Based on Two-Stage Heterodyne Mixing With Unitary Harmonic Difference. Hwang, Y.-J., +, T-MTT Jun. 2007 1200-1208 Submillimetre wave spectra 2-D Terahertz Metallic Photonic Crystals in Parallel-Plate Waveguides. Zhao, Y., +, T-MTT Apr. 2007 656-663 Substrates Substrate Integrated Waveguide-to-Microstrip Transition in Multilayer Substrate. Ding, Y., +, T-MTT Dec. 2007 2839-2844 + Check author entry for coauthors

45

Theoretical and Experimental Studies of Flip-Chip Assembled High-Q Suspended MEMS Inductors. Zeng, J., +, T-MTT Jun. 2007 1171-1181 3-D Integration of 10-GHz Filter and CMOS Receiver Front-End. Choi, T., +, T-MTT Nov. 2007 2298-2305 A Novel 180 Hybrid Using Broadside-Coupled Asymmetric Coplanar Striplines. Yeung, L. K., +, T-MTT Dec. 2007 2625-2630 A Physical Model of Solenoid Inductors on Silicon Substrates. Tai, C.-M., +, T-MTT Dec. 2007 2579-2585 Design and Experimental Verification of Compact Frequency-Selective Surface With Quasi-Elliptic Bandpass Response. Luo, G. Q., +, T-MTT Dec. 2007 2481-2487 Dual-Band and Triple-Band Substrate Integrated Waveguide Filters With Chebyshev and Quasi-Elliptic Responses. Chen, X.-P., +, T-MTT Dec. 2007 2569-2578 Finite-Difference Time-Domain Modeling of Periodic Guided-Wave Structures and Its Application to the Analysis of Substrate Integrated Nonradiative Dielectric Waveguide. Xu, F., +, T-MTT Dec. 2007 2502-2511 Half Mode Substrate Integrated Waveguide 180 3-dB Directional Couplers. Liu, B., +, T-MTT Dec. 2007 2586-2592 Superconducting filters Intermodulation Distortion in Coupled-Resonator Filters With Nonuniformly Distributed Nonlinear Properties—Use in HTS IMD Compensation. Mateu, J., +, T-MTT Apr. 2007 616-624 A 12-Pole Narrowband Highly Selective High-Temperature Superconducting Filter for the Application in the Third-Generation Wireless Communications. Li, S., +, T-MTT Apr. 2007 754-759 Superconducting microwave devices A 12-Pole Narrowband Highly Selective High-Temperature Superconducting Filter for the Application in the Third-Generation Wireless Communications. Li, S., +, T-MTT Apr. 2007 754-759 Modeling Superconducting Transmission Line Bends and Their Impact on Nonlinear Effects. Mateu, J., +, T-MTT May 2007 822-828 Superconducting mixers The Direct Detection Effect in the Hot-Electron Bolometer Mixer Sensitivity Calibration. Cherednichenko, S., +, T-MTT Mar. 2007 504-510 Superconducting photodetectors The Direct Detection Effect in the Hot-Electron Bolometer Mixer Sensitivity Calibration. Cherednichenko, S., +, T-MTT Mar. 2007 504-510 Superconducting transmission lines Modeling Superconducting Transmission Line Bends and Their Impact on Nonlinear Effects. Mateu, J., +, T-MTT May 2007 822-828 Superconductors Wideband Nonlinear Response of High-Temperature Superconducting Thin Films From Transmission-Line Measurements. Mateu, J., +, T-MTT Jul. 2007 1425-1430 Design of a High-Power Superconducting Filter Using Resonators With Different Linewidths. Guo, X., +, T-MTT Dec. 2007 2555-2561 Surface acoustic wave filters Ultra-Wideband Multifunctional Communications/Radar System. Saddik, G. N., +, T-MTT Jul. 2007 1431-1437 Surface acoustic wave oscillators A Low Phase-Noise Voltage-Controlled SAW Oscillator With Surface Transverse Wave Resonator for SONET Application. Lin, J.-H., +, T-MTT Jan. 2007 60-65 Surface acoustic wave resonators Modeling and Fabrication of CMOS Surface Acoustic Wave Resonators. Nordin, A. N., +, T-MTT May 2007 992-1001 Surface emitting lasers Multilevel Modulated Signal Transmission Over Serial Single-Mode and Multimode Fiber Links Using Vertical-Cavity Surface-Emitting Lasers for Millimeter-Wave Wireless Communications. Nkansah, A., +, T-MTT Jun. 2007 1219-1228 Surface impedance Generalized Impedance Boundary Condition for Conductor Modeling in Surface Integral Equation. Qian, Z. G., +, T-MTT Nov. 2007 2354-2364 Surface mount technology K -Band LTCC Star Mixer With Broadband IF Output Network. Baras, T., +, T-MTT Dec. 2007 2766-2772 Surface roughness Modeling Effects of Random Rough Interface on Power Absorption Between Dielectric and Conductive Medium in 3-D Problem. Gu, X., +, T-MTT Mar. 2007 511-517 Modeling of 3-D Surface Roughness Effects With Application to -Coaxial Lines. Lukic, M. V., +, T-MTT Mar. 2007 518-525

46

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Surface waves Rigorous Mode-Matching Method of Circular to Off-Center Rectangular Side-Coupled Waveguide Junctions for Filter Applications. Zheng, J., +, T-MTT Nov. 2007 2365-2373 Switched capacitor networks Sub-Microsecond RF MEMS Switched Capacitors. Lacroix, B., +, T-MTT Jun. 2007 1314-1321 Switched filters A Reconfigurable Micromachined Switching Filter Using Periodic Structures. Karim, M. F., +, T-MTT Jun. 2007 1154-1162 Switched mode power supplies Polar SiGe Class E and F Amplifiers Using Switch-Mode Supply Modulation. Kitchen, J. N., +, T-MTT May 2007 845-856 Switches A 4-bit CMOS Phase Shifter Using Distributed Active Switches. Kang, D.-W., +, T-MTT Jul. 2007 1476-1483 A 25–75-MHz RF MEMS Tunable Filter. Entesari, K., +, T-MTT Nov. 2007 2399-2405 Surface Micromachined Microelectromechancial Ohmic Series Switch Using Thin-Film Piezoelectric Actuators. Polcawich, R. G., +, T-MTT Dec. 2007 2642-2654 Superposition Model for Dielectric Charging of RF MEMS Capacitive Switches Under Bipolar Control-Voltage Waveforms. Peng, Z., +, T-MTT Dec. 2007 2911-2918 Synthetic aperture radar Variable Precision Two-Channel Phase, Amplitude, and Timing Measurements for Radar Interferometry and Polarimetry. Siqueira, P., +, T-MTT Oct. 2007 2248-2256 Inverse Synthetic Aperture Secondary Radar Concept for Precise Wireless Positioning. Vossiek, M., +, T-MTT Nov. 2007 2447-2453 System-in-package 60-GHz System-on-Package Transmitter Integrating Sub-Harmonic Frequency Amplitude Shift-Keying Modulator. Jung, D. Y., +, T-MTT Aug. 2007 1786-1793

T

Table lookup Adaptive Digital Feedback Predistortion Technique for Linearizing Power Amplifiers. Woo, Y. Y., +, T-MTT May 2007 932-940 On the Robustness of Digital Predistortion Function Synthesis and Average Power Tracking for Highly Nonlinear Power Amplifiers. Hammi, O., +, T-MTT Jun. 2007 1382-1389 Tantalum compounds Ceramic Layer-By-Layer Stereolithography for the Manufacturing of 3-D Millimeter-Wave Filters. Delhote, N., +, T-MTT Mar. 2007 548-554 Telecommunication security A High-Directivity Combined Self-Beam/Null-Steering Array for Secure Point-to-Point Communications. Shiroma, G. S., +, T-MTT May 2007 838-844 Temperature Surface Micromachined Microelectromechancial Ohmic Series Switch Using Thin-Film Piezoelectric Actuators. Polcawich, R. G., +, T-MTT Dec. 2007 2642-2654 Design of Cryogenic SiGe Low-Noise Amplifiers. Weinreb, S., +, T-MTT Nov. 2007 2306-2312 -Band LTCC Star Mixer With Broadband IF Output Network. Baras, T., +, T-MTT Dec. 2007 2766-2772 Testing Test Method for Measuring Bit Error Rate of Pulsed Transceivers in Presence of Narrowband Interferers. Senguttuvan, R., +, T-MTT Sep. 2007 19421950 Thermal analysis Self-Consistent Electrothermal Modeling of Class A, AB, and B Power GaN HEMTs Under Modulated RF Excitation. CaMar.ia, V., +, T-MTT Sep. 2007 1824-1831 Thermal conductivity Heat Conduction in Microwave Devices With Orthotropic and TemperatureDependent Thermal Conductivity. Ditri, J., T-MTT Mar. 2007 555-560 Thermal engineering Behavioral Thermal Modeling for Microwave Power Amplifier Design. Mazeau, J., +, T-MTT Nov. 2007 2290-2297

K

+ Check author entry for coauthors

Thermal stability Temperature Characteristics of Broadband Helicon Isolators for Meter and Decameter Waves. Vountesmery, V. S., +, T-MTT Oct. 2007 2097-2102 Thermal stresses Development of a 2.45-GHz Local Exposure System for In Vivo Study on Ocular Effects. Wake, K., +, T-MTT Mar. 2007 588-596 Thermal variables measurement Heat Conduction in Microwave Devices With Orthotropic and TemperatureDependent Thermal Conductivity. Ditri, J., T-MTT Mar. 2007 555-560 Thick film capacitors Investigation of Ferroelectric Thick-Film Varactors for Microwave Phase Shifters. Hu, W., +, T-MTT Feb. 2007 418-424 Modeling and Applications of Ferroelectric-Thick Film Devices With Resistive Electrodes for Linearity Improvement and Tuning-Voltage Reduction. Scheele, P., +, T-MTT Feb. 2007 383-390 Thin film capacitors Geometry-Dependent Quality Factors in Ba Sr TiO Parallel-Plate Capacitors. Pervez, N. K., +, T-MTT Feb. 2007 410-417 Ferroelectric Phase Shifters at 20 and 30 GHz. Zhao, Z., +, T-MTT Feb. 2007 430-437 Thin film devices Frequency Tuning and Spurious Signal Generation at Microwave Frequencies in Ferroelectric SrTiO Thin-Film Transmission Lines. Mateu, J., +, T-MTT Feb. 2007 391-396 Comparison of Techniques for Microwave Characterization of BST Thin Films. Suherman, P. M., +, T-MTT Feb. 2007 397-401 Three-dimensional displays 3-D Integration of 10-GHz Filter and CMOS Receiver Front-End. Choi, T., +, T-MTT Nov. 2007 2298-2305 A 12-Pole Narrowband Highly Selective High-Temperature Superconducting Filter for the Application in the Third-Generation Wireless Communications. Li, S., +, T-MTT Apr. 2007 754-759 3G mobile communication A 12-Pole Narrowband Highly Selective High-Temperature Superconducting Filter for the Application in the Third-Generation Wireless Communications. Li, S., +, T-MTT Apr. 2007 754-759 Time domain analysis A Stability Analysis for Time-Domain Method-of-Moments Analysis of 1-D Double-Negative Transmission Lines. Zhang, Y., +, T-MTT Sep. 2007 18871898 An Efficient Time-Domain Simulation Method for Multirate RF Nonlinear Circuits. Oliveira, J. F., +, T-MTT Nov. 2007 2384-2392 Spherical Perfectly Matched Absorber for Finite-Volume 3-D Domain Truncation. Fumeaux, C., +, T-MTT Dec. 2007 2773-2781 A Note on the Multiplicity of Poles in the Vector Fitting Macromodeling Method. Deschrijver, D., +, T-MTT Apr. 2007 736-741 2-D Terahertz Metallic Photonic Crystals in Parallel-Plate Waveguides. Zhao, Y., +, T-MTT Apr. 2007 656-663 A 3-D Spectral-Element Time-Domain Method for Electromagnetic Simulation. Lee, J.-H., +, T-MTT May 2007 983-991 Modeling the Nonlinear Response of Multitones With Uncorrelated Phase. Hart, F. P., +, T-MTT Oct. 2007 2147-2156 Pruning the Volterra Series for Behavioral Modeling of Power Amplifiers Using Physical Knowledge. Zhu, A., +, T-MTT May 2007 813-821 Variable Precision Two-Channel Phase, Amplitude, and Timing Measurements for Radar Interferometry and Polarimetry. Siqueira, P., +, T-MTT Oct. 2007 2248-2256 Time-frequency analysis Projection Framework for Hybrid Methods Derived From Finite-Difference Operators in Time and Frequency Domain. Wiktor, M., +, T-MTT Oct. 2007 2103-2114 Time-of-arrival estimation Authors’ Reply. Guvenc, I., +, T-MTT Mar. 2007 598-598 Comments on “TOA Estimation for IR-UWB Systems With Different Transceiver Types”. Nadarajah, S., T-MTT Mar. 2007 597-598 Time-varying networks Time-Varying Matching Networks for Signal-Centric Systems. Wang, X., +, T-MTT Dec. 2007 2599-2613 Timing Variable Precision Two-Channel Phase, Amplitude, and Timing Measurements for Radar Interferometry and Polarimetry. Siqueira, P., +, T-MTT Oct. 2007 2248-2256

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Titanium alloys Improving Linearity of Ferroelectric-Based Microwave Tunable Circuits. Fu, J.-S., +, T-MTT Feb. 2007 354-360 A 360 BST Phase Shifter With Moderate Bias Voltage at 30 GHz. Velu, G., +, T-MTT Feb. 2007 438-444 Comparison of Techniques for Microwave Characterization of BST Thin Films. Suherman, P. M., +, T-MTT Feb. 2007 397-401 Ferroelectric Phase Shifters at 20 and 30 GHz. Zhao, Z., +, T-MTT Feb. 2007 430-437 Geometry-Dependent Quality Factors in Ba Sr TiO Parallel-Plate Capacitors. Pervez, N. K., +, T-MTT Feb. 2007 410-417 Investigation of Ferroelectric Thick-Film Varactors for Microwave Phase Shifters. Hu, W., +, T-MTT Feb. 2007 418-424 Titanium compounds Frequency Tuning and Spurious Signal Generation at Microwave Frequencies in Ferroelectric SrTiO Thin-Film Transmission Lines. Mateu, J., +, T-MTT Feb. 2007 391-396 Tomography Two-Dimensional Radio Frequency Tomography. Lam, K., +, T-MTT Apr. 2007 801-808 Using a priori Data to Improve the Reconstruction of Small Objects in Microwave Tomography. Fhager, A., +, T-MTT Nov. 2007 2454-2462 Topology Design of Bandpass Elliptic Filters Employing Inductive Windows and Dielectric Objects. Perez Soler, F. J., +, T-MTT Nov. 2007 2393-2398 Transceivers Authors’ Reply. Guvenc, I., +, T-MTT Mar. 2007 598-598 A Fully Integrated UHF CMOS Power Amplifier for Spacecraft Applications. Jeon, J., +, T-MTT Oct. 2007 2006-2014 An LTCC-Based Wireless Transceiver for Radio-Over-Fiber Applications. Pergola, L., +, T-MTT Mar. 2007 579-587 Comments on “TOA Estimation for IR-UWB Systems With Different Transceiver Types”. Nadarajah, S., T-MTT Mar. 2007 597-598 Design and Development of Advanced Cavity-Based Dual-Mode Filters Using Low-Temperature Co-Fired Ceramic Technology for V -Band Gigabit Wireless Systems. Lee, J.-H., +, T-MTT Sep. 2007 1869-1879 Multitone Fast Frequency-Hopping Synthesizer for UWB Radio. Stadius, K., +, T-MTT Aug. 2007 1633-1641 The Human Body Characteristics as a Signal Transmission Medium for Intrabody Communication. Cho, N., +, T-MTT May 2007 1080-1086 Transfer function matrices Microwave Circuit Design by Means of Direct Decomposition in the FiniteElement Method. de la Rubia, V., +, T-MTT Jul. 2007 1520-1530 Transfer functions Volterra Behavioral Model for Wideband RF Amplifiers. Crespo-Cadenas, C., +, T-MTT Mar. 2007 449-457 A Note on the Multiplicity of Poles in the Vector Fitting Macromodeling Method. Deschrijver, D., +, T-MTT Apr. 2007 736-741 System and Circuit Models for Microwave Antennas. Sobhy, M. I., +, T-MTT Apr. 2007 729-735 Transformers Frequency-Thermal Characterization of On-Chip Transformers With Patterned Ground Shields. Shi, J., +, T-MTT Jan. 2007 1-12 A 1.9-GHz CMOS Power Amplifier Using Three-Port Asymmetric Transmission Line Transformer for a Polar Transmitter. Park, C., +, T-MTT Feb. 2007 230-238 High-Performance CMOS-Compatible Solenoidal Transformers With a Concave-Suspended Configuration. Gu, L., +, T-MTT Jun. 2007 1237-1245 Modeling and Characterization of On-Chip Transformers for Silicon RFIC. El-Gharniti, O., +, T-MTT Apr. 2007 607-615 Transient response Static and Dynamic Error Vector Magnitude Behavior of 2.4-GHz Power Amplifier. Yoon, S.-W., T-MTT Apr. 2007 643-647 Transistor circuits Authors’ Reply. Nallatamby, J.-C., +, T-MTT Jan. 2007 185-186 Comments on “Extension of the Leeson Formula to Phase Noise Calculation in Transistor Oscillators With Complex Tanks”. Ohira, T., T-MTT Jan. 2007 185-185 Transistors A New Compact Load Network for Doherty Amplifiers Using an Imperfect Quarter-Wave Line. Park, H., +, T-MTT Nov. 2007 2313-2319 Design of Cryogenic SiGe Low-Noise Amplifiers. Weinreb, S., +, T-MTT Nov. 2007 2306-2312

+ Check author entry for coauthors

47

Linearization of CMOS Broadband Power Amplifiers Through Combined Multigated Transistors and Capacitance Compensation. Lu, C., +, T-MTT Nov. 2007 2320-2328 Transmission line matrix methods A General Multigrid-Subgridding Formulation for the Transmission Line Matrix Method. Pierantoni, L., +, T-MTT Aug. 2007 1709-1716 Some Properties of Generalized Scattering Matrix Representations for Metallic Waveguides With Periodic Dielectric Loading. Simsek, S., +, T-MTT Nov. 2007 2336-2344 Transmission line theory Modeling of 3-D Surface Roughness Effects With Application to -Coaxial Lines. Lukic, M. V., +, T-MTT Mar. 2007 518-525 Electrically Controllable Artificial Transmission Line Transformer for Matching Purposes. Damm, C., +, T-MTT Jun. 2007 1348-1354 Study and Design Optimization of Multiharmonic Transmission-Line Load Networks for Class-E and Class-F K -Band MMIC Power Amplifiers. Negra, R., +, T-MTT Jun. 2007 1390-1397 Transmission lines Gold-Plated Micromachined Millimeter-Wave Resonators Based on Rectangular Coaxial Transmission Lines. Marsh, E. D., +, T-MTT Jan. 2007 78-84 Ka-Band Miniaturized Quasi-Planar High-Q Resonators. Vanhille, K. J., +, T-MTT Jun. 2007 1272-1279 A 1.9-GHz CMOS Power Amplifier Using Three-Port Asymmetric Transmission Line Transformer for a Polar Transmitter. Park, C., +, T-MTT Feb. 2007 230-238 A 2.4-GHz Fully Integrated ESD-Protected Low-Noise Amplifier in 130-nm PD SOI CMOS Technology. El Kaamouchi, M., +, T-MTT Dec. 2007 28222831 A New Planar Artificial Transmission Line and Its Applications to a Miniaturized Butler Matrix. Wang, C. W., +, T-MTT Dec. 2007 2792-2801 A Novel 180 Hybrid Using Broadside-Coupled Asymmetric Coplanar Striplines. Yeung, L. K., +, T-MTT Dec. 2007 2625-2630 A Periodically Loaded Transmission Line Excited by an Aperiodic Source—A Green’s Function Approach. Eshrah, I. A., +, T-MTT Jun. 2007 1118-1123 A Stability Analysis for Time-Domain Method-of-Moments Analysis of 1-D Double-Negative Transmission Lines. Zhang, Y., +, T-MTT Sep. 2007 18871898 Air-Gap Transmission Lines on Organic Substrates for Low-Loss Interconnects. Spencer, T. J., +, T-MTT Sep. 2007 1919-1925 An Ultra-Wideband Distributed Active Mixer MMIC in 0.18-m CMOS Technology. Wu, C.-R., +, T-MTT Apr. 2007 625-632 Compact Left-Handed Transmission Line as a Linear Phase–Voltage Modulator and Efficient Harmonic Generator. Kim, H., +, T-MTT Mar. 2007 571-578 Composite Right/Left-Handed Metamaterial Transmission Lines Based on Complementary Split-Rings Resonators and Their Applications to Very Wideband and Compact Filter Design. Gil, M., +, T-MTT Jun. 2007 12961304 Concurrent Dual-Band Class-E Power Amplifier Using Composite Right/ Left-Handed Transmission Lines. Ji, S. H., +, T-MTT Jun. 2007 1341-1347 Coupled Transmission Lines as Impedance Transformer. Jensen, T., +, T-MTT Dec. 2007 2957-2965 Design of Synthetic Quasi-TEM Transmission Line for CMOS Compact Integrated Circuit. Chiang, M.-J., +, T-MTT Dec. 2007 2512-2520 Design of a Ten-Way Conical Transmission Line Power Combiner. de Villiers, D. I. L., +, T-MTT Feb. 2007 302-308 General Design of Multiway Multisection Power Dividers by Interconnecting Two-Way Dividers. Zhou, J., +, T-MTT Oct. 2007 2208-2215 Hybrid S -Parameters for Transmission Line Networks With Linear/Nonlinear Load Terminations Subject to Arbitrary Excitations. Bayram, Y., +, T-MTT May 2007 941-950 Input and Output Cross-Coupled Wideband Bandpass Filter. Shaman, H., +, T-MTT Dec. 2007 2562-2568 Low-Loss Patterned Ground Shield Interconnect Transmission Lines in Advanced IC Processes. Tiemeijer, L. F., +, T-MTT Mar. 2007 561-570 Multigigahertz Causal Transmission Line Modeling Methodology Using a 3-D Hemispherical Surface Roughness Approach. Hall, S., +, T-MTT Dec. 2007 2614-2624 Wideband Nonlinear Response of High-Temperature Superconducting Thin Films From Transmission-Line Measurements. Mateu, J., +, T-MTT Jul. 2007 1425-1430

48

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

Transmitters An Efficient Time-Domain Simulation Method for Multirate RF Nonlinear Circuits. Oliveira, J. F., +, T-MTT Nov. 2007 2384-2392 Transponders Power Harvester Design for Passive UHF RFID Tag Using a Voltage Boosting Technique. Shameli, A., +, T-MTT Jun. 2007 1089-1097 Inverse Synthetic Aperture Secondary Radar Concept for Precise Wireless Positioning. Vossiek, M., +, T-MTT Nov. 2007 2447-2453 Transversal filters Design of Bandpass Elliptic Filters Employing Inductive Windows and Dielectric Objects. Perez Soler, F. J., +, T-MTT Nov. 2007 2393-2398 Design of Bandpass Transversal Filters Employing a Novel Hybrid Structure. Martinez-Mendoza, M., +, T-MTT Dec. 2007 2670-2678 Travelling wave tubes Inverted Slot-Mode Slow-Wave Structures for Traveling-Wave Tubes. Christie, V. L., +, T-MTT Jun. 2007 1112-1117 Tuning W -Band Waveguide Impedance Tuner Utilizing Dielectric-Based Backshorts. Kiuru, T., +, T-MTT Aug. 2007 1659-1665 Reactance of Posts in Circular Waveguide. Zhu, Q. C., +, T-MTT Aug. 2007 1685-1688 Reflection Coefficient Shaping of a 5-GHz Voltage-Tuned Oscillator for Improved Tuning. Victor, A., +, T-MTT Dec. 2007 2488-2494 Two-dimensional displays Spherical Perfectly Matched Absorber for Finite-Volume 3-D Domain Truncation. Fumeaux, C., +, T-MTT Dec. 2007 2773-2781 Two-port networks An Efficient Scheme for Processing Arbitrary Lumped Multiport Devices in the Finite-Difference Time-Domain Method. Wang, C.-C., +, T-MTT May 2007 958-965 Efficient Technique for the Cascade Connection of Multiple Two-Port Scattering Matrices. Bachiller, C., +, T-MTT Sep. 2007 1880-1886 Mixed-Mode Chain Scattering Parameters: Theory and Verification. Erkens, H., +, T-MTT Aug. 2007 1704-1708 Virtual Auxiliary Termination for Multiport Scattering Matrix Measurement Using Two-Port Network Analyzer. Chen, C.-J., +, T-MTT Aug. 2007 18011810

U UHF amplifiers A 1.5-V 2–9.6-GHz Inductorless Low-Noise Amplifier in 0.13-m CMOS. Li, Q., +, T-MTT Oct. 2007 2015-2023 Power Supply Rejection for RF Amplifiers: Theory and Measurements. Stauth, J. T., +, T-MTT Oct. 2007 2043-2052 UHF antennas A High-Directivity Combined Self-Beam/Null-Steering Array for Secure Point-to-Point Communications. Shiroma, G. S., +, T-MTT May 2007 838-844 UHF circuits An 11-Mb/s 2.1-mW Synchronous Superregenerative Receiver at 2.4 GHz. Moncunill-Geniz, F. X., +, T-MTT Jun. 2007 1355-1362 UHF filters Novel Balanced Coupled-Line Bandpass Filters With Common-Mode Noise Suppression. Wu, C.-H., +, T-MTT Feb. 2007 287-295 A 12-Pole Narrowband Highly Selective High-Temperature Superconducting Filter for the Application in the Third-Generation Wireless Communications. Li, S., +, T-MTT Apr. 2007 754-759 Coplanar-Waveguide-Fed Microstrip Bandpass Filters With Capacitively Broadside-Coupled Structures for Multiple Spurious Suppression. Wang, C.-H., +, T-MTT Apr. 2007 768-775 UHF integrated circuits Power Supply Rejection for RF Amplifiers: Theory and Measurements. Stauth, J. T., +, T-MTT Oct. 2007 2043-2052 UHF oscillators An 11-Mb/s 2.1-mW Synchronous Superregenerative Receiver at 2.4 GHz. Moncunill-Geniz, F. X., +, T-MTT Jun. 2007 1355-1362 UHF phase shifters Optimization and Implementation of Impedance-Matched True-Time-Delay Phase Shifters on Quartz Substrate. Lakshminarayanan, B., +, T-MTT Feb. 2007 335-342

+ Check author entry for coauthors

UHF power amplifiers 0.7–2.7-GHz 12-W Power-Amplifier MMIC Developed Using MLP Technology. Bahl, I. J., T-MTT Feb. 2007 222-229 A 1.9-GHz CMOS Power Amplifier Using Three-Port Asymmetric Transmission Line Transformer for a Polar Transmitter. Park, C., +, T-MTT Feb. 2007 230-238 A Fully Integrated UHF CMOS Power Amplifier for Spacecraft Applications. Jeon, J., +, T-MTT Oct. 2007 2006-2014 Adaptive Digital Feedback Predistortion Technique for Linearizing Power Amplifiers. Woo, Y. Y., +, T-MTT May 2007 932-940 Concurrent Dual-Band Class-E Power Amplifier Using Composite Right/ Left-Handed Transmission Lines. Ji, S. H., +, T-MTT Jun. 2007 1341-1347 Design of a High-Efficiency and High-Power Inverted Doherty Amplifier. Ahn, G., +, T-MTT Jun. 2007 1105-1111 RF Characterization of SiGe HBT Power Amplifiers Under Load Mismatch. Keerti, A., +, T-MTT Feb. 2007 207-214 Static and Dynamic Error Vector Magnitude Behavior of 2.4-GHz Power Amplifier. Yoon, S.-W., T-MTT Apr. 2007 643-647 Volterra Behavioral Model for Wideband RF Amplifiers. Crespo-Cadenas, C., +, T-MTT Mar. 2007 449-457 UHF radio propagation An Exposure System for Long-Term and Large-Scale Animal Bioassay of 1.5-GHz Digital Cellular Phones. Wake, K., +, T-MTT Feb. 2007 343-350 Ultra wideband antennas UWB Array-Based Sensor for Near-Field Imaging. Yarovoy, A. G., +, T-MTT Jun. 2007 1288-1295 Ultra wideband communication Ultra-Compact High-Linearity High-Power Fully Integrated DC–20-GHz 0.18-m CMOS T/R Switch. Jin, Y., +, T-MTT Jan. 2007 30-36 Authors’ Reply. Guvenc, I., +, T-MTT Mar. 2007 598-598 Comments on “TOA Estimation for IR-UWB Systems With Different Transceiver Types”. Nadarajah, S., T-MTT Mar. 2007 597-598 Compact Microwave Six-Port Vector Voltmeters for Ultra-Wideband Applications. Bialkowski, M. E., +, T-MTT Oct. 2007 2216-2223 Multitone Fast Frequency-Hopping Synthesizer for UWB Radio. Stadius, K., +, T-MTT Aug. 2007 1633-1641 Ultra-Wideband Multifunctional Communications/Radar System. Saddik, G. N., +, T-MTT Jul. 2007 1431-1437 Ultra wideband radar Ultra-Compact High-Linearity High-Power Fully Integrated DC–20-GHz 0.18-m CMOS T/R Switch. Jin, Y., +, T-MTT Jan. 2007 30-36 Ultra-Wideband Multifunctional Communications/Radar System. Saddik, G. N., +, T-MTT Jul. 2007 1431-1437 Ultra wideband technology Design of Compact Directional Couplers for UWB Applications. Abbosh, A. M., +, T-MTT Feb. 2007 189-194 A 1.5-V 2–9.6-GHz Inductorless Low-Noise Amplifier in 0.13-m CMOS. Li, Q., +, T-MTT Oct. 2007 2015-2023 A Fully Electronic System for the Time Magnification of Ultra-Wideband Signals. Schwartz, J. D., +, T-MTT Feb. 2007 327-334 An Ultra-Wideband Distributed Active Mixer MMIC in 0.18-m CMOS Technology. Wu, C.-R., +, T-MTT Apr. 2007 625-632 Analysis and Design of Millimeter-Wave FET-Based Image Reject Mixers. Gunnarsson, S. E., +, T-MTT Oct. 2007 2065-2074 Authors’ Reply. Schwartz, J. D., +, T-MTT Oct. 2007 2271-2272 Planar Bandpass Filters for Ultra-Wideband Applications. Abbosh, A. M., T-MTT Oct. 2007 2262-2269 Ultra-Wideband Phase Shifters. Abbosh, A. M., T-MTT Sep. 2007 1935-1941 Ultraviolet lithography Design and High Performance of a Micromachined K -Band Rectangular Coaxial Cable. Lancaster, M. J., +, T-MTT Jul. 2007 1548-1553 Ultrawideband communication A Microstrip Ultra-Wideband Bandpass Filter With Cascaded Broadband Bandpass and Bandstop Filters. Tang, C.-W., +, T-MTT Nov. 2007 24122418 Ultrawideband radar 3–10-GHz Ultra-Wideband Low-Noise Amplifier Utilizing Miller Effect and Inductive Shunt–Shunt Feedback Technique. Lin, Y.-T., +, T-MTT Sep. 2007 1832-1843 Ultrawideband technology Test Method for Measuring Bit Error Rate of Pulsed Transceivers in Presence of Narrowband Interferers. Senguttuvan, R., +, T-MTT Sep. 2007 19421950

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

49

V

W

Varactors A Low Phase-Noise -Band MMIC VCO Using High-Linearity and Ga N/GaN Low-Noise Composite-Channel Al Ga N/Al HEMTs. Cheng, Z. Q., +, T-MTT Jan. 2007 23-29 A Low-Noise K -Band VCO Based on Room-Temperature Ferroelectric Varactors. Norling, M., +, T-MTT Feb. 2007 361-369 Compact Left-Handed Transmission Line as a Linear Phase–Voltage Modulator and Efficient Harmonic Generator. Kim, H., +, T-MTT Mar. 2007 571-578 Design of a Reflection-Type Phase Shifter With Wide Relative Phase Shift and Constant Insertion Loss. Lin, C.-S., +, T-MTT Sep. 2007 1862-1868 Electrically Controllable Artificial Transmission Line Transformer for Matching Purposes. Damm, C., +, T-MTT Jun. 2007 1348-1354 Investigation of Ferroelectric Thick-Film Varactors for Microwave Phase Shifters. Hu, W., +, T-MTT Feb. 2007 418-424 Modeling and Applications of Ferroelectric-Thick Film Devices With Resistive Electrodes for Linearity Improvement and Tuning-Voltage Reduction. Scheele, P., +, T-MTT Feb. 2007 383-390 Nonohmic Contact Planar Varactor Frequency Upconverters for Terahertz Applications. Xu, H., +, T-MTT Apr. 2007 648-655 Reflection Coefficient Shaping of a 5-GHz Voltage-Tuned Oscillator for Improved Tuning. Victor, A., +, T-MTT Dec. 2007 2488-2494 Verification Design and Experimental Verification of Compact Frequency-Selective Surface With Quasi-Elliptic Bandpass Response. Luo, G. Q., +, T-MTT Dec. 2007 2481-2487 Voltage control Reflection Coefficient Shaping of a 5-GHz Voltage-Tuned Oscillator for Improved Tuning. Victor, A., +, T-MTT Dec. 2007 2488-2494 Active Integrated Antenna Based on Planar Dielectric Resonator With Tuning Ferroelectric Varactor. Buslov, O. Y., +, T-MTT Dec. 2007 2951-2956 Voltage-controlled oscillators Fully Integrated Differential Distributed VCO in 0.35-m SiGe BiCMOS Technology. Bilionis, G. P., +, T-MTT Jan. 2007 13-22 A 15/30-GHz Dual-Band Multiphase Voltage-Controlled Oscillator in 0.18-m CMOS. Hsieh, H.-H., +, T-MTT Mar. 2007 474-483 A High-Performance CMOS Voltage-Controlled Oscillator for Ultra-LowVoltage Operations. Hsieh, H.-H., +, T-MTT Mar. 2007 467-473 A Low Phase-Noise X -Band MMIC VCO Using High-Linearity and Ga N/GaN Low-Noise Composite-Channel Al Ga N/Al HEMTs. Cheng, Z. Q., +, T-MTT Jan. 2007 23-29 A Low Phase-Noise Voltage-Controlled SAW Oscillator With Surface Transverse Wave Resonator for SONET Application. Lin, J.-H., +, T-MTT Jan. 2007 60-65 A Low-Noise K -Band VCO Based on Room-Temperature Ferroelectric Varactors. Norling, M., +, T-MTT Feb. 2007 361-369 A Millimeter-Wave CMOS LC-Tank VCO With an Admittance-Transforming Technique. Hsieh, H.-H., +, T-MTT Sep. 2007 1854-1861 Multitone Fast Frequency-Hopping Synthesizer for UWB Radio. Stadius, K., +, T-MTT Aug. 2007 1633-1641 Volterra equations Design of a Power-Amplifier Feed-Forward RF Model With Physical Knowledge Considerations. Cunha, T. R., +, T-MTT Dec. 2007 2747-2756 Volterra series Volterra Behavioral Model for Wideband RF Amplifiers. Crespo-Cadenas, C., +, T-MTT Mar. 2007 449-457 Analysis and Design of a Dynamic Predistorter for WCDMA Handset Power Amplifiers. Yamanouchi, S., +, T-MTT Mar. 2007 493-503 Moments-Based Computation of Intermodulation Distortion of RF Circuits. Tannir, D., +, T-MTT Oct. 2007 2135-2146 Power Supply Rejection for RF Amplifiers: Theory and Measurements. Stauth, J. T., +, T-MTT Oct. 2007 2043-2052 Pruning the Volterra Series for Behavioral Modeling of Power Amplifiers Using Physical Knowledge. Zhu, A., +, T-MTT May 2007 813-821 Voltmeters Compact Microwave Six-Port Vector Voltmeters for Ultra-Wideband Applications. Bialkowski, M. E., +, T-MTT Oct. 2007 2216-2223

Wafer bonding Design, Fabrication, and Measurement of Benzocyclobutene Polymer ZeroLevel Packaging for Millimeter-Wave Applications. Seok, S., +, T-MTT May 2007 1040-1045 Water Liquid RF MEMS Wideband Reflective and Absorptive Switches. Chen, C.-H., +, T-MTT Dec. 2007 2919-2929 Waveform analysis Intermodulation Distortion of Third-Order Nonlinear Systems With Memory Under Multisine Excitations. Martins, J. P., +, T-MTT Jun. 2007 1264-1271 Waveform generators All-Fiber Chirped Microwave Pulses Generation Based on Spectral Shaping and Wavelength-to-Time Conversion. Chi, H., +, T-MTT Sep. 2007 19581963 Waveguide antenna arrays Analysis of Wideband Dielectric Resonator Antenna Arrays for WaveguideBased Spatial Power Combining. Zhang, Y., +, T-MTT Jun. 2007 1332-1340 Waveguide components An in situ Tunable Diode Mounting Topology for High-Power X -Band Waveguide Switches. Sickel, T., +, T-MTT Feb. 2007 281-286 Robust Formulations of the Cauchy Method Suitable for Microwave Duplexers Modeling. Traina, D., +, T-MTT May 2007 974-982 Waveguide couplers Five-Level Waveguide Correlation Unit for Astrophysical Polarimetric Measurements. Virone, G., +, T-MTT Feb. 2007 309-317 Design of a Reflection-Type Phase Shifter With Wide Relative Phase Shift and Constant Insertion Loss. Lin, C.-S., +, T-MTT Sep. 2007 1862-1868 Generalized Coupled-Mode Approach of Metamaterial Coupled-Line Couplers: Coupling Theory, Phenomenological Explanation, and Experimental Demonstration. Nguyen, H. V., +, T-MTT May 2007 1029-1039 Waveguide discontinuities Efficient Modal Analysis of Bianisotropic Waveguides by the Coupled Mode Method. Pitarch, J., +, T-MTT Jan. 2007 108-116 Efficient Analysis of Arbitrarily Shaped Inductive Obstacles in Rectangular Waveguides Using a Surface Integral-Equation Formulation. Quesada Pereira, F. D., +, T-MTT Apr. 2007 715-721 Rigorous Analysis of a Metallic Circular Post in a Rectangular Waveguide With Step Discontinuity of Sidewalls. Valagiannopoulos, C. A., +, T-MTT Aug. 2007 1673-1684 Singular Tetrahedral Finite Elements for Vector Electromagnetics. Webb, J. P., T-MTT Mar. 2007 533-540 Waveguide filters Five-Level Waveguide Correlation Unit for Astrophysical Polarimetric Measurements. Virone, G., +, T-MTT Feb. 2007 309-317 A Novel Band-Reject Element for Pseudoelliptic Bandstop Filters. Rosenberg, U., +, T-MTT Apr. 2007 742-746 Design and Analysis of Super-Wide Bandpass Filters Using a Novel Compact Meta-Structure. Lin, X. Q., +, T-MTT Apr. 2007 747-753 Design of Vertically Stacked Waveguide Filters in LTCC. Shen, T.-M., +, T-MTT Aug. 2007 1771-1779 Development of Millimeter-Wave Planar Diplexers Based on Complementary Characters of Dual-Mode Substrate Integrated Waveguide Filters With Circular and Elliptic Cavities. Tang, H. J., +, T-MTT Apr. 2007 776-782 Waveguide junctions On the Fast and Rigorous Analysis of Compensated Waveguide Junctions Using Off-Centered Partial-Height Metallic Posts. San Blas, A. A., +, T-MTT Jan. 2007 168-175 Experimental Analysis of Passive Intermodulation at Waveguide Flange Bolted Connections. Vicente, C., +, T-MTT May 2007 1018-1028 Waveguide theory Singular Tetrahedral Finite Elements for Vector Electromagnetics. Webb, J. P., T-MTT Mar. 2007 533-540 A New Brillouin Dispersion Diagram for 1-D Periodic Printed Structures. Baccarelli, P., +, T-MTT Jul. 2007 1484-1495 A Nonlinear Finite-Element Leaky-Waveguide Solver. Allilomes, P. C., +, T-MTT Jul. 2007 1496-1510 An Alternative Algorithm for Both Narrowband and Wideband Lorentzian Dispersive Materials Modeling in the Finite-Difference Time-Domain Method. Aksoy, S., T-MTT Apr. 2007 703-708

X

+ Check author entry for coauthors

50

New Series Expansions for the 3-D Green’s Function of Multilayered Media With 1-D Periodicity Based on Perfectly Matched Layers. Rogier, H., T-MTT Aug. 2007 1730-1738 Rigorous Analysis of a Metallic Circular Post in a Rectangular Waveguide With Step Discontinuity of Sidewalls. Valagiannopoulos, C. A., +, T-MTT Aug. 2007 1673-1684 The Stochastic Gabor Function Enhances Bandwidth In Finite-DifferenceTime Domain S -Parameter Estimation. Bonmassar, G., T-MTT Apr. 2007 601-606 Waveguide transitions Millimeter-Wave Transition From Waveguide to Two Microstrip Lines Using Rectangular Patch Element. Iizuka, H., +, T-MTT May 2007 899-905 A 275–425-GHz Tunerless Waveguide Receiver Based on AlN-Barrier SIS Technology. Kooi, J. W., +, T-MTT Oct. 2007 2086-2096 Compact Waveguide-Based Power Divider Feeding Independently Any Number of Coaxial Lines. Pollak, J., +, T-MTT May 2007 951-957 Waveguides Resonance Absorption in Nonsymmetrical Lossy Dielectric Inserts in Rectangular Waveguides. Rud, L. A., T-MTT Aug. 2007 1717-1722 Frozen Modes in Parallel-Plate Waveguides Loaded With Magnetic Photonic Crystals. Chilton, R. A., +, T-MTT Dec. 2007 2631-2641 Substrate Integrated Waveguide-to-Microstrip Transition in Multilayer Substrate. Ding, Y., +, T-MTT Dec. 2007 2839-2844 Wavelength division multiplexing Nonlinear Distortion Due to Cross-Phase Modulation in Microwave FiberOptic Links With Optical Single-Sideband or Electrooptical Upconversion. Cheng, L., +, T-MTT Jan. 2007 176-184 Whispering gallery modes Traceable 2-D Finite-Element Simulation of the Whispering-Gallery Modes of Axisymmetric Electromagnetic Resonators. Oxborrow, M., T-MTT Jun. 2007 1209-1218 WiMax A New Mode-Multiplexing LINC Architecture to Boost the Efficiency of WiMAX Up-Link Transmitters. Helaoui, M., +, T-MTT Feb. 2007 248-253 Modified Adaptive Prototype Inclusive of the External Couplings for the Design of Coaxial Filters. Morini, A., +, T-MTT Sep. 2007 1905-1911 Wide band gap semiconductors A Systematic State–Space Approach to Large-Signal Transistor Modeling. Seelmann-Eggebert, M., +, T-MTT Feb. 2007 195-206 GaInP/GaAs HBT Sub-Harmonic Gilbert Mixers Using Stacked-LO and Leveled-LO Topologies. Wu, T.-H., +, T-MTT May 2007 880-889 Self-Consistent Electrothermal Modeling of Class A, AB, and B Power GaN HEMTs Under Modulated RF Excitation. CaMar.ia, V., +, T-MTT Sep. 2007 1824-1831 Wideband amplifiers 0.7–2.7-GHz 12-W Power-Amplifier MMIC Developed Using MLP Technology. Bahl, I. J., T-MTT Feb. 2007 222-229 A Wideband CMOS Variable Gain Amplifier With an Exponential Gain Control. Lee, H. D., +, T-MTT Jun. 2007 1363-1373

+ Check author entry for coauthors

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES

An Integrated Wideband Power Amplifier for Cognitive Radio. Chen, Y.-J. E., +, T-MTT Oct. 2007 2053-2058 Volterra Behavioral Model for Wideband RF Amplifiers. Crespo-Cadenas, C., +, T-MTT Mar. 2007 449-457 Wideband Nonlinear Response of High-Temperature Superconducting Thin Films From Transmission-Line Measurements. Mateu, J., +, T-MTT Jul. 2007 1425-1430 Wireless LAN An LTCC-Based Wireless Transceiver for Radio-Over-Fiber Applications. Pergola, L., +, T-MTT Mar. 2007 579-587 A 1-V Wideband Low-Power CMOS Active Differential Power Splitter for Wireless Communication. Lee, S., +, T-MTT Aug. 2007 1593-1600 Design and Development of Advanced Cavity-Based Dual-Mode Filters Using Low-Temperature Co-Fired Ceramic Technology for V -Band Gigabit Wireless Systems. Lee, J.-H., +, T-MTT Sep. 2007 1869-1879 RFID Tag and RF Structures on a Paper Substrate Using Inkjet-Printing Technology. Yang, L., +, T-MTT Dec. 2007 2894-2901 Static and Dynamic Error Vector Magnitude Behavior of 2.4-GHz Power Amplifier. Yoon, S.-W., T-MTT Apr. 2007 643-647 Wireless communication An Efficient Time-Domain Simulation Method for Multirate RF Nonlinear Circuits. Oliveira, J. F., +, T-MTT Nov. 2007 2384-2392 Integrated Heterojunction Bipolar Transistor Optically Injection-Locked Self-Oscillating Opto-Electronic Mixers for Bi-Directional Fiber-Fed Wireless Applications. Kim, J.-Y., +, T-MTT Dec. 2007 2734-2739 RFID Tag and RF Structures on a Paper Substrate Using Inkjet-Printing Technology. Yang, L., +, T-MTT Dec. 2007 2894-2901 Nonlinear Distortion Analysis of Polar Transmitters. Pedro, J. C., +, T-MTT Dec. 2007 2757-2765

Y Yttrium compounds A 12-Pole Narrowband Highly Selective High-Temperature Superconducting Filter for the Application in the Third-Generation Wireless Communications. Li, S., +, T-MTT Apr. 2007 754-759

Z Zero assignment Design of Bandpass Transversal Filters Employing a Novel Hybrid Structure. Martinez-Mendoza, M., +, T-MTT Dec. 2007 2670-2678 Zinc compounds Ceramic Layer-By-Layer Stereolithography for the Manufacturing of 3-D Millimeter-Wave Filters. Delhote, N., +, T-MTT Mar. 2007 548-554

EDITORIAL BOARD Editors: D. WILLIAMS AND A. MORTAZAWI Associate Editors: D. DE ZUTTER, K. ITOH, J. LIN, Y. NIKAWA, J. PEDRO, Z. POPOVIC, S. RAMAN, R. SNYDER, K.-L. WU, R.-B. WU, A. YAKOVLEV

P. Aaen B. Abbott D. Abbott M. Abdulla M. Abe R. Abhari A. A. Abidi A. Abramowicz M. Acar R. Achar D. Adam E. Adler M. Adlerstein M. Afsar K. Agawa D. Ahn H. R. Ahn M. Aikawa J. Aikio J. S. Aine C. Aitchison M. Akaike S. Aksoy M. I. Aksun A. Al-Zayed F. Alessandri M. Ali F. Alimenti R. Allam D. Allstot A. Alphones A. Alu S. Amari T. Anada K. Andersson Y. Ando P. Andreani A. Andrenko M. Andrés K. Anemogiannis K. S. Ang I. Angelov S. Anlage G. Antonini H. Aoki R. Araneo J. Archer F. Ares J. Armstrong F. Arndt F. Aryanfar M. Asai Y. Asano K. Ashby A. Atalar J. Atherton A. Atia S. Auster I. Awai A. Aydiner M. S. Ayza A. Babakhani P. Baccarelli W. Baechtold I. Bahl S. Bakhtiari B. Bakkaloglu M. Bakr S. Balasubramaniam J. V. Balbastre J. Ball A. Banai S. Banba J. W. Bandler R. Bansal D. Barataud I. Barba A. Barbosa Z. Bardai F. Bardati I. Bardi A. Barel S. Barker D. Barlage F. Barnes R. Bashirullah D. Becker C. Bell T. M. Benson T. Berceli J. Bernhardt M. Berroth H. Bertoni E. Bertran A. Bessemoulin A. Bevilacqua W. T. Beyene A. Beyer A. V. Bezooijen S. Bharj K. Bhasin K. Bhattacharjee F. Bi M. Bialkowski E. Biebl S. Bila A. L. Billabert H. Bilzer T. Biondi A. Biswas M. Blank P. Blondy D. Boccoli G. Boeck L. Boglione R. Boix P. H. Bolivar G. Bonaguide G. Bonmassar J. C. Bor V. Boria O. Boric-Lubecke A. Borji J. Bornemann G. Borzì W. Bosch R. Bosisio

H. Boss M. V. Bossche S. Boumaiza K. Boutros C. R. Boyd M. Bozzi J. E. Bracken R. Bradley J. Brannan J. R. Bray T. J. Brazil M. Bressan P. Bretchko K. Breuer A. Brown S. Brozovich D. Budimir T. Budka K. Buell M. Bujatti C. Buntschuh G. Burdge J. Burghartz P. Burghignoli N. Buris B. Cabon P. Cabral C. C. Cadenas M. K. Caldera C. Caloz E. Camargo R. Cameron N. Camilleri C. Campbell M. Campovecchio A. Cangellaris W. Cantrell F. Capolino A. Cappy R. Carter N. B. Carvalho P. Casas F. Caspers R. Caverly M. Celuch D. Chadha S. Chakraborty H. Chaloupka B. Chambers C. H. Chan C. C. Chang C. R. Chang C. Y. Chang F. Chang K. Chang S. F. Chang H. Chapell W. J. Chappell M. Chatras A. Cheldavi C. F. Chen C. H. Chen J. Chen J. H. Chen R. Chen S. Chen Y. Chen Y. J. Chen Z. D. Chen K. K. Cheng C. Cheon S. T. Chew W. C. Chew C. Y. Chi Y. C. Chiang A. Chin B. S. Chiou A. Chizh C. S. Cho C. Choi J. Choi W. Y. Choi M. Chongcheawchamnan D. Choudhury Y. L. Chow A. Christ C. Christodoulou C. Christopoulos T. H. Chu L. H. Chua H. R. Chuang Y. H. Chun Y. Chung T. Cisco P. Civalleri J. Cloete P. Colantonio B. Colpitts M. Condon D. Consonni A. Constanzo M. Converse F. Cooray G. Copeland I. Corbella E. Costamagna C. Courtney Y. L. Coz J. Crescenzi J. Cressler T. Crowe T. J. Cui J. Culver T. Cunha C. Curry W. Curtice H. H. Dam G. Dambrine B. Danly F. Danneville I. Darwazeh A. Darwish A. Daryoush N. Das M. Davidovich C. Davis I. Davis

L. Davis F. De Flaviis D. De Zutter M. DeLisio W. Deal C. Deibele A. Deleniv V. Demir T. Denidni D. Deslandes A. Deutsch Y. Deval L. de Vreede T. Dhaene N. Dib L. Ding A. Djordjevi M. A. Do J. Dobrowolski X. T. Dong W. B. Dou P. Draxler R. Drayton A. Dreher J. L. Drewniak L. Dunleavy J. Dunsmore L. Dussopt M. W. Dvorak S. Dvorak J. East K. Eda M. L. Edwards R. Egri R. Ehlers N. Ehsan H. Eisele G. Eisenstein S. El-Ghazaly G. Eleftheriades F. Ellinger G. Ellis T. Ellis B. Elsharawy A. Elsherbeni N. Engheta K. Entesari H. Eom I. Erdin C. Ernst D. Erricolo K. Eselle I. Eshrah M. Essaaidi H. Esteban C. Eswarappa G. Ewell M. C. Fabres C. Fager M. Fahmi D. G. Fang A. Faraone M. Farina W. Fathelbab A. Fathy Y. Feng A. Fernandez P. Ferrari A. Ferrero S. J. Fiedziuszko G. Fikioris J. Fikioris F. Filicori D. Filipovic B. Floyd P. Focardi N. H. Fong K. Foster P. Foster P. Frangos P. Franzon J. C. Freire K. Fujii R. Fujimoto O. Fujiwara H. Fukushima C. M. Furse V. Fusco D. Gabbay T. Gaier B. Galwas D. Gamble O. P. Gandhi J. Gao S. Gao H. Garbe J. A. Garcia K. Gard F. E. Gardiol P. Gardner R. Garg J. L. Gautier S. Gedney F. Gekat F. German S. Gevorgian H. Ghali F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione F. Giannini J. Gilb M. Goano E. Godshalk M. Goldfarb R. Gonzalo S. Gopalsami A. Gopinath R. Gordon G. Goussetis J. Grahn G. Grau A. Grbic A. Grebennikov M. Green I. Gresham

J. Grimm A. Griol D. R. Grischowsky E. Grossman Y. Guan S. Guenneau T. Guerrero M. Guglielmi J. L. Guiraud S. E. Gunnarsson L. Guo Y. Guo A. Gupta C. Gupta K. C. Gupta M. Gupta B. Gustavsen W. Gwarek A. Görür M. Hafizi J. Haala J. Hacker S. Hadjiloucas S. H. Hagh S. Hagness D. Haigh A. Hajimiri A. Halappa D. Halchin D. Ham K. Hanamoto T. Hancock A. Hanke E. Hankui L. Hanlen Z. Hao A. R. Harish L. Harle M. Harris O. Hartin H. Hashemi K. Hashimoto O. Hashimoto J. Haslett G. Hau R. Haupt J. Hayashi L. Hayden T. Heath J. Heaton S. Heckmann W. Heinrich G. Heiter J. Helszajn R. Henderson H. Hernandez K. Herrick J. Hesler J. S. Hesthaven K. Hettak P. Heydari R. Hicks M. Hieda A. Higgins T. Hiratsuka T. Hirayama J. Hirokawa W. Hoefer J. P. Hof K. Hoffmann R. Hoffmann M. Hoft A. Holden C. Holloway E. Holzman J. S. Hong S. Hong W. Hong K. Honjo K. Horiguchi Y. Horii T. S. Horng J. Horton M. Hotta J. Hoversten H. M. Hsu H. T. Hsu J. P. Hsu C. W. Hsue R. Hu Z. Hualiang C. W. Huang F. Huang G. W. Huang K. Huang T. W. Huang A. Hung C. M. Hung J. J. Hung I. Hunter Y. A. Hussein B. Huyart H. Y. Hwang J. C. Hwang R. B. Hwang M. Hélier G. Iannaccone Y. Iida P. Ikonen K. Ikossi K. Inagaki A. Inoue M. Isaksson O. Ishida M. Ishiguro T. Ishikawa T. Ishizaki R. Islam Y. Isota K. Ito M. Ito N. Itoh T. Itoh Y. Itoh F. Ivanek T. Ivanov M. Iwamoto

Digital Object Identifier 10.1109/TMTT.2007.913529

Y. Iyama D. Jablonski R. Jackson A. Jacob M. Jacob D. Jaeger N. A. Jaeger I. Jalaly V. Jamnejad M. Janezic M. Jankovic R. A. Jaoude J. Jargon B. Jarry P. Jarry J. B. Jarvis A. Jastrzebski A. S. Jazi A. Jelenski S. K. Jeng S. Jeon H. T. Jeong Y. H. Jeong E. Jerby A. Jerng T. Jerse P. Jia X. Jiang J. M. Jin Z. Jin J. Joe J. Joubert M. Jungwirth P. Kabos W. Kainz T. Kaiser T. Kamei Y. Kamimura H. Kamitsuna H. Kanai S. Kanamaluru H. Kanaya K. Kanaya P. Kangaslahtii V. S. Kaper N. Karmakar T. Kashiwa K. Katoh R. Kaul T. Kawai K. Kawakami A. Kawalec S. Kawasaki H. Kayano H. Kazemi M. Kazimierczuk S. Kee L. Kempel P. Kenington A. Khalil A. Khanifar A. Khanna F. Kharabi S. Kiaei J. F. Kiang B. Kim B. S. Kim H. Kim I. Kim J. H. Kim J. P. Kim M. Kim W. Kim N. Kinayman P. Kinget S. Kirchoefer A. Kirilenko V. Kisel M. Kishihara A. Kishk T. Kitamura T. Kitazawa J. N. Kitchen M. J. Kitlinski K. Kiziloglu B. Kleveland D. M. Klymyshyn L. Knockaert R. Knoechel K. Kobayashi Y. Kogami T. Kolding N. Kolias J. Komiak G. Kompa A. Konczykowska H. Kondoh Y. Konishi B. Kopp B. Kormanyos K. Kornegay M. Koshiba J. Kosinski T. Kosmanis S. Koul I. I. Kovacs S. Koziel A. B. Kozyrev N. Kriplani K. Krishnamurthy V. Krishnamurthy C. Krowne V. Krozer J. Krupka W. Kruppa D. Kryger H. Ku H. Kubo A. Kucharski C. Kudsia W. Kuhn T. Kuki A. Kumar M. Kumar C. Kuo J. T. Kuo P. Kuo

H. Kurebayashi K. Kuroda N. Kuster M. Kuzuhara Y. Kwon G. Kyriacou M. K. Kärkkäinen F. Ladouceur K. Lakin P. Lampariello M. Lancaster U. Langmann G. Lapin J. Larson L. Larson J. Laskar C. L. Lau A. Lauer D. Lautru P. Lavrador G. Lazzi C. H. Lee J. F. Lee R. Lee S. Lee S. Y. Lee T. Lee T. C. Lee Y. Lee Y. H. Lee D. Leenaerts Z. Lei G. Leizerovich Y. C. Leong S. Leppaevuori G. Leuzzi Y. Leviatan B. Levitas R. Levy G. I. Lewis H. B. Li H. J. Li L. W. Li X. Li Y. Li H. X. Lian C. K. Liao S. S. Liao D. Y. Lie L. Ligthart E. Limiti C. Lin F. Lin H. H. Lin J. Lin K. Y. Lin T. H. Lin Y. S. Lin E. Lind L. Lind D. Linkhart P. Linnér A. Lipparini D. Lippens A. S. Liu J. Liu L. Liu P. K. Liu Q. H. Liu S. I. Liu T. Liu T. P. Liu I. Lo J. LoVetri S. Long N. Lopez M. Lourdiane G. Lovat D. Lovelace Z. N. Low H. C. Lu K. Lu L. H. Lu S. S. Lu V. Lubecke S. Lucyszyn N. Luhmann A. Lukanen M. Lukic A. D. Lustrac J. F. Luy G. Lyons J. G. Ma Z. Ma S. Maas G. Macchiarella J. Machac M. Madihian K. Maezawa G. Magerl S. Mahmoud F. Maiwald A. H. Majedi M. Makimoto J. Malherbe V. Manasson T. Maniwa R. Mansour D. Manstretta M. H. Mao S. G. Mao A. Margomenos R. Marques G. Martin E. Martinez K. Maruhashi J. E. Marzo D. Masotti G. D. Massa D. Masse A. Materka B. Matinpour A. Matsushima S. Matsuzawa G. Matthaei J. Mayock J. Mazierska

S. Mazumder G. Mazzarella K. McCarthy P. McClay G. McDonald F. Medina A. Á. Melcon C. C. Meng W. Menzel F. Mesa A. C. Metaxas P. Meyer P. Mezzanotte E. Michielssen D. Miller P. Miller B. W. Min R. Minasian J. D. Mingo B. Minnis S. Mirabbasi F. Miranda J. Miranda D. Mirshekar C. Mishra A. Mitchell R. Mittra K. Miyaguchi M. Miyakawa R. Miyamoto K. Mizuno S. Mizushina J. Modelski S. Mohammadi H. Moheb J. Mondal M. Mongiardo P. Monteiro G. Montoro C. Monzon T. Morawski A. D. Morcillo J. Morente D. Morgan M. Morgan K. Mori A. Morini H. Morishita N. Morita H. Moritake A. Morris J. Morsey H. Mosallaei M. Mrozowski J. E. Mueller L. Mullen S. S. Naeini Y. Nagano V. Nair K. Naishadham M. Nakajima K. Nakamura Y. Nakasha A. Nakayama M. Nakhla J. C. Nallatamby S. Nam S. Narahashi T. Narhi A. Natarajan J. M. Nebus I. Nefedov D. Neikirk B. Nelson S. O. Nelson W. C. Neo A. Neri H. Newman M. Ney D. Ngo E. Ngoya C. Nguyen T. Nichols E. Niehenke S. Nightingale N. Nikita P. Nikitin A. M. Niknejad N. Nikolova K. Nikoskinen K. Nishikawa T. Nishikawa T. Nishino G. Niu D. Nobbe T. Nojima T. Nomura C. D. Nordquist B. Notaros K. Noujeim D. Novak T. Nozokido G. Nusinovich E. Nyfors K. O D. Oates M. Odyniec H. Ogawa T. Ohira P. Y. Oijala H. Okabe Y. Okano V. Okhmatovski A. Oki M. Okoniewski G. Olbrich G. Oliveri F. Olyslager A. Omar K. Onodera B. L. Ooi S. Ootaka S. Ortiz J. Osepchuk J. Ou C. Oxley M. Pagani

A. Pages M. Paillard S. Palma G. W. Pan A. Panariello H. Y. Pao Y. Papananos J. Papapolymerou C. Papavassiliou C. S. Park E. C. Park S. Park A. E. Parker R. Parry D. Pasalic D. Pasquet H. M. Pau D. Pavlidis J. C. Pedro S. Peik B. Pejcinovic S. Pellerano G. Pelosi R. Pengelly D. Penunuri J. Pereda B. Perlman D. Peroulis L. Perregrini M. Petelin R. Petersen W. Petersen A. Peterson C. C. Peñalosa A. V. Pham L. Pichon H. Pickett M. Pieraccini P. Pieters L. Pileggi Z. Y. Ping R. Pintelon A. Piovaccari M. Pirola D. Pissoort E. Pistono F. M. Pitschi W. Platte C. Plett C. Pobanz R. Pogorzelski A. Pohl R. K. Pokharel J. L. Polleux G. Ponchak Y. Poplavko A. Porch J. Portilla M. Pospieszalski B. Potter H. Powen D. Pozar L. Pradell S. Prasad D. Prather D. Prescott H. Pretl A. Prieto H. Qian Y. Qian D. Qiao J. X. Qiu T. Quach C. K. Queck C. Quendo R. Quere R. Quéré F. Raab V. Radisic M. Raffetto T. Rahkonen R. Raich C. Railton A. Raisanen M. Ramirez C. Rauscher J. Rautio B. Rawat T. Razban R. Reano G. Rebeiz J. Rebollar M. Reddy E. Reese L. Reindl J. Reinert S. Remillard K. Remley L. Reynolds S. Reynolds J. K. Rhee A. Riddle G. Riha E. Rius I. Robertson P. Roblin J. Rockway C. Rodenbeck A. R. Rofougaran H. Rogier U. Rohde Y. Rolain P. A. Rolland R. Romanofsky S. Rondineau Y. Rong D. Root L. Roselli A. Rosen U. Rosenberg M. Rosker R. Rotman G. Roussy D. Routledge J. Roy L. Roy M. Royer

T. Rozzi J. Rudell C. Ruppel D. Rutledge T. Ruttan A. Rydberg N. Ryskin D. Rytting D. Rönnow C. Saavedra K. Sachse R. Saedi A. Safwat M. Sagawa P. Saha J. Sahalos K. Saito I. Sakagami M. Salomaa A. Samelis A. Sanada M. Sanagi L. Sankey K. Sano A. Santarelli H. D. Santos K. Sarabandi T. Sarkar C. Sarris M. Sato A. Sawicki H. Sayadian W. Scanlon C. Schaffer G. Schimetta M. J. Schindler E. Schmidhammer L. P. Schmidt D. Schmitt J. Schoebl G. Scholl J. Schoukens D. Schreurs W. Schroeder I. Schropp A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann F. Sechi E. M. Segura T. Seki S. Selleri E. Semouchkina J. Sercu A. Serpenguzel J. Sevic O. Sevimli F. Seyfert O. Shanaa I. Shapir A. Sharma S. Sharma J. Sharp J. R. Shealy D. Sheen Z. X. Shen Y. Shestopalov C. J. Shi T. Shibata H. Shigematsu Y. C. Shih M. Shimozawa T. Shimozuma J. Shin S. Shin N. Shinohara G. Shiroma W. Shiroma K. Shu D. Sievenpiper J. M. Sill C. Silva L. M. Silveira M. G. Silveirinha W. Simbuerger G. Simin C. Simovski D. Simunic H. Singh V. K. Singh B. Sinha J. Sinsky Z. Sipus P. Sivonen A. Skalare G. M. Smith P. Smith C. Snowden R. Snyder P. P. So M. Sobhy N. Sokal M. Solal K. Solbach R. Sorrentino A. Soury N. Soveiko E. Sovero M. Soyuer P. Staecker A. Stancu S. P. Stapleton P. Starski J. Staudinger B. Stec D. Steenson A. Stelzer J. Stenarson B. Stengel M. Stern M. Steyaert S. Stitzer B. Stockbroeckx B. Strassner M. Stubbs M. Stuchly

B. Stupfel A. Suarez G. Subramanyam N. Suematsu T. Suetsugu C. Sullivan K. O. Sun K. Suzuki Y. Suzuki J. Svacina R. Svitek M. Swaminathan D. Swanson B. Szendrenyi A. Taflove Y. Tajima T. Takagi I. Takenaka K. Takizawa T. Takizawa S. Talisa S. G. Talocia N. A. Talwalkar K. W. Tam A. A. Tamijani J. Tan E. Tanabe C. W. Tang W. Tang W. C. Tang R. Tascone A. Tasic J. J. Taub J. Tauritz D. Teeter F. Teixeira R. Temkin M. Tentzeris V. Teppati M. Terrovitis A. Tessmann J. P. Teyssier W. Thiel B. Thompson Z. Tian M. Tiebout R. Tielert L. Tiemeijer E. Tiiliharju G. Tkachenko M. Tobar M. R. Tofighi P. Tognolatti T. Tokumitsu A. Tombak K. Tomiyasu C. Y. Tong A. Topa E. Topsakal G. Town I. Toyoda N. Tran R. Trew C. Trueman C. M. Tsai R. Tsai L. Tsang H. W. Tsao M. Tsuji T. Tsujiguchi M. Tsutsumi S. H. Tu W. H. Tu N. Tufillaro G. Twomey H. Uchida S. Uebayashi T. Ueda F. H. Uhlmann H. P. Urbach V. J. Urick N. Uzunoglu R. Vahldieck P. Vainikainen G. Vandenbosch A. Vander Vorst G. Vannini C. Vaucher J. Vaz G. Vazquez I. Vendik J. Venkatesan A. Verma A. K. Verma J. Verspecht L. Verweyen J. Vig A. Viitanen F. Villegas J. M. Villegas C. Vittoria S. Vitusevich R. Voelker S. Voinigescu V. Volman B. Vowinkel M. A. Vérez B. Z. Wang K. Wakino P. Waldow A. Walker D. Walker C. Walsh P. Wambacq S. Wane C. Wang C. F. Wang C. H. Wang C. L. Wang F. Wang H. Wang J. Wang N. Wang S. Wang T. Wang X. Wang Y. Wang

K. Warnick S. Wartenberg K. I. Watabe S. Watanabe B. Weaver J. Webb R. Webster S. Wedge C. J. Wei D. V. Weide R. Weigel M. Weihnacht G. Weihs R. Weikle T. Weiland D. Weile J. Weiler S. Weinreb F. Weiss S. Weiss T. Weller S. Wentworth D. D. Wentzloff R. Wenzel J. Whelehan J. Whitaker D. White L. B. White S. Whiteley J. Wiart J. Wight G. Wilkins D. Willems B. Willemsen A. Williamson J. C. Wiltse D. Wittwer M. Wnuk K. Wong K. L. Wong K. Woo W. Woo J. Wood G. Woods R. C. Woods D. Woolard M. Wren H. Wu J. Wu K. Wu K.-L. Wu Q. Wu T. Wu T. L. Wu M. Wurzer C. Xie H. Xu J. Xu S. Xu Y. Xu Y. P. Xu Y. Xuan Q. Xue M. Yagoub T. Yakabe K. Yamamoto K. Yamauchi F. Yang G. M. Yang J. Yang K. Yang L. Yang X. Yang Y. Yang H. Yano F. Yanovsky H. W. Yao J. Yao B. Yarman A. G. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto S. Ye K. S. Yeo S. P. Yeo L. K. Yeung W. Y. Yin D. Yongsheng J. G. Yook Y. Yoon Y. J. Yoon R. York B. Young P. R. Young H. K. Yu M. Yu P. Yu G. Yue P. Yue S. W. Yun K. Zaki J. Zamanillo J. Zapata L. Zappelli J. Zehentner C. Zelley H. Zhang J. Zhang Q. Zhang Q. J. Zhang R. Zhang X. Zhang A. P. Zhao J. Zhao Y. Zhao F. Zhenghe W. Zhou L. Zhu X. Zhu H. Zirath J. Ziriax S. Zouhdi T. Zwick