IEEE MTT-V053-I10 (2005-10) [53, 10 ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 01516304......Page 1
020 - 01516305......Page 3
Fig.€1. (a) Spectral components generated by nonlinearities up t......Page 4
A. Polynomial Device Models......Page 5
C. Accuracy Considerations......Page 6
B. Illustration of the Technique......Page 7
A. Test Setup......Page 8
D. Fit of $I_{\rm DS}\hbox{-}V_{\rm GS}\hbox{-}V_{\rm DS}$ Curre......Page 9
2) Vector Plot of IM3 of the Complete Amplifier: The phasor plot......Page 10
3) Optimizing the Matching Impedances: The detailed distortion a......Page 11
T. Närhi, Frequency-domain analysis of strongly nonlinear circui......Page 12
J. P. Aikio and T. Rahkonen, Detailed analysis of IMD in an LDMO......Page 13
Fig.€1. Complexity of the internal construction of 2.1-GHz 90-W......Page 14
A. Simulation of Packages Bonging-Wire Arrays......Page 15
B. Simulation of MOS Capacitors......Page 16
C. Coupling Between Bonding-Wire Arrays......Page 17
Fig.€11. Exploded view of the test fixture.......Page 18
Fig.€15. Plot of the phase of the simulated and measured transmi......Page 19
M. Guyonnet, R. Sommet, R. Quere, and G. Bouisse, Non-linear ele......Page 20
G. D. Vendelin, A. M. Pavio, and U. L. Rhode, Microwave Circuit......Page 21
II. M ODEL......Page 22
1) First Node is a Resonator: If the first node from the input i......Page 23
C. Extraction of an NRN......Page 24
IV. S YNTHESIS E XAMPLES......Page 25
V. D ISCUSSION AND E XPERIMENTAL V ALIDATION......Page 26
VI. C ONCLUSIONS......Page 27
S. Amari, Synthesis of cross-coupled resonator filters using an......Page 28
Fig.€1. Schematic block diagram of a multifrequency direct RF sa......Page 29
Fig.€2. Effects of a poor direct RF sampling frequency on signal......Page 30
Fig.€3. Portion of the sampling frequency constraint function $d......Page 31
Fig.€5. Prototype GPS L1/L2 dual-frequency direct RF sampling fr......Page 32
A. Reception of GPS C/A and P(Y) Codes on L1......Page 33
C. Relative Signal Amplitudes and the Received Power Spectral De......Page 34
E. Operation at Other Sampling Frequencies......Page 35
J. J. Spilker, Jr., GPS signal structure and theoretical perform......Page 36
I. I NTRODUCTION......Page 37
Fig.€1. Diagram of the proposed microwave signal generation syst......Page 38
B. Electrical Harmonic Suppression Analysis......Page 39
Fig.€6. Harmonic suppressions versus frequency of the electrical......Page 40
Fig.€7. Experimental setup for optical generation and transmissi......Page 41
Fig.€10. Spectra of the generated millimeter-wave signals. (a) 3......Page 42
A. J. Cooper, Fiber/radio for the provision of cordless/mobile t......Page 43
W. K. Marshall, B. Crosignani, and A. Yariv, Laser phase noise t......Page 44
II. B ILINEAR T RANSFORM......Page 45
III. SC-PML I MPLEMENTED U SING B ILINEAR T RANSFORM......Page 46
Fig. 2. (a) $\omega_{\rm eff}/\omega $ as a function of space-do......Page 47
IV. B ILINEAR T RANSFORM I MPLEMENTATION OF UPML......Page 48
Fig.€3. (a) and (b) Relative reflection errors as a function of......Page 49
B. $S$ -Parameters......Page 50
G. E. Ponchak and E. M. Tentzeris, Finite ground coplanar wavegu......Page 51
II. D ESIGN M ETHODOLOGY......Page 53
Fig.€2. (a) Simplified circuit diagram. (b) One coil layout stru......Page 54
C. Single-Coiled Transformer......Page 55
Fig.€7. Chip photograph of the Marchand-type transformer. The ch......Page 56
A. Broad-Band Spiral Transformer Mixer......Page 57
Fig.€13. Simulated and measured: (a) conversion losses, RF-to-IF......Page 58
Fig.€16. Chip photograph of the single-coiled transformer mixer.......Page 59
H.-C. Lu and T.-H. Chu, Port reduction methods for scattering ma......Page 60
II. G ATE -L INE A NALYSIS......Page 62
B. Design of the Following Constant- $K$ Section to Meet the Ter......Page 63
Fig. 6. $R$ values and $X_{L}$ values for satisfying the real pa......Page 64
Fig.€10. Comparison of input return loss (in decibels) between g......Page 65
C. Paoloni and S. D'Agostino, An approach to distributed amplifi......Page 66
Wong and T. Y. Thomas, Fundamentals of Distributed Amplification......Page 67
I. I NTRODUCTION......Page 68
II. OVM C ONCEPT......Page 69
B. $I/Q$ Interference and Noise......Page 70
C. Performance Potential......Page 71
IV. E XPERIMENTAL V ERIFICATIONS......Page 72
Fig.€9. 4-QAM: (a) signal constellation and (b) error vector mea......Page 73
Fig.€12. 16-QAM subcarrier modulation. (a) Microwave spectrum. (......Page 74
R.-S. Cheng, W.-L. Chen, and W.-S. Wang, Mach Zehnder modulators......Page 75
A. Modified Indirect Algorithm......Page 77
III. R ESULTS AND D ISCUSSION......Page 78
IV. C OMPLEX -P ERMITTIVITY M EASUREMENT......Page 79
TABLE III M EASURED R ELATIVE P ERMITTIVITY AND L OSS OF M ICROW......Page 80
D. Kajfez, Incremental frequency rule for computing the $Q$ -fac......Page 81
II. C IRCUIT M ODEL W ITH S PURIOUS R ESPONSE......Page 82
B. Spurious Response Below Passband $(f_{sp}< f_{0}\approx f_{1}......Page 83
IV. O VERSIZED A SYMMETRIC $H$ -P LANE C AVITY......Page 84
Fig. 5. Asymmetric $H$ -plane cavity with $J _{1} J _{2} J _{3}......Page 85
B. Fourth-Order Filter With Two Real TZs......Page 86
Fig.€11. Realized fifth-order $H$ -plane filter together with a......Page 87
I. Hunter, Theory and Design of Microwave Filters . London, U.K.......Page 88
II. C HAINED F UNCTIONS......Page 89
III. P OLYNOMIAL G ENERATION......Page 90
TABLE II (a) C HAINED -F UNCTION P OLYNOMIALS FOR $n_{T}={5}$ .......Page 91
Fig.€2. RL characteristics for sixth-order conventional Chebyshe......Page 92
D. Chained-Function Group-Delay Characteristics......Page 93
G. Chained-Function Loss Considerations......Page 94
TABLE III (a) C HAINED -F UNCTION F ILTER $q_{\min}$ FOR $n_{T}=......Page 95
TABLE IV (a) S UMMARY OF C HAINED -F UNCTION F ILTER P ROPERTIES......Page 96
VI. C ONCLUSION......Page 97
G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Im......Page 98
Fig.€1. Schematic of the resonant ring.......Page 99
Fig. 3. $H _{ z} ^{2}$ distribution at the waveguide wall. Posit......Page 100
Fig. 6. (a) Gain factor is 35: 1. (b) ${\hbox{Reflection}}< 1$ %......Page 101
R. B. Vaganov, Asymmetrical wave diffraction at a wide slot in a......Page 102
Fig.€1. (a) Variable-length post sectional view. (b) T-equivalen......Page 103
III. R ESULTS......Page 104
IV. L ENGTH A DJUSTMENT F ACTORS......Page 105
VI. C ONCLUSION......Page 106
C. Ting, Theoretical study of a cylindrical antenna with a hemis......Page 107
II. IL F UNCTION......Page 108
B. Third-Order Filters......Page 109
D. $Q_{T}$ Condition and the 3-dB BW......Page 110
Fig. 5. Root loci for $Z _{ oe}$ and $Z _{ oo}$ of the first and......Page 111
IV. I MPLEMENTATION U SING T APPED I NPUT /O UTPUT......Page 112
Fig.€11. (a) Theoretical, simulated, and measured responses of f......Page 113
L. Zhu, W. Menzel, K. Wu, and F. Boegelsack, Theoretical charact......Page 114
J. S. Wong, Microstrip tapped-line filter design, IEEE Trans. Mi......Page 115
Fig.€1. Rectangular dielectric line and shield.......Page 116
A. Basis Functions......Page 117
B. Mode Matching at the Boundary Between Regions......Page 118
C. Propagation Coefficient and Unknown Mode Coefficients of the......Page 119
C. Comparison of Methods Used for Calculation of the Rod Propaga......Page 120
F. Field Patterns of the First Few Modes to Propagate on the Shi......Page 121
V. C OMPARISON OF C ALCULATED AND M EASURED R ESULTS......Page 122
VI. C ONCLUSION......Page 123
J. Penny and G. Lindfield, Numerical Methods Using Matlab . Sydn......Page 124
II. S PIRAL I NDUCTORS IN WLP......Page 125
B. $Q$ -Factor Evaluation by Conventional Method 2......Page 126
III. A CCURATE M EASUREMENT M ETHOD OF A SYMMETRIC S TRUCTURE OF......Page 127
Fig.€12. Port-1 side measured $Q$ factor derived from convention......Page 128
IV. R ESULTS AND D ISCUSSION......Page 129
Fig.€20. Comparison of measured $Q$ factors derived from novel m......Page 130
C. P. Yue and S. S. Wong, On-chip spiral inductors with patterne......Page 131
A. Model for the WG-Mode Resonator......Page 132
Fig. 4. $WGH_{16,0,0}$ mode doublet for a 50-mm-diameter 30-mm-t......Page 133
Fig.€7. Full TLR model for the WG-mode resonator.......Page 134
IV. E XPERIMENTAL V ERIFICATION......Page 135
V. C ONCLUSION......Page 136
M. Tobar, E. Ivanov, P. Blondy, D. Cros, and P. Guillon, High- $......Page 137
A. Overhead PLC Lines......Page 138
B. Underground PLC Lines......Page 140
Fig.€5. Per-unit-length impedance of PLC with 0.1 m underground.......Page 142
Fig.€9. Magnetic field of PLC with 0.1 m above the ground and un......Page 143
W. Q. Luo, S. Y. Tan, and B. T. Tan, Radiated emission model for......Page 144
II. O PTIMIZATION A PPROACH......Page 146
Fig.€3. $\circ$: measured data. $+$: computed from equivalent ne......Page 147
III. L EAST S QUARES A PPROACH, S INGLE R ESONANCE......Page 148
IV. L EAST S QUARES A PPROACH, D UAL R ESONANCE......Page 149
TABLE II N ETWORK E LEMENT V ALUES, F IG .€1(b)......Page 150
B. Reflection-Type $Q$ -Factor Measurement......Page 151
R. K. Mongia, A. Ittipibon, and M. Cuhaci, Measurement of radiat......Page 152
Fig.€1. Configurations of: (a) a conventional class-F active ant......Page 153
B. Highly Functional Antenna Design for High PAE......Page 154
Fig.€3. (a) Top- and (b) side-view (c) lumped-element equivalent......Page 155
Fig.€5. (a) Load pull simulation for the maximum PAE of the powe......Page 156
Fig. 6. Simulated PAE and $P _{ t}$ for the variations of: (a) $......Page 157
III. E XPERIMENTAL R ESULTS AND D ISCUSSION......Page 158
Fig.€12. Measured radiation patterns at the fundamental and harm......Page 159
H. G. Akhavan and D. Mirshekar-Syahkal, A simple technique for e......Page 160
C. A. Balanis, Antenna Theory: Analysis and Design, 2nd ed. New......Page 161
II. I DEAL P ARAELECTRIC N ONLINEARITY......Page 162
III. A SYMPTOTIC A PPROXIMATIONS......Page 163
V. S MALL C APACITORS AND F RINGING E FFECTS......Page 164
Fig.€5. Interfacial capacitance in thin-film varactors contribut......Page 165
VIII. C ONCLUSION......Page 166
T. R. Taylor, P. J. Hansen, B. Acikel, N. Pervez, R. A. York, S.......Page 167
I. I NTRODUCTION......Page 168
A. Design of the Load Transmission-Line Segments......Page 169
Fig.€2. Dependence of the power transmission response of the tra......Page 170
Fig.€3. Control of the transversal filtering section performance......Page 171
Fig.€5. Simulated power transmission response of the ideal desig......Page 172
B. High-Selective Microwave Active Bandpass Filter......Page 173
Fig.€11. Simulated and measured power reflection and transmissio......Page 174
G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Im......Page 175
A. SSL......Page 177
III. G ENERAL F ILTER D ESIGN......Page 178
TABLE II G EOMETRICAL D IMENSIONS OF THE F ILTER A CCORDING TO F......Page 179
IV. L OW -P ASS F ILTER......Page 180
VI. H IGH -P ASS F ILTER......Page 181
Fig.€18. Top and bottom side layouts of an SSL diplexer consisti......Page 182
W. Menzel and M. Berry, Quasi-lumped suspended stripline filters......Page 183
A. Hydrodynamic Small-Signal Model......Page 185
III. O PTICAL D ESIGN......Page 187
Fig.€2. Output current of input open-ended TWPD calculated using......Page 188
S. E. Laux, Techniques for small-signal analysis of semiconducto......Page 189
M. Govindarajan and S. R. Forrest, Transit-time broad-banding of......Page 190
Fig.€2. Two-antenna configuration for WLAN and UWB coexistence.......Page 191
Fig.€5. Representation scheme in the proposed algorithm. (a) A t......Page 192
Fig.€7. Convergence of the GA.......Page 193
A. Dual-Band Characteristic......Page 194
B. Isolation Issues Between WLAN and UWB Ports......Page 195
J.-W. Sheen, LTCC-MLC duplexer for DCS, IEEE Trans. Microw. Theo......Page 196
G. M. Rebeiz, RF MEMS Theory, Design, and Technology . New York:......Page 197
I. I NTRODUCTION......Page 198
A. Error-Function Selection......Page 199
Fig.€2. Flowchart illustrating the GA procedure.......Page 200
A. Computer-Generated $S$ -Parameters......Page 201
Fig. 5. Magnitude of $S_{11}$ and $S_{21}$ for single-layer Bake......Page 202
TABLE VI E XTRACTED P ERMITTIVITY AND E RROR FOR N ANOMATERIAL......Page 203
D. Three-Layer Measurements......Page 204
J. J. More, The Levenberg Marquardt algorithm: Implementation an......Page 205
8510C Network Analyzer System Operating and Programming Manual,......Page 206
Website......Page 207
310 - [email protected] 208

Citation preview

OCTOBER 2005

VOLUME 53

NUMBER 10

IETMAB

(ISSN 0018-9480)

PAPERS

Detailed Distortion Analysis Technique Based on Simulated Large-Signal Voltage and Current Spectra . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. P. Aikio and T. Rahkonen On the Development of CAD Techniques Suitable for the Design of High-Power RF Transistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P. H. Aaen, J. A. Plá, and C. A. Balanis Synthesis of Inline Filters With Arbitrarily Placed Attenuation Poles by Using Nonresonating Nodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Amari and G. Macchiarella Design and Practical Implementation of Multifrequency RF Front Ends Using Direct RF Sampling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. L. Psiaki, S. P. Powell, H. Jung, and P. M. Kintner Generation and Distribution of a Wide-Band Continuously Tunable Millimeter-Wave Signal With an Optical External Modulation Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. Qi, J. Yao, J. Seregelyi, S. Paquet, and C. Bélisle Perfectly Matched Layer Implementation Using Bilinear Transform for Microwave Device Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . X. Dong, W.-Y. Yin, and Y.-B. Gan Compact and Broad-Band Millimeter-Wave Monolithic Transformer Balanced Mixers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . P.-S. Wu, C.-H. Wang, T.-W. Huang, and H. Wang 10-Gb/s Driver Amplifier Using a Tapered Gate Line for Improved Input Matching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Shohat, I. D. Robertson, and S. J. Nightingale Coherent Optical Vector Modulation for Fiber Radio Using Electrooptic Microchip Lasers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Y. Li, M. Bystrom, D. Yoo, S. M. Goldwasser, and P. R. Herczfeld Complex-Permittivity Measurement on High- Materials via Combined Numerical Approaches. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . X. C. Fan, X. M. Chen, and X. Q. Liu Characteristics of Cross (Bypass) Coupling Through Higher/Lower Order Modes and Their Applications in Elliptic Filter Design. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Amari and U. Rosenberg On the Theory of Chained-Function Filters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. E. Chrisostomidis and S. Lucyszyn -Band Resonant Ring for Testing Components for a High-Gradient Linear Accelerator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Bogdashov, G. Denisov, D. Lukovnikov, Y. Rodin, and J. Hirshfield Reactance of Hollow, Solid, and Hemispherical-Cap Cylindrical Posts in Rectangular Waveguide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Roelvink and A. G. Williamson Insertion Loss Function Synthesis of Maximally Flat Parallel-Coupled Line Bandpass Filters.. . . K.-S. Chin and J.-T. Kuo

3057 3067 3075 3082 3090 3098 3106 3115 3121 3130 3135 3142 3152 3156 3161

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Mode-Matching Analysis of a Shielded Rectangular Dielectric-Rod Waveguide . . . . . . . . . C. G. Wells and J. A. R. Ball -Factor Definition and Evaluation for Spiral Inductors Fabricated Using Wafer-Level CSP Technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .Y. Aoki and K. Honjo Simple Model for the Mode-Splitting Effect in Whispering-Gallery-Mode Resonators . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .P.-Y. Bourgeois and V. Giordano Effects of the Ground on Power-Line Communications. . . . . . . . . . . . . . . . . . . . . . . W. Q. Luo, S. Y. Tan, and B. T. Tan Deembedding of Lossy Foster Networks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Kajfez A Novel Fully Integrated Transmitter Front-End With High Power-Added Efficiency.. . . H. Kim, I.-J. Yoon, and Y. J. Yoon Modeling the Capacitive Nonlinearity in Thin-Film BST Varactors . . . . . . . . . . D. R. Chase, L.-Y. Chen, and R. A. York Using the Branch-Line Directional Coupler in the Design of Microwave Bandpass Filters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. Gómez-García, J. I. Alonso, and D. Amor-Martín Quasi-Lumped Suspended Stripline Filters and Diplexers . . . . . . . . . . . . . . . . . . . . . . . . . . . W. Menzel and A. Balalem An Analytical Small-Signal Model for Submicrometer n -i-n Traveling-Wave Photodetectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. Torrese, I. Huynen, and A. Vander Vorst A Microstrip Three-Port and Four-Channel Multiplexer for WLAN and UWB Coexistence. . . . M.-I. Lai and S.-K. Jeng Comparison of Two Optimization Techniques for the Estimation of Complex Permittivities of Multilayered Structures Using Waveguide Measurements . . . . . . . . . . . . . . . . . . . . . . .M. E. Baginski, D. L. Faircloth, and M. D. Deshpande Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

3169 3178 3185 3191 3199 3206 3215 3221 3230 3238 3244 3251 3260

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society and will receive this TRANSACTIONS upon payment of the annual Society membership fee of $14.00 plus an annual subscription fee of $24.00. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only.

ADMINISTRATIVE COMMITTEE K. C. GUPTA, President K. VARIAN, Vice President A. MORTAZAWI, Secretary M. P. DELISIO D. HARVEY T. LEE V. J. NAIR S. KAWASAKI S. M. EL-GHAZALY J. HAUSNER D. LOVELACE B. PERLMAN J. S. KENNEY M. HARRIS L. KATEHI J. MODELSKI D. RUTLEDGE N. KOLIAS Honorary Life Members Distinguished Lecturers T. ITOH T. ITOH T. S. SAAD K. TOMIYASU L. E. DAVIS B. KIM A. A. OLINER P. STAECKER L. YOUNG W. GWAREK J. LASKAR W. HEINRICH J. C. RAUTIO W. HOEFER

M. HARRIS, Treasurer W. SHIROMA R. SNYDER R. SORRENTINO D. RYTTING M. SHUR P. SIEGEL R. J. TREW

K. VARIAN R. WEIGEL S. WETENKAMP Past Presidents R. J. TREW (2004) F. SCHINDLER (2003) J. T. BARR IV (2002)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: D. KREMER Beijing: Z. FENG Beijing, Nanjing: W.-X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: L. HAYS Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: F. SULLIVAN Central & South Italy: R. TIBERIO Central No. Carolina: T. IVANOV Chicago: R. KOLLMAN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. D. BALUSEK Dayton: A. TERZOULI, JR. Denver: K. BOIS Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI

Florida West Coast: K. O’CONNOR Foothill: C. ANTONIAK France: O. PICON Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: K. MICHALSKI Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: E. HU New South Wales: G. TOWN New Zealand: J. MAZIERSKA

Editor-In-Chief MICHAEL STEER North Carolina State Univ. Raleigh, NC 27695-7911 USA Phone: +1 919 515 5191 Fax: +1 919 513 1979 email: [email protected]

North Italy: G. GHIONE North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: Y. THODESEN Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: T. WU Ottawa: J. E. ROY Philadelphia: J. B. McCORMACK Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE / A. KATZ Queensland: A. ROBINSON Rio de Janeiro: F. J. V. HASSELMANN Rochester: S. M. CICCARELLI / J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorad: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: S. KUMAR Seattle: K. POULSON Seoul Council: H.-Y. LEE Siberia, Novosibirsk: V. SHUVALOV Siberia, Tomsk: O. STUKACH Associate Editors

Singapore: O. B. LEONG South Africa: P. W. VAN DER WALT South Australia: H. HANSON South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: E. C. FEAR Spain: L. DE HARO Springfield: S. C. REISING Sweden: A. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS / S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI Ukraine West: M. I. ANDRIYCHUK UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: E. I. VELIEV Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington D.C./Northern Virginia: J. QIU Winnipeg: S. NOGHANIAN Yugoslavia: B. MILOVANOVIC

RUEY-BEEI WU ANDREAS CANGELLARIS ZOYA POPOVIC Univ. of Illinois, Urbana Champaign Univ. of Colorado at Boulder National Taiwan Univ. USA USA Taiwan, R.O.C. email: [email protected] email: [email protected] email: [email protected] AMIR MORTAZAWI DYLAN F. WILLIAMS ALESSANDRO CIDRONALI Univ. of Michigan at Ann Arbor NIST Univ. of Florence USA USA Italy email: [email protected] email: [email protected] email: [email protected] YOSHIO NIKAWA KENJI ITOH STEVEN MARSH Mitsubishi Electric Corp. Midas Consulting Kokushikan Univ. Japan U.K. Japan email: [email protected] email: [email protected] email: [email protected] JOSÉ PEDRO Univ. of Aveiro Portugal email: jcp.mtted.av.it.pt R. VAHLDIECK, Editor, IEEE Microwave and Wireless Component Letters M. GUPTA, Editor, IEEE Microwave Magazine

MANH ANH DO Nanyang Technological Univ. Singapore email: [email protected] VITTORIO RIZZOLI Univ. of Bologna Italy email: [email protected] SANJAY RAMAN Virginia Polytech. Inst. and State Univ. USA email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Offficers LEAH H. JAMIESON, Vice President, Publication Services and Products W. CLEON ANDERSON, President and CEO MARC T. APTER, Vice President, Regional Activities MICHAEL R. LIGHTNER, President-Elect DONALD N. HEIRMAN, President, IEEE Standards Association MOHAMED EL-HAWARY, Secretary JOHN R. VIG, Vice President, Technical Activities JOSEPH V. LILLIE, Treasurer GERARD A. ALPHONSE, President, IEEE-USA ARTHUR W. WINSTON, Past President MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

Executive Staff

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $69.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2005 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2005.858959

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

3057

Detailed Distortion Analysis Technique Based on Simulated Large-Signal Voltage and Current Spectra Janne P. Aikio, Student Member, IEEE, and Timo Rahkonen, Member, IEEE

Abstract—This paper presents an analysis technique implemented on top of normal harmonic-balance simulation, where the simulated nonlinear voltage and current spectra is used for fitting a polynomial device model around the large-signal bias point. Further, using the fitted model and spectra of the controlling voltages, the detailed structure of the third-order intermodulation distortion is calculated using a simplified form of Volterra analysis. This detailed information can be used to find the dominant causes of distortion and possible cancellation mechanisms, to study the bandwidth-dependent memory effects caused by upor down-converted mixing results, or to find harmonic matching impedances that minimize the overall distortion. Index Terms—Distortion analysis, memory effects, polynomial device model, Volterra analysis.

I. INTRODUCTION PTIMIZATION of the dynamic range is one of the most complex tasks in circuit design, calling for minimization of both the noise and distortion. Noise analysis tools are well established, and it has been possible for decades to obtain a list of the most dominant noise sources. Therefore, the designer immediately knows which part of the circuit needs to be modified to minimize the overall noise most effectively.1 In distortion analysis, however, this has not been the case, as most nonlinear distortion analysis tools only show the total amount of distortion, giving no guidance as to how to reduce the distortion. This paper presents a circuit analysis technique that can give such information. Finding the dominant cause of distortion, however, is not that straightforward as the distortion is a deterministic signal. In noise simulations, the correlation between different sources is weak or modest, but with distortion signals, it is quite possible that several contributions do cancel each other. To be able to recognize such cancellations, we need to study both the magnitude and phase of distortion contributions. Moreover, it is not sufficient to say only from which component or source the distortion is coming, but especially in RF applications, it is also relevant to recognize various mixing mechanisms. This is illustrated in Fig. 1: second-order distortion at the baseband

O

Manuscript received October 20, 2004; revised June 8, 2005. The authors are with the Electronics Laboratory, Department of Electrical and Information Engineering and Infotech Oulu, University of Oulu, Finland, FIN-90014 University of Oulu, Finland (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855132 1APLAC circuit simulator, http://www.aplac.com

Espoo,

Finland.

[Online].

Available:

Fig. 1. (a) Spectral components generated by nonlinearities up to the third degree. (b) Composition of IM3.

and second harmonic mix further to third-order intermodulation distortion (IM3) in the quadratic nonlinearities of the device. IM3 caused by third-degree nonlinearities are typically and are frequency memoryless, but mixing results dependent and may cause asymmetric IM3 sidebands (see, e.g., [1]). While the distortion on these bands can be affected by the harmonic matching impedances, it is important to know their relative contribution to the overall distortion. Thus far, simulation algorithms that would be capable of displaying such a detailed view of distortion have not been reported. The fine structure of distortion has previously been studied using either symbolic or semisymbolic Volterra analysis or dedicated numerical simulators in [2]–[5]. Symbolic analysis methods can separate an arbitrary number of contributions, but these are not supported in commonly used circuit simulators. Symbolic expressions themselves are not very illustrative and, thus, parallel numerical analysis is typically needed to pick up the significant terms. A completely numerical Volterra simulator reported in [5] is capable for calculating the contributions, but the characterization of the device models needs to be done elsewhere. Peng and Pileggi [6] have reported a numerical method displaying the contribution from each transistor. However, it cannot show, for example, the mixing effects presented in Fig. 1. This paper presents a technique in which the polynomial model is fitted using simulated large-signal spectra. The fitted model is used for simplified Volterra analysis that can, for example, pinpoint the dominating cause of distortion. These tasks have been implemented on top of harmonic balance (HB) in the circuit simulator. This paper is organized as follows. Frequency-domain analysis using the polynomial nonlinearities is shown in Section II.

0018-9480/$20.00 © 2005 IEEE

3058

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

In Section III, principles of polynomial modeling and existing fitting techniques are reviewed briefly with an example. Section IV presents a technique of fitting a polynomial model based on current and convolved voltage spectra. In addition, the basics of simplified Volterra analysis are presented. Simulation examples are shown in Section V and, finally, the main points of this paper are summarized and discussed in Section VI. II. FREQUENCY-DOMAIN ANALYSIS USING POLYNOMIAL NONLINEARITIES A. Principles of Spectral Convolution One circuit analysis method that allows very easy and tractable calculation of all the mixing products caused by the nonlinearities is to use polynomial models for the nonlinear sources. The tractability is due to the fact that a time-domain multiplication results in frequency-domain convolution (1) denotes convolution and and are twowhere sided spectra. Thus, a polynomial nonlinear model of a onedimensional (1-D) – nonlinearity in the time domain can be presented as (2) The corresponding polynomial in the frequency domain transforms to a linear combination of convolved spectra as (3) Convolution, on the other hand, can be calculated as a matrix product. A two-sided spectral column vector is multiplied by a matrix that is built up of rows containing reversed and shifted replicas of another spectrum. B. Calculating Spectral Convolution An example of calculating the spectral convolution is illustrated in (4). In the example, a three-tone spectrum is convolved with itself, corresponding to . In this example, the effect of quadratic nonlinearity tones , , and denote the amplitudes at dc, fundamental, and second harmonic, respectively, and denotes the complex conjugate. Convolution results in twice as wide output spectrum, which is usually not desirable. This can be avoided by truncating the length convolution matrix in (4) by removing the first and last rows to obtain a square matrix (shown by the dashed lines). Furthermore, the number of multiplications can be reduced by skipping the remaining zeros in the corners (shown by the dotted lines) and noting that two lower rows consist of the complex conjugates of the upper two rows. This largely reduces the number of multiplications needed to calculate the spectral convolution. Note that the result for each output frequency is calculated as a sum of various mixing products, which, for example, makes it possible to separate the contributions coming from different frequency bands and study the effects of harmonic matching.

Further, the inverse of matrix can be used for de-convolution, which is needed to calculate the spectral effects of denominator terms in rational polynomials

— — — . .— . — — .. . .. . .. .. . . .. . .. . . — — —. . — — —

(4)

C. Convolution in Spectral Balance (SB) Spectral convolution has been employed in a steady-state analysis method called SB (see, e.g., [7]–[9]), which is a quick and purely frequency-domain substitute for the standard HB. SB has some advantages, including easy modeling of dispersion effects in the frequency domain, quick calculation of the Jacobian needed to steer the iteration, and no need to pump up between time- and frequency-domain presentations. The main disadvantage comes from the modeling burden: A large number of terms are needed in the polynomials or rational polynomials to cover all operating regimes of the transistor. Hence, polynomials are not well suited for modeling the nonlinear devices in general. However, if the polynomial model can be fitted locally over a known signal range far less terms are needed, and this fact is employed in this paper. III. POLYNOMIAL MODELING A. Polynomial Device Models The main idea of polynomial device modeling is simply that each nonlinear – and – source of the device is modeled as a polynomial function of the controlling ac voltages and junction temperature. For example, the drain–source current of the field-effect transistor (FET) can be considered as an – nonlinearity that is controlled by the gate–source and voltages. Hence, a third-degree polynomial drain–source – – nonlinearity can be written as model of the

(5) where and are correis the sponding ac voltages around bias point and signal-dependent temperature change. ’s are the polynomial refer to a coefficients and the indexes of the coefficients . product term Similarly, for example, the gate–source capacitance can be considered as a – nonlinearity that is usually con-

AIKIO AND RAHKONEN: DETAILED DISTORTION ANALYSIS TECHNIQUE

3059

Fig. 2. (a)–(c) Fitted values of coefficients K , K , and K of a I –V source versus input amplitude. (d) Amplitude response of fundamental, second harmonic, IM3, and IM5 of the complete nonlinear model (MET) and residue-fitted and I –V and spectral fitted third-degree polynomial models.

trolled only one voltage . Hence, the third-degree polynomial for the – nonlinearity can be written as

(6) where

and refers to a product term . More details of polynomial models can be found from [2]–[4]. B. Fitting of Polynomial Device Models There are several ways to construct a polynomial device model. The classic method is to pick up the higher order derivatives of the nonlinear function evaluated at the bias point. This requires that the derivative functions must be available. It also results in somewhat impractical truncation of the series: if the signal amplitude is increased, more terms need to be added to the polynomial to maintain the accuracy, which, in turn, very rapidly increases the amount of calculations needed. A more practical truncation method is to fix the degree of the polynomial model and let the polynomial coefficients vary with the signal amplitude. A coefficient update method based on evaluating the closed-form residue of the power series is described in [10]. Also, the standard least square error (LSE) fitting method can be used to fit the polynomial coefficients over the range of the controlling signal, in which case, no information about the derivative functions is needed [11]. The fixed-degree polynomial model characterization based on dc or small-signal measurements is studied, for example, in [4]. One should note, however, that fitting a fixed-degree polynomial causes the coefficients to vary not only with the dc bias, but also with the signal amplitude, as will be demonstrated in Section III-C. Hence, before fitting the model, we need to know both the large-signal operating point and the amplitudes of the controlling signals, which are difficult to predict without actual large-signal simulations. The previous methods are based on modeling the shape of the – and – functions, typically using tabulated data over the

given signal range. The polynomial model can also be characterized by measuring the amplitudes of the harmonics and intermodulation products. The measurements have to be done at such a low frequency that the harmonics are not filtered and the disis negligible. This tortion from drain–source capacitance technique has been used in [3], and it has been further expanded to include two-dimensional (2-D) nonlinearities, input–output cross-products, and feedback effects caused by terminal resis, , and in [12]–[14]. Extracting also the nontances linear capacitances requires that the transfer functions from the origin of distortion to the measurements point needs to be know precisely, as shown in [16]. In this paper, an alternative approach to fit the polynomial device model is used, which performs the fit in the frequency domain. The technique is based on fitting the polynomial model using the convolved large-signal spectra of the controlling voltages and the current spectrum of the corresponding – and – sources. This technique is presented in detail in Section IV. C. Accuracy Considerations The applicability and fitting accuracy of low-degree polynomial device models is illustrated next by an example where a – nonlinearity of the equation 1-D version of the of Motorola’s (now Freescale) MET LDMOS model [16] is replaced by a third-degree polynomial model (a 1-D model was chosen to simplify the residue based fitting technique described in [10]). The transistor is biased in highly nonlinear class and excited with a two-tone test signal of varying amplitude. The polynomial is fitted separately for each amplitude using three different fitting techniques: the Taylor series residue update technique [10], the – LSE fitting technique [4], and the frequency-domain fitting technique described in this paper. The amplitude response is shown in Fig. 2(d). The ampli, second harmonic , and tudes of the fundamental IM3 of all polynomial models agree with the complete MET model within 3 dB over the entire 30-dB input amplitude range. This can be considered as a very good result for such a low-degree model.

3060

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

The accuracy, however, is obtained at the cost of local modeling, and the polynomial coefficients depend strongly on the signal amplitude, as shown for all coefficients in Fig. 2(a)–(c). It is seen that all the fitting techniques result in similar behavior where the coefficients agree with the fixed small-signal values (Taylor, shown as dashed line) at low signal levels, but deviate heavily from the fixed values when the signal level is increased. To summarize, low-degree polynomial models are capable of modeling highly nonlinear behavior. However, the polynomial coefficients depend strongly on the signal amplitude and the actual large-signal bias point that, in practice, need to be simulated by a nonlinear analysis using a nonpolynomial model. This is the case in the frequency-domain fitting described below, as it uses the simulated large-signal data. Moreover, the frequency-domain fitting technique allows easy weighting of different tones so that the accuracy of the fundamental band can be improved at the cost of harmonic bands. IV. FITTING BASED ON VOLTAGE AND CURRENT SPECTRA A. Principles of Spectral Fitting As shown in (3), the spectral regrowth can be calculated as a linear combination of higher order convolved spectra. The same equation can be used to fit polynomial models of – and – sources if the voltage spectra of the controlling nodes and the spectra of all branch currents of the device are available. Using the extracted polynomial coefficients, we can further reconstruct the various mixing products and study how the distortion is actually built up. The main benefit of this technique is precisely in this tear-down analysis of distortion, which can be done using polynomial modeling. However, the polynomial models are built using the existing nonlinear models so that the results are only as accurate as the underlying nonlinear models. Hence, the benefits are in a more detailed analysis and not in improved modeling. The fitting technique itself is based on convolving controlling voltage spectrum to obtain the corresponding higher degree terms of the series expansion of the polynomial. For example, in a series expansion cora second-degree nonlinear term responds to a spectrum obtained by convolving the simulated with itself, as explained in Section II. In voltage spectrum general, spectra corresponding to higher degree nonlinear terms are obtained by convolving times with itself. Below are a few extensions to this principle. When a nonlinear charge is modeled (i.e., fitting the current needs of the capacitor), each value of the voltage spectra , where is the frequency of the correto be multiplied by causes the sponding tone. The reason for this is that dependency to the nonlinear current of the capacitance [3]. The fit of the capacitors is quite simple (see [17]), as they are often controlled by only one voltage. In the case of modeling a 2-D nonlinearity, e.g., the – – current source, the effect of the output voltage and the input–output cross-terms also need to be included in the can be calculated by convolving model. Cross-terms with th-order all th-order input voltage spectra , where and . output voltage spectra However, there might be difficulties in fitting if the controlling

– – source voltages correlate strongly. The fit of the is described in more detail in [18] and [19]. The use of this fitting technique offers a couple of advantages. First, we do not need to make a dc sweep to build a table of current or capacitance values to fit the coefficients, as this information is already included in the output currents in case the large-signal spectra is used. Second, we are now naturally dealing with the correct quantities, i.e., tone amplitudes at given frequencies. Third, in the frequency domain, it is much easier to include delay and dispersion effects to the fitting process. B. Illustration of the Technique The – source is usually controlled by only and, thus, are suitable as an example of a one-input nonlinearity. Let be the current spectrum of the source that will be spectrum. Here, modeled by a 1-D polynomial of the is marked as and the higher order spectra are obby itself. For example, tained by convolving and correspond to the nonlinear terms and , respectively. In a capacitor model, all the voltage spectra need to be multiplied by , where is a diagonal matrix consisting of the tone frequencies of the two-sided spectrum. and are vertical vectors that may contain distortion components. A third-degree equation can now be built by .. .

.. .

.. .

.. .

.. .

.. .

.. . (7) .. . – – current The third-degree polynomial of the source shown in (5) is an obvious example of a 2-D nonlinearity. Now let be the spectrum of the output current and and be the measured and voltage spectra, respectively, all being vertical vectors. The higher order spectra are marked as corresponding to a nonlinear term . For example, input cubic term is obtained by , output quadratic term by , and cross-product terms by . Now the matrix equation shown in (8) at the bottom of the following page can be built. Self-heating distortion effects can also be included in the model by adding the corresponding frequency-domain versions of the last three terms of (5) in (8). These terms can be obtained by convolving the spectrum of the temperature variations with and , as presented in [20].

AIKIO AND RAHKONEN: DETAILED DISTORTION ANALYSIS TECHNIQUE

3061

The actual fitting of the polynomial models is done by the LSE method, making the model of current spectra as accurate , where as possible. Equations (7) and (8) are of form is called the fitting matrix and is the coefficient vector. In the LSE sense, is solved simply by [11] (9) This directly gives the polynomial model that can be exported to Volterra simulations. C. Simplified Volterra Calculations It is possible to use the fitted coefficients and the convolved spectra of the controlling voltages to perform a simplified Volterra analysis. In general, the contributions of the selected distortion current can be calculated by multiplying the fitted coefficients with the selected tone phasor of the corresponding higher order voltage spectra. In the following, the simplified Volterra calculations of the IM3 current is presented in detail. In addition to the general form, it is also possible to separate the bandwidth dependent distortion that is generated by the second-order nonlinearities. This is illustrated in Fig. 1(a), in which some amount of distortion is mixed to IM3 from the envelope and second harmonic bands. These can be separated most easily by picking up the and second harmonic bands from the envelope input voltage spectrum (while setting all other harmonic bands to zero) and convolving them with fundamental band (10) (11) For example, the IM3 current of a 1-D – source up to the third degree can be written as a vector sum by

(12)

term shows that any IM3 in the controlThe ling voltage causes current flow in the linear portion of the cais the effect of cubic nonlinearity, and pacitance, and show the mixing results from envelope and second harmonic signals in the controlling voltage. – – current source, both conIn the case of a 2-D trolling voltages and cross-terms need to be considered. The corresponding vector sum of IM3 current of the source up to the third degree can be written again by expanding (8) to a sum and picking up the IM3 phasors from the spectra

(13) Using this technique, no additional Volterra simulator is needed. The per-component information of the contributions of the IM3 current can be visualized as a phasor presentation by plotting each vector [i.e., each term in (12) and (13)] on a real-imaginary plane starting from the origin. In this way, the cancellation mechanisms can be easily visualized. V. SIMULATION EXAMPLES A. Test Setup In the examples shown here, the large-signal current spectra of – and – sources and the corresponding controlling voltage spectra of Freescale’s LDMOS MET model [16] are used to fit the polynomial model. In the APLAC circuit simulator, it is possible to access the internal model by the names of the internal nodes (controlling voltages) and branches ( – and – sources). The intrinsic MET model is presented in Fig. 3 [16]. It contains three 1-D capacitors and one 2-D – source. A thermal circuit with one very large time constant is also included.

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. .

.. . (8) .. .

3062

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 5. (a) Normal test setup for two-tone HB simulations. (b) One-tone input–one-tone output test setup to reduce the correlation.

Fig. 3. Equivalent circuit of the MET model with internal nodes and branches.

to avoid spectral regrowth from one harmonic band to another during the calculation of the convolution. DC and the envelope band is in the middle, surrounded by the fundamental band and second, third, fourth, and fifth harmonic bands. between simulated The relative fitting error and fitted spectra of the envelope, fundamental, second and up to the third harmonic band is below 50 dB for all capacitances, which implies a successful fit. D. Fit of

Fig. 4. Simulated current spectrum of C

Q– V

source.

A test bench for a complete power amplifier using the MRF21030 LDMOS transistor, presented in [21], was constructed in APLAC, and a two-tone test up to the fifth harmonic was simulated using HB. The center frequency for the two-tone test signal of the test bench was 2140 MHz with 2-MHz tone spacing. The dc-bias point was set to a moderate class AB in V and V correspond to the drain which mA. bias current of B. Simulation Procedure The simulation procedure is as follows. The current spectra of the – and – sources and the corresponding controlling voltage spectra are obtained by running the two-tone HB simulation. The controlling voltage spectra are convolved and used to fit the coefficients of the polynomial – and – sources up to fifth-degree, allowing the modeling of quite strong nonlinearities. By using the fitted coefficients and the corresponding convolved voltage spectra, the IM3 current is calculated as a vector sum and the IM3 contributions are visualized, for example, as phasors. All these tasks are implemented in the APLAC circuit simulator using its command language with around 1500 lines of code. Completing these tasks takes 2–4 s on top of HB. C. Fit of the Capacitances The capacitances are fitted as explained in Section IV. has some nonlinearity, while drain–source and gate–drain capacitances are quite linear. Therefore, the and third degree fit is performed up to the fifth degree for and . The two-sided spectrum of the simulated for current is shown in Fig. 4. The -axis is not linear, but harmonic bands are separated by a sufficient number of zeros

-

-

Current Source

– – source is more complicated comThe fit of the pared to the fit of the capacitances since there are two controlling and that additionally correlate strongly. This voltages correlation is somewhat reduced by the generated distortion and and , but especially with highly the transit delay between linear low-frequency amplifiers, the fitting matrix tends to be ill conditioned, which increases sensitivity to numerical errors. There are several ways to cope with the fitting problem caused by the strong input–output correlation. Amplitude and per-equation normalization and weighting or removing of different frequency bands helps to some extent, as shown in [18]. However, in a purely input-driven test, one is usually forced to reduce the number of output related nonlinear terms. For example, the electrothermal terms can be dropped, as their effect is rather weak [20]. Better results can be obtained by changing the characterization technique. In [14], the correlation is reduced by tuning the load impedance to obtain sufficient phase and amplitude error between the fundamental tones. A more practical approach is described in [13], where input–output correlation is reduced by applying one tone to the input and the other to the output. Different characterization techniques and the effects of reducing or incrementing the number of terms are shown in [19]. Here, the following two-step approach has been used. First, the one-tone input–one-tone output test setup, shown in Fig. 5(b), is used to fit the output related nonlinearities. The normal two-tone setup, shown in Fig. 5(a), is then used to solve the input related nonlinearities. This arrangement both breaks the input–output correlation and still excites the input with a two-tone test signal, that has a slightly more realistic amplitude density function than a one-tone test signal. current is presented in The spectrum of the simulated Fig. 6(a). The presentation is similar to Fig. 4. The spectrum of the relative error between the simulated and fitted spectra is shown in Fig. 6(b). The errors are in decibels so that 20 dB,

AIKIO AND RAHKONEN: DETAILED DISTORTION ANALYSIS TECHNIQUE

3063

Fig. 7.

Fig. 6. (a) Simulated I

spectrum. (b) Accuracy of the fit.

40 dB and 60 dB errors correspond to 10%, 1% and 0.1% amplitude errors, respectively. It can be seen that the errors of dc, fundamental, second, and third harmonic bands are small, which implies successful fit. The tones in the middle of the bands are accurate, while accuracy decreases toward the edge of the band. The accuracy in the fourth and fifth harmonic bands is also worse since the fit is performed only up to the fifth degree. E. Detailed Distortion Analysis The main motivation for using polynomial models is to have a more detailed view of the distortion. Usually IM3 is the most interesting distortion component in RF power amplifiers. Therefore, the main motivation here is to find out how IM3 is generated. A detailed view of IM3 is most easily obtained by calculating and plotting the IM3 phasors as a vector sum, as will be presented in Sections V–E-II. In the detailed analysis, the total IM3 current that is generated by the nonlinear source (denoted as ) and conducted IM3 current through the source (denoted as ) are separated. The linearly conducted IM3 current is caused by the already distorted controlling voltage that is multiplied by the linear term of the polynomial. The IM3 contributions are de, where denotes the coefficient of noted generally as the th-degree nonlinearity and denotes the corresponding convolved voltage spectrum. For example, the third-degree term nonlinearity is denoted as , corresponding of to the cubic nonlinear term . 1) Amplitude Sweep: The two-tone input signal was swept over a wide amplitude range and the contributions of the IM3 current for every – and – source of the device were calculated in every sweep point. However, it is not very informative to show the contributions in magnitude versus output amplitude format for every source since this presentation format does not, for example, show cancellation effects. There is also a lot of information to be visualized (see, e.g., [22]). However, it is possible to compress the information, for example, by displaying only the conducted and generated IM3 currents of the nonlinear sources at the terminal nodes.

IM3 contributions at the drain node versus output amplitude.

IM3 contributions at the drain node versus output amplitude – – source are shown in Fig. 7. It can be seen that the and, is generating the most of the IM3 current thus, it is dominating the IM3 current at the drain. At high amdecreases, whereas IM3 generplitudes, however, become as large as . Linated by early conducted IM3 current caused by the in fact is the largest contribution at the drain. This is because is part of the output matching network and, thus, amplifies the IM3 current (as well as the fundamental tones) at the drain. The linearly conducted IM3 current from the gate (caused by or ) is also quite large. Howtransconductance decreases at higher amplitudes. The other ever, contributions are quite negligible. It can be seen that the sum of the contributions Result accurately follows the result simulated using HB Result . To get more detailed information of IM3, the contributions need to be calculated at one desired bias and amplitude point and the calculated contributions need to be plotted as vectors. In this way, the cancelling effects can be seen. In the following example, the bias point is maintained the same and the output dBm tone is chosen and analyzed in amplitude detail. 2) Vector Plot of IM3 of the Complete Amplifier: The phasor plot of the IM3 current at the input ( , gate) and the output ( , drain) node of the device are presented in Fig. 8(a) and (b). Furthermore, the more detailed view of IM3 currents of the – source and – – – source are shown in and are Fig. 8(c) and (d). As was mentioned above, quite linear and, therefore, not shown here. However, their effect to total IM3 at the gate and drain are included and, thus, analyzed. The IM3 phasors are presented as vector sums enabling the cancelling effects to be visualized. The vertical axis of this figure is the imaginary part of the vector and the horizontal axis is the real part, respectively. The shortest vectors are not shown in the vector plots. It can be seen from Fig. 8(a)–(d) that the vector sums Result match well with the data simulated using HB Result . This result is quite expected as, for example, in Fig. 6, where the spectrum of the fitted model was shown to be very accurate at IM3. At the gate node shown in Fig. 8(a), the linear terms and dominate the IM3 current. This is caused

3064

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 8. Vector plot of IM3 current: (a) at the gate node, (b) drain node, (c) C

by the fact that either the gate or drain voltage is distorted, and this IM3 voltage causes IM3 current in the otherwise quite linear and . is the most nonlinear component at the gate even though it generates very little IM3 current. At the drain node, presented in Fig. 8(b), a significant portion of total IM3 current is caused by the IM3 voltage across . The IM3 current amplified from the gate the quite linear is also large, but, in fact, the nonvia the gm linear – – source generates the most IM3 distortion and, hence, it dominates the IM3 current at the drain. The fact that a large portion of the IM3 current at the drain node is actually a result of the feedback and resonating being part of the matching network) cannot output match ( be recognized without this kind of tear-down analysis. The nonlinear sources are studied next in more detail. In – source is preFig. 8(c), the vector plot of the sented. The IM3 voltage multiplied by the linear term of dominates the IM3 current of the – source. However, some amount of distortion is generated in , where mixing from the second harmonic at . the gate partially cancels the cubic nonlinearity – – source, shown in Fig. 8(d), In the case of the the dominating nonlinearities seem to be and , but those are in opposite directions and, thus, the IM3 current generated by fifth-degree nonlinearity partially cancels the IM3 current generated by third-degree nonlinearity. The only fre(i.e., quency-dependent term found in Fig. 8(d) is output second harmonic coupled to gate and mixed to IM3 in – – source). the second-degree nonlinearity of the is small and, therefore, has little effect to However,

Q–V

source, and (d) I

Fig. 9.

–V

–V

source.

Test bench used for optimization.

total IM3 current at this bias and amplitude point. The twostep input–output characterization allows to also calculate the and (corinput–output cross-product terms and ), but their responding to effect are also rather weak. 3) Optimizing the Matching Impedances: The detailed distortion analysis can be used effectively for design purposes to optimize, for example, the matching impedances. This is presented by an example where the output matching impedance at parthe second harmonic band is optimized so that . A hand-tuning optitially cancels the cubic distortion mization mode is used in this example. One iteration cycle consists of two-tone HB simulation analysis, spectral fitting, and detailed distortion analysis. The test bench used for impedance optimization is illustrated in Fig. 9. Default impedance values were obtained by simulating the matching circuitry of the complete amplifier [21]. Obtained impedances seen by the transistor were written to -block elements that are simply tables of impedances at all the calculated frequencies. Impedances for envelope, fundamental, and second

AIKIO AND RAHKONEN: DETAILED DISTORTION ANALYSIS TECHNIQUE

Fig. 10. Vector plot of the optimization of: (a) the I –V –V source and (b) at the drain (solid lines default values, dotted lines optimization values).

=

=

harmonic bands were included in the -blocks, while impedances for higher harmonics were ignored and set to zero. To minimize the amount of optimization variables, only one complex variable was used to represent the magnitude and phase for fundamental and second harmonic bands, respectively. Within the envelope band, separate impedances could be given for and tones. First, a two-tone signal is applied, the polynomial model is fitted using the simulated data, and detailed analysis is calcu– – source and drain lated. The vector plots for the node are shown in Fig. 10(a) and (b) as solid vector lines, respecis tuned to cancel by tively. Next, the term so that gets opposite to rotating and increasing its magnitude by a factor of 3.5. The resulting is almost capacitive and realizable. The result of the optimization is presented in Fig. 10(a) and (b) as dotted vector lines. It can be seen from Fig. 10(a) now together with cancels almost that completely. It can also be seen in Fig. 10(b) that the generated – – source is reduced to IM3 current from the one-third and the total IM3 current seen at the drain node is halved, which is a good result. At the same time, the fundamental tone remained almost the same. This result is obtained simply by the optimization of the output matching impedance for the second harmonic and observing the phasor presentation to find out which direction the magnitude and phase should be changes to reach the optimal cancellation. The obtained impedance values may not be realizable in general, but it gives the idea how to find optimal cancellation and, thus, increase linearity very effectively. Unfortunately, such cancellation is . also very sensitive to the frequency dependence of VI. DISCUSSION It is possible to fit a polynomial device model by using simulated large-signal spectra that automatically contain information of correct amplitude range and bias point. However, this tech-

3065

nique requires that the spectra of the current sources of the device, as well as the corresponding controlling voltages are available. Another limitation of this technique is that, unlike in complete Volterra simulators, the inter-device transfer functions are not available, and the analysis is limited to intra-device only, not being capable of showing contributions from different devices. The phasor plot as a vector sum of IM3 currents from – and – sources was analyzed, as well as the total IM3 current of the input and output of the device. In the simulated examples, and was the linearly conducted IM3 current through shown to dominate the IM3 current at the gate, whereas the gen– – source dominates erated IM3 current from the the IM3 current at the drain. However, a significant portion of the IM3 current at the drain is also caused by the fact that the already distorted drain voltage appears across rather linear, but capacitance. very low-impedance In addition, the developed technique can be used to optimize the matching impedances for the device. Detailed distortion analysis gives necessary information about the direction should be changed, for example, to get where the optimal cancellation of the distortion. The simulation showed that by optimizing the output impedances of the second har– – source monic, the IM3 current generated in the is reduced to one-third and the overall IM3 current is halved. Both the fitting and phasor analysis, as well as the optimization technique have been implemented by using the command language of APLAC circuit simulator without touching the source code. Completing these tasks takes only approximately 2–4 s on top of normal two-tone HB simulation. Somewhat similar information about the mixing mechanisms from one harmonic band to another could be obtained from the Jacobi matrix of the HB algorithm, but this is usually not accessible without touching the source code, and the entries of the Jacobian tend to lump the effects of all routes from a node voltage to a branch current. To the authors’ knowledge, the technique described in this paper gives the most detailed information available about the fine structure of distortion. REFERENCES [1] N. B. de Carvalho and J. C. Pedro, “A comprehensive explanation of distortion sideband asymmetries,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2090–2101, Sep. 2002. [2] S. Maas, Nonlinear Microwave Circuits. Norwood, MA: Artech House, 1988. [3] P. Wambacq and W. M. C. Sansen, Distortion Analysis of Analog Integrated Circuits. Norwell, MA: Kluwer, 1998. [4] J. Vuolevi and T. Rahkonen, Distortion in Power Amplifiers. Norwood, MA: Artech House, 2003. [5] A. Heiskanen, J. Aikio, and T. Rahkonen, “A 5th-order study of a 30 W LDMOS power amplifier,” in Proc. IEEE Int. Circuits Systems Symp., vol. 4, May 25–28, 2003, pp. 616–619. [6] L. Peng and L. T. Pedro, “Efficient per-nonlinearity distortion analysis for analog and RF circuit,” IEEE Trans. Computer-Aided Des. Integr. Circuits Syst., vol. 22, no. 10, pp. 1297–1309, Oct. 2003. [7] C. R. Chang and M. B. Steer, “Frequency-domain nonlinear microwave circuit simulation using the arithmetic operator method,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 8, pp. 1139–1143, Aug. 1990. [8] N. B. Carvalho and J. C. Pedro, “Multi-tone frequency domain simulation of nonlinear circuits in large and small signal regimes,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2016–2024, Dec. 1999. [9] T. Närhi, “Frequency-domain analysis of strongly nonlinear circuits using a consistent large-signal model,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 2, pp. 182–192, Feb. 1996.

3066

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

[10] C. Fager, J. C. Pedro, N. B. de Carvalho, H. Zirath, F. Fortes, and M. J. Rosario, “A comprehensive analysis of IMD behavior in RF CMOS power amplifiers,” IEEE J. Solid-State Circuits, vol. 39, no. 1, pp. 24–34, Jan. 2004. [11] B. W. Rust, “Fitting nature’s basic functions part I: Polynomials and linear least squares,” IEEE Comput. Sci. Eng. Mag., vol. 3, pp. 84–89, Sep./Oct. 2001. [12] S. Maas and A. Crosmun, “Modeling the gate I/V characteristic of a GaAs MESFET for Volterra-series analysis,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 7, pp. 1134–1136, Jul. 1989. [13] J. Pedro and J. Perez, “Accurate simulation of GaAs MESFET’s intermodulation distortion using a new drain–source current model,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 1, pp. 25–33, Jan. 1994. [14] Y. Kim, J. Yi, Y. Yang, and B. Kim, “A novel extraction method for higher order components of channel current in a GaAs MESFET,” Microwave Opt. Technol. Lett., vol. 29, no. 2, pp. 114–117, Apr. 2001. [15] A. Garcia, A. Mediavilla, J. C. Pedro, N. B. Carvalho, A. Tazóne, and J. L. Garcia, “Characterizing the gate to source nonlinear capacitor role on GaAs FET IMD performance,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2344–2355, Dec. 1998. [16] W. R. Curtice, J. A. Pla, D. Bridges, T. Liang, and E. E. Shumate, “A new dynamic electro-thermal nonlinear model for silicon RF LDMOS FET’s,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, 1999, pp. 419–422. [17] J. P. Aikio and T. Rahkonen, “Fitting of polynomial device model based on large-signal voltage and current spectra,” in Proc. IEEE Norchip Conf., Nov. 2003, pp. 72–75. , “Fitting of 2-dimensional polynomial device model based on sim[18] ulated voltage and current spectra,” in Proc. IEEE Int. Circuit Systems Symp., vol. 4, May 2004, pp. 645–648. [19] , “Reliability of polynomial I -V -V model fitted using harmonic-balance simulation,” presented at the Eur. Circuit Theory Design Conf., Cork, Ireland, 2005. [20] , “Analysis method of nonlinear self-heating effects based on simulated large signal spectra,” in Proc. IEEE Norchip Conf., Nov. 2004, pp. 91–94.

[21] Freescale, Austin, TX, MRF21030 LDMOS power transistor data sheet, [Online]. Available: http://www.freescale.com/files/rf_if/doc/ data_sheet/MRF21030.pdf. [22] J. P. Aikio and T. Rahkonen, “Detailed analysis of IMD in an LDMOS RF power amplifier,” in IEEE MTT-S Int. Microwave Symp. Dig., 2005 [CD ROM].

Janne P. Aikio (S’05) was born in Haukipudas, Finland, in 1977. He received the M.Sc. degree in electrical engineering from the University of Oulu, Oulu, Finland, in 2002, and is currently working toward the Ph.D. degree at the University of Oulu. From 2000 to 2002, he was an RF Design Engineer with Elektrobit Ltd. In 2003, assumed an Infotech Oulu Graduate school position and joined the Electronics Laboratory, University of Oulu. His technical interests lie in the field of modeling and analysis of distortion in RF power amplifiers.

Timo Rahkonen (S’88–M’90) was born in Jyvaskyla, Finland, in 1962. He received the Diploma Engineer, Licentiate, and Doctor of Technology degrees from the University of Oulu, Oulu, Finland, in 1986, 1991, and 1994, respectively. He is currently a Professor of circuit theory and circuit design with the University of Oulu, where he conducts research on linearization and error-correction techniques for RF power amplifiers and A/D and D/A converters.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

3067

On the Development of CAD Techniques Suitable for the Design of High-Power RF Transistors Peter H. Aaen, Member, IEEE, Jaime A. Plá, Member, IEEE, and Constantine A. Balanis, Life Fellow, IEEE

Abstract—A full-wave modeling procedure was developed to simulate the package, bonding wires, and MOS capacitors used in the design of matching networks found within RF/microwave power transistors. The complex packaging environment was segmented into its constituent components and simulation techniques were developed for each component, as well as the inter-element coupling. An -parameter test fixture and package was developed that permits measurements of these types of devices. The simulation and measurement procedures were used to model various circuits. Measured -parameters and those obtained using the full-wave methodology were in good agreement. Simulation results using an inductance-only bonding-wire model were performed and differences between the -parameters were observed. A detailed examination of the loss introduced by the matching network was performed and simulations and measurements matched closely. Index Terms—Bonding wires, computer-aided design (CAD) techniques, high-power RF transistors, MOS capacitors.

Fig. 1. Complexity of the internal construction of 2.1-GHz 90-W LDMOS transistor is illustrated. Note the intricate matching circuitry formed by the bonding wires and MOS capacitors. Rows of parallel bonding wires form arrays that interconnect the die, MOS capacitors, and the package.

I. INTRODUCTION

H

IGH-POWER RF amplifiers are employed within cellular base stations to provide sufficient power to enable communications between the base station and a multitude of handsets. The high-power capabilities are achieved via transistors that have very large gate peripheries, e.g., 100 mm. Consequently, the input and output impedances of the transistor at the die tend to be very low; often less than 1 . In order to facilitate the ease of use of the transistor, as well as to allow for optimal performance, a matching circuit is constructed inside the air-cavity metal–ceramic package. These matching networks are constructed with small diameter bonding wires, often on the order of 25 m, and metal–oxide–semiconductor (MOS) capacitors. An illustration highlighting the internal construction of a typical RF power amplifier is provided in Fig. 1. The matching networks formed by the bonding wires and MOS capacitors introduce very high- resonances that provide the necessary impedance transformation. Slight changes to the bonding-wire arrays can result in frequency shifts of these resonances that adversely alter the characteristics of the matching network. In many applications, bonding wires are considered to be parasitic elements since they only serve as a means to provide a conductive interconnection between the leads of the package and the semiconductor devices contained within it. However, within RF power transistors, they are not parasitic elements, as they are an integral part of the design. Manuscript received October 5, 2004; revised May 15, 2005. P. H. Aaen and J. A. Plá are with the RF Division, Freescale Semiconductor Inc., Phoenix, AZ 85284-1806 USA (e-mail: [email protected]). C. A. Balanis is with the Department of Electrical Engineering, Arizona State University, Tempe, AZ 85287 USA. Digital Object Identifier 10.1109/TMTT.2005.855129

The need for the development of a computer-aided design (CAD) methodology becomes apparent after viewing the internal three-dimensional (3-D) construction of a power transistor, as shown in Fig. 1. Unless accurate simulation techniques are developed, the design of the transistor is reduced to empirical tuning through remanufacture, which is expensive and hinders time-to-market. Development of CAD techniques for these devices is challenging since they can contain hundreds of bonding wires, multiple active devices, and several MOS capacitors all sealed within a single package. The coupling between various elements within the package further increases the complexity of the problem. Significant attention has been directed toward the development of nonlinear models for the active device [1]–[3]. However, little attention has been given to the development of modeling techniques for the complex matching networks that surround the active device. The techniques developed to date either employ measurement-based approaches [4], [5] or numerically efficient quasi-static algorithms [6], [7]. To date, the computational burden imposed by the complex packaging environment has practically precluded the use of full-wave simulations. In this paper, we present for the first time the development of a full-wave methodology to be used for the CAD of matching networks employed within high-power RF transistors. Section II refines the CAD concept and outlines its key attributes. Section III discusses the simulation and measurement of the matching network constituent components. The measurement methodology used is presented in Section IV. Simulated and measured results are compared in Section V and conclusions are presented in Section VI.

0018-9480/$20.00 © 2005 IEEE

3068

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

ence is reduced through the iterative reduction of errors incurred throughout the simulation and measurement processes Tolerance

Fig. 2. Flowchart illustrating the potential sources of error in the measurement and simulation procedures used during the analysis of a circuit.

II. CAD CONCEPTS Accurate and efficient simulations techniques are prerequisites for the development of RF/microwave circuit design environment. However, verifying the accuracy of these techniques is not straightforward since only a few canonical geometries exist for which there are exact analytical solutions to Maxwell’s equations [8]. One method of verification often employed is to compare the results obtained through simulation with those from measurement. However, since both the simulation and measurement methodologies contain inherent errors, one set of data cannot be used to directly confirm the accuracy of the other. Close examination of the generalized simulation process reveals potential sources of error [9]. One of the initial steps is to obtain an accurate description of the geometry for input into the simulator. Potential sources of error result from the measurement of the circuit dimensions and excessive de-featuring (removal of features deemed to be electrically small). Additionally, assumptions may be made about some dimensions that may be difficult to obtain such as dielectric or metal trace thickness. Once the geometry has been extracted, a numerical technique is selected to solve Maxwell’s equations. Approximations in the development or execution of the numerical technique are often made to reduce the required number of unknowns (i.e., infinite ground planes, surface impedances, etc.). The discretization method used as part of the numerical method is another source of potential error [10], [11]. Similarly, errors exist in the measurement process due to the mechanical tolerances of the test fixtures, calibration kits, and test circuits. Additionally, errors may be created due to user error or they may be created during the calibration or measurement process. A schematic representing the potential errors involved with the measurements and simulations processes is outlined in Fig. 2. Mathematically, the development of the CAD approach can be represented by an optimization that has a goal to minimize the difference between measured and simulated parameters of interest to a value below an acceptable tolerance. The differ-

(1)

Through judicious examinations and minimization of each potential error, it is possible to have a good comparison between simulated and measured results. Once an acceptable level of agreement has been obtained over the design space of interest, more emphasis can be placed on the simulator and circuit design can commence. It is desirable that this optimization process be completed for the full transistor. Through the simulation of a full RF/microwave power transistor taxes the processing power of current computers to such a degree that full electromagnetic simulation is not possible. However, through a systematic segmentation of the design space, the various sub-components can be completely characterized. This approach reduces both the complexity of the analysis and the computational load. Additionally, it facilitates device design since it allows models of the package, MOS capacitors, and bonding wires to be combined with other passive models from other simulators and nonlinear transistor models. Thus, a reductionistic study is well motivated. III. SEGMENTATION AND COMPONENT SIMULATION There are two major issues that exist when attempting to implement a segmentation approach to simulate a large complex circuit. The first is to devise a set of procedures that allows the individual circuit components to be separated from one another. The separation procedure must not perturb the behavior of the components. That is, the component when analyzed must operate the same as it does within the larger device. The second issue is that any inter-element coupling, such as mutual inductance between arrays of bonding wires, must be properly characterized. The segmentation approach used in this study separates the elements from one another at reference planes where they connect to a microstrip transmission line. This implies that when any element is excited within a simulator, it needs to be excited by a transmission line that has exactly the same characteristics as the one in the full device. As long as the circuits are excited identically, the electromagnetic fields on either side of the reference plane are the same and all of the electromagnetic simulation results can then be cascaded together. A. Simulation of Packages Bonging-Wire Arrays The performance of the transistor is very sensitive to minor modifications in the shape of the bonding-wire array. In fact, it is so sensitive that the 3-D profile and the spacing between adjacent wires are two of the main parameters that are used to control its performance. A common problem to all simulation methods is how to accurately reproduce the 3-D geometry of the bonding wire. This not only complicates the numerical analysis, but it posses a problem to any simulation method as the geometry must be accurately captured prior to its analysis. Several researchers have developed techniques to overcome this task through the use of scanning electron microscope or digital microscope photographs [4],

AAEN et al.: ON THE DEVELOPMENT OF CAD TECHNIQUES SUITABLE FOR DESIGN OF HIGH-POWER RF TRANSISTORS

[6], [12], [13]. However, due to the size and complexity of a physically large packaged transistor and the difficulty and the time associated with obtaining photographs of sufficient quality, this method is not always applicable. In this study, each device is measured and the bonding-wire profile is extracted using a high-power optical microscope outfitted with a precisiontable. The amount of time required to measure a device is minimized by selecting a representative wire from each array and measuring its profile. The other bonding wires in that array are assumed to have exactly the same profile. The ability of the finite-element method to simulate the -parameters of a set of bonding wires within a packaged environment has been previously demonstrated [14]. For a large number of devices examined, the -parameters and calculated losses obtained through simulation compared well with measured data. An inductance-only bonding-wire model available within a commercially available circuit design package was also examined [13], [15]. It was shown that it is critical to properly account for the discontinuity between the bonding-wire array and the microstrip line to which it is attached. Additionally, the capacitance of the bonding-wire array was found to have a potentially significant role for arrays containing large numbers of bonding wires [14]. The discontinuity between the array of bonding wires and the microstrip is further complicated by the manufacturing requirements. For consistency during manufacturing, the bonding wires are attached 100–200 m behind the ending edge of the microstrip. Therefore, no clear plane exists to segment the structure. While this discontinuity is easily included within the finite-element simulator, it presents a challenge to simulate it for an existing bonding-wire model. The assumption that the discontinuity can be characterized or ignored is implicit when existing bonding-wire models are used. In the research presented in this paper, the discontinuity is approximated by simulating a set of planar microstrips that connect to the microstrip. The set of coupled strips are deembedded to the ending edge of the microstrip. The previous finite-element analysis concentrated on the analysis of circuits, which included microstrips and bonding wires and, due to their size, a segmentation approach was not required [14]. However, in this study, it is a necessity due to the complexity of the packaging environment. As previously stated, the segmentation will occur at locations where reference planes can be defined in the planar microstrip environment. The segmentation approach can be highlighted and validated through the simulation of a package containing an array of bonding wires connected between bonding pads, as illustrated in Fig. 3. The two microstrips on either side of the bonding pads are used to excite the circuit within the finite-element-based simulator. Once the simulation has been completed, the microstrips will be deembedded to the reference planes, as indicated in Fig. 3. While the small physical size of the circuit allows the entire circuit to be characterized, the segmentation approach can be applied and the resulting -parameters should be identical to those obtained from the simulation of the entire device. Illustrated in Fig. 4, the circuit shown in Fig. 3 is separated by segmenting the device at the ends of the bonding pads. In order to simulate the array of wires, it is attached to

3069

Fig. 3. Set of 16 bonding wires connected between the leads of a package. The arrows indicate sections of transmission line that will be deembedded from the simulated S -parameters. After deembedding, the reference planes will be as indicated.

Fig. 4. Segmentation of the packages and bonding wires are microstrip reference planes. The reference planes for all segmented sections are indicated by the dashed lines. The arrows indicate sections of transmission line that will be deembedded from the simulated S -parameters.

two microstrip transmission lines that have the same width of the bonding pad. These transmission lines, as before, are used to excite the array of bonding wires within the simulator and are later deembedded. As a result, the -parameters contain the effects of the wires and the discontinuity between the microstrip and array. This set of wires can then be cascaded with other circuit elements provided that they are excited with an identical transmission line when characterized. The segmentation process assumes that no discontinuity is close to the reference plane, otherwise higher order modes present around the discontinuity may couple to the bonding wires and perturb the results. As can be seen in Fig. 5, the -parameters agree over the entire frequency of simulation, with very little difference between them. B. Simulation of MOS Capacitors A MOS capacitor consists of a thin silicon dioxide SiO layer deposited on top of a heavily doped (conductive) silicon wafer. A metal pattern is created on to the top of the silicon dioxide to complete the formation of the capacitor. Metallization of the back of the wafer aids its attachment to a package flange. This system forms a metal–insulator–semiconductor (MIS) structure that is notoriously difficult to model due to the complicated frequency dependent behavior introduced by the

3070

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 7. GSG transmission lines created on the same substrate as used for the MOS capacitors. The transmission lines are employed to aid the determination of the substrate material parameters. Vias connected to the grounds of the GSG pads permit a low-impedance connection through the conductive silicon to the backside metallization of the wafer.

Fig. 5. Comparison of the simulated input reflection coefficient for the device illustrated in Fig. 3. The device is simulated as an entire structure and using the segmentation procedure.

Fig. 6. Cross section of a MOS capacitor is illustrated with typical dimensions. Bonding wires are attached to the top metallization.

semiconducting substrate [16], [17]. An illustration of a cross section of a MOS capacitor is provided in Fig. 6. Depending on design requirements, the capacitance can range from 10 to 500 pF and it can be controlled by varying the thickness of the silicon dioxide and/or the area of the top metallization. The layered structure of the MOS capacitor lends itself to simulation within a planar method-of-moments (MoM)-based simulator. However, to be able to generate accurate simulation results with the simulator, the material parameters (i.e., conductivity, loss tangent, etc.) must be obtained. A wafer containing various ground–signal–ground (GSG) transmission lines and test capacitors was manufactured that contained sufficient structures to extract the material parameters of the materials used to construct the capacitor. An illustration of some of the transmission lines used during the extraction of substrate parameters is shown in Fig. 7. The MOS capacitors examined had a substrate thickness and a conductivity of on the order of of 125 m, 1 S/m, while the silicon dioxide layer had and its thickness ranged from 1 to 2 m (depending on the selected value of capacitance). To demonstrate the agreement between simulation and measured -parameters, several of the on-wafer structures were simulated. The agreement between simulated and measured results for the input reflection coefficient of two of the transmission lines is shown in Fig. 8. These transmission lines are 1000 m in length, have a width of 20 and 50 m, and are on

Fig. 8. Plot of the simulated and measured input reflection coefficient of two 1000-m transmission lines. The two transmission lines have widths of 30 and 50 m.

top of 1.38- m oxide. Although not shown here, the remaining simulated and measured -parameters show the same level of agreement. In order to obtain the simulated -parameters for a MOS capacitor used in a matching networks within the transistor, the top metallization of the capacitor is drawn and transmission lines equal to the width of the bonding-wire arrays connected to it are used to excite the capacitor, as illustrated in Fig. 9. In this manner, the discontinuity between the bonding-wire array and the MOS capacitor is approximated as a step change in the width. C. Coupling Between Bonding-Wire Arrays The arrays of bonding wires within the package are often within close proximity, as illustrated in Fig. 1. Since the bonding-wire arrays form small conductive loops that carry current, it is expected that a significant amount of electromagnetic coupling occurs and that the coupling is inductive in nature. Once the inter-element coupling has been characterized, it can be accounted for within a circuit simulator through an appropriately placed mutual inductor. The procedure used to obtain the mutual inductance builds upon the simulations outlined to characterize individual arrays of bonding wires. Once each set of arrays has been simulated, the mutual coupling between the two arrays can be obtained by

AAEN et al.: ON THE DEVELOPMENT OF CAD TECHNIQUES SUITABLE FOR DESIGN OF HIGH-POWER RF TRANSISTORS

Fig. 9. Screen shot from the simulator used to simulate MOS capacitors. This capacitor is excited by two microstrip transmission lines that have widths equal to the width of the connecting array of bonding wires. The transmission lines are deembedded from the simulation results.

3071

Fig. 10. Illustrations of the structure used to characterize the step in the reference conductor.

performing one additional simulation. In this simulation, the circuit element to which both bonding-wire arrays are connected is replaced by a short circuit. This circuit is simulated and then the -parameters of the bonding-wire arrays are deembedded. The resulting transmission coefficient is the coupling between can be directly exarrays and the mutual inductance tracted once the -parameters are converted to -parameters, where is the frequency (2) In general the computed mutual inductance between arrays of bonding wires is a function of the bonding-wire geometry, the spacing between adjacent wires, and distance between arrays. The assumption that the coupling between arrays is due to mutual inductance is an approximation to the more generalized coupling exhibited between coupled transmission lines. Since the transmission lines are very small fractions of a wavelength, it is a reasonable assumption. However, if the frequency of operation is increased, the bonding wires become electrically large, and the coupling is no longer due to just the mutual inductance. Additionally, the coupling will begin to depend on the impedance to which the arrays are terminated [18]. IV. MEASUREMENT METHODOLOGY A measurement methodology was developed to obtain the -parameters of test circuits to which those obtained through simulation will be compared. The test circuits contained various combinations of microstrips, MOS capacitors, and arrays of bonding wires. Central to the test fixture is a specially designed package that allows measurement reference planes to be rigorously established, as illustrated in Fig. 10. The metal carrier of these packages serves as the ground plane and as a mechanical support. The uniform ground plane avoids any issues

Fig. 11.

Exploded view of the test fixture.

related to discontinuities in the ground plane that occur when mounting transistor packages within test fixtures [14]. Bonded to this conductor is an alumina substrate that contains a 50transmission line and a bonding pad. The microstrip lines allow the package to be connected to coaxial-to-microstrip transitions and the bonding pads permit large numbers of bonding wires to be connected to the microstrip. A large number of different sized packages can be constructed by varying the length of the metal carrier and width of the bonding pads on the alumina substrate. The packages are designed such that they can be inserted into a test fixture for measurement of the -parameters. The metal carrier is bolted to a mechanical support and coax-to-microstrip transitions connect the cables of vector network analyzer to the alumina microstrips, as illustrated in Fig. 11. This type of test fixture is frequently employed in measurements used to characterize RF and microwave transistors, and it was easily adapted for this study [19].

3072

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 12. 350-pF MOS capacitor bonded within a test package and connected by two arrays of bonding wires.

Fig. 14. Plot of the magnitude of the simulated and measured transmission coefficients for the device illustrated in Fig. 12.

Q

Fig. 13. High- resonator formed by three 22-pF MOS capacitors connected with arrays of bonding wires.

Once the device-under-test (DUT) is placed within the test fixture, a coax-to-microstrip transitions connects the cables of vector network analyzer to the alumina microstrips. Calibration of the test fixture is accomplished through a two-tiered thrureflect-line (TRL) calibration [4]. The measurement reference planes are established at the ends of the narrow microstrip, just prior to the bonding pad, as illustrated in Fig. 10. V. EVALUATION OF THE SIMULATION AND MEASUREMENT METHODOLOGIES After the development of the aforementioned simulation and measurement techniques, it is necessary to test these methodologies on matching networks similar to those found within the transistor. To this end, several components containing various combinations of packages, bonding wires, and MOS capacitors were assembled, two of which are illustrated in Figs. 12 and 13. While these devices are of reduced complexity, compared to that of a full transistor (Fig. 1), they are well suited for further investigation into the basic electrical behavior of the constituent components and their interactions. The first device, illustrated in Fig. 12, contains a 350-pF MOS capacitor mounted within a test package. An array composed of 16 50- m-diameter gold wires each spaced by a center-to-center distance of 250 m connects each bonding pad to the MOS capacitor. The second device, illustrated in Fig. 13, is composed of three 22-pF MOS capacitors, interconnected with arrays of bonding wires, with the final array shorted to the ground plane.

Fig. 15. Plot of the phase of the simulated and measured transmission coefficient of the device illustrated in Fig. 12.

The simulation techniques outlined in Section IV were employed to simulate each circuit component of the network and to obtain the mutual inductance between adjacent arrays of bonding wires. The results were then combined together within a linear circuit solver to obtain the -parameters of the entire circuit. In addition, the same devices were simulated using the inductance-only bond-wire model with the discontinuity between the planar transmission-line elements and the arrays of bonding wires approximated, as previously mentioned. A selection of the simulated and measured -parameters of each of these devices are plotted in Figs. 14–17. The full-wave and measured results agree well over the entire frequency range with only minor differences. However, the simulations employing the inductance-only bonding-wire model are not in as close agreement. The differences are due to the approximation of the microstrip bonding-wire array discontinuity and accuracy of the inductance obtained from the model. An examination into the ability of the simulation methods to predict the measured losses present in the matching networks was also performed. Since the structures contain very high conductivities and low-loss dielectrics, an examination of the -parameter is not sufficient. Instead, a metric that is very

AAEN et al.: ON THE DEVELOPMENT OF CAD TECHNIQUES SUITABLE FOR DESIGN OF HIGH-POWER RF TRANSISTORS

3073

the measured and full-wave results compares very well over the entire frequency range. As expected from an examination of the -parameter results, the simulations using the inductanceonly bonding-wire model are shifted higher in frequency than the other results. Although not shown here, the conservation factor computed from the simulations and measured -parameters from the device shown in Fig. 12 are in similar agreement. VI. CONCLUSIONS

Fig. 16. Magnitude of the simulated and measured input reflection coefficient of the device illustrated in Fig. 13.

Fig. 17. Phase of the simulated and measured input reflection coefficient of the device illustrated in Fig. 13.

The complex packaging environment found within RF/microwave power transistors has been analyzed through a systematic segmentation of its constituent components. Simulation and measurement techniques were developed to examine packages, bonding wires, and MOS capacitors. Specifically, the finite-element method and MoM were found to be suitable numerical techniques for the electromagnetic simulation of these circuit elements. Furthermore, these elements were cascaded together to form matching networks similar to those present within the transistor package. Measurements of several matching networks manufactured within packages were taken with a specialized test fixture. Simulated -parameters matched those obtained from measurement up to 10 GHz. In addition, the losses of these circuits were examined through the computation of the conservation factor, and measured and simulated results were in good agreement. The investigation also revealed that a commercially available inductance-only bonding-wire model used in conjunction with a planar electromagnetic solver was able to simulate the lowfrequency behavior of a matching network. However, at higher frequencies, significant differences were observed when compared with results obtained from measurement and full-wave analysis. The analysis techniques developed as part of this study indicate that matching networks found within high-power RF/microwave transistors can be accurately simulated using full-wave electromagnetic simulators. This development furthers the evolution of a CAD methodology. ACKNOWLEDGMENT The authors would like to thank D. Lamey, Freescale Semiconductor Inc., Phoenix AZ, M. Szymanowski, Freescale Semiconductor Inc., and D. Chan, Freescale Semiconductor Inc. (now with Qualcomm Inc., San Diego, CA). for their work related to determining the material parameters and developing a simulation methodology for the MOS capacitors. REFERENCES

Fig. 18. Plot of the conservation factor computed from the simulated and measured S -parameters from the device illustrated in Fig. 13.

sensitive to the loss must be used. One such is computation of the conservation or loss factor [14] and it can be computed via (3) The conservation factor for the device illustrated in Fig. 13 is plotted in Fig. 18. As can be seen, the conservation factor for

[1] W. R. Curtice, J. A. Plá, D. Bridges, T. Liang, and E. Shumate, “A new dynamic electro-thermal nonlinear model for silicon RF LDMOS FETs,” in IEEE MTT-S Int. Microwave Symp. Dig., Anaheim, CA, Jun. 1999, pp. 419–422. [2] D. E. Root, S. Fan, and J. Meyer, “Technology-independent large-signal FET models: A measurement-based approach to active device modeling,” in Proc. 15th Automated RF and Microwave Measurements Society Conf., Bath, U.K., Sep. 1991, pp. 1–21. [3] M. Guyonnet, R. Sommet, R. Quere, and G. Bouisse, “Non-linear electro thermal model of LDMOS power transistor coupled to 3-D thermal model in a circuit simulator,” in Proc. Bipolar/BiCMOS Circuits and Technology Meeting, Toulouse, France, Sep. 2003, pp. 11–14.

3074

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

[4] T. Liang, J. A. Plá, P. H. Aaen, and M. Mahalingam, “Equivalent-circuit modeling and verification of metal–ceramic packages for RF and microwave power transistors,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 709–712, Jun. 1999. [5] K. Goverdhanam et al., “Modeling high power RF LDMOS amplifiers,” in IEEE MTT-S Int. Microwave Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 1069–1072. [6] T. Johansson and T. Arnborg, “A novel approach to 3-D modeling of packaged RF power transistors,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 760–768, Jun. 1999. [7] K. Mouthaan, “Modeling of RF high power bipolar transistors,” Ph.D. dissertation, Dept. Microelectron. Comput. Eng., Delft Univ. Technol., Delft, The Netherlands, 2001. [8] J. C. Rautio, “An ultrahigh precision benchmark for validation of planar electromagnetic analyses,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 11, pp. 2046–2050, Nov. 1994. [9] J. T. Aberle, “Finite difference methods: Accuracy, consistency, stability and convergence of solutions,” unpublished, 2000, personal class notes. [10] E. H. Lenzing and J. C. Rautio, “A model for discretization error in electromagnetic analysis of capacitors,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 2, pp. 162–166, Feb. 1998. [11] J. C. Rautio, “The microwave point of view on software validation,” IEEE Antennas Propag. Mag., vol. 38, pp. 68–71, Apr. 1996. [12] X. Qi et al., “A fast 3-D modeling approach to electrical parameters extraction of bonding wires for RF circuits,” IEEE Trans. Adv. Packag., vol. 23, no. 3, pp. 480–488, Aug. 2000. [13] K. Mouthaan et al., “Microwave modeling and measurement of the selfand mutual inductances of coupled bondwires,” in IEEE Bipolar Circuits Technology Meeting, Minneapolis, MN, 1997, pp. 166–169. [14] P. H. Aaen, “Simulation and modeling of matching networks within RF/microwave power transistors,” Ph.D. dissertation, Dept. Elect. Eng., Arizona State Univ., Tempe, AZ, 2005. [15] Advanced Design System Version 2002c, Circuit Component, System Models, Agilent Technol., Palo Alto, CA, Sep. 2002, pp. 10–27. [16] H. Guckel, P. A. Brennan, and I. Palocz, “A parallel-plate waveguide approach to micro-miniaturized, planar transmission lines for integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-15, no. 8, pp. 468–1727, Aug. 1967. [17] H. Hasegawa, M. Furukawa, and H. Yanai, “Properties of microstrip line on Si-SiO system,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 11, pp. 869–881, Nov. 1971. [18] E. M. T. Jones and J. T. Bolljahn, “Coupled-strip-transmission-line filters and directional couplers,” IEEE Trans. Microw. Theory Tech., vol. MTT-4, no. 4, pp. 75–81, Apr. 1956. [19] G. D. Vendelin, A. M. Pavio, and U. L. Rhode, Microwave Circuit Design Using Linear and Non-Linear Techniques, 2nd ed. New York: Wiley, 1992, ch. 5, pp. 313–334.

Peter H. Aaen (S’93–M’97) received the B.A.Sc. degree in engineering science and M.A.Sc. degree in electrical engineering from the University of Toronto, Toronto, ON, Canada, in 1995 and 1997, respectively, and the Ph.D. degree in electrical engineering from Arizona State University, Tempe, in 2005. In 1997, he joined the Wireless Infrastructure Systems Division, Semiconductor Products Sector, Motorola (now Freescale Semiconductor Inc.), Phoenix, AZ. His current research is focused on the development and validation of microwave transistor models and passive components. His technical interests include calibration techniques for microwave measurements and the development of package modeling techniques.

Jaime A. Plá (S’87–M’91) was born in PR, in 1969. He received the B.S. degree in electrical engineering from the University of Puerto Rico, Mayagüez, PR, in 1991, and the M.S. degree in microwave engineering from the University of Massachusetts at Amherst, in 1993. In 1991, he joined the Microwave Semiconductor Laboratory, Research Division, Raytheon, Lexington, MA. While with Raytheon, he was primarily involved with the development of microwave measurement techniques and linear and nonlinear models for monolithic-microwave integrated-circuit (MMIC) semiconductor devices such as GaAs MESFETs, pseudomorphic high electron mobility transistors (pHEMTs), and HBTs. In 1995, he joined the Wireless Infrastructure Systems Division, Semiconductor Product Sector, Motorola (now Freescale Semiconductor Inc.), Phoenix, AZ, where his current research is centered on the development of high-power RF electrothermal device models for LDMOS devices. Other areas of current interest are the development of package modeling techniques and modeling of passive components, as well as techniques for the measurement of electrical and thermal transistor characteristics related to small- and large-signal modeling extraction and validation.

Constantine A. Balanis (S’62–M’68–F’86–LF’04) received the B.S.E.E. degree from Virginia Tech, Blacksburg, in 1964, the M.E.E. degree from the University of Virginia, Charlottesville, in 1966, and the Ph.D. degree in electrical engineering from The Ohio State University, Columbus, in 1969. From 1964 to 1970, he was with the National Aeronautics and Space Administration (NASA) Langley Research Center, Hampton, VA. From 1970 to 1983, he was with the Department of Electrical Engineering, West Virginia University, Morgantown. Since 1983, he has been with the Department of Electrical Engineering, Arizona State University (ASU), Tempe, where he is currently Regents Professor. He authored Antenna Theory: Analysis and Design (New York: Wiley, 2005, 1997, and 1982) and Advanced Engineering Electromagnetics (New York: Wiley, 1989). His research interests are low- and high-frequency computational methods for antennas and scattering, smart antennas for wireless communication, and high-intensity radiated fields (HIRFs). Dr. Balanis was the recipient of the 1987 Graduate Teaching Excellence Award, School of Engineering, ASU, the 1989 IEEE Region 6 Individual Achievement Award, the 1992 Special Professionalism Award presented by the IEEE Phoenix Section, the 1996 Arizona State University Outstanding Graduate Mentor Award, the 2000 IEEE Third Millennium Medal, an Honorary Doctorate from the Aristotle University of Thessaloniki (AUTH) in 2004, and the 2005 Chen-To Tai Distinguished Educator Award presented by the IEEE Antennas and Propagation Society (IEEE AP-S). He served as associate editor of the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION (1974–1977) and the IEEE TRANSACTIONS ON GEOSCIENCE AND REMOTE SENSING (1981–1984). He was editor of the Newsletter for the IEEE Geoscience and Remote Sensing Society (1982–1983), second vice-president of the IEEE Geoscience and Remote Sensing Society (1984), chairman of the Distinguished Lecturer Program (988–1991), and a member of the IEEE AP-S Administrative Committee (AdCom) (1993–1995 and 1997–1999). He is currently a distinguished lecturer for the IEEE AP-S.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

3075

Synthesis of Inline Filters With Arbitrarily Placed Attenuation Poles by Using Nonresonating Nodes Smain Amari, Member, IEEE, and Giuseppe Macchiarella, Member, IEEE

Abstract—A general and direct synthesis technique of pseudoelliptic inline filters with arbitrarily placed attenuation poles (APs) (transmission zeros) at real frequencies is presented. The APs are brought about and independently controlled by dedicated resonators, which are coupled to nonresonating nodes. Simple rules to properly determine the phases of the reflection coefficients at the input and output are given. To reduce the effect of roundoff errors, especially for higher order filters, the extraction of the elements of the network is performed from the input and output simultaneously. Multiplicity and scaling properties of the solutions are discussed. Synthesis examples are presented to demonstrate the soundness of the procedure. Theoretical results are compared with measurement to demonstrate the validity of the presented theory. Index Terms—Elliptic filters, extracted poles, inline filters, nonresonating node (NRN), resonator filters, synthesis.

I. INTRODUCTION

presented in [6], [7] and [8]. Whereas the techniques in [6] and [7] rely on a series of circuit transformations, the approach in [8] is analytical and yields the elements of the circuit directly. However, a general synthesis technique of this class of prototypes to generate an arbitrary number of attenuation poles is not available. In this paper, we present an in-depth investigation of the synthesis problem of inline prototypes with arbitrarily placed attenuation poles. Starting from the specifications of the filter, the technique allows analytical and direct extraction of the elements of the circuit. The position of the attenuation-pole-generating elements can be chosen arbitrarily when the number of required attenuation poles is lower than the order of the filter. The model systematically identifies the scaling properties of the structure for a given response.

M

ICROWAVE bandpass filters with attenuations poles at finite frequencies have been designed according to two low-pass models. The first model is exemplified by the popular cross-coupled schemes of resonators [1]. The second model allows independent extraction and control of the attenuation poles, as in the well-known extracted pole technique [2]. Recently, it was shown that the introduction of nonresonating nodes (NRNs) in both of these models eliminates some of their inherent limitations [3]–[9]. An NRN is simply a node that is connected to ground by a frequency-independent reactance, which may be an open circuit in certain cases. The total number of NRNs in a given filter is arbitrary since it does not affect the order of the filter. By introducing NRNs in cross-coupled schemes, it is possible to achieve a high level of modularity in the design of elliptic filters. In particular, it becomes possible to design filters with the maximum number of finite transmission zeros (TZs) without directly coupling the source to the load. The introduction of NRNs in an inline configuration allows the design of sophisticated dual- and triple-mode pseudoelliptic filters without cross or intra-cavity couplings [9]. An important step in the successful design of this class of filters is the extraction of the elements of the circuit model. Inline prototypes with NRNs with one or two attenuation poles, which are extracted at the input or the output, were Manuscript received February 10, 2005; revised April 16, 2005. S. Amari is with the Department of Electrical and Computer Engineering, Royal Military College, Kingston, ON, Canada K7K 7B4 (e-mail: smain.amari @rmc.ca). G. Macchiarella is with the Departimento di Elettronica e Informazione, Politecnico di Milano, 20133 Milan, Italy (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855128

II. MODEL The low-pass prototypes used in this study contain the following four distinct types of components. 1) Resonators: These are represented by unit capacitors in parallel with frequency-independent reactances , which account for the frequency shifts in their resonant frequencies. 2) Admittance inverters : These are identical to the coupling coefficients between the nodes. 3) NRN: These are internal nodes that are connected to ground by frequency-independent reactances . Note that these reactances appear by themselves and not in parallel with capacitors as in the case of resonators. 4) The input (source) and the output (load): These are normalized conductances . A resonator that is responsible for an attenuation pole at a normalized frequency is represented by a unit capacitor in . Such a dangling parallel with a constant reactance resonator is only connected to an NRN. For a filter of order with attenuation poles at finite real frequencies, there are dangling resonators and resonators along the inline path between the input and the output. Obviously, when is smaller than , the arrangement of the resonators to yield the desired response is not unique. For example, Fig. 1(a)–(c) gives three possible arrangements to yield a fourth-order bandpass filter with two attenuation poles. These are not the only arrangements for this case. The choice of the arrangement for actual implementation depends on the constraints of the intended technology.

0018-9480/$20.00 © 2005 IEEE

3076

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

A. Reflection Coefficients

and

The synthesis technique presented here is a generalization of the discussion in [8], which is essentially a Darlington approach. We, therefore, need to construct the input (and output) admittance from the specifications and equate it to that of the network to be synthesized. is known, the reflection coefficient is deOnce termined from the standard pole-zero approach. However, the is not specified by (1), although it plays a major phase of role in the success of the synthesis. Let us denote by and , the zeros and poles of , respectively. The reflection coefficient can be written in the form (3) is a phase term that has no effect on the return and Here, is a real quantity). The asympinsertion losses of the filter ( is equal to 1, except when all of the attentotic value . This uation poles are at finite frequencies, i.e., when , as in (3). It term is always absorbed in the numerator of is assumed that both the numerator and denominator in (3) are polynomials of degree . To reduce the effect of round off errors, the elements of the network can be extracted from the input and output simultaneously without any assumption about the symmetry of the circuit. To this end, we also construct the output reflection coefficient by using the fact that and of a lossless two-port have the same poles and zeros since they have the same magnitude. In analogy with (3), we can, therefore, write (4)

Fig. 1. Three low-pass circuit of a fourth-order inline filter with two real TZs jw and s jw . The internal nodes are numbered as shown. at s

=

=

and are where we used the fact that the magnitudes of equal. Since the source and load reactances are assumed normalized to unity, the input and output admittances can be expressed as

III. SYNTHESIS

(5)

We are interested in determining the elements of structures similar to those shown in Fig. 1(a)–(c) to yield a response described by generalized Chebyshev filtering functions [10]

and (6)

(1) Here, the parameter (in decibels) by is given by

is related to the in-band return loss . The filtering function

(2)

where are the normalized positions of the attenuation poles, are finite and are at infinity. i.e., TZs, of which The filtering function can be calculated through the recursion relations given in [10] or [11]

To determine the phase terms and , we examine the behavior of the input and output admittances of the circuit, which is used to implement the specified filter. Two different cases should be considered depending on whether the first node (from , from the output for ) is resonating or not. the input for Each case is examined separately. 1) First Node is a Resonator: If the first node from the input is a resonator, e.g., Fig. 2, the input admittance is asymptotically equivalent to the unit capacitance of the resonator. In other , . Under these conditions, we words, when have (7a)

AMARI AND MACCHIARELLA: SYNTHESIS OF INLINE FILTERS WITH ARBITRARILY PLACED APs BY USING NRNs

3077

Fig. 2. Circuit whose first node from the input (output) is a resonator.

Fig. 4. Circuit to extract a resonator (invert J and reactance jb).

Fig. 3. Circuit whose first resonator from the input (output) is an NRN.

Fig. 5. Circuit used to extract a the parameters J , jB , and J of an NRN.

Similarly, if the first node from the output is a resonator, we have

B. Extraction of a Resonator

(7b) Note that, in this case, the number of attenuation poles at finite frequencies is smaller than . 2) First Node is an NRN: If the first node from the input , which is connected to is an NRN, of constant reactance a dangling attenuation-pole-generating resonator by an inverter , the input admittance takes the form (e.g., Fig. 3) (8)

This equation shows that the input admittance has a zero at the position of the attenuation pole . Using this condition in (3), we get

Let us assume that, at a given cycle in the extraction process, the remaining circuit, as seen from the input (or the output), is of the form shown in Fig. 4. . The The asymptotic behavior of the input admittance is inverter is, therefore, given by (10) To determine the constant reactance , we evaluate the admittance left after the previous step at a zero of the admit. Two cases need to be considered: tance is a Resonator: In this case, then 1) First Node in and (11a) 2) First Node in is an NRN: If the NRN is connected to a dangling resonator, which generates an attenuation pole at , then and

(9a) (11b) Similarly, if the first node from the output is an NRN connected to a dangling resonator, which generates an attenuation pole at , we have

This completes the extraction cycle leaving an input admittance . C. Extraction of an NRN

(9b) With the reflection coefficients fully specified, (5) and (6) are used to determine the input and output admittances to start the extraction process.

Let us assume that, at a given stage in the extraction, the remaining circuit is of the form shown in Fig. 5. The input admittance of this circuit is such that (12)

3078

Fig. 6.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Circuit to extract the parameters J , J , and jb of the last resonator.

It is interesting to note that it is not possible to determine both and , but only their ratio. This is a very useful property of NRNs that allows multiple solutions for a given synthesis problem. A convenient solution would be to arbitrarily set to unity and continue the extraction. The value of can be changed by using the scaling rules discussed later. In what follows, will be used as a free parameter, which is eventually chosen to get the most practical values of the other elements of the circuit. is related to the residue of the Equation (12) shows that , i.e., left-hand side at

Fig. 7. NRN.

where

Circuit used to extract the parameters

J , jB , J

, and

J

of the last

is the inverter connecting the last node to the load (or source ). From (15), we get (16)

and (17) 2) Last Node is an NRN: In this case, the remaining input admittance is of the form (Fig. 7)

residue (13)

(18) , we again To determine the constant reactance of the NRN . consider the first node in 1) First Node in is a Resonator: In this case, and (14a)

From this equation, we get residue

2) First Node is an NRN: If the NRN is connected to a dan, gling resonator, which generates an attenuation pole at and then (14b) This completes the extraction cycle, leaving an input admittance . D. Extraction of Last Node Although it is preferable to extract the elements of the network from the input and output simultaneously to minimize the effect of round off errors, for completeness, we give the equations to extract the last node of the circuit either starting from the input or the output. Again, we distinguish two cases depending on whether the node is a resonator or an NRN. 1) Last Node is a Resonator: In this case, the remaining input admittance is of the form (Fig. 6) (15)

(19)

(20) Note that the value of is arbitrary in this case. It can be chosen to suit the intended realization. IV. SYNTHESIS EXAMPLES We consider here a few examples to demonstrate the accuracy of the synthesis technique and discuss some of its main characteristics. We examine the case of a fourth-order filter with two attenuand . ation poles at normalized frequencies The in-band return loss of the filter is 23 dB. The circuits used to implement this filters are those shown in Fig. 1(a)–(c). and are From these specifications, the zeros of , , , and straightforwardly found to be . These are the roots of filtering function in (2) for the current specifications. The left-half plane poles of these reflec, , tion coefficients are at , and . These are the

AMARI AND MACCHIARELLA: SYNTHESIS OF INLINE FILTERS WITH ARBITRARILY PLACED APs BY USING NRNs

3079

left-half-plane roots of the denominator in (1) with the filtering function given by (2). In all cases, the reflection coefficients take the following forms:

(21) and

(22) As argued before, the phases of and must be determined for each case separately for the synthesis to succeed. We examine each circuit separately. A. Circuit in Fig. 1(a) Since the first node both at the input and the output is a resonator, (7a) and (7b) should be used to yield . Using these values in (21) and (22), which are then used in (5) and (6), we get the input and output admittances of the circuit as

(23) and

(24) It is rather interesting to note that the input and output admittances are equal despite the fact that the circuit is not itself symmetric. Using the relevant equations from Section III, we get the fol, lowing values for the parameters of the circuit: , , , , , , , , , , , and . The response of this circuit can be calculated from a simple nodal analysis and is shown in Fig. 8. It can be clearly seen that all the specifications of the filter are met. Note that there are an infinite number of solutions. Indeed the response of the circuit is not affected when the inverters terminating at an NRN are scaled by an arbitrary constant as long as the reactance of the NRN is also scaled by . Since the circuit contains two NRNs, there are two arbitrary parameters that can be chosen to facilitate the actual implementation. B. Circuit in Fig. 1(b) In this case, the first node from the input is a resonator, but an NRN from the output. Consequently, the input admittance (seen from the input) is still given by (23). We assume that the NRN at the output is connected to a resonator that generates the attenuation pole at . The phase term in is found from (9b) to be . Using this in (22) and (6) and following the extraction steps described in Section III, we

Fig. 8. Response of synthesized circuits according to Fig. 1(a)–(c). The three responses are plotted simultaneously along with the ideal filtering function, but they are all indistinguishable.

, , get the following circuit elements: , , , , , , , , , , and . Note that some of the elements of this circuit can be scaled by using the properties discussed in connection with the previous circuit. The response of this circuit is also plotted in Fig. 8, but is indistinguishable from that of the previous circuit or the prototype as given by the filtering function in (2). C. Circuit in Fig. 1(c) In this case, the first node from the input and the output is an NRN. We assume that the attenuation pole at is extracted at the input and at the output. Using (9a) and (9b), the phase terms are found to be and . By following the extraction steps, we get the elements of the circuit: , , , , , , , , , , , , and . Note that some of the elements of this circuit can be scaled by using the properties discussed in connection with the previous two circuits. The response of this circuit is also plotted in Fig. 8, but is indistinguishable from those of the two previous circuits or the prototype, as given by the filtering function in (2). V. DISCUSSION AND EXPERIMENTAL VALIDATION The synthesis technique presented here has been applied to a variety of filters of different orders and specifications. In all cases, the response of the synthesized circuit has been identical to the response of the ideal filtering functions (within plotting accuracy). This is not surprising since the technique is mathematically rigorous. For higher order filters, round off errors may affect the values of the elements of the circuit. To minimize these errors, we extract the first half of the circuit from the input by and the second half from the using the input admittance output by using the output admittance . The extracted

3080

Fig. 9.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Designed fifth-order combline filter with two TZs (top cover removed).

parameters of the circuit can be used as a starting point to optimize the circuit should these roundoff errors be present in this two-way scheme. Admittedly, a theory is of limited engineering value unless it is proven to work by direct measurement. An experimental test of the current model and synthesis technique was provided elsewhere. Indeed, a six-pole filter based on this model was successfully designed and measured as reported in [9]. As a further experimental test, we consider a fifth-pole combline filter with two attenuation poles, as presented in [7]. In this reference, the filter design was based on the synthesis of a low-pass prototype with a topology similar to Fig. 1(c) where both the source and load contain constant reactances. Starting from the filter specifications (passband: 822.85–848.91 MHz, return loss: 20 dB, TZs: 860, 868 MHz), we have repeated the prototype synthesis using the theory presented here (in [7], the synthesis was developed through a suitable network transformation of a two-triplet topology). The following prototype parameters have been ob, , , , tained: , , , , , , , , , , and . Note that this is not the only solution since the parameters connected to an NRNs can be scaled without affecting the response of the filter. To implement these circuit parameters, a typical combline configuration was used. Hollow cylindrical rods (diameter: 88 mm) are placed in a rectangular box whose width is 20 mm. The length of the rods is 44 mm (this corresponds to an electrical length of approximately 44 at the center of the passband). Tuning is realized through metallic screws in the top cover plate, which penetrate inside the rods (the other side of each rod is soldered to the box). Fig. 9 shows a photograph of the fabricated filter with the top cover plate removed. The separations between the rods are evaluated through the procedure presented in [7]. Note that the rods coupled to the input and the output behave as nonresonant susceptances because no tuning screws is used for them. The couplings to the input and the output are implemented by means of taps in the nonresonant rods. The response of this prototype is shown in Fig. 10 as the dashed lines. The measured performance of a combline filter that was designed to satisfy these specifications based on the model in this paper is also shown in Fig. 10 as the solid lines. Note that the measured results shown here are slightly different from those presented in [7] because further tuning was applied to the filter. It is evident from Fig. 10 that an excellent agreement between the two results is achieved including the appearance and positions of the two TZs above the passband. These results along with those in [9] convincingly demonstrate the soundness of the model. Moreover, the filters in [7]

Fig. 10. Comparison of measured and simulated results of a fifth-order combline filter with two TZs extracted at the input and the output.

are based on a synthesis technique, which is limited to one or two TZs, which are extracted at the input and the output. The synthesis technique in [8] is also limited to this type of circuit. This paper was written to provide a generalization of the procedure in [8] to pseudoelliptic filters with an arbitrary number of finite attenuation poles at real frequencies. Furthermore, the technique is comprehensive in the sense that it imposes no constraints on where in the inline structure the attenuation poles can be extracted. It also provides a comprehensive discussion of the importance of properly determining the phases of the scattering parameters in order to guarantee the success of the synthesis. We should finally mention that the TZs can be moved from one side of the passband to the other by only changing the signs of the frequency shifts of the resonators, as well as the signs of the susceptances of the NRNs. It is equally important to mention that elements of the circuit models discussed here can be extracted by first going through the well-known extracted pole technique [2] and then transforming the constant phase shifts. Each phase shift can be replaced by an inverter surrounded by two equal-valued reactances, all frequency independent. The constant reactances become NRNs or are absorbed in the inline resonators of the filter and the inverter becomes a coupling element. The relevant equations can be straightforwardly derived by matching the matrices of the two circuits. VI. CONCLUSIONS An analytic synthesis technique of inline pseudoelliptic filters with arbitrarily positioned attenuation poles at finite real frequencies has been introduced. The attenuation poles are extracted at internal NRNs in the inline structure. An infinite number of solutions exist. The technique is a generalization of recent techniques and is expected to find application in the design of sophisticated mono-mode, dual-mode, and multimode pseudoelliptic filters without cross-coupling. An example was used to numerically demonstrate the accuracy of the technique. For the case of one or two attenuation poles extracted at the input and the output, the technique reduces to the particular cases discussed in [8], which were validated by direct measurement of microwave combline and cavity filters.

AMARI AND MACCHIARELLA: SYNTHESIS OF INLINE FILTERS WITH ARBITRARILY PLACED APs BY USING NRNs

ACKNOWLEDGMENT The authors would like to thank the anonymous reviewers for their constructive comments, especially the reviewer who suggested the synthesis procedure that uses the well-known extracted-pole technique as a first step. REFERENCES [1] R. M. Kurzrok, “General three-resonator filters in waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-14, no. 1, pp. 46–47, Jan. 1966. [2] J. D. Rhodes and R. J. Cameron, “General extracted pole synthesis technique with application to low-loss TE -mode filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 9, pp. 1018–1028, Sep. 1980. [3] S. Amari and U. Rosenberg, “New building blocks for modular design of elliptic and self-equalized microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 234–256, Feb. 2004. , “A third order in-line pseudoelliptic filer with a transmission zero [4] extracted at its center,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2004, pp. 459–462. [5] S. Amari, U. Rosenberg, and J. Bornemann, “Singlets, cascaded singlets and the nonresonating node model for advanced modular design of elliptic filters,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 237–239, May 2004. [6] G. Macchiarella, “Synthesis of an in-line prototype with two transmission zeros without cross-coupling,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 1, pp. 19–21, Jan. 2004. [7] G. Macchiarella and M. Fumagalli, “In-line combline filter with one or two transmission zeros,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2004, pp. 1085–1088. [8] S. Amari and U. Rosenberg, “Synthesis and design of novel in-line cavity filters with one or two real transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1464–1478, May 2004. , “New in-line dual and triple-mode cavity filters with nonres[9] onating nodes,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1272–1279, Apr. 2005. [10] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 433–442, Apr. 1999.

3081

[11] S. Amari, “Synthesis of cross-coupled resonator filters using an analytical gradient-based optimization technique,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1559–1564, Sep. 2000.

Smain Amari (M’98) received the DES degree in physics and electronics from Constantine University, Constantine, Algeria, in 1985, and the Masters degree in electrical engineering and Ph.D. degree in physics from Washington University, St. Louis, MO, in 1989 and 1994, respectively. From 1994 to 2000, he was with the Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada. From 1997 to 1999, he was a Visiting Scientist with the Swiss Federal Institute of Technology, Zürich, Switzerland, and a Visiting Professor in Summer 2001. Since November 2000, he has been with the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada, where he is currently an Associate Professor. He is interested in numerical analysis, numerical techniques in electromagnetics, applied physics, applied mathematics, wireless and optical communications, computer-aided design (CAD) of microwave components, and application of quantum field theory in quantum many-particle systems.

Giuseppe Macchiarella (M’88) was born in Milan, Italy, in 1952. He received the Laurea degree in electronic engineering from the Politecnico di Milano, Milan, Italy, in 1975. From 1977 to 1987 he was a Researcher with National Research Council of Italy, where he was involved in studies on microwave propagation. In 1987, he became Associate Professor of microwave engineering with the Department of Electronic and Information, Politecnico di Milano. His current research is in the field of microwave circuits with special emphasis on microwave filter synthesis and power-amplifier linearization. He has authored or coauthored over 80 papers and conference presentations.

3082

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Design and Practical Implementation of Multifrequency RF Front Ends Using Direct RF Sampling Mark L. Psiaki, Steven P. Powell, Member, IEEE, Hee Jung, and Paul M. Kintner, Senior Member, IEEE

Abstract—The use of direct RF sampling has been explored as a means of designing multifrequency RF front ends. Such front ends will be useful to multifrequency RF applications such as global navigation satellite system receivers that use global positioning system (GPS) L1, L2, and L5 signals and Galileo signals. The design of a practical multifrequency direct RF sampling front end is dependent on having an analog-to-digital converter whose input bandwidth accommodates the highest carrier frequency and whose maximum sampling frequency is more than twice the cumulative bandwidth about the multiple carrier signals. The principle of direct RF sampling is used to alias all frequency bands of interest onto portions of the Nyquist bandwidth that do not overlap. This paper presents a new algorithm that finds the minimum sampling frequency that avoids overlap. This design approach requires a multifrequency bandpass filter for the frequency bands of interest. A prototype front end has been designed, built, and tested. It receives a GPS coarse/acquisition code at the L1 frequency and GPS antispoofing precision code at both L1 and L2. Dual-frequency signals with received carrier-to-noise ratios in excess of 52 dB-Hz have been acquired and tracked using this system. Index Terms—Analog–digital conversion, band-limited signals, global positioning system (GPS), radio receivers, sampled data systems, software radio.

I. INTRODUCTION

D

IRECT RF sampling is a radio receiver technique in which the RF signal of interest is sampled without first mixing the carrier signal down to a lower IF. The receiver can sample at a frequency that is much lower than the original carrier if the sampling frequency is more than twice the signal’s information bandwidth and if appropriate bandpass pre-filtering is used. The sampled signal gets intentionally aliased down to an IF that is within the Nyquist bandwidth [1], [2]. A key enabling technology for an -band receiver of this type is an analog-to-digital converter (ADC) that can process input Manuscript received January 18, 2005. This work was supported in part by the National Aeronautics and Space Administration Office of Space Science under Grant NAG5-12089 and Grant NAG5-12211. M. L. Psiaki is with the Sibley School of Mechanical and Aerospace Engineering, Cornell University, Ithaca, NY 14853 USA (e-mail: mlp4@cornell. edu). S. P. Powell and P. M. Kintner are with the School of Electrical and Computer Engineering, Cornell University, Ithaca, NY 14853 USA (e-mail: sp35@cornell. edu; [email protected]). H. Jung was with the Sibley School of Mechanical and Aerospace Engineering, Cornell University, Ithaca, NY 14853-7501 USA. She is now with the Telecommunication Research and Development Center, Samsung Electronics Company Ltd., Suwon-City, Gyeonggi-Do, Korea 442-600 (e-mail: hj32.jung@ samsung.com). Digital Object Identifier 10.1109/TMTT.2005.855127

Fig. 1. Schematic block diagram of a multifrequency direct RF sampling receiver front end.

signals in the 1–2-GHz range at continuous sampling frequencies in the 10–100-MHz range. Such technology is now available commercially.1 One advantage of direct RF sampling is the ability to process multiple frequency bands using a single front end. If the sampling frequency is chosen carefully, then multiple frequencies can be intentionally aliased to nonoverlapping portions of the Nyquist bandwidth, and a single output data stream will contain the signals from all frequency bands of interest [3]. This use of a single RF chain for all signals simplifies the front-end design, reduces the parts count, and eliminates numerous potential sources of differential line bias. A differential bias causes trouble when making multifrequency carrier-phase differential range measurements, as discussed in [4]. Fig. 1 illustrates the concept of a direct RF sampling front end that simultaneously receives multiple frequency bands. It consists of a low-noise amplifier (LNA), a multiband bandpass filter (MBPF), and an ADC with a sampler. The MBPF passes several frequency bands of interest and rejects all others. In this example, the frequency bands of interest are centered at the three widely separated carrier frequencies , , and . The ADC sampling frequency is chosen so that it aliases each of the frequency bands to nonoverlapping portions of the Nyquist bandwidth from 0 to , as depicted in the bubble associated with the ADC output. The aliased versions of the original carrier frequencies are , , and . Note how aliasing permutes their order. Previous studies of direct RF sampling for a radio receiver front end include [1], [2], [3], and [5]. References [1] and [2] 1MAX104. [Online]. Available: http://www.maxim-ic.com/quick_view2. cfm/qv_pk/2026, 2002.

0018-9480/$20.00 © 2005 IEEE

PSIAKI et al.: DESIGN AND PRACTICAL IMPLEMENTATION OF MULTIFREQUENCY RF FRONT ENDS USING DIRECT RF SAMPLING

concentrate on general aspects of direct RF sampling such as sensitivity and the effects of sampling jitter. References [3] and [5] deal specifically with multifrequency front-end design using direct RF sampling. Reference [5] concentrates on a design that uses a very high sampling frequency, i.e., 800 MHz, in order to capture the L1 and L2 global positioning system (GPS) bands. Aliasing does not alter the relationship between the two frequencies in this design, and the sampling frequency is much larger than the minimum required to capture the two 20-MHz codedivision multiple-access (CDMA) code bandwidths at each carrier frequency. [3] develops constraints that determine the minimum required sampling frequency that is necessary to alias multiple passbands to nonoverlapping regions of the Nyquist bandwidth. It applies its approach to simultaneously acquire GPS L1 coarse/acquisition (C/A) signals and GLONASS signals using data from a single direct RF sampling front end. Reference [5] does not present experimental results. This paper makes three contributions to the technology of direct RF sampling front ends for multifrequency signals. First, it develops an algorithm for calculating the minimum sampling frequency that aliases several bands of interest into the Nyquist bandwidth without allowing them to overlap. This contribution translates the constraints of [3] into a finite set of calculations that yield the optimal sampling frequency. Second, it presents the details of an actual RF front-end design that has been built to receive the GPS C/A code and precision code (P(Y)) on the L1 and L2 frequencies. Third, it presents actual dual-frequency acquisition and tracking results for signals that come out of this front end. These results demonstrate the sensitivity and accuracy of the dual-frequency direct RF sampling front end, and they explore the effects of discrete variations of the sampling frequency. A preliminary version of this study is reported in [6]. The principal differences of this study are the addition of new 1-bit sampling results and a condensation of text. The remainder of this paper consists of three main sections plus conclusions. Section II analyzes a multifrequency signal model. This leads to a criterion for the minimum sampling frequency that keeps the multiple frequency bands from overlapping after they get aliased into the Nyquist bandwidth. Section III describes the design of an actual dual-frequency direct RF sampling front end for the GPS L1 and L2 signals. Section IV presents experimental acquisition and tracking results for the system described in Section III. Section V summarizes this study and presents conclusions. II. MODELING, ANALYSIS, AND CONCEPTUAL DESIGN OF A MULTIFREQUENCY DIRECT RF SAMPLING FRONT END The signal that exits the LNA of the RF front end can be modeled as (1) different frequency bands of interest with nomIt contains inal carrier frequencies of for . The envelop and phase for each band are baseband amplitude

3083

Fig. 2. Effects of a poor direct RF sampling frequency on signal overlap characteristics in the Nyquist bandwidth.

signals with bandwidth . The term models noise and interfering signals. The RF front end’s MBPF must be designed to leave each and largely unaffected, except for the addition of delay, while attenuating most of the out-of-band noise and in. Given such filtering, the sampled terference contained in output of the ADC can be modeled as (2) where the subscript refers to the sample time with sample period and sampling frequency . is discrete-time noise. The The sequence aliased intermediate equivalents of the original RF carrier frequencies are round for

(3)

where the round function rounds to the nearest integer. These to . Aliasing to a negIFs can fall anywhere from ative IF is analogous to high-side mixing. A positive version of . the IF is An ideal direct RF sampling frequency will produce nonoverlapping aliased frequency bands, as shown in the lower right-hand-side bubble of Fig. 1 [3]. A poor choice of sampling frequency, on the other hand, might give rise to the aliased signal structure shown in Fig. 2. There are three bad aspects overlaps of this design: the frequency band centered at and overlap zero, the frequency bands centered at each other, and the frequency band centered at overlaps . the aliasing frequency The following constraints on preclude situations like those depicted in Fig. 2 [3]: for

(4a)

for

(4b)

3084

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

where if if (7a) if if (7b)

Fig. 3. Portion of the sampling frequency constraint function d(f ) for a civilian dual-frequency GPS front end.

for (4c) , , and Note that the constraint functions are all guaranteed to be nonnegative. These variant forms of the constraints of [3] can be used to define an explicit algorithm that determines sampling frequencies that satisfy them, including the minimum acceptable sampling frequency. The algorithm makes use of the following function:

(5) , The set of acceptable sampling frequencies is and the minimum acceptable sampling frequency is the min. imum positive value of such that The function is piecewise linear. It can be fully characterized by the set of “break” points at which its slope changes and by the function’s values at these break points. An example of this function is shown in Fig. 3. This example applies to a civilian dual-frequency GPS receiver. The input parameters to MHz, MHz, this function are MHz, and MHz. The break points of are shown in the figure along with the minimum acceptable value for this front end. Given the break points and the corresponding function , the acceptable values can be calculated by convalues sidering each break point whose corresponding function value . Given such a break point, a subset respects the limit of the acceptable set is

(6)

The set of acceptable sampling frequencies is the union of all sets as defined in (6) for all break points with . The minimum acceptable sampling frequency is , where is the minimum break point that . respects the limit The main difficulty in the calculation of acceptable values . Once the is the calculation of the break points of values are known, it is straightforward to calculate the values using (4a) and (5) and to calculate the set of acceptable values and using (6) and (7b). The set of break points can be determined in three steps. The are piecewise first step recognizes that the IF functions linear and that their break points form a subset of the break by virtue of (3) to (4b). The break points of points of are for . One need not consider an infinite number of values because the break frequencies for decrease as increases, and it is known that . Therefore, one only needs to compute for , , where the break frequencies floor . The floor function rounds . The first step of the to the nearest integer in the direction of break frequency calculation assembles the set of all the break for all frequencies that are no lower than . It also sorts this set into the functions ascending order. The second step determines the additional break frequencies . Each function at which the functions is piecewise linear because of the piecewise linearity of the functions and because of the piecewise linearity of the absolute value function. Its break frequencies consist of and the already calculated break frequencies of the functions coupled with the set of frequencies at which . These new break frequencies can be calculated by considering each interval between elements of and . the union of the break frequencies of and are linear on each such interval, and Both it is a matter of solving a scalar linear equation to determine whether they intersect in a given interval. If they do intersect, then the intersection frequency is added to the list of break frequencies. Each intersection is a break frequency of because at such a frequency, which implies that . All of the linear intervals for all combinations , must in the range be considered in order to determine the complete set of break frequencies that get added during this second step. The third and last step determines additional break frequencies that are the frequencies at which the minimizing argument in (5) changes from one function to another. This step starts

PSIAKI et al.: DESIGN AND PRACTICAL IMPLEMENTATION OF MULTIFREQUENCY RF FRONT ENDS USING DIRECT RF SAMPLING

with all of the break frequencies of the first two steps sorted into ascending order. It looks at each interval between pairs of adjacent break frequencies from the first two steps. In each , of these intervals, each of the functions , are linear. The intersection frequency for each pair of functions in this set gets calculated by solving a scalar linear equation. If this frequency falls within the interval in question and if the two functions are less than all of the other functions at this frequency, then this frequency is an additional break frequency . All intersections are considered for all function pairs of and for all frequency intervals from the first two steps. The set of all minimizing intersection frequencies gives the entire set . These correspond to of break frequencies at which in Fig. 3, and the dots the black dots with correspond to break frequencies from the first two steps. This new set of frequencies gets combined with the frequencies from break points. the first two steps to yield the full set of Although complicated, these offline design calculations exebreak points had to be cute relatively quickly. Only 1653 calculated for the design associated with Fig. 3.

3085

Fig. 4. Block diagram of GPS L1/L2 dual-frequency direct RF sampling front end and associated experimental hardware.

III. HARDWARE DESIGN OF AN EXAMPLE DUAL-FREQUENCY DIRECT RF SAMPLING FRONT END A. Sampling Frequencies A dual-frequency direct RF sampling front end has been designed and built. Its function is to receive the GPS C/A and P(Y) codes on L1 and the P(Y) code on L2. Its outputs are used in an offline software receiver that does optimal semicodeless acquisition and tracking of the P(Y) code on L2 while simultaneously tracking the C/A code on L1 [7]. The techniques of Section II have been employed to design suitable sampling frequencies. Three trial frequency designs MHz, MHz, have been developed, i.e., MHz. The lowest of these sampling frequencies and allows some overlap of the 10.23-MHz P(Y) codes’ CDMA power spectrum main lobes; half the separation between the two aliased carrier frequencies is only 7.39 MHz, and their are only 6.48 MHz. The advantage separations from 0 or of this lower frequency is a reduced computational load downstream of the front end. The sampling frequency 77.33 MHz reduces the overlaps significantly. The 99.23-MHz sampling frequency completely separates the main lobes; the aliased carby at least 12.26 MHz rier frequencies differ from 0 and and from each other by twice 12.29 MHz. B. Functional Block Diagram and Explanation of Component Interactions The experimental dual-frequency direct RF sampling front end is shown in block-diagram form in Fig. 4 and photographically in Fig. 5. The RF front end consists of the elements that are contained in the irregularly shaped dashed–dotted contour that occupies most of the right-hand side of Fig. 4. The first part of the front end is an attenuator that allows adjustment of the power level at the eventual input to the ADC. Next comes an active dual-frequency filter assembly that includes two dual-frequency bandpass filters, labeled MBPF1 and MBPF2, with an

Fig. 5. Prototype GPS L1/L2 dual-frequency direct RF sampling front end.

LNA in between, labeled LNA3. The ADC is just down stream of this filter assembly. Its eight output bits go through a logic level transformer (LLT1) and into a sign/magnitude bit logic unit that is part of a complex programmable logic device (CPLD). LLT1 transforms from the ADC’s differential logic levels to those of the CPLD. The sign/magnitude block performs simple logic operations on the ADC’s sign bit and a user-selectable pair of its seven magnitude bits and produces the RF front end’s two output bits, a magnitude bit, and a sign bit. The reduction from eight to two bits distinguishes this RF front end from the one used in [3], which recorded all four bits of its ADC output. The ability to use fewer bits without significant loss of carrier-to-noise ratio is important for multifrequency direct RF sampling systems because of the high sampling rates involved. A reduction in the number of output bits reduces the required memory and digital processing downstream of the front end. The RF front end includes an ovenized crystal oscillator (OXO) and a frequency synthesizer, which are used to generate the sample clock for the ADC. The sample clock signal also drives the sign/magnitude bit logic and a shift register system. The other equipment shown in Fig. 4’s block diagram is used to experimentally evaluate the RF front end. This equipment includes a dual-frequency GPS patch antenna, two LNAs, two

3086

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

long cable runs, and a splitter, all of which are upstream of the RF front end. These are used to receive signals from actual satellites and transmit them from the roof of a building into a laboratory. The shift-register/counter circuit that is immediately downstream of the RF front end deserializes the sign and magnitude output bit streams. It creates 32-bit words that get read into the computer by the data acquisition card once every 16 samples. The LLT2 block between the shift registers and the data acquisition system translates the CPLD’s logic levels to those of the data acquisition system. The personal computer stores the input data on disk and processes it offline using software receiver code that runs in MATLAB. C. Gain Control The adjustable attenuator gets used in order to perform “human-in-the-loop” gain control. Coarse adjustment, along with proper design of the other upstream elements ensures that the signal power at the input to the ADC is within its allowable operating range. Fine adjustment seeks to minimize the carrier-to-noise ratio’s digitization loss [8]. The optimal gain yields a certain percentage of samples that produce a high magnitude bit. Digital automatic gain control logic can be added to the sign/ magnitude bit logic unit. Digital gain control considers all seven magnitude bits and uses feedback to automatically adjust the digital threshold for the high magnitude output bit. Such a system or 42 dB. can have a gain adjustment range of almost 2 D. Descriptions of Significant Parts Short descriptions of the actual models that have been used for the five most significant or unusual components of the system are presented here. The active dual-frequency filter assembly is a Delta Microwave model L5658 low-noise GPS filter/amplifier. Its passbands are centered at 1575.4 and 1227.6 MHz, and each has a 1-dB two-sided bandwidth of at least 20 MHz. It has a gain of 43 dB and a maximum noise figure of 2.7 dB. The two passbands’ maximum gains are within 2 dB of each other, with the gain at L1 being the lower of the two. The ADC is model MAX104 made by Dallas Semiconductor MAXIM, Dallas, TX. It can process input signals with bandwidths up to 2.2 GHz and can sample continuously at sampling frequencies up to 1 GHz. It has eight bits of output, and the maximum input power level is 0.625 mW (250 mV 0-to-peak at 50 ). Its aperture jitter is less than 0.5 ps. Given that only two bits of the ADC data are retained, it should be possible to implement an RF front end using a simpler ADC. This could lower the cost and power consumption of the system (the MAX104 consumes approximately five watts). A 2-bit ADC should give equivalent performance, but this would require implementation of an analog automatic gain control system. A 1-bit ADC would be simpler and would not require an automatic gain controller, but sensitivity would decrease by 1.5–2.3 dB [8]. The CPLD is manufactured by XILINX, San Jose, CA. The chip is model number XC2C256 and is mounted on the XC2 board. It can operate at clock frequencies in excess of 100 MHz. Its programmable gates can be used to implement Boolean logic,

shift registers, and counters. The current application makes use of less than 32% of its 256 available macrocells. The OXO is a model 1811AAAB high-stability OCXO made by CTS Reeves, Bloomingdale, IL. It has a 10-MHz nominal frequency. It has an rms phase noise of less than 1.2 10 cycles. Studies indicate that the system can function satisfactorily using only a temperature-compensated crystal oscillator [2], but an ovenized oscillator has been used in the prototype system as a means of reducing design uncertainty. Two different frequency synthesizers have been used to generate the ADC sample clock signal from the OXO’s 10-MHz reference signal. One is a FLUKE model 6060B synthesized RF signal generator. The other is an HP model 3325A synthesizer/function generator. The FLUKE synthesizer can go up to 1050 MHz, while the HP synthesizer is limited to frequencies below 61 MHz. The FLUKE synthesizer’s rms phase noise can be as high as 2 10 cycles for the 55–100-MHz ADC sampling frequency range of interest. The HP synthesizer’s maximum rms phase noise is more than one order of magnitude smaller, less than 2 10 cycles. The high phase noise of the FLUKE synthesizer can cause the received signal to exhibit significant carrier phase jitter when sampling in the frequency range of interest [2], but useful results can be achieved despite this shortcoming. The other components in the experimental setup yield a typical overall noise figure of 1.0 dB and a gain of 95 dB upstream of the ADC. The noise figure is set primarily by LNA1. This represents a reasonably sensitive system. Nonlinear distortion is not significant in this system because the GPS signal power and the noise power are much smaller than the 1-dB compression point and the third-order intermodulation intercept point of the cascaded inline amplifiers. IV. PERFORMANCE EVALUATION BASED ON EXPERIMENTAL DATA The performance of the prototype dual-frequency direct RF sampling GPS receiver front end has been evaluated using several sets of experimental data. The L1 C/A code has been acquired and tracked. The C/A navigation data bit changes have been used to remove the 180 carrier phase ambiguity and to achieve GPS data frame lock. The frame lock information and the carrier phase information from the L1 C/A code have been used to generate the quadrature baseband signal on L1 and to correlate this signal with a Precision (P) code replica. The alignment of the P code with the C/A code on L1 has been verified using semicodeless techniques [9]. The L1 results have been used to perform semicodeless acquisition and tracking of the P(Y) code on L2. In addition, signal amplitudes, carrier-to-noise ratios, and power spectral densities have been examined. A. Reception of GPS C/A and P(Y) Codes on L1 Consider a typical case that illustrates the performance of the new front end. Thirty-two seconds worth of data have been recorded at approximately 22 : 00 UT on 27 February 2003 using MHz from the FLUKE synthesizer. The GPS L1 C/A signal for PRN code 24 has been acquired and tracked. This is one of the strongest signals present in the data. Its received C/A carrier-to-noise ratio is dB-Hz.

PSIAKI et al.: DESIGN AND PRACTICAL IMPLEMENTATION OF MULTIFREQUENCY RF FRONT ENDS USING DIRECT RF SAMPLING

Fig. 6. Semicodeless acquisition statistic for the GPS P(Y) code on L1 as a function of the P code replica’s time offset from the tracked C/A code.

3087

Fig. 7. Semicodeless acquisition statistic for the GPS P(Y) code on L2 as a function of the offset from the P(Y) code on L1.

This is a strong for a patch antenna. Typical maximum values on the order of 50–51 dB-Hz have been observed for the GPS L1 C/A signal when using a patch antenna and a commercial 2-bit RF front end that employs automatic gain control (Zarlink/Plessey GP2015). Thus, the new front end has good sensitivity for GPS C/A signals on L1. The GPS P(Y) code acquisition on L1 has used semicodeless techniques similar to those described in [9]–[12]. Fig. 6 plots the P(Y) code’s semicodeless detection statistic as a function of its offset from the C/A code. It is clear from this figure that the front end successfully receives the L1 P(Y) code and that the P(Y) code is offset from the C/A code by no more than 1/20th of a P-code chip. B. Semicodeless Acquisition and Tracking of GPS P(Y) Code on L2 The efficacy of the prototype dual-frequency RF front end for GPS L2 reception has been demonstrated by using semicodeless techniques to acquire and track the L2 P(Y) signal, as described in [7] and [9]. Fig. 7 shows the results of semicodeless acquisition of P(Y) on L2 for PRN code 24 using the 27 February 2003 MHz. The detection statistic data set that samples at is plotted as a function of the L1/L2 delay. The L2 P(Y) code lags L1 by approximately 0.24 P code chips, or 23 ns, which represents a reasonable level of ionospheric delay. The L2 signal has also been tracked for this case. The Kalman-filter-based optimal tracking techniques of [7] have been used to track the L2 code phase, carrier phase, carrier Doppler shift, and rate-of-change of carrier Doppler shift. These quantities can be compared with the corresponding L1 values to check whether they are reasonable. As an example, consider Fig. 8, which plots two line-of-sight (LOS) velocity time histories for the GPS satellite that broadcasts PRN code 24. The dashed–dotted grey curve shows the LOS velocity as estimated from the C/A carrier tracking loop on L1, and the solid black curve is based on the semicodeless P(Y) carrier tracking on L2. The two curves fall right on top of each other,

Fig. 8. Estimated LOS velocity from GPS L1 C/A carrier tracking and from GPS L2 P(Y) semicodeless carrier tracking.

which confirms that the new prototype dual-frequency RF front end receives both the L1 and L2 bands with reasonable sensitivity and without any frequency bias. The increased noisiness of the L2 LOS velocity as compared to the L1 velocity is partly the result of the poorer processing gain of the semicodeless tracking technique and partly the result of sub-optimal tuning of the L2 tracking algorithm. C. Relative Signal Amplitudes and the Received Power Spectral Density The code and carrier tracking algorithms of [7] also estimate signal amplitudes. These results allow one to consider the relative amplitudes of the various codes. PRN code 24 exhibits a P(Y) power on L1 that is 4 dB lower than the L1 C/A power for MHz. This is the 27 February 2003 data set with consistent, to within experimental error, with the nominal 3-dB difference that is supposed to exist between the two power levels [13].

3088

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 9. Power spectrum of the output of the prototype GPS L1/L2 dualfrequency direct RF sampling front end when f = 99:23 MHz.

Fig. 10. Power spectrum of the output of the prototype GPS L1/L2 dualfrequency direct RF sampling front end when f = 55:5053 MHz.

Another interesting power comparison is between the P(Y) code on L1 and the P(Y) code on L2. The latter is 1.6 dB stronger than the former for PRN code 24 in the 27 February 2003 data set. The nominal L2 P(Y) power is supposed to be 3 dB weaker than the L1 P(Y) power [13]. What can account for this 4.6-dB discrepancy from the nominal relationship? Much of the answer lies in the characteristics of the dualfrequency RF front end. Fig. 9 shows the power spectrum of the output of the front end when sampling at 99.23 MHz. The aliased intermediate values of the L1 and L2 carrier frequencies and are marked on Fig. 9 as vertical dashed–dotted grey lines. The power spectrum clearly shows a 2-MHz-wide , which corresponds to the C/A codes of the satelpeak at lites in view. It also shows a noise floor that is 3 dB higher near L2 than near L1. This difference is probably caused by differential amplification of the L1 and L2 signals in the RF chain that is upstream of the front end’s ADC. Measurements of the active dual-frequency filter assembly indicate that it may contribute as much as 2 dB of this difference. The apparent difference of amplification can account for 3 dB of the 4.6-dB amplitude ratio discrepancy between the P(Y) code on L1 and the P(Y) code on L2. Two possible contributing factors to the remaining 1.6-dB discrepancy are off-nominal operation of PRN code 24 and a narrower MBPF bandwidth for L1 in comparison to L2. The received carrier-to-noise ratios of the P(Y) codes on dB-Hz and L1 and L2 are, respectively, dB-Hz. These are reasonably high levels, which indicates good sensitivity of the prototype RF front of the P(Y) code on end. It is interesting to note that of the C/A code even L1 is only 0.8 dB weaker than though the signal is 4 dB weaker. This fact results from the different noise sources for the two signals. Fig. 9 shows that the cumulative power spectral density of the C/A codes on L1 are approximately 3–4 dB above the noise floor. Thus, the primary noise source for a given C/A code is not thermal noise. Instead, it is interference from the other C/A codes. Fig. 9 shows two other interesting features. One is the rolloff of the L2 filter, which can be seen as a noise power change over

the frequency range from 18 to 23 MHz. The other is the presence of narrow noise spikes between 25–34 MHz. Analysis of the power spectral density plots that result when using the difMHz and 77.33 MHz ferent sampling frequencies indicate that these spikes are actual interfering RF signals centered at approximately 1558 MHz. D. Performance With a 1-bit ADC The performance of this system has been examined when only 1 bit of ADC data is used. The retained bit is the sign bit. Such a system does not require gain control and can use an ADC that is less complex, lower in cost, and less power hungry. The performance of a 1-bit system has been investigated using experimental data from the example implementation running at the MHz. The 1-bit performance is sampling frequency similar to that of the 2-bit system. The only noticeable difference . The C/A code on L1 is an expected slight degradation of and the P(Y) code on L2 both lose approximately 1 dB, while the P(Y) code on L1 experiences a 2-dB loss. These losses are consistent with the theoretically expected loss range of 1.5–2.3 dB [8]. E. Operation at Other Sampling Frequencies The performance of the dual-frequency front end has been investigated at the two additional sampling frequencies that are mentioned at the beginning of Section III, i.e., MHz and MHz. The primary effect of using one of these lower sampling frequencies is an increase in the noise density. Fig. 10 shows the power spectrum of the output of the RF front end for data that has been taken on 11 July 2003 MHz. Compare this to the power spectral using density of Fig. 9, which corresponds to MHz. that is approximately Fig. 10 shows a noise density at 1 dB higher than the corresponding noise density in Fig. 9, and its noise density at is approximately 2 dB higher than in Fig. 9. One expects the average noise density in Fig. 10 to be dB; larger by approximately thus, the actual increases are not as large as one might expect.

PSIAKI et al.: DESIGN AND PRACTICAL IMPLEMENTATION OF MULTIFREQUENCY RF FRONT ENDS USING DIRECT RF SAMPLING

The higher noise density comes about because out-of-band noise gets aliased into the Nyquist bandwidth and because noise from the two different frequency bands starts to overlap. V. SUMMARY AND CONCLUSIONS Direct RF sampling has been explored as a means of designing multifrequency RF front ends for radio receivers. These front ends use specially designed sampling frequencies that alias the frequency bands of interest onto nonoverlapping portions of the Nyquist bandwidth. A multifrequency bandpass filter upstream of the ADC prevents unwanted out-of-band signals and noise from getting aliased on top of the signals of interest. The ADC must have an allowable input bandwidth that is higher than the highest RF frequency of interest. A mathematical algorithm has been developed for the selection of sampling frequencies, and a prototype dual-frequency system has been built and tested. The prototype system receives the GPS C/A code on the L1 frequency band and the GPS P(Y) code on the L1 and L2 bands. The prototype receiver front end has been tested at several sampling frequencies. It has been used to successfully acquire and track GPS C/A code on L1 and GPS P(Y) code on L1 and L2. One version of the front end outputs a 2-bit digitized signal and uses gain control to achieve good sensitivity; another version outputs a 1-bit signal. The strongest received GPS C/A signals from a roof-mounted dual-frequency antenna have carrier-to-noise ratios in excess of 54 dB-Hz, and the strongest received GPS P(Y) code signals have carrier-to-noise ratios between 51–54 dB-Hz. REFERENCES [1] D. M. Akos and J. B. Y. Tsui, “Design and implementation of a direct digitization GPS receiver front end,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2334–2339, Dec. 1996. [2] M. L. Psiaki, D. Akos, and J. Thor, “A comparison of ‘direct RF sampling’ and ‘down-convert & sampling’ GNSS receiver architectures,” in Proc. 16th Int. Satellite Division of the Institute of Navigation Tech. Meeting, 2003, pp. 1941–1952. [3] D. M. Akos, M. Stockmaster, J. B. Y. Tsui, and J. Caschera, “Direct bandpass sampling of multiple distinct RF signals,” IEEE Trans. Commun., vol. 47, no. 7, pp. 983–988, Jul. 1999. [4] C. Goad, “Surveying with the global positioning system,” in Global Positioning System: Theory and Applications, B. W. Parkinson and J. J. Spilker, Jr., Eds. Reston, VA: AIAA, 1996, vol. 2, pp. 501–517. [5] A. Brown and B. Wolt, “Digital L-band receiver architecture with direct RF sampling,” in Proc. IEEE Position, Location, Navigation Symp., 1994, pp. 209–216. [6] M. L. Psiaki, S. P. Powell, H. Jung, and P. M. Kintner, Jr., “Design and practical implementation of multi-frequency RF front ends using direct RF sampling,” in Proc. 16th Int. Satellite Division of the Institute of Navigation Tech. Meeting , 2003, pp. 90–102. [7] H. Jung, M. L. Psiaki, and S. P. Powell, “Kalman-filter-based semi-codeless tracking of weak dual-frequency GPS signals,” in Proc. 16th Int. Satellite Division of the Institute of Navigation Tech. Meeting, 2003, pp. 2515–2523. [8] A. J. Van Dierendonck, “GPS receivers,” in Global Positioning System: Theory and Applications, B. W. Parkinson and J. J. Spilker, Jr., Eds. Reston, VA: AIAA, 1996, vol. I, pp. 329–407. [9] K. T. Woo, “Optimum semicodeless carrier-phase tracking of L2,” Navigation, vol. 47, pp. 82–99, Summer 2000. [10] R. G. Keegan, “P-code-aided global positioning system receiver,” U.S. Patent 4 972 431, Nov. 20, 1990. [11] R. G. Lorenz, R. J. Helkey, and K. K. Abadi, “Global positioning system receiver digital processing technique,” U.S. Patent 5 134 407, Jul. 28, 1992.

3089

[12] R. K. T. Woo, J. O. Quan, and U. Cheng, “System and method for demodulating global positioning system signals,” U.S. Patent 6 125 135, Sep. 26, 2000. [13] J. J. Spilker, Jr., “GPS signal structure and theoretical performance,” in Global Positioning System: Theory and Applications, B. W. Parkinson and J. J. Spilker, Jr., Eds. Reston, VA: AIAA, 1996, vol. I, pp. 57–119.

Mark L. Psiaki received the B.A. degree in physics and M.A. and Ph.D. degrees in mechanical and aerospace engineering from Princeton University, Princeton, NJ, in 1979, 1984, and 1987, respectively. Since 1986, he has been a faculty member with the Sibley School of Mechanical and Aerospace Engineering, Cornell University, Ithaca, NY, where he has served as an Acting Assistant Professor (1986–1987), an Assistant Professor (1987–1993), and an Associate Professor (1993-present). From 1994 to 1995 and in 2001, he was a Visiting Associate Professor of aerospace engineering with the Technion, Haifa, Israel. His research interests are in the areas of estimation and filtering, GPS technology and applications, and spacecraft attitude and orbit determination.

Steven P. Powell (M’79) received the B.S. and M.S. degrees in electrical engineering from Cornell University, Ithaca, NY, in 1982 and 1983, respectively. From 1983 to 1984, he was a Staff Engineer II with the School of Electrical Engineering, Cornell University. In 1984, he was a Member of the Technical Staff with the Recon Division, Watkins-Johnson Company, San Jose, CA. Since 1985, he has been a Senior Engineer with the Space Plasma Physics Group, School of Electrical and Computer Engineering, Cornell University. He has been involved with the design, fabrication, and testing of several GPS receivers. He has also participated in the design, fabrication, testing, and launch activities of numerous scientific experiments flown on high-altitude balloons, sounding rockets, and small satellites.

Hee Jung received the B.S. and M.S. degrees in astronomy from Seoul National University, Seoul, Korea, in 1992 and 1994, respectively, the M.S. degree in aerospace engineering from Texas A&M University, College Station, in 1999, and is currently working toward the Ph.D. degree in mechanical and aerospace engineering at Cornell University, Ithaca, NY. Since 2004, she has been a Senior Engineer with the Telecommunication Research and Development Center, Samsung Electronics Company Ltd., SuwonCity, Gyeonggi-Do, Korea. Her main research interests are GPS receivers and applications and satellite orbit and attitude determination.

Paul M. Kintner (SM’82) received the B.S. degree in physics from the University of Rochester, Rochester, NY, in 1968, and the Ph.D. degree in physics from the University of Minnesota at Minneapolis, in 1974. From 1974 to 1976, he was a Research Associate with the Department of Physics and Astronomy, University of Iowa. Since 1976, he has been with the School of Electrical and Computer Engineering, Cornell University, Ithaca, NY, where he has been a Research Associate (1976–1978), a Senior Research Associate and Lecturer (1978–1981), an Assistant Professor (1981–1985), an Associate Professor (1985–1991), and a Professor (1991-present). His research is in space physics and engineering with a specific interest in ionospheric effects on GPS and using GPS to remotely sense the ionosphere.

3090

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Generation and Distribution of a Wide-Band Continuously Tunable Millimeter-Wave Signal With an Optical External Modulation Technique Guohua Qi, Jianping Yao, Senior Member, IEEE, Joe Seregelyi, Stéphane Paquet, and Claude Bélisle

Abstract—A new technique to generate and distribute a wideband continuously tunable millimeter-wave signal using an optical external modulator and a wavelength-fixed optical notch filter is proposed. The optical intensity modulator is biased to suppress the odd-order optical sidebands. The wavelength-fixed optical notch filter is then used to filter out the optical carrier. Two second-order optical sidebands are obtained at the output of the notch filter. A millimeter-wave signal that has four times the frequency of the microwave drive signal is generated by beating the two secondorder optical sidebands at a photodetector. Since no tunable optical filter is used, the system is easy to implement. A system using an LiNbO3 intensity modulator and a fiber Bragg grating filter is built. A stable and high spectral purity millimeter-wave signal tunable from 32 to 50 GHz is obtained by tuning the microwave drive signal from 8 to 12.5 GHz. The integrity of the generated millimeter-wave signal is maintained after transmission over a 25-km standard single-mode fiber. Theoretical analysis on the harmonic suppression with different modulation depths and filter attenuations is also discussed. Index Terms—Electrooptic modulator, fiber Bragg grating (FBG), microwave photonics, millimeter-wave generation, optical heterodye.

I. INTRODUCTION

G

ENERATION and transmission of microwave and millimeter-wave signals over optical fibers are of great interest for applications such as broad-band wireless access networks operating at millimeter-wave bands, antenna remoting, phased-array antennas, optical sensors, and radars [1]–[5]. Optical generation and transmission of electrical signals have been extensively investigated with most work to date focused on high-frequency signals, especially millimeter-wave signals. This is because there are fewer difficulties with conventional electronic techniques or optical techniques in generating and distributing lower-frequency electrical signals. Furthermore, the low-frequency modulated double-sideband (DSB) optical signal suffers less from the chromatic dispersion of the fiber than that of the high-frequency modulated signal when transmitting over standard single-mode fiber (SSMF) [6]–[8].

Manuscript received January 18, 2005. The work was supported by the Canadian Institute for Photonic Innovations. G. Qi and J. Yao are with the Microwave Photonics Research Laboratory, School of Information Technology and Engineering, University of Ottawa, Ottawa, ON, Canada K1N 6N5 (e-mail: [email protected]). J. Seregelyi, S. Paquet, and C. Bélisle are with the Communications Research Centre, Ottawa, ON, Canada K2H 8S2. Digital Object Identifier 10.1109/TMTT.2005.855123

Therefore, the highest frequency of the signal produced by these DSB techniques is limited by the bandwidth of the laser or the external modulator and the fiber chromatic dispersion. To overcome these limitations, an optical generation scheme that uses narrow bandwidth optical components to generate highfrequency electrical signals becomes attractive. Optical microwave or millimeter-wave signal generation is usually based on heterodyne techniques by beating two optical carriers separated by the desired frequency in a square-law photodetector (PD). If the offset frequency of the two optical carriers is stable and their phases are correlated, a high-quality electrical signal will be generated. However, after the transmission of the two optical carriers over an SSMF, the generated microwave or millimeter-wave signal quality will be deteriorated because of the fiber chromatic dispersion [9]. Electrical signal generation based on optical heterodyning can be achieved by using either two stabilized lasers or one laser with an external optical modulator. The quality of the electrical signal produced by beating two free-running lasers rarely meets application specifications. Methods to further improve the signal quality, such as optical injection locking [10], [11] and optical phase-locked loop (OPLL) [12], [13], have been proposed. The OPLL techniques allow the suppression of the low-frequency components of the phase noise that deteriorate the generated signal. However, it is difficult to suppress the highfrequency components of the phase noise unless very narrow linewidth (in kilohertz) optical sources are used [14]. Methods using a laser with an external optical modulator, such as an optical intensity modulator or optical phase modulator, have shown great potential for producing high-purity high-frequency millimeter-wave signals. These approaches are based on the inherent nonlinearity of the response of the optical modulator for generating high-order optical sidebands. Taking advantage of this property can dramatically lower the bandwidth requirements for the optical modulator and allows the use of a much lower frequency electrical drive signal. This can greatly reduce the cost of the system and makes it more practical to use. A method to generate millimeter-wave signals using an external optical modulation technique was proposed by O’Reilly et al. in 1992 [15]. A frequency-doubled electrical signal was optically generated by biasing the Mach–Zehnder modulator (MZM) to suppress even-order optical sidebands. A 36-GHz millimeter-wave signal was generated when the MZM was driven by an 18-GHz microwave signal. Such a system was employed for a remote delivery of video services [16].

0018-9480/$20.00 © 2005 IEEE

QI et al.: GENERATION AND DISTRIBUTION OF WIDE-BAND CONTINUOUSLY TUNABLE MILLIMTER-WAVE SIGNAL

In 1994, O’Reilly and Lane [17] proposed another method to generate a frequency-quadrupled electrical signal. Instead of biasing the MZM to suppress the even-order optical sidebands, the method [17] was based on the quadratic response of an optical intensity modulator. The optical carrier and the firstand third-order optical sidebands were suppressed by adjusting the drive signal level. A 60-GHz millimeter-wave signal was generated when a 15-GHz drive signal was applied to the MZM. However, to ensure a clean spectrum at the output of a PD, an imbalanced Mach–Zehnder filter with a free spectral range (FSR) equal to the spacing of the two second-order optical sidebands are used to suppress the unwanted optical components. Recently, an approach using an optical phase modulator to generate a frequency-quadrupled electrical signal was proposed [18]. In this approach, a Fabry–Perot filter was used to select the two second-order optical sidebands. An electrical signal that has four times the frequency of the electrical drive signal was generated by beating the two second-order sidebands at a PD. A key advantage of these approaches [17], [18] is that an optical modulator with a maximum operating frequency of 15 GHz can generate an millimeter-wave signal up to 60 GHz. However, since both approaches rely on the optical filter to select the two optical sidebands to generate tunable millimeter-wave signals, a tunable optical filter must be used, which significantly increases the complexity and the cost of the system. For system applications with frequency reconfigurability, such as a wide-band surveillance radar, spread-spectrum or software-defined radio (SDR), continuously tunable millimeter-wave signals are highly desired. The prospect of generating a wide-band continuously tunable single-frequency millimeter-wave signal using fixed optical filters and narrow bandwidth optical modulators becomes very attractive. In this paper, we propose a new approach that can optically generate a wide-band continuously tunable millimeter-wave signal without using a tunable optical filter. The system employs an optical intensity modulator, which is biased to suppress the odd-order optical sidebands. A fiber Bragg grating (FBG) serving as a wavelength fixed notch filter is then used to filter out the optical carrier. A stable low-phase noise millimeter-wave signal at four times the frequency of the electrical drive signal is generated at the output of a PD. A 32–50-GHz millimeter-wave signal is observed on an electrical spectrum analyzer (ESA) when the electrical drive signal is tuned from 8 to 12.5 GHz. The quality of the generated millimeter-wave signal is maintained after transmission over a 25-km SSMF.

Fig. 1.

The proposed millimeter-wave signal generation system is shown in Fig. 1. An electrical drive signal is applied to an MZM. The MZM is biased to suppress the odd-order optical sidebands. An optical notch filter is connected at the output of the MZM to remove the optical carrier. Two second-order optical sidebands are obtained at the output of the notch filter. A beat signal with four times the frequency of the electrical drive signal is generated at a PD.

Diagram of the proposed microwave signal generation system.

It is known that the electric field at the output of a lithium– , can be approximately expressed niobate MZM, i.e., by (1) where and are, respectively, the electric field amplitude and angular frequency of the input optical carrier, is the is the optical phase applied electrical drive voltage, and between the two arms of the MZM. difference caused by If the MZM is driven by a sinusoidal electrical signal and biased is expressed as with a constant dc voltage, (2) is a constant phase shift determined by the constant where is the half-wave voltage at high frequency, dc-bias voltage, and and are the amplitude and angular frequency of the electrical drive signal, respectively. Substituting (2) into (1), the electric field of the output optical signal can be written as

II. ANALYSIS A. Principle of the Proposed Approach

3091

(3) where

is the Bessel function of the first kind of order and is the phase modulation index. When the MZM is driven by an electrical signal with adequate power, a large value of is obtained. In this case, (3) shows that the power in the input optical carrier will be spread out among the first-order, second-order, third-order, and higher order optical sidebands. The amplitude distribution of these sidebands is governed by the variation of Bessel functions parameterized by . Their amplitude is also affected by . If all these optical

3092

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

sidebands are fed to a square-law PD, harmonics of the electrical drive signal will be generated. The parameters and can be optimized, from the point-of-view of obtaining higher order electrical harmonics and maximizing its conversion effiis tuned to suppress all even-order opciency. For example, tical sidebands so that the power of all even-order sidebands is transferred to the odd-order ones [15]. An efficiency-improved frequency-doubled electrical signal is then obtained. In our proposed method, the dc bias of the MZM is tuned to . All the odd-order optical sidebands ashave then vanish, as indicated in (3). sociated with the term Only the even-order optical sidebands are kept. The power in the odd-order optical sidebands is transferred to the even-order sidebands, improving the signal generation efficiency. If the electrical drive signal is applied to the MZM with an appropriate power level, optical sidebands up to the second order are generated and all optical sidebands above the second order have an amplitude low enough to be ignored, but the optical carrier, represented by the term with the zeroth-order Bessel function in (3), is still part of the spectrum. The optical signal can then be approximately expressed as

(4) When this optical signal is fed to a PD, a strong frequencydoubled electrical signal and a weaker frequency-quadrupled electrical signal will be generated. However, when this optical signal that is composed of two second-order sidebands and one optical carrier is transmitted over a long span of optical fiber, the frequency-doubled electrical signal suffers from the chromatic-dispersion-induced power penalty [6]–[8], which limits its applications. In addition, the presence of a frequency-doubled electrical signal will cause interference to the operation of the frequency-quadrupled electrical signal in a wide-band system application. To eliminate the frequency-doubled electrical signal, we propose the use of a wavelength-fixed optical notch filter to filter out the optical carrier, as shown in Fig. 1. The electric field of the optical signal at the output of the optical notch filter can then be approximately expressed as (5) Therefore, at the output of the optical notch filter, only two optical sidebands separated by four times the frequency of the drive signal are present. Applying this optical signal to a PD, an electrical signal that has four times the frequency of the electrical drive signal will be generated. The generated electrical can be written as signal

Fig. 2. Illustration of the optical spectrum at the output of the optical notch filter.

Fig. 3.

Illustration of the electrical spectrum at the output of a PD.

It is important to note that the dc-bias level for which the odd-order optical sidebands are eliminated is not dependent on the frequency of the electrical drive signal. In addition, the optical carrier has a fixed wavelength; therefore, the optical notch filter does not need to be tunable. These characteristics ensure that the proposed approach can generate a frequency-tunable electrical signal by simply tuning the frequency of the electrical drive signal at a low-frequency band. B. Electrical Harmonic Suppression Analysis Usually in a wide-band electrical heterodyne system, especially when the system operates over an octave bandwidth, an electrically tuned bandpass filter is inserted between the local oscillator and the mixer to suppress unwanted harmonics. This prevents potential in-band interference from corrupting the receive signal. However, the use of an electrically tunable filter makes the system very complicated. A direct solution to this problem is to use a highly harmonic-suppressed local oscillator. In the following, we will analyze the harmonic-suppression characteristics of the proposed approach. Assume that all odd-order optical sidebands generated by the modulation of the MZM by a sinusoidal signal can be completely suppressed by using an appropriate dc-bias voltage. That is satisfied with means that the condition of a constant dc bias. Assume also that the attenuation of the optical notch filter at its center notch wavelength is in dB. Based on the above assumptions, from (3), the optical signal at the output of the optical notch filter can be written as

(6) where is a constant that is related to the responsivity of the PD. Since the two optical sidebands originate from the same optical source, the frequency stability and phase noise of the generated signal are predominately determined by the electrical drive signal. Equation (6) also shows that the amplitude of the generated electrical signal can be maximized by optimizing the . value

(7) where is the optical electrical field attenuation factor, which is related to by .

QI et al.: GENERATION AND DISTRIBUTION OF WIDE-BAND CONTINUOUSLY TUNABLE MILLIMTER-WAVE SIGNAL

3093

Fig. 5. Power variation of I versus frequency of the electrical drive signal. (Modulation depth = 0:6.)

Fig. 4. Power intensity and harmonic suppressions versus modulation depth. (a) Power intensity I of the fourth-order harmonic. (b) Harmonic suppressions I =I and I =I . (Frequency of the electrical drive f = 12:5 GHz.)

Usually, for a commercially available MZM, the maximum available phase modulation index is 2. When , for are all monotonically increasing Bessel functions with respect to and monotonically decreasing with respect to , the order of Bessel function , and , and . Thus, it is reasonable to ignore the optical sidebands with a Bessel coefficient higher than in our discussion. Therefore, (7) can be further simplified to

(8) Equation (8) shows that the optical signal consists of an attenuated optical carrier and four optical sidebands. The spectrum of this optical signal is illustrated as shown in Fig. 2. The arrow

Fig. 6. Harmonic suppressions versus frequency of the electrical drive signal. (a) Modulation depth = 0:6. (b) Modulation depth = 0:9.

3094

Fig. 7.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Experimental setup for optical generation and transmission of millimeter-wave signals. (power amplifier: PA, optical spectrum analyzer: OSA).

direction shows their initial phase with respect to the phase of the optical carrier before transmission. When the optical signal shown in Fig. 2 is transmitted over a single-mode fiber, the chromatic dispersion of the fiber will cause an extra phase shift to each optical sideband compared to of the optical carrier. By expand the propagation constant the fiber for each optical sideband to a Taylor series around the angular frequency of the optical carrier [19], i.e.,

where is the frequency of the electrical drive signal. The electrical spectrum of the generated signal expressed by (11) is illustrated as shown in Fig. 3. From (11), the power intensity of the fourth-order electrical is proportional to the coefficients of optical sideharmonic bands

(12) (9) where and are the first- and second-order derivaat the angular frequency tive of the propagation constant , respectively. The effect of higher order dispersion is neglected for the single-mode fiber at 1550-nm band [20], and can be expressed by the chromatic dispersion parameter as (10) where is the speed of light in free space and is the frequency of the optical carrier. The electric field representing the optical signal at the end of the transmission over a single-mode fiber of length can be obtained by adding the transmission phase delay to the corresponding optical sideband shown in (8). Electrical harmonics will be generated by applying this optical signal to a PD. The output voltage of the generated high-frequency electrical signal is

(11)

The power intensities of the second- and sixth-order electrical harmonics , are

(13)

(14) For a distribution system that operates at 1550 nm with a transmission distance of 25 km over a standard single-mode fiber with ps nm km , the power intensity and harmonic suppressions of and versus the modulation depth are plotted in Fig. 4. Fig. 4(a) shows that the power intensity is monotonically increasing for , and Fig. 4(b) shows that the harmonic suppression is monotonically decreasing for and dB, and for and dB; is monotonically decreasing for the harmonic suppression , which is independent of the attenuation of the optical notch filter. With a large attenuation of the optical notch filter, a lower modulation depth corresponds to an improved harmonic suppression. As can be seen from Fig. 4(b), for and , the lower the modulation depth, the higher the harmonic suppression. However, lower modulation depth leads to a lower output power of the fourth-order electrical harmonic, as shown in Fig. 4(a). This problem can be solved at a low cost by using erbium-doped fiber amplifiers (EDFAs) in the 1550-nm band. Note that a lower modulation depth means a less power requirement for the electrical drive signal. Fig. 5 shows the power variation of the generated electrical signal , which is caused by the combined effects of the limited attenuation of the optical carrier and the chromatic dispersion of the fiber when tuning the frequency of the electrical drive signal

QI et al.: GENERATION AND DISTRIBUTION OF WIDE-BAND CONTINUOUSLY TUNABLE MILLIMTER-WAVE SIGNAL

3095

Fig. 8. Transmission spectrum of the FBG filter.

Fig. 9. Optical spectra before transmission. (a) Before the FBG filter. (b) After the FBG filter.

from 8 to 15 GHz. It is clearly seen that when dB, this power variation is smaller than 0.03 dB. That means the amplitude of the frequency-quadrupled electrical signal is stable over the tuning band when is a constant and dB. Small ripples found in Fig. 5 are caused by the chromatic dispersion of the 25-km single-mode fiber. Fig. 6(a) shows that when the modulation depth , the minimum value of is around 2 dB with dB, greater than 18.5 dB with dB, 28.3 dB with dB and 30 dB with dB; the minimum value of is greater than 30 dB and is independent of . That means, with a small value of modulation depth, the harmonic suppression is mostly affected by the attenuation of the optical notch filter. Fig. 6(b) indicates that with a small value of the attenuation of the optical notch filter, increasing the modulation depth of the MZM from 0.6 to 0.9 improves the harmonic suppression. The minimum value of has increased from 2 to 7.7 dB for dB case, and 18.5 to 19.7 dB for dB case. III. EXPERIMENT

Fig. 10. Spectra of the generated millimeter-wave signals. (a) 32 GHz. (b) 44.8 GHz. (c) 49 GHz.

In order to validate the proposed method and verify the analysis, the experimental setup shown in Fig. 7 is built. The quality of the generated millimeter-wave signal is evaluated both before

(local signal) and after (remote signal) propagation over 25 km of SSMF. Light from a tunable laser source (TLS) is applied to

3096

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

49 GHz are generated. The spectra are very clean, no other beat signals can be observed across the 50-GHz band. Fig. 11 gives zoom-in views of the beating signal generated locally and remotely after transmission over a 25-km signalmode fiber. The frequency of the electrical drive signal is tuned at 12.5 GHz, the generated millimeter-wave signal is at 50 GHz. As can be seen, the signal quality of the remotely generated signal is maintained, which demonstrates that the signal is not seriously affected by the chromatic dispersion of the 25-km single-mode fiber. The lowest frequency (32 GHz) that can be generated by the proposed system is determined by the bandwidth of the FBG notch filter. With a narrower optical notch filter, this frequency could be much lower than 32 GHz. On the other hand, the highest frequency of the generated signal is only limited by the bandwidth of the optical intensity modulator. The intensity modulator used in the experiment can operate up to 15 GHz so the highest frequency of the generated millimeter-wave signal can reach up to 60 GHz. Due to the bandwidth limitation of the PD and the electrical spectrum analyzer, the 60-GHz signal was not observed in the electrical domain. However, optical spectrum observation supports the 60-GHz signal generation. IV. CONCLUSION

Fig. 11. Spectra of the 50-GHz signal generated locally and remotely. (a) Frequency span: 10 kHz. (b) Frequency span: 100 Hz.

the MZM via a polarization controller (PC). The MZM is a commercially available lithium–niobate modulator, which is biased to suppress all the odd-order optical sidebands. An FBG filter with a central wavelength equal to the wavelength of the optical carrier is used as an optical notch filter. The optical carrier is removed at the output of the FBG filter. The transmission spectrum of the FBG filter is shown in Fig. 8. The bandwidth from the minimum attenuation point at lower wavelengths to the minimum attenuation point at longer wavelengths is approximately 0.3 nm 37.5 GHz . A 25-dBm microwave signal with frequencies tunable from 8 to 15 GHz is used to drive the MZM. By appropriately adjusting the dc-bias voltage of the MZM, the odd-order optical sidebands are suppressed, as indicated by (3). The optical spectrum at the output of the MZM is shown in Fig. 9(a). The optical carrier is then suppressed by the FBG filter. The optical spectrum is shown in Fig. 9(b). Fig. 10 shows the spectra of the generated electrical signals when the microwave drive signal is tuned at 8, 11.2, and 12.25 GHz. Beat signals with frequencies four times that of the drive signals are generated. It can be seen from Fig. 10 that millimeter-wave signals at the frequency of 32, 44.8, and

We have proposed and demonstrated a novel microwavephotonics system that could generate and distribute a broadband frequency-tunable millimeter-wave signal using a lowfrequency intensity modulator and a wavelength-fixed optical notch filter. The technique was based on the nonlinear response of the intensity modulator by properly biasing it to suppress the odd-order optical sidebands. The optical carrier was then removed by the optical notch filter. Since no tunable optical filter was used, the system was easy to implement. Theoretical analysis on the harmonic suppression with different modulation depths and filter attenuations was discussed. A system using an LiNbO intensity modulator and an FBG filter was built. The results have shown that a stable high spectral-purity millimeter-wave signal from 32 to 50 GHz was directly observed on an electrical spectrum analyzer by tuning an electrical drive signal from 8 to 12.5 GHz. The generated millimeter-wave signal was distributed over 25-km standard single-mode fiber; the integrity of the generated millimeter-wave signal at the end of the fiber span was maintained after the transmission. ACKNOWLEDGMENT The authors would like to thank J. Oldham, Communications Research Centre, Ottawa, ON, Canada, D. Barlow, Communications Research Centre, F. Zeng, School of Information Technology and Engineering, University of Ottawa, Ottawa, ON, Canada, and X. Chen, School of Information Technology and Engineering, University of Ottawa, for their assistance in setting up the experimental system. REFERENCES [1] A. J. Cooper, “Fiber/radio for the provision of cordless/mobile telephony services in the access network,” Electron. Lett., vol. 26, no. 24, pp. 2054–2056, 1990.

QI et al.: GENERATION AND DISTRIBUTION OF WIDE-BAND CONTINUOUSLY TUNABLE MILLIMTER-WAVE SIGNAL

[2] A. J. Seeds, “Broadband wireless access using millimeter-wave over fiber systems,” in IEEE MTT-S Int. Microwave Symp., 1997, Paper TU1B-1, pp. 23–25. [3] R. P. Braun, G. Grosskopf, D. Rohde, and F. Schmidt, “Optical millimeter-wave generation and transmission experiments for mobile 60 GHz band communications,” Electron. Lett., vol. 32, no. 7, pp. 626–628, 1996. [4] H. Ogawa, D. Polifko, and S. Bamba, “Millimeter-wave fiber optics systems for personal radio communications,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2285–2293, Dec. 1992. [5] L. Nöel, D. Wake, D. G. Moodie, D. D. Marcenac, L. D. Westbrook, and D. Nesset, “Novel techniques for high capacity 60 GHz fiber-radio transmission systems,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1146–1423, Aug. 1997. [6] H. Schmuck, “Comparison of optical millimeter-wave system concepts with regard to chromatic dispersion,” Electron. Lett., vol. 31, no. 21, pp. 1848–1849, 1995. [7] U. Gliese, S. Nørskov, and T. N. Nielsen, “Chromatic dispersion in fiber-optic microwave and millimeter-wave links,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 10, pp. 1716–1724, Oct. 1996. [8] G. H. Smith, D. Novak, and Z. Ahmed, “Overcoming chromatic-dispersion effects in fiber-wireless systems incorporating external modulators,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1410–1415, Aug. 1997. [9] R. Hofstetter, H. Schmuck, and R. Heidemann, “Dispersion effects in optical millimeter-wave systems using self-heterodyne method for transport and generation,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2263–2269, Sep. 1995. [10] L. Goldberg, H. F. Taylor, J. F. Weller, and D. M. Bloom, “Microwave signal generation with injection-locked laser diodes,” Electron. Lett., vol. 19, no. 13, pp. 491–493, 1983. [11] T. Jung, J.-L. Shen, D. T. K. Tong, S. Murthy, M. C. Wu, T. Tanbun-Ek, W. Wang, R. Lodenkamper, R. Davis, L. J. Lembo, and J. C. Brock, “CW injection locking of a mode-locked semiconductor laser as a local oscillator comb for channelizing broad-band RF signals,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 1225–1232, Jul. 1999. [12] T. Ramos and A. J. Seeds, “Fast heterodyne optical phase lock loop using double quantum well laser diodes,” Electron. Lett., vol. 28, no. 1, pp. 82–83, 1992. [13] M. Hyodo, K. S. Abedin, and N. Onodera, “High-purity, optoelectronic millimeter-wave signal generation by heterodyne optical phase-locking of external-cavity semiconductor lasers,” presented at the Lasers Electro-Optics Eur., Sep. 10–15, 2000, Paper CTuP2. [14] F. N. Timofeev, S. Bennett, R. Griffin, P. BayveL, A. J. Seeds, R. Wyatt, R. Kashyap, and M. Robertson, “High spectral purity millimeter-wave modulated optical signal generation using fiber grating lasers,” Electron. Lett., vol. 34, no. 7, pp. 668–669, Apr. 1998. [15] J. J. O’Reilly, P. M. Lane, R. Heidemann, and R. Hofstetter, “Optical generation of very narrow linewidth millimeter wave signals,” Electron. Lett., vol. 28, no. 25, pp. 2309–2311, 1992. [16] J. J. O’Reilly and P. M. Lane, “Remote delivery of video services using mm-wave and optics,” J. Lightw. Technol., vol. 12, no. 2, pp. 369–375, Feb. 1994. , “Fiber-supported optical generation and delivery of 60 GHz sig[17] nals,” Electron. Lett., vol. 30, no. 16, pp. 1329–1330, 1994. [18] P. Shen, N. J. Gomes, P. A. Davies, W. P. Shillue, P. G. Huggard, and B. N. Ellison, “High-purity millimeter-wave photonic local oscillator generation and delivery,” in Proc. Int. Microwave Photonics Topical Meeting, Sep. 10–12, 2003, pp. 189–192. [19] K. Okamoto, Fundamentals of Optical Waveguides. New York: Academic, 2000, pp. 72–72. [20] W. K. Marshall, B. Crosignani, and A. Yariv, “Laser phase noise to intensity noise conversion by lowest-order group-velocity dispersion in optical fiber: Exact theory,” Opt. Lett., vol. 25, no. 3, pp. 165–167, 2000.

Guohua Qi received the B.E. and M.S. degrees in electrical engineering from the Beijing University of Posts and Telecommunications, Beijing, China, in 1986 and 1989, respectively, and is currently working toward the Ph.D. degree in information technology and engineering at the University of Ottawa, Ottawa, ON, Canada. In 1989, he joined the Nanjing Electronic Devices Institute (NEDI), Nanjing, China, where he was engaged in the research and development of microwave solid-state circuits, modules, and subsystems for the next 12 years. His current research interests include microwave photonics, radio over fiber, and SDR.

3097

Jianping Yao (M’99–SM’01) received the Ph.D. degree in electrical engineering from the University of Toulon, France, in 1997. He is currently an Associate Professor with the School of Information Technology and Engineering, University of Ottawa, Ottawa, ON, Canada. From January 1998 to July 1999 he was a Research Fellow, and from July 1999 to December 2001, he was an Assistant Professor, both with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. From January to March 2005, he was an Invited Professor with the Institut National Polytechnique de Grenoble, Grenoble, France. He has authored or coauthored over 100 papers in refereed journals and conference proceedings. His current research interests include optical signal processing, optically controlled phased-array antennas, photonic generation of microwave signals, radio-over-fiber systems, fiber lasers and amplifiers, broad-band infrared wireless home networking, and fiber-optic sensors. Dr. Yao is a member of The International Society for Optical Engineers (SPIE) and the Optical Society of America (OSA).

Joe Seregelyi received the M.Eng. degree in engineering physics from McMaster University, Hamilton, ON, Canada, in 1987. In 1988, he joined the National Research Council, where he was involved in the area of pulsed electromagnetics. Since 1993, he has been with the Communications Research Centre, Ottawa, ON, Canada, where he is currently the Research Engineering/Project Leader of microwave photonics. He has authored or coauthored over 50 technical papers and reports. He holds patents in the area of hybrid infrared (IR)/high-power microwaves (HPM) landmine detection and neutralization. He possesses extensive knowledge in the areas of RF and microwave design (signal integrity, circuit design, electromagnetic compatibility, antenna design, HPMs, and ultra-wideband radar and communications). He has also been involved with optical design for a number of years (high-power lasers, antenna remoting, communications systems and IR imaging).

Stéphane Paquet received the M.Sc. degree in optics from Laval University, Quebec, QC, Canada, in 1993. He then joined the National Optics Institute, where he was involved with the design and fabrication of integrated optics components. He then joined MPB Technologies, Montreal, QC, Canada, as a member of the Space Technology Group and Optical Amplifier Research and Development Group. In 1997, he joined Nortel Networks, Montreal, QC, Canada, where he was initially a member of the Communication Systems Engineering Group. He was then an Optical System Designer with Nortel Networks, Ottawa, ON, Canada, and a Researcher with the Optical Components Research and Development Group, Nortel Networks, Harlow, U.K. He is currently with the Communications Research Centre, Ottawa, ON, Canada, where he is involved in the microwave photonic research field.

Claude Bélisle received the Bachelor’s degree in engineering physics from the Royal Military College of Canada, Kingston, ON, Canada, and the Master’s degree in physics-optics from Laval University, Quebec, QC, Canada. He has been involved in various research and development projects related to satellite communications for both military and commercial applications with the DRDC–Ottawa and Communications Research Centre, Ottawa, ON, Canada. He is currently the Research Manager of the CRC Advanced Radio System Group, where he leads research in microwave-photonics technologies, satellite communications networks, and SDR. He is also a Director of the Software Defined Radio Forum.

3098

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Perfectly Matched Layer Implementation Using Bilinear Transform for Microwave Device Applications Xiaoting Dong, Member, IEEE, Wen-Yan Yin, Senior Member, IEEE, and Yeow-Beng Gan, Senior Member, IEEE

Abstract—This paper presents an extensive study on the perfectly matched layer (PML) implementation using bilinear transform in the finite-difference time-domain (FDTD) simulation. The bilinear transform is used to implement both the stretched coordinate PML (SC-PML) and the uniaxial PML (UPML) with the complex frequency-shifted (CFS) equations. It is shown that with the CFS factor, the implemented SC-PML and UPML attain significantly lower relative reflection error over wide frequency range with both superior in performance to the split-field PML. The FDTD algorithm incorporating these PMLs is applied to analyze wide-band responses of some complex microwave devices, including RF microelectromechanical systems switch and coplanar waveguide crossover junction. Index Terms—Bilinear transform, finite difference time domain (FDTD), microwave devices, perfectly matched layer (PML), -parameters.

I. INTRODUCTION

T

HE finite-difference time-domain (FDTD) method [1]–[3] is very efficient for investigating the interaction of electromagnetic waves with various microwave devices. As a time-domain technique, FDTD calculates directly the wide-band temporal waveforms. Therefore, it can provide results over wide bandwidth through single calculation. In the FDTD simulation, absorbing boundary conditions (ABCs) must be used to realize reflectionless truncation of boundary so that “open” problems can be solved with limited computational resources. Much efforts have been devoted to the development of various ABCs, with the perfectly matched layer (PML) proposed by Berenger [4], being the most popular ABC. The PML terminates the outer boundary with a few lattice cells of absorbing material. By properly setting the material parameters, it can effectively absorb outgoing waves of arbitrary incidence, polarization, and frequency. Berenger’s original PML was based on a set of split-field equations, which involved modifications to Maxwell’s equations. The stretched coordinate perfectly matched layer (SCPML) [5], an equivalent version of Berenger’s PML, also modified Maxwell’s equations by introducing complex coordinate stretching factors along the three Cartesian coordinates. An alternative approach was developed to avoid these modifications by using a layer of uniaxial lossy medium [6]–[8]. The resultant

PML was designated as uniaxial PML [3] (UPML). Some other “physical” PMLs are proposed in [9] and [10]. These PMLs have been successfully incorporated into the FDTD grids, and were found to be robust and efficient in numerous applications. However, their reflection coefficients are frequency dependent. They were found to be less efficient in attenuating low frequency and evanescent waves. This can be improved by applying a complex frequency-shifted (CFS) factor to shift the frequency pole off the real axis [11], [12]. Recently, the UPML equations have been modified to match the boundaries of left-handed materials [13]. While the PML equations have been well established, appropriate numerical discretizations are needed to implement the PML efficiently. The convolution perfectly matched layer (CPML) proposed by Roden and Gedney [12] is a very efficient implementation of the SC-PML equation. A detailed implementation of UPML was also introduced in [14]. However, the absorption efficiency of this PML is not as good as expected. As in other UPML implementations [3], [7], [8], it does not incorporate the CFS scheme. In this paper, the bilinear transform is applied to discretize the PML equations in the FDTD simulation of several microwave devices. The implementation of both the UPML and SC-PML equations are carried out in which the CFS scheme is employed to enhance the absorption efficiency. This paper is organized as follows. In Section II, the bilinear transform is briefly introduced. Its accuracy is analyzed and compared to other difference schemes. In Sections III and IV, the procedures of bilinear transform implementations of the SC-PML and UPML are proposed, respectively. In Section V, several FDTD numerical examples are given, where the reflection errors of the PMLs are examined and compared. Further, the transmission characteristics and return loss of an RF microelectromechanical systems (MEMS) switch and a coplanar waveguide (CPW) crossover junction are accurately captured. Some conclusions of this study are drawn in Section VI. II. BILINEAR TRANSFORM The bilinear transform, also known as the “Mobius transform,” was used in the FDTD method to model wave propagaoption in dispersive media [14]–[16]. It approximates the erator with a -transform-domain expression

Manuscript received January 9, 2005; revised April 29, 2005. The authors are with Temasek Laboratories, National University of Singapore, Singapore 119260 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855121 0018-9480/$20.00 © 2005 IEEE

(1)

DONG et al.: PML IMPLEMENTATION USING BILINEAR TRANSFORM FOR MICROWAVE DEVICE APPLICATIONS

3099

TABLE I DIFFERENCE SCHEMES AND THE FREQUENCY-DOMAIN APPROXIMATIONS

where a multiplication of in the -domain corresponds to in the discrete time domain. This can be a time delay of understood as replacing the time-domain derivative operator by a central difference approximation together with a field average. To implement the PML using bilinear transform, the factor in the PML equation is simply replaced by the -domain approximation (1), and the equation is then transferred back to the discrete time domain. The detailed implementations of the UPML and SC-PML will be proposed in Sections III and IV. To analyze the accuracy of the bilinear approximation, we compare some other approximation schemes, i.e., the forward, backward, and central differences [14], [15]. Consider the Fourier transform of a time-shifted signal (2) Fig. 1.

Using (1),

! =! as a function of time-domain sampling rate.

is approximated as III. SC-PML IMPLEMENTED USING BILINEAR TRANSFORM (3)

Similarly, we can obtain the frequency-domain approximations for the other difference schemes, as listed in Table I. The accuracy of these difference schemes can be graphed by . Supintroducing a parameter, i.e., the effective frequency pose the angular frequency of an input signal is , is the frequency of the response signal. Fig. 1(a) and (b) shows the real and imaginary parts of the normalized effective frequency as a function of time domain sampling rate, respectively. The imaginary part of corresponds to the damping factor, while the real part describes the actual oscillation frequency of the response signal. verses the Fig. 2(a) shows the real part of space-domain sampling rate in FDTD grids, where and m/s. Fig. 2(b) shows the as a function of the input frequency, where the real part of space grid is defined based on the maximum input frequency, . In Figs. 1 and 2, the bilinear i.e., approximation always provides better accuracy than the other difference schemes.

For a medium characterized by permittivity and permeability , the modified Maxwell’s equations in a stretched coordinate (SC) space are (4a) (4b) (4c) (4d) where (5a) (5b)

In (4) and (5), and for are complex variables and . It is known that stretch the three coordinates of that by setting , , and , the interface between the non-SC and SC regions can always be matched. On the other hand, in order to attenuate

3100

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

and (10) Using (9) and (10), the PML is automatically matched to the background material by assigning permittivity of the boundary grids to their neighbored PML grids. Therefore, it can be applied to arbitrary media, such as inhomogeneous, lossy, anisotropic, dispersive, or even nonlinear cases without any modification. For permeability that is also frequency dependent, the – formulation should be employed. However, for lossy media, the – formation should be adopted so as to reduce memory requirement. Next, we introduce two auxiliary variables (11a) (11b) and rewrite (9) into Yee grids as

(12) for are the coordinate-stretching factors where given by (7). It is noted that the two auxiliary components and are defined at the same location as . By substituting (1) into (11a) and (11b), and transferring the equations into the discrete time domain, we have

Fig. 2. (a) ! =! as a function of space-domain sampling rate. (b) ! as a function of input frequency in a typical FDTD grid with ten points per wavelength.

waves in the SC region, a lossy term is needed. Originally, the coordinate-stretching variable is given by (6) Using the CFS scheme,

(13) where are the coefficients obtained by polynomial simplification as follows:

is defined as (7)

In [12], the SC-PML equations have been successfully discretized into FDTD grids by means of a discrete convolution method. Here, we derive the “leap-frog”-based SC-PML equations using bilinear transform. As an example, we consider the -component of Ampere’s law. Substituting (5a) into (4a), we have (8)

(14) Since these coefficients are related to PML parameters in one direction, their storage does not require much memory. With (13), three additional variables, including the two auxiliary components and and a previous time-step field component need to be stored for the PML cells. To further reduce memory requirement, we can combine the two previous variables together as

In general, to make the PML independent of the background material, we adopt a – formulation given by (9)

(15)

DONG et al.: PML IMPLEMENTATION USING BILINEAR TRANSFORM FOR MICROWAVE DEVICE APPLICATIONS

Equation (12) therefore becomes

3101

Defining an auxiliary variable (21) we have the following time-domain equation: (22)

(16) where

and the discretization of this equation into the Yee lattice is straightforward. The time-domain update equation of the component can be obtained by applying a bilinear transform on (21) giving

can be updated using

(23) Upon simplifying, we obtain (17) (24) Outside the PML, with and , (16) is reduced to the original FDTD equation. However, inside the PML region, and must be stored. There are a additional variables total of 12 additional variables for each PML grids to complete all six difference equations. To save computational memory, the additional variables are allocated only for the PML grids.

Transferring this equation from the -domain to the time doin the main, and taking into account that a multiplication of -domain corresponds to a single-step delay in the discrete time domain, we have

(25)

IV. BILINEAR TRANSFORM IMPLEMENTATION OF UPML The first physical PML based on an anisotropic lossy medium is formulated by Sacks et al. [6], where the anisotropic medium is uniaxial and comprised of both electric and magnetic permittivity tensors. This is known as the UPML. The Maxwell’s curl equations in the UPML can be written as (18a) (18b) where

The coefficients

and

for

are given by (26a) (26b)

where

is an array of constants given by (26c)

is the diagonal tensor defined by In (26a) and (26b), the superscript denotes transpose of the are constants given as follows: matrix, while (19)

With the CFS factor, the multiplicative components of the diagonal elements of can be expressed by the same form as given in (7). The UPML can also be implemented numerically through the bilinear transform method. For generality, we also begin with the – form. Inside the PML, the -component of (18a) is given by

(27)

(20)

Upon obtaining the new value of , the electric field can be computed based on the constitutive relation. In

3102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

order to have an efficient PML implementation, the auxiliary variables should be allocated only for the PML grids. There are a total of six auxiliary variables for each PML grid. In addition, as noted from (25), some previous values of the field components must also be stored, and are refreshed after each time iteration. On the other hand, since the calculation of the coefficients and requires considerable computational time, it is better to calculate these coefficients only once at the initialization stage, and store them in memory. V. NUMERICAL EXAMPLES AND DISCUSSION A. Local Reflection Error To validate the absorption effects of the proposed bilinear implementation of the SC-PML and UPML, the radiation from a small electric current source in free space is examined. The three-dimensional (3-D) FDTD grids are defined as mm with the time increment given by . Within the PML, both the conductivities and parameters in the CFS condition are subject to polynomial scaling, as given in [8], i.e., (28a) (28b) where is the interface, is the depth of the PML, is the . An optimum choice order of the polynomial, and that minimizes the reflection is determined by [7] of (29) where is a constant, and is set to S/m in our simulation. The source is excited at the center of the grid, and is a differentiated Gaussian pulse given by (30) where ps is the time delay, and ps is the width of the Gaussian pulse. Initially, the 3-D lattice dimension is defined to be 42 42 42, and the PML layers are ten cells thick on all six sides is of the simulation space. The relative reflection error computed at two grid points: (31, 21, 21) and (31, 31, 21) and (31) where is a reference solution based on an extended latis the maximum value of the electric field at the tice and observation point over the entire simulation interval. Fig. 3(a) of SC-PML and UPML as compared to the shows the split-field PML with ten PML cells. It is observed that the PML reflection can be reduced significantly with the CFS scheme, and there is a slight difference between the cases of the SC-PML and UPML. of the SC-PML to the splitFig. 3(b) compares the field PML and CPML [12] with four PML cells. Both the

Fig. 3. (a) and (b) Relative reflection errors as a function of time for different PMLs. (c) and (d) Maximum local reflection errors and CPU time verse PML cell number, respectively. (a) Ten PML cells. (b) Four PML cells.

SC-PML and CPML show much lower reflection error, especially for the late-time region. In Fig. 3(c), the performances of the PMLs are compared for different numbers of the PML

DONG et al.: PML IMPLEMENTATION USING BILINEAR TRANSFORM FOR MICROWAVE DEVICE APPLICATIONS

3103

Fig. 5. S -parameters of an RF MEMS switch operating in the “up” state computed using different PMLs in the FDTD simulation (measured results: [16]). (a) Top view. (b) Cross-sectional view. (c) S -parameters.

Fig. 4. (a) Cross-sectional view of the CPW structure. Relative reflection errors of four PMLs as a function of: (b) time and (c) frequency.

cell, from four to ten. It is evident that the SC-PML has the best performance. In respect to the computational expenditure, the CPU time for these PMLs is compared and shown in Fig. 3(d). It should be highlighted that the memory requirements of the split-field PML, CPML, and SC-PML are always the same, while the UPML implementation needs more memory than the other three. Further, we examine the absorption effects of these PMLs in the FDTD simulation of a CPW with an infinite ground plane, as shown in Fig. 4(a). The widths of the signal line and slots are m and m, respectively. The thickness of the metal layer is m. The FDTD grids are set to m, m, and . There are a total of 65 40 42 FDTD cells, with ten PML cells on each of the

six sides. In Fig. 4(a), the Gaussian pulse is excited between the ps and ps. signal line and ground plane with The wave is propagating along the -direction. The observation point is 65 m away from the source, and the reference solution is obtained based on the extended grids. In Fig. 4(b) and (c), the local reflection errors of four PMLs are plotted as functions of time and frequency, respectively. It is found that the UPML shows the best absorption at low frequencies, while the SC-PML is the best choice at very high frequencies. B.

-Parameters

The -parameters are very important in quantifying the electromagnetic performance of microwave devices such as an RF MEMS switch. This is a two-port network and operates in the “up” and “down” states [17], [18]. Fig. 5(a) and (b) shows its top and cross-sectional views, characterized by a signal linewidth of m, an air-bridge length of m, a slot

3104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

REFERENCES

Fig. 6. S -parameters of a CPW crossover junction computed using SC-PML in the FDTD simulation (measured results: [19]).

width of m, a bridge width of m, a height m, and a metallization thickness of 2 m [17]. In of m, m, and FDTD simulation, ps. The Gaussian pulse is described by ps ps. In Fig. 5(c), FDTD results (FDTD 1: UPML; and FDTD 2: SC-PML) agree well with the measured -parameters given in [17, Fig. 8]. Finally, Fig. 6 shows the computed -parameters of a CPW crossover junction, denoted as CPW 1 in [19], fabricated on cm, and a Si wafers with a resistivity of 2500 thickness of 410 m. All geometrical parameters are set to be the same as in [19], i.e., the center signal linewidth is m, the slot width is m, and the air-bridge height is 2.8 m above the first level metal with a thickness of 1.2 m. It is observed that excellent agreement is obtained using the FDTD simulation with the bilinear transform implementation of the SC-PML and the measured result for such a CPW junction. For other CPW junctions, such as the CPW crossover junction with a finite ground plane [19], its frequency response can be further captured using the developed FDTD algorithm. VI. CONCLUSION In this paper, the novel SC-PML and UPML have been implemented using bilinear transform for termination of the FDTD domain. The CFS scheme has been adopted to enhance computational efficiency. The algorithm is simple and very effective. With ten PML cells, the relative reflection error of either the SC-PML or UPML is below 100 dB over a wide frequency range, and both are significantly lower than that of the split-field PML. Using SC-PML or UPML in the developed FDTD algorithm, wide-band forward transmission characteristics and return loss of complex microwave devices, such as RF MEMS switch and CPW crossover junction, have been accurately predicted. ACKNOWLEDGMENT The authors would like to thank Dr. Y. Liu, now with the Electromagnetics Group, University of Toronto, Toronto, ON, Canada, for useful suggestions.

[1] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell equations in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, pp. 302–307, 1966. [2] K. S. Kunz and R. J. Luebbers, The Finite Difference Time Domain Method for Electromagnetics. Boca Raton, FL: CRC, 1993. [3] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 2nd ed. Norwood, MA: Artech House, 2000. [4] J. P. Berenger, “A perfectly matched layer for the absorption of electromagnetic waves,” J. Comput. Phys., vol. 114, pp. 185–200, Oct. 1994. [5] W. C. Chew and W. H. Weedon, “A 3-D perfectly matched medium from modified Maxwell’s equations with stretched coordinates,” Microwave Opt. Technol. Lett., vol. 7, no. 13, pp. 599–603, Sep. 1994. [6] Z. S. Sacks, D. M. Kingsland, R. Lee, and J. F. Lee, “A perfectly matched anisotropic absorber for use as an absorbing boundary condition,” IEEE Trans. Antennas Propag., vol. 43, no. 12, pp. 1460–1463, Dec. 1995. [7] S. D. Gedney, “An anisotropic PML absorbing media for the FDTD simulation of fields in lossy and dispersive media,” Electromagnetics, vol. 16, pp. 399–415, 1996. , “An anisotropic perfectly matched layer-absorbing medium for [8] the truncation of FDTD lattices,” IEEE Trans. Antenna Propag., vol. 44, no. 12, pp. 1630–1639, Dec. 1996. [9] R. W. Ziolkowski, “Time-derivative Lorentz materials model-based absorbing boundary condition,” IEEE Trans. Antenna Propag., vol. 45, no. 10, pp. 1530–1535, Oct. 1997. [10] D. C. Wittwer and R. W. Ziolkowski, “Maxwellian material-based absorbing boundary conditions for lossy media in 3-D,” IEEE Trans. Antenna Propag., vol. 48, no. 2, pp. 200–213, Feb. 2000. [11] M. Kuzuoglu and R. Mittra, “Frequency dependence of the constitutive parameters of causal perfectly matched anisotropic absorbers,” IEEE Microw. Guided Wave Lett., vol. 6, no. 12, pp. 447–449, Dec. 1996. [12] J. A. Roden and S. D. Gedney, “Convolution PML (CPML): An efficient FDTD implementation of the CFS-PML for arbitrary media,” Microwave Opt. Technol. Lett., vol. 27, no. 5, pp. 334–339, Dec. 2000. [13] X. Dong, X. Rao, Y. Gan, B. Guo, and W. Y. Yin, “Perfectly matched layer absorbing boundary condition for left handed materials,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 6, pp. 301–303, Jun. 2004. [14] D. M. Sullivan, Electromagnetic Simulation Using the FDTD Method. Piscataway, NJ: IEEE Press, 2000. [15] C. Hulse and A. Knoesen, “Dispersive models for the finite-difference time-domain method: Design, analysis, and implementation,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 11, no. 6, pp. 1802–1811, Jun. 1994. [16] J. A. Pereda, A. Vegas, and A. Prieto, “FDTD modeling of wave propagation in dispersive media by using the Mobius transformation technique,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 7, pp. 1689–1695, Jul. 2002. [17] J. B. Muldavin and G. M. Rebeiz, “High-isolation CPW MEMS shunt switches-Part I: Modeling,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 1045–1052, Jun. 2000. , “High-isolation CPW MEMS shunt switches-Part 2: Design,” [18] IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 1053–1056, Jun. 2000. [19] G. E. Ponchak and E. M. Tentzeris, “Finite ground coplanar waveguide (FGC) low loss coupling 90-degree crossover junctions,” IEEE Trans. Adv. Packag., vol. 25, no. 3, pp. 385–392, Aug. 2002.

Xiaoting Dong (M’02) received the B.S. and Ph.D. degrees in electrical engineering from Xi’an Jiaotong University (XJTU), Xi’an, China, in 1996 and 2002, respectively. She is currently a Research Scientist with Temasek Laboratories, National University of Singapore, Singapore. Her research activity is concentrated on computational electromagnetics, electromagnetic compatibility in complex systems, wave propagation, and transient signal processing.

DONG et al.: PML IMPLEMENTATION USING BILINEAR TRANSFORM FOR MICROWAVE DEVICE APPLICATIONS

Wen-Yan Yin (M’99–SM’01) received the M.S. degree in electromagnetic fields and microwave techniques from Xidian University (XDU), Xi’an, China, in 1989, and the Ph.D. degree in electrical engineering from Xi’an Jiaotong University (XJTU), Xi’an, China, in 1994. From 1993 to 1996, he was an Associate Professor with the Department of Electronic Engineering, Northwestern Polytechnic University (NPU). From October 1996 to December 1998, he was with the Department of Electrical Engineering, Duisburg University, as a Research Fellow, during which time he was supported by the Alexander von Humboldt-Stiftung, Duisburg, Germany. From December 1998 to March 2002, he was a Research Fellow with the MMIC Modeling and Packaging Laboratory, National University of Singapore (NUS), Singapore. Since April 2002, he has been a Research Scientist and the Project Leader of high power microwave and ultra-wide band electromagnetic compatibility (EMC) with Temasek Laboratories, NUS. He has authored or coauthored over 100 papers in international and local journals including 13 book chapters. His main research interests are the interaction of high-power microwave and ultra-wideband electromagnetic pulses with various microelectromagnetic devices and systems, modeling and testing of 3-D interconnects and packaging in RF (monolithic microwave) integrated circuits (RF(MM)ICs), EMC of complex systems, scattering, radiation, and transient computational electromagnetics. He is a member of the Editorial Board and Reviewer for the Journal of Electromagnetic Waves and Applications.

3105

Yeow-Beng Gan (M’90–SM’01) received the M.Eng. and B.Eng. (Hons.) degrees from the National University of Singapore (NUS), Singapore, in 1989 and 1994, respectively. He is currently a Principal Research Scientist with Temasek Laboratories, NUS. From 1989 to 2001, he was with DSO National Laboratories, where he began and led the area of antenna analysis and design. His research interests include periodic arrays for antennas and radomes, wave propagation and scattering, computational electromagnetics, and modeling of composite materials.

3106

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Compact and Broad-Band Millimeter-Wave Monolithic Transformer Balanced Mixers Pei-Si Wu, Chi-Hsueh Wang, Tian-Wei Huang, Senior Member, IEEE, and Huei Wang, Senior Member, IEEE

Abstract—Three broad-band miniature monolithic transformer singly balanced diode mixers for operation in the microwave and millimeter-wave bands are reported in this paper. The coupledline equivalent models are used to synthesize the initial design of these transformers up to 50 GHz. The first one is a broad-band spiral transformer mixer, and the second one is a 21-GHz Marchand-type transformer mixer. These two mixers with chip sizes around 0.29 mm2 exhibit bandwidths of 105% and 54.5%, respectively. We also propose a 30-GHz single-coiled transformer mixer, which has comparable performance with the first two mixers and reduced chip size. The single-coiled transformer mixer achieves a bandwidth of 100% with the chip size smaller than 0.25 mm2 . In order to save chip area, all these transformers provide broad-band matching to the diodes directly. To the authors’ knowledge, these mixers achieve the widest bandwidths with the smallest chip sizes among all passive balanced mixers using monolithic-microwave integrated-circuit processes in dc–40-GHz frequency range. Index Terms—Diode, mixer, monolithic microwave integrated circuit (MMIC), transformer.

I. INTRODUCTION

A

LTHOUGH microwave mixer design is well developed, it still remains a challenge to develop high-performance and low-cost monolithic mixers [1], [2]. For low-frequency applications, Gilbert-cell mixers have good performance and small chip area. Above 10 GHz, Gilbert-cell mixers also have attractive function, but they need an extra matching circuit to enhance their bandwidth [3]–[5]. Gilbert-cell mixers are fully differential circuits, but may require single-ended to balanced transformation for connection with other circuit components. Passive balanced mixers, which use Lange couplers [6], Marchand baluns [7], or rat-race baluns [8], are widely used in microwave and millimeter-wave frequencies. The balun sizes of these passive balanced mixers are proportional to the wavelength and often occupy most of the chip area. Alternatively, the transformer mixer with meandered coupled lines demonstrates a compact -band [9], [10]. In [9] and [10], the design in the - and sizes of the transformer mixers are reduced significantly (4–13 times) compared to the mixers using the above-mentioned passive baluns. Manuscript received January 13, 2005; revised April 7, 2005. This work was supported in part by the National Science Council under Grant NSC 93-2752-E-002-002-PAE, Grant NSC 93-2213-E-002-033, Grant NSC 93-2219-E-002-024, Grant NSC 93-2752-E-002-003-PAE, and Grant NSC 93-2219-E-002-025. The authors are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855122

Fig. 1. Mixer structure of: (a) conventional singly balanced mixer and (b) transformer mixer.

In this paper, we discuss three singly balanced transformer mixers using GaAs-based monolithic-microwave integratedcircuit (MMIC) technology. The first one is the broad-band spiral transformer mixer. The second is the 21-GHz Marchand-type one [11]–[13], which demonstrates the first -band Marchand-type transformer. We further proposed a 30-GHz single-coiled transformer mixer. The single-coiled transformer is modified from an -band CMOS design in [14] and [15], and -band in this study. To minimize the chip sizes, extended to all these three transformers provide broad-band matching to the diodes directly. Among these three mixers, the broad-band spiral transformer mixer has the widest bandwidth, the 21-GHz Marchand-type mixer obtains the best isolation, and the 30-GHz single-coiled mixer achieves comparable performance and the smallest chip size. To the authors’ knowledge, these mixers achieve the widest bandwidths with the smallest chip sizes among all passive balanced mixers using MMIC processes. II. DESIGN METHODOLOGY The conventional singly balanced mixer uses a four-port hybrid, such as a 180 rat-race balun or a 90 Lange coupler [16], as shown in Fig. 1(a). In this mixer, RF has the same phase and the local oscillator (LO) has 180 phase difference to the diodes. A low-pass filter is often utilized to filter out the IF signal. Using this mixer type, LO-to-RF isolation is determined by the port-to-port isolation of the four-port hybrid. An alternative configuration, which is shown in Fig. 1(b), is utilized in this paper. Since the transformer is a three-port element, only the LO feeds through it and the signal has 180 phase difference to the diodes. RF feeds through a high-pass filter and IF is taken out through a low-pass filter. The LO-to-RF and LO-to-IF isolation are directly related to the magnitude and phase balance of the transformer.

0018-9480/$20.00 © 2005 IEEE

WU et al.: COMPACT AND BROAD-BAND MILLIMETER-WAVE MONOLITHIC TRANSFORMER BALANCED MIXERS

3107

The circuits are designed using a 0.15- m GaAs pseudomorphic high electron-mobility transistor (pHEMT) MMIC process provided by WIN Semiconductors, Taoyuan, Taiwan, R.O.C.1 In order to design a miniature transformer mixer, a diode size should be selected for the best conversion loss at matched impedance. To save the chip area of matching circuits, the transformer balun can be designed to provide broad-band matching to the diodes directly instead of matched for 50 . For minimum size consideration, only a single and small capacitor is used for the RF high-pass filter, and a series inductor and a shunt capacitor are used for the IF low-pass filter. Three different types of transformers are utilized to implement the mixers. For these three types of transformers, the multicoupled-line model in EDA software such as ADS and Microwave Office are utilized to synthesize the transformers in the initial designs. A full-wave electromagnetic (EM) simulator (Sonnet Software, Liverpool, NY) [17] is used after the initial design to predict the performance more precisely. A. Conventional Transformer The first type of transformer is the conventional transformer using two oppositely wrapped twin coils connected in series. A simplified circuit diagram of it is shown in Fig. 2(a). Port 1 is connected through two coils to ground; ports 2 and 3 are connected from ground to coil. At the center frequency, an excitation signal at port 1 will couple to ports 2 and 3 with equal magnitude and phase difference of 180 . This transformer is divided into two coils; each coil can be modeled utilizing multicoupled-line elements. Fig. 2(b) shows one coil layout structure of the transformer. The line length from port a to port c (gray line) is the same and symmetric as the line from port b to port d (black line). The gray and black lines are of one coil metals on the same layer. The total line length (including the gray and black lines) is (1) where is the inner square width in Fig. 2(b), is the number and are, respectively, of coupled lines on each side, and the linewidth and line gap. Each linewidth is equal and, thus, so is each line spacing. The area of one coil is (2) By neglecting the corner effect, one coil can be modeled using four -coupled lines [18], as shown in Fig. 2(c). Instead of modeling coupled lines i and iii individually, one eight-coupled microstrip line can be used for the coupling consideration. Similarly, coupled lines ii and iv are modeled by another set of eight-coupled microstrip lines. In order to use a simpler eight-coupled-line model, as shown in Fig. 2(d), coupled line iv is interchanged with coupled line iii. It turns out that the simulation results for Fig. 2(c) and (d) are nearly identical, when is the same or larger than the line gap and the total line length is less than twice the center frequency wavelength, which 1WIN

0.15 m Power (10 V) pHEMT Design Kit (rev. 0.3.1), 2003.

Fig. 2. (a) Simplified circuit diagram. (b) One coil layout structure of the conventional transformer. (c) Equivalent multicoupled line. (d) Simplified 2n-coupled-line model (n = 4) of one coil.

is true for most cases. Therefore, one coil can be modeled utilizing one -coupled microstrip lines, as shown in Fig. 2(d). The line length of each microstrip line in Fig. 2(d) is the average of the total line length of one coil, and it can be calculated by

(3) In Fig. 2(c), the current flow of coupled line i (ii) is in the reverse direction of coupled line iii (iv) so the insertion loss

3108

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 3. Insertion losses of the conventional transformer as a function of the ratio of the inner square width to the substrate height ID=H .

The transformer was tested via on-wafer probing. We used an HP8510C network analyzer to measure the small-signal data up to 50 GHz. The three-port -parameters are extracted from the two-port measurements using a port-reduction method [19], [20]. However, since the transformer is designed to match to the diodes instead of 50 , the simulated and measured -parameters under the 50- system do not exactly reflect the performance of the transformer in the mixer circuit. Fig. 5(a) shows the measured total power losses of this transformer, it is below 9 dB from 5 to 30 GHz. The simulated and measured insertion losses and phase differences between ports 2 and 3 of the transformer are shown in Fig. 5. The multicoupled-line model can be used to predict the initial values of line gaps, widths, and lengths. After that, we can start from these initial values for the optimization of the transformer performance. Neglecting the corner effect of the coils makes the multicoupled-line model results slightly different from EM simulations. The magnitude difference between EM simulation and measured results are less than 2 dB up to 30 GHz. B. Marchand-Type Transformer

Fig. 4. Chip photograph of the conventional transformer. The chip size is 0.5 0.48 mm .

2

and magnitude imbalance will both deteriorate as decreases. Fig. 3 shows insertion losses of the conventional transformer as a function of the ratio of the inner square width to the substrate . In order to further decrease the coupling effect, height we place a ground at the center of the coils. If via-holes are placed in the coil center directly, the imbalance can be improved. For size consideration, small squares connected to via-holes are utilized in this case. The chip photograph of this transformer is shown in Fig. 4. The chip size is 0.5 0.48 mm . The design procedure of this transformer is summarized as follows. 1) Calculate of the designed center frequency. 2) To minimize the chip area, the linewidths and line gaps are selected to the design rule limit of 5 m. . For both size and 3) Determine the inner square width coupling consideration, 50 m is selected. , then the number of turns of one coil 4) Let can be calculated by (3). 5) Use a multicoupled microstrip line model to synthesize the initial design of this transformer. 6) Finally, the full-wave EM simulator is utilized to determine the final layout.

The second type of transformer is the Marchand-type transformer [11], [12]. Unlike the design in [11] and [12], the linewidths and line gaps of this transformer are adapted to the design rule limit of 5 m to minimize the chip area, therefore, this transformer operates in the higher frequency and occupies a much smaller chip area. A simplified circuit diagram of the Marchand-type transformer is shown in Fig. 6. This configuration is very similar to the conventional transformer, but with port 1 connected through two coils and then to an open circuit instead of to ground. This transformer can be considered as a Marchand balun with each coil to be a quadrature coupler. For the mixer to match the diodes, the total line length of one coil is approximately of the center frequency. The inner square width of the coils is still a concern for magnitude balance and insertion loss. In order to obtain good insertion loss and little imbalance of and , via holes are placed in the center of the coils to improve them, as mentioned before in the conventional transformer design. The chip photograph of this transformer is shown in Fig. 7. The chip size is 0.72 0.42 mm . The simulated and measured results are shown in Fig. 8. The imbalance of this transformer is very small (from 10 to 25 GHz). Fig. 8(a) also shows the measured total power losses of this transformer (it is below 7 dB from 10 to 30 GHz). The coupled-line model can be also used as the initial design, and the agreement between EM simulations and measured results is less than 2 dB up to 30 GHz. C. Single-Coiled Transformer The third type of transformer is the single-coiled transformer. This transformer is modified from an -band CMOS design [14], [15]. Due to the restriction of the air-bridge design rule, we modified the structure to the GaAs process and extended it to the -band. A simplified circuit diagram of the single-coiled transformer is shown in Fig. 9(a). Its simplified circuit diagram is similar to the conventional one; the difference is that all the lines are intertwined to one coil instead of two coils. By this single-coiled structure, the size of this transformer is more compact than the other two transformers. The structure of this trans-

WU et al.: COMPACT AND BROAD-BAND MILLIMETER-WAVE MONOLITHIC TRANSFORMER BALANCED MIXERS

3109

Fig. 6. Simplified circuit diagram of Marchand-type transformer.

Fig. 7. Chip photograph of the Marchand-type transformer. The chip size is 0.72 0.42 mm .

2

for the clarity. The total line length in the -direction ( and in the -direction ( ) are

)

(4) (5) where and are the inner square widths in the - and -directions in Fig. 9(b), respectively; is the number of turns parallel to the -axis, which is an even number. and are, respectively, the linewidth and line gap. The line lengths from ports 2 and 3 to ground are the same, but they are not a half length of port 1 to ground as the other two transformers. The area of this transformer is (6)

jS j, (b) jS j, and of the conventional 0j j 0jS j 0jS j )

Fig. 5. Simulated and measured results of: (a) (c) amplitude and phase difference of S and S transformer. Measured result of total power loss (1 S is also shown in (a).

former is shown in Fig. 9(b). The gray metal, the metal with polka dots, and the metal with oblique stripes are all metals on the same layer. The difference in pattern between metals is only

The total line number of upper and lower sides, which is different from the number of left and right sides , is . Instead of using one section coupled line to model the transformer, one -coupled line and one -coupled microstrip line are used to synthesize this transformer. The line length of the - and -coupled microstrip line are and , respectively. Similar to the previous two transformers, the inner square width is also an important factor of this transformer. One viahole is put in the center to decrease the coupling effect between the opposing sides of the coil. The design procedure of this transformer is similar to the conventional transformer; the only difference is that the number of turns is decided by (7)

3110

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 9. (a) Simplified circuit diagram. (b) Structure of the single-coiled transformer.

Fig. 10. Chip photograph of the single-coiled transformer. The chip size is 0.58 0.45 mm .

2

The simulated and measured results are shown in Fig. 11. The imbalance between ports 2 and 3 is similar to the conventional transformer. Fig. 11(a) also shows the measured total power losses of this transformer; it is below 8.7 dB from 5 to 35 GHz. The coupled-line model is still valid for the initial design, while the EM simulations are within 1.5-dB differences to the measured results up to 25 GHz. III. MIXER IMPLEMENTATIONS AND MEASUREMENT RESULTS

j j j j 0j j 0j j 0j j

Fig. 8. Simulated and measured results of: (a) S , (b) S , and (c) amplitude and phase difference of S and S of the Marchand-type S S ) transformer. Measured result of total power loss (1 S is also shown in (a).

The three transformers are separately applied to three singly balanced mixer designs. The diode sizes of these mixers are all two-finger 20- m devices. The diode is realized by connecting the drain and source of a pHEMT as the cathode of the Schottky diode, while the gate metallization is realized as the anode. The cutoff frequency of the two-finger 20- m Schottky diode is 301 GHz. The whole circuits are simulated by the circuit simulator (HP/EEsof Libra). All these mixers are measured via on-wafer probing. We used an Agilent E8247C PSG as the LO source, and an HP83650B signal generator as the RF or IF source. A. Broad-Band Spiral Transformer Mixer

which means that the line length from ports 2 or 3 to ground is equal to . The chip photograph of this transformer is shown in Fig. 10. The chip size is 0.58 0.45 mm .

The chip photograph of the broad-band spiral transformer mixer with the conventional transformer is shown in Fig. 12. The chip size is 0.63 0.46 mm . The simulated and measured

WU et al.: COMPACT AND BROAD-BAND MILLIMETER-WAVE MONOLITHIC TRANSFORMER BALANCED MIXERS

3111

Fig. 12. Chip photograph of the broad-band spiral transformer mixer. The chip size is 0.63 0.46 mm .

2

jS j, (b) jS j, and of the single-coiled 0j j 0jS j 0jS j )

Fig. 11. Simulated and measured results of: (a) (c) amplitude and phase difference of S and S transformer. Measured result of total power loss (1 S is also shown in (a).

results of this mixer are shown in Fig. 13. The conversion loss is better than 10 dB from 10 to 32 GHz. Between 19–23 GHz, the conversion loss of this mixer is better than 6 dB. The RF-to-IF

Fig. 13. Simulated and measured: (a) conversion losses, RF-to-IF isolations, (b) LO-to-IF isolations, and LO-to-RF isolations of the broad-band spiral transformer mixer for down conversion, of which LO power is 13 dBm and IF is fixed at 1 GHz.

isolation is not good at low frequency because only a series inductor and shunt capacitor are used for the IF low-pass filter.

3112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 14. Chip photograph of the Marchand-type transformer mixer. The chip size is 0.65 0.45 mm .

2

The LO-to-RF isolation is good at low frequency, but degrades at high frequency. The degredation is due to the imbalance from the transformer, specifically the magnitude imbalance. Since the imbalance of this transformer at low frequency is very small, and the low-pass filter has good rejection at high frequency, the LO-to-IF isolation is better than 33 dB from 9 to 34 GHz. B. Marchand-Type Transformer Mixer The chip photograph of the Marchand-type transformer mixer is shown in Fig. 14. The chip size is 0.65 0.45 mm . Fig. 15 shows the simulated and measured results of this mixer. It can be observed that the conversion loss is better than 10 dB from 12 to 21 GHz. Between 15–18 GHz, the conversion loss is better than 6 dB. Due to the same reason as the broad-band spiral transformer mixer, the RF-to-IF isolation is not good at low frequency. The LO-to-RF and LO-to-IF isolations are better than 30 dB from 12 to 21 GHz due to the good magnitude and phase balance of the Marchand-type transformer. C. Single-Coiled Transformer Mixer The chip photograph of the single-coiled transformer mixer is shown in Fig. 16. The chip size is 0.58 0.42 mm . The simulated and measured results of this mixer are shown in Fig. 17. It can be seen that the conversion loss is better than 10 dB from 10 to 30 GHz. From 15 to 23 GHz, the conversion loss is better than 5 dB. The poor RF-to-IF isolation at low frequency is similar to the other two transformer mixers. The imbalance of the transformer degrades the LO-to-RF isolation. Due to the characteristic of the single-coiled transformer, the LO-to-RF isolation is good at low frequency, but becomes worse at high frequency. The LO-to-IF isolation is better than 30 dB from 9 to 33 GHz because the imbalance of this transformer at low frequency is very small, as shown in Fig. 11(c), and the low-pass filter has good rejection at high frequency. In these three mixers, the LO-to-RF isolations are directly affected by the magnitude and phase imbalance of the transformers. The Marchand-type transformer has the lowest imbalance among these three transformers, therefore, its LO-to-RF isolation is also the best. The LO-to-IF isolation is not only caused by the imbalance of the transformer, but also by the rejection of the low-pass filter at the IF port. All these mixers have better than 30-dB LO-to-IF isolations. The RF-to-IF isolations are determined by the rejection level of the high-pass filter in

Fig. 15. Simulated and measured: (a) conversion losses, RF-to-IF isolations, (b) LO-to-IF isolations, and LO-to-RF isolations of the Marchand-type transformer mixer for down conversion, of which LO power is 13 dBm and IF is fixed at 2 GHz.

Fig. 16. Chip photograph of the single-coiled transformer mixer. The chip size is 0.63 0.46 mm .

2

the RF port and the low-pass filter in the IF port, therefore, the isolations of the mixers are about the same. The cause for the variation of conversion losses is more complicated, and cannot be determined directly from the transformers.

WU et al.: COMPACT AND BROAD-BAND MILLIMETER-WAVE MONOLITHIC TRANSFORMER BALANCED MIXERS

3113

approximately 0.29 mm . The proposed single-coiled mixer have a bandwidth of 100%, the conversion loss is better than 10 dB between 10–30 GHz and below 5 dB from 15 to 23 GHz. Its chip size is very compact, only 0.25 mm . ACKNOWLEDGMENT The chip was fabricated by WIN Semiconductors, Taoyuan, Taiwan, R.O.C., through the Chip Implementation Center of Taiwan, Taiwan, R.O.C. The authors would like to thank H.-Y. Chang, M.-F. Lei, and M.-C. Yeh, all with National Taiwan University, Taiwan, R.O.C., Prof. Y.-S. Lin, National Central University, Taiwan, R.O.C., and J.-S. Fu, The University of Michigan at Ann Arbor, for their helpful suggestions. REFERENCES [1] S. A. Maas, “Mixer technologies for modern microwave and wireless systems,” in Proc. GaAs 2002 Conf., Sep. 2002, pp. 245–248. [2] H. J. Siweris and H. Tischer, “Monolithic coplanar 77 GHz balanced HEMT mixer with very small chip size,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2003, pp. 125–128. [3] K. Osafune and Y. Yamauchi, “20-GHz 5-dB-gain analog multipliers with AlGaAs/GaAs HBTs,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 3, pp. 518–520, Mar. 1994. [4] B. Tzeng, C.-H. Lien, H. Wang, Y.-C. Wang, P.-C. Chao, and C.-H. Chen, “A 1–17 GHz InGaP–GaAs HBT MMIC analog multiplier and mixer with broad-band input-matching networks,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2564–2568, Nov. 2002. [5] M.-D. Tsai, C.-S. Lin, C.-H. Wang, C.-H. Lien, and H. Wang, “A 0.1–23 GHz SiGe BiCMOS analog multiplier and mixer based on attenuationcompensation technique,” in IEEE RFIC Symp. Dig., Jun. 2004, pp. 417–420. [6] Alpha Industries Inc., Woburn, MA, AMD038S1-00 Data Sheet, 1999. [7] S. A. Maas, F. M. Yamada, A. K. Oki, N. Matovelle, and C. Hochuli, “An 18–40 GHz monolithic ring mixer,” in IEEE RFIC Symp. Dig., Jun. 1998, pp. 29–32. [8] S. J. Mahon and J. T. Harvey, “Wide-band MMIC Kowari mixer/phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1229–1234, Jul. 2001. [9] C. J. Trantanella, “Ultra-small MMIC mixers for - and -band communications,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2000, pp. 647–650. [10] P.-S. Wu, C.-H. Tseng, T.-W. Huang, and H. Wang, “A singly balanced millimeter-wave mixer using a compact transformer,” in Asia–Pacific Microwave Conf. Dig., vol. 3, Nov. 2003, pp. 649–652. [11] K. S. Ang, S. B. Economides, S. Nam, and I. D. Robertson, “A compact MMIC balun using spiral transformers,” in Asia–Pacific Microwave Conf., vol. 3, Dec. 1999, pp. 655–658. [12] Y. J. Yoon, Y. Lu, R. C. Frye, M. Y. Lau, P. R. Smith, L. Ahlquist, and D. P. Kossives, “Design and characterization of multilayer spiral transmission-line baluns,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1841–1847, Sep. 1999. [13] M. Shimozawa, K. Itoh, Y. Sasaki, H. Kawano, Y. Isota, and O. Ishida, “A parallel connected Marchand balun using spiral shaped equal length coupled lines,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 1999, pp. 1737–1740. [14] A. M. Niknejad and R. G. Meyer, Design, Simulation and Applications of Inductors and Transformers for RF ICs. Norwell, MA: Kluwer, 2000. [15] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuit, vol. 35, no. 9, pp. 1368–1382, Sep. 2000. [16] S. A. Maas, Microwave Mixers, 2nd ed. Boston: Artech House, 1993. [17] Sonnet User’s Manual, Release 8.53, Sonnet Software Inc., Liverpool, NY, 2002. [18] J. R. Long and M. A. Copeland, “The modeling, characterization, and design of monolithic inductors for silicon RF IC’s,” IEEE J. Solid-State Circuit, vol. 32, no. 3, pp. 357–369, Mar. 1997. [19] M. Davidovitz, “Reconstruction of the -matrix for a 3-port using measurements at only two ports,” IEEE Microw. Guided Wave Lett., vol. 5, pp. 349–350, Oct. 1995. [20] H.-C. Lu and T.-H. Chu, “Port reduction methods for scattering matrix measurement of an -port network,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 959–967, Jun. 2000.

K

Fig. 17. Simulated and measured: (a) conversion losses, RF-to-IF isolations, (b) LO-to-IF isolations, and LO-to-RF isolations of the single-coiled transformer mixer for down conversion, of which LO power is 13 dBm and IF is fixed at 1 GHz.

Among these three mixers, the broad-band spiral transformer mixer has the widest bandwidth with an aspect ratio of greater than 1 : 3. The Marchand-type one has the best isolations, but the bandwidth is much smaller than the others. The bandwidth is limited due to the impedance matching between the diodes and transformer. The single-coiled transformer mixer has comparable performance compared to the other two with the smallest chip area. IV. CONCLUSION Three broad-band compact singly balanced transformer mixers have been designed, fabricated, and tested. The multicoupled-line equivalent models are proposed to synthesize these transformer mixers in the initial designs. Conventional and Marchand-type transformers have been used to realize the mixers with bandwidths of 105% and 54.5%, and conversion losses better than 10 dB from 10 to 32 and 12 to 21 GHz, respectively. The individual chip size of each chip is only

S

n

Ka

3114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Pei-Si Wu was born in Changhua, Taiwan, R.O.C., in 1980. He received the B.S. degree in electric engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2002, and is currently working toward the Ph.D. degree at the National Taiwan University. He is currently with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include microwave and millimeter-wave circuit designs.

Chi-Hsueh Wang was born in Kaohsiung, Taiwan, R.O.C. in 1976. He received the B.S. degree in electrical engineering from National Cheng Kung University, Tainan, Taiwan, R.O.C., in 1997, and the Ph.D. degree from National Taiwan University, Taipei, Taiwan, R.O.C. in 2003. He is currently a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include the design and analysis of microwave and millimeter-wave circuits and computational electromagnetics.

Tian-Wei Huang (S’91–M’98–SM’02) received the B.S. degree in electrical engineering from National Cheng Kung University, Tainan, Taiwan, R.O.C., in 1987, and the M.S. and Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 1990 and 1993, respectively. In 1993, he joined the TRW RF Product Center, Redondo Beach, CA. From 1998 ti 1999, he was with Lucent Technologies, where he was involved with local multipoint distribution system (LMDS) fixed wireless systems. From 1999 to 2002, he was involved with RF/wireless system testing with Cisco Systems. In August 2002, he joined the faculty of the Department of Electrical Engineering, National Taiwan University. His research has focused on the design and testing of monolithic microwave integrated circuits (MMICs) and RF integrated circuits (RFICs). His current research interests are MMIC/RFIC design, packaging, and RF system-on-chip (SOC) integration.

Huei Wang (S’83–M’87–SM’95) was born in Tainan, Taiwan, R.O.C., on March 9, 1958. He received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1980, and the M.S. and Ph.D. degrees in electrical engineering from Michigan State University, East Lansing, in 1984 and 1987, respectively. During his graduate study, he was engaged in research on theoretical and numerical analysis of EM radiation and scattering problems. He was also involved in the development of microwave remote detecting/sensing systems. In 1987, he joined the Electronic Systems and Technology Division, TRW Inc. He was a Member of the Technical Staff and Staff Engineer responsible for monolithic-microwave integrated-circuit (MMIC) modeling of computer-aided design (CAD) tools, MMIC testing evaluation, and design. He then became the Senior Section Manager of the Millimeter Wave Sensor Product Section, RF Product Center, TRW Inc. In 1993, he visited the Institute of Electronics, National Chiao-Tung University, Hsin-Chu, Taiwan, R.O.C., and taught MMIC-related topics. In 1994, he returned to TRW Inc. In February 1998, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, as a Professor. Dr. Wang is a member of Phi Kappa Phi and Tau Beta Pi.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

3115

10-Gb/s Driver Amplifier Using a Tapered Gate Line for Improved Input Matching Josef Shohat, Ian D. Robertson, Senior Member, IEEE, and Steve J. Nightingale, Fellow, IEEE

Abstract—The use of a tapered gate line in a distributed amplifier (DA) is investigated and applied to the design of a GaAs monolithic microwave integrated circuit 10-Gb/s optical driver amplifier. Improved input matching is achieved near the cutoff frequency by reducing the characteristic impedance successively along the gate line toward the termination. With the improved matching conditions, the voltage ripple on the final resistor termination is reduced. The degree of tapering that can be employed is limited by the low-frequency gain and matching requirements. Detailed analysis and simulation results are used to investigate the advantage of this technique. To demonstrate its practical use, the performance of a 10-Gb/s DA fabricated with Filtronic Compound Semiconductor’s 0.5- m pseudomorphic high electron-mobility transistor technology is presented.

computer-aided design (CAD)-based optimization [14]. In this paper, the DA matching problem is investigated and it leads to the proposal for a new tapered gate-line technique that results in reduced voltage ripple and better input matching close to the cutoff frequency. II. GATE-LINE ANALYSIS An ideal constant- ladder has T- and -network simultaneous matching impedances (also referred to as image impedances) that change with frequency. This is fully analyzed in the DA literature [15] as follows:

Index Terms—Distributed amplifiers (DAs), high electronmobility transistor (HEMT), monolithic microwave integrated circuit (MMIC) amplifiers, optical communication equipment.

I. INTRODUCTION

T

HE STANDARD distributed amplifier (DA) uses constant- sections to isolate the capacitances of devices and thus achieves wide bandwidth. The isolation of the capacitances is achieved by removal of the imaginary part as one moves from one constant- section to the next. Many techniques have been reported for improved DA performance and these address a range of practical problems [1]–[7]. In a few cases, the constant- network has been replaced with a more complicated uniform arrangement to improve the bandwidth and matching, such as the constant-R network [8], [9]. Various approaches have been reported for tapering the gate line: tapering of the device gatewidths has been used for better input and output reflection coefficients [10], [11]. Alternatively, tapering can be used in the capacitively coupled technique by varying the capacitors connected in series with the transistor inputs [9]. Tapering can be used in principle to achieve equal output power contribution from each transistor. A tapered gate line has also been reported for gaining equal voltages at the transistor inputs by moving to a characteristic at the termination impedance significantly higher than 50 end of the gate line [12], [13]. However, all other things being equal, high impedance means a reduced bandwidth. The gate and/or drain line in many cases is nonuniform as a result of Manuscript received January 20, 2005; revised April 7, 2005. This work was supported by the ERA Foundation Ltd. J. Shohat and I. D. Robertson are with the Institute of Microwaves and Photonics, School of Electronic and Electrical Engineering, The University of Leeds, Leeds LS2 9JT, U.K. (e-mail: [email protected]). S. J. Nightingale is with ERA Technology Ltd., Leatherhead, Surrey KT22 7SA, U.K. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855119

(1) where the cutoff frequency These frequency-dependent impedances imply that a fixed 50- resistive termination cannot provide ideal power transfer. This is of crucial importance in the behavior of the ideal constant- structure. A constant real termination will result in ripples as the signal moves toward the cutoff frequency. These ripples are caused by the unmatched power that reflects back to the input and the imaginary part that has not been cancelled. -derived buffer sections can achieve some improvement. The -derived section has the constant- image impedance at one end and almost constant resistance over a wide frequency range at the other end. The -derived section is used in many circuit designs for improved matching. However, the -derived section still has a changing impedance very close to the cutoff frequency. The mismatching problem produces reflections on the transmission line and, as we increase the number of constantsections, we find more ripples and stronger ripples in the frequency response. Improving the matching beyond the -derived case is, therefore, required. We need to keep in mind our should be purely real and close to constant aim that (2) In practical designs, it is often seen that, for better performance, the gate line is nonuniform, although no extensive analysis has been reported. In many applications, it is worthwhile to trade off the low-frequency matching for improved matching at high frequencies, and this is the premise of the tapering technique analyzed here. It is very difficult to analyze a tapered gate line since the constant- sections are all different. The difficulty lies in the need for factorizing the filter transfer function

0018-9480/$20.00 © 2005 IEEE

3116

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 1. Constant-K section with the next unmatched section represented as a load. (a) T-network case. (b)  -network.

with a large number of constant- sections. The complexity of the analysis will increase as the number of sections increases. From the above arguments and for simplicity, the analysis will focus on the matching at the cutoff frequency. The analysis is divided into two aspects: first, the load impedance that will improve the input matching of an unmodified constantsection is considered. Secondly, the following constant- section is analyzed to see how it can be modified to provide the required load to the first one. The analysis will show that the required conditions may only be met by tapering the gate line to a lower impedance at the termination end. A. Analysis of the ConstantTermination

Fig. 2. Real and imaginary part of Z ; ; and . versus R for X

, evaluated at the cutoff frequency,

Fig. 3. Real and imaginary part of Z versus =G for B : ; ; and

, evaluated at the cutoff frequency,

= 020 0

+20

Section With Complex

The analysis is applied to the two constant- circuit configurations (T and ) shown in Fig. 1. Since the constant- cannot be matched, the load of the circuit is complex and represents the impedance of the next constant- section on the gate line. The input impedances are given by

(3a)

1

(3b) and at the cutoff The real and imaginary parts of frequency are plotted in Figs. 2 and 3, respectively. For the T configuration, the improved match requires a lower series and a series capacitive loading (negative ). Such a load signifi. As for cantly improves the matching for the real part of , the lower reduces the imaginary the imaginary part of part and compensates the effect of the added series capacitance . This will allow the designer load on the imaginary part of to achieve the imaginary part close to zero and the real part as close as possible to 50 . For the configuration, the improved and a parallel inductance match requires a higher parallel loading (negative ). Comparing the results, the analyses give normalized values , for the T-network and and of for the p-network. These are consistent and perfect

= 00 008 0

+0:008.

matching can be achieved at cutoff under these conditions, but a design with such extreme tapering will be poorly matched at lower frequencies. Hence, some tradeoff is inevitably needed between the matching at low frequencies and near to cutoff. B. Design of the Following ConstantTermination Requirements

Section to Meet the

The next step is to investigate how the next section on the line has to be designed in order to provide the required impedance as a load to the first. To study this without making the analysis too complicated, it is assumed that this second stage is itself loaded with a pure resistance, as shown in Fig. 4. Starting with the T configuration of Fig. 4, the input impedance is given by

(4)

SHOHAT et al.: 10-Gb/s DRIVER AMPLIFIER USING TAPERED GATE LINE FOR IMPROVED INPUT MATCHING

3117

Fig. 4. Second constant-K section at cutoff, which provides the load to the first one. (a) T-network case. (b)  -network. Fig. 6. R values and X values for satisfying the real part (6) and imaginary part (5) conditions. For X > 25, the value of R should be in the region below the dashed semicircle, but outside the lower solid line circle.

The conditions of the root, which gives a real impedance condition, are shown in Fig. 6 outside the solid-line circle. The , dashed semicircle is the capacitance condition; for , the rethe region inside gives capacitance, and for gion outside gives capacitance. Thus, all the conditions are met for the small tapering steps for the modified T-section in the range (8) Fig. 5. Imaginary part of Z for T configuration versus inductor reactance (X ) for termination resistance R = 17 and R = 45.

This equation has a real and positive denominator. The condition for this (4) to present capacitance to the preceding section, ), is for small tapering steps (when (5) The right-hand side of this condition reaches its maximum at . Thus, the designer needs to reduce to less than 25 to completely fulfill the matching conditions at cutoff. The imaginary part of is plotted in Fig. 5 as a function of . Looking at the original (uniform) value of , it is clear that to get the required capacitance, as discussed in Section II-A, the inductor of the next constant- section should be reduced, and must be small. This implies tapering to a lower impedance as the signal moves closer to the terminating resistance. Knowing that the second section should present a resistive part of less than 50 to the first, from (4), one can then write

(6) The left-hand side of (6) will reach zero if equals infinity and, thus, the real part of the condition is satisfied. This means that by transferring it to a quadratic equation, a pure positive real root will indicate the crossing of that conflicts with the real part of condition. The roots of the quadratic equation are given by

(7)

Similarly, for the admittance is

configuration shown in Fig. 4, the input

(9) This equation again has a real and positive denominator. Therefore, the imaginary part of the numerator must be negative to achieve inductance. The condition for the small tapering step , for the case) to get inductance in the above (when equation is (10)

The imaginary part of is plotted in Fig. 7 as a function of . Looking at the original (uniform) value of , it is clear that to get the required inductance for the case, as discussed in Section II-A, the next constant- section’s inductor should . It also shows that, have reactance lower than the original , should be bigger than 50. for small tapering steps, This again implies tapering to a lower impedance as the signal moves closer to the terminating resistance. For the analysis of the second section in the configuration, remember that the analysis of the first section showed that the required real part should be less than 1/50 as follows: (11) For small tapering steps, the left-hand side of (11) will reach zero if equals infinity and, thus, the real part of the condition is satisfied. This means that by transferring it to a quadratic equation, a pure positive real root will indicate the limit of

3118

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 9. Schematic diagram of a six-section tapered constant-K ladder (T configuration).

Fig. 7. Second section’s input susceptance in  configuration versus the reactance of its series inductor for R = 10 and R = 200.

Fig. 10. Comparison of input return loss (in decibels) between gate circuit structures.

Fig. 8. R and X values for satisfying real part (11) and imaginary part (10) conditions. The values of R and X should be in the region indicated.

that satisfies the real part of the quadratic equation are given by

condition. The roots of the

(12)

The conditions of the root that give a real impedance condition are shown in Fig. 8 by the solid lines. The dashed line is , the region above the the inductance condition; for dashed line is desired from (10), whereas for , the region below the dashed line is desired. Thus, all these conditions are met for the small tapering steps for the modified section in the indicated range, where (13)

III. SIMULATION RESULTS The simulation of a six-section constant- ladder with -derived end sections was carried out to validate the tapering analysis and show the improvement in performance. Fig. 9 shows the schematic diagram of the circuit, which consists of an ideal transmission line (TL1-6) with six capacitors (Cgs1-6

equal to 0.6 pF) representing a field-effect transistor (FET) input capacitance. The -derived sections are grouped in the shaded boxes. Tapering of the gate line was achieved by steadily reducing the transmission line length . The first simulation to validate the analysis was to compare three cases, shown in Fig. 10: the first trace is for a cascade of uniform 50- constant- sections with 50- termination. The second is tapered constant- ladder with a linear impedance profile, ending in a 38- resistor termination. The third is a tapered gate line using manually tuned values for achieving further improvement in performance and terminated in a 30- resistor. It shows that this tapered technique with different inductance sections moves the nulls to the right and by doing so improves the return loss close to the cutoff frequency. Note that with tapered inductance sections, the low impedance of the last section means that the right-most null has been moved to beyond cutoff. It is this interdependence of the lumped-element network’s impedance and cutoff frequency that makes it difficult to directly employ the standard multisection impedance matching synthesis methods. The next simulation looks at the voltage ripple on the termination resistor. Ideally, there should not be any ripple if all the power is absorbed on the load (i.e., if the ladder is matched to a constant real resistance). In Fig. 11, it is observed that the load ripple is reduced considerably by tapering and the overall average voltage is reduced because of the reduced impedance. For acceptable gain, the degree of tapering is limited, as witnessed by the trace plotted for a 26- termination.

SHOHAT et al.: 10-Gb/s DRIVER AMPLIFIER USING TAPERED GATE LINE FOR IMPROVED INPUT MATCHING

Fig. 11. Comparison of the ripple (in dBvolts) at the load resistor with and without gate tapering.

Fig. 12.

3119

Fig. 13.

Measured S 22 and S 21 S -parameters of the DA.

Fig. 14.

Measured S 11 and S 12 S -parameters of the DA.

Optical driver microphotograph.

IV. CIRCUIT DESIGN AND PERFORMANCE The objective for the tapered gate-line DA was to design and fabricate a 10-Gb/s optical driver amplifier using the 0.5- m gate-length GaAs pseudomorphic high electron-mobility transistor (pHEMT) technology of Filtronic Compound Semiconductors, Newton Aycliffe, U.K. Since the transistor had exceptionally high breakdown voltage, the ordinary common source configuration with a small number of sections could be used for higher efficiency and good stability. The DA was designed using Microwave Office CAD. The gate-line tapering was implemented using simple equations to calculate the inductances for linear impedance tapering. The slope of the tapering and the first inductor value were tuned and optimized to improve the input return loss and gain response. The drain line was uniform and the device’s low output capacitance permitted the use of -derived sections with quite large series inductors instead of simply adding equalizing capacitors. This increases the gain at high frequencies, although it also degrades stability and this limits its use. To accommodate the high-input power required to use the full drain voltage swing capability, the design uses capacitive coupling on the gate. The DA was designed using six transistors each of 2 75 m width. A microphotograph of the chip is shown in Fig. 12. The chip size is 1 3.75 mm. Figs. 13 and 14 show the measured frequency response of the DA. The chip was fed with a dc gate voltage of 0.75 V and with a drain voltage of 5.5 V. The circuit current consumption was 110 mA, which gives an overall low power consumption of 605 mW. In the high-frequency band, the benefit of the tapering is very evident with greatly improved return loss and no ripples or peaking in the gain response near cutoff. Better than 10-dB input return loss is achieved over the amplifier bandwidth.

V. CONCLUSIONS It has been shown that a tapered gate-line technique can give improved matching close to the cutoff frequency for a DA with a minor compromise of the low frequency return loss. A DA using 0.5- m pHEMT technology for optical driver applications has been demonstrated using the technique. From the results, it is clear that the analysis has agreed with the measurements and we have improved the matching of the input close to the cutoff frequency. The technique gives a more acceptable input matching and gain response to the amplifier, especially near cutoff, and no sharp gain peak or stability problems were evident. ACKNOWLEDGMENT The authors would like to thank Dr. J. Mayock, Filtronic Compound Semiconductors, Newton Aycliffe, U.K., for his help in the design and layout stages of this study. REFERENCES [1] K. Niclas, W. Wilser, T. Kritzer, and R. Pereira, “On theory and performance of solid-state microwave distributed amplifiers,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 6, pp. 447–256, Mar. 1984. [2] J. B. Beyer, S. N. Prasad, R. C. Becker, J. E. Nordman, and G. K. Hohenwarter, “MESFET distributed amplifier design guidelines,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 3, pp. 268–275, Mar. 1984. [3] C. Paoloni and S. D’Agostino, “An approach to distributed amplifier based on a design-oriented FET model,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 2, pp. 272–277, Feb. 1995.

3120

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

[4] H. Shigematsu, M. Sato, T. Hirose, and Y. Watanabe, “A 54-GHz distributed amplifier with 6-Vpp output for a 40-Gb/s LiNbO modulator driver,” IEEE Trans. Solid-State Circuits, vol. 37, no. 9, pp. 1100–1105, Sep. 2002. [5] Y. Ayasli, S. W. Miller, R. Mozzi, and L. K. Hanes, “Capacitively coupled traveling-wave power amplifier,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 12, pp. 1704–1709, Dec. 1984. [6] C. Yuen, C. Shih, S. Bandy, I. Feng, and S. Salimian, “Reduction of transmission line coupling effect in 2–20 GHz monolithic distributed amplifier,” Electron. Lett., vol. 28, no. 6, pp. 522–523, Mar. 1992. [7] I. D. Robertson and S. Lucyszyn, RFIC and MMIC Design and Technology. London, U.K.: IEE, 2001, ch. 5. [8] E. Chase and W. Kennan, “A power distributed amplifier using constant-R networks,” in IEEE MTT-S Int. Microwave Symp. Dig., 1986, pp. 811–815. [9] P. Dueme, G. Aperce, and S. Lazar, “Advanced design for wide-band MMIC power amplifiers,” in IEEE GaAs Integrated Circuits Symp., Oct. 7–10, 1990, pp. 121–124. [10] S. D’Agostino and C. Paoloni, “Design of a matrix amplifier using FET-gate-width tapering,” Microwave Opt. Technol. Lett., vol. 8, pp. 118–121, Feb. 1995. [11] C. Paoloni and S. Kosslowaky, “Graphical design method for travelling wave amplifier based on filter theory,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Jun. 14–18, 1993, pp. 273–276. [12] M. Campovecchio, B. L. Bras, M. Lajugie, and J. Obregon, “Optimum design of distributed power-FET amplifier application to a 2–18 GHz MMIC module exhibiting improved power performances,” in IEEE MTT-S Int. Microwave Symp. Dig., San Diego, CA, 1994, pp. 125–128. [13] C. Duperrier, M. Campovecchio, L. Roussel, M. Lajugie, and R. Quere, “New design method of uniform and nonuniform distributed power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2494–2499, Dec. 2001. [14] S. Lee, B. Green, K. Cho, K. Webb, and L. Eastman, “Demonstration of high efficiency nonuniform monolithic gallium–nitride distributed amplifier,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Jun. 11–16, 2000, pp. 549–552. [15] Wong and T. Y. Thomas, Fundamentals of Distributed Amplification. Boston, MA: Artech House, 1993, ch. 3.

Josef Shohat received the B.Eng. degree from Brunel University, West London, U.K., in 2000, and is currently working toward his Ph.D. degree at The University of Leeds, Leeds, U.K. From 2000 to 2001, he was with 3G.Com, Natanya, Israel, where he was involved with analog and RF circuits for third-generation (3G) network systems. From 2001 to 2002, he was with Tiaris, Herzliya, Israel, where he was involved wtih RF circuits for wide-band cable modems. In 2004, he joined the Institute of Microwaves and Photonics, The University of Leeds. His research interests include circuit analysis, wide-band and high-efficiency amplifier design, and amplifier stability. Mr. Shohat was the recipient of the Institution of Electrical Engineers (IEE) Prize for academic achievement.

Ian D. Robertson (M’96–SM’05) received the B.Sc. (Eng.) and Ph.D. degrees from King’s College London, London, U.K., in 1984 and 1990, respectively. From 1984 to 1986, he was with the Monolithic Microwave Integrated Circuit (MMIC) Research Group, Plessey Research (Caswell) Ltd. Since then, he has held academic posts with King’s College London and the University of Surrey. In June 2004, he became the Centenary Chair in Microwave and Millimeter-Wave Circuits with The University of Leeds. He is currently the Honorary Editor of the IEE Proceedings—Microwaves, Antennas & Propagation. He edited MMIC Design (London, U.K.: IEE, 1995) and coedited RFIC & MMIC Design and Technology (IEE: London, U.K., 2001, 2nd ed.). He has authored or coauthored over 330 papers in the areas of microwave integrated circuit (MIC) and MMIC design. Dr. Robertson has organized numerous colloquia, workshops, and short courses for both the Institution of Electrical Engineers (IEE), U.K., and the IEEE.

Steve J. Nightingale (M’82–SM’96–F’02) received the DipEE degree in 1974, and the Ph.D. degree from the University of Kent, Kent, U.K., in 1980. He was with Philips Research Laboratories, Redhill, U.K., and Hamburg, Germany, and with General Electric, Syracuse, NY. In 1986, he joined Thorn EMI Electronics, Hayes, Middlesex, U.K., initially as a Technology Manager and then as Manager of the Radiation Department. In 1996, he joined ERA Technology Ltd., Leatherhead, Surrey, U.K., to develop a business designing, developing, and manufacturing ultra-wideband amplifiers and components for optical communications applications. He is currently Chief Consultant with Electronic Systems, ERA Technology Ltd. He has been a Visiting Professor with The University of Leeds. He is currently a Royal Academy of Engineering Visiting Professor with the University of Surrey, Surrey, U.K. He has authored or coauthored numerous publications in the microwave field and contributed to four books. He has filed six patents in the areas of GaAs MMIC and hybrid circuit design applied to millimeter-wave radiometers, radars, communication systems, and opto-electronics. He has given over 20 specialized invited lectures to universities and industries in Europe, the U.S., and China. Dr. Nightingale was director of the European Microwave Association (EuMA) and chairman of the Institution of Electrical Engineers (IEE), U.K., Electronics and Communications Division. He was chairman of European Microwave Week (EuMW) and the European Microwave Conference (EuMC), London, U.K., in September 2001.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

3121

Coherent Optical Vector Modulation for Fiber Radio Using Electrooptic Microchip Lasers Yifei Li, Maja Bystrom, Member, IEEE, David Yoo, Student Member, IEEE, Samuel M. Goldwasser, Member, IEEE, and Peter R. Herczfeld, Fellow, IEEE

Abstract—Future communication systems will require high data rates and flexible modulation. Direct optical phase modulation of two microchip lasers by information-bearing signals allows for high-rate delivery via fiber to a basestation. At the basestation, the coherent optical signals are combined with a reference in a photodetector to produce a microwave/millimeter-wave carrier -ary quadrature amplitude modulation, which with arbitrary can then be transmitted over a wireless channel. Rapid tuning of the microwave/millimeter-wave carrier, the modulation scheme, and the data rate is achievable through this method with no fixed oscillators at the basestation, thus providing for flexible architectures. Results show a high-quality carrier and, for 4- and 16-QAM, with data rates to 200 Mb/s. Extensions to higher data rates are discussed. Index Terms—Broad-band wireless, coherent fiber-optic link, fiber radio, optical vector modulation (OVM).

I. INTRODUCTION

T

HE NEXT generations of broad-band wireless local area networks (WLANs) will require wide frequency bands and efficient signaling techniques in order to support wireless bridges and to supply high data rates to fixed or mobile users. For applications such as high-rate voice and video transmission, capacities per user on the order of hundreds of megabits per second or even gigabits per second will be required [1], [2]. To support the anticipated growth in usage and ubiquitousness of computing access, as well as these high-rate applications, provisions are being made worldwide to operate with carriers in the tens of gigahertz [3]–[5]. Whether local area or metropolitan area, these networks will demand high-bandwidth delivery to the distribution points such as by the fiber distribution system for delivery of millimeter-wave subcarriers to radio base stations as proposed by Novak et al. [6] and Ogawa et al. [7]. In addition, flexible digital communication systems will be needed to support variable-rate data and channel-adaptive forward error control coding and power adjustment. This implies low-cost bandwidth-efficient frequency-agile modulation of microwave or millimeter-wave carriers, particularly for downlinks. -ary quadrature amplitude modulation ( -QAM) is an ideal signaling strategy for many of the high-carrier high-rate Manuscript received January 25, 2005; revised March 22, 2005. This work was supported in part by the Office of Naval Research under Grant N00014-00-1-0781. Y. Li, D. Yoo, S. M. Goldwasser, and P. R. Herczfeld are with the Center for Microwave/Lightwave Engineering, Drexel University, Philadelphia, PA 19104 USA (e-mail: [email protected]). M. Bystrom is with the Information Systems and Sciences Laboratory, Boston University, Boston, MA 02215 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855118

applications indicated above. Indeed, as an example, 16- and 64-QAM have been adopted by the IEEE in the new 802.16 Standard for carriers in the 10–66-GHz range [8]. The feasibility of distributing 256 quadrature amplitude modulation (QAM) signals over fiber using forward error control block codes to compensate for signal attenuation was demonstrated by Ohtsuka et al. [9], thereby illustrating the potential for delivery of millimeter-wave signals to basestations via fiber. Conventional high-frequency communications systems generate a QAM signal by first selecting an IF and then upconverting, possibly in multiple stages, to the millimeter-wave band. However, it is not yet cost effective to implement highly stable high-frequency oscillators, thereby motivating work in both direct generation of QAM signals at the millimeter-wave subcarrier frequency, as well as simultaneous transmission of carriers for purpose of avoiding use of oscillators during demodulation [10], [11]. There have been significant results in direct modulation using two or more external Mach–Zehnder modulators (MZMs). Recently, Jemison et al. demonstrated the generation of 4- and 16-QAM at slightly less than 1 MS/s through varying the bias of two MZMs [12]. The MZM outputs are then combined and a photodetector is used to generate the modulated subcarrier. A similar approach using a pair of external modulators was taken by Candelas et al. [13]; however, in this proposed approach, two fibers, one from the output of each MZM, were required for delivery of the modulated signal to the basestation, where each quadrature component is separately detected and then electrically combined to produce the modulated millimeter-wave subcarrier. In this paper, a method for direct laser modulation to yield QAM at millimeter-wave frequencies is described for purposes of supplying bandwidth-efficient rate- and carrier-adaptable digital modulation for wireless networks and short wireless bridges. This new approach, made possible by the use of electrooptically tunable microchip lasers, satisfies the requirements stated above. The proposed technique is purely optical QAM generation of a millimeter-wave subcarrier, requiring no external modulators. The potential subcarrier range is wide, from the hundreds of megahertz to the tens of gigahertz and is limited only by the availability of photodetectors for the selected subcarrier frequency; these are commercially available beyond 60 GHz. Due to the use of rapidly-tunable electrooptic (E/O) microchip lasers, the rapid and straightforward variation of the carrier frequency, as well as adjustable power levels and QAM constellation points, makes this system ideal for adaptable communications networks. Prior experiments [14] utilized less optimal components, particularly the baseband sources

0018-9480/$20.00 © 2005 IEEE

3122

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

to the carrier in the wireless domain. Thus, the output of laser 3 is simply Laser 3:

Fig. 1. Block diagram of OVM scheme for fiber radio using three microchip (microliters) lasers and optical PLLs.

and driving circuits, thus limiting the modulation to 4-QAM 10 MHz and resulting in significantly at lower data rates more spread in the constellation. In the following, the proposed optical vector modulation (OVM) system is described in detail with emphasis on identifying the performance potential, and results (both theoretical and experimental) are shown for selected QAM modulation orders. It is shown that high-quality high-rate (on the order of 200 MS/s) modulation is readily achieved at a range of carrier frequencies with demonstrated results limited only by available test equipment. Straightforward extensions to higher data rates are discussed. Furthermore, the output of each laser is a phase-modulated optical carrier with high spectral quality so that this modulation strategy can potentially implement a coherent optical communication system. II. OVM CONCEPT Here, we address the generation of OVM for QAM fiber radio using photonic down-conversion. This method uses direct modulation of single-frequency microchip lasers (Fig. 1). Each microchip laser contains an intracavity E/O frequency tuning element [15]. Lasers 1 and 2 are locked to the same optical frequency, but with a 90 phase offset, through the use of an optical phase-locked loop (OPLL). Since each microchip laser (microliters) is frequency modulated, differentiators are used to obtain phase modulation. differentiator is assumed. In the In this paper, a simple , the regime where the baseband signal frequency output of the differentiator will approach and where and are the baseband in-phase and quadrature ) information-bearing signals, respectively. Therefore (ne( glecting initial phase offsets and noise terms), the optical outputs of lasers 1 and 2 will be Laser 1: Laser 2:

(1)

where is the optical field amplitude (assumed to be equal is the optical frequency, is the microchip in both lasers), and are the informalaser modulation sensitivity, and carriers. Laser 3 is tion-bearing signals for the orthogonal locked to an offset of the optical frequency of laser 2, equal to a desired microwave or millimeter-wave frequency corresponding

where is the optical field amplitude of laser 3. The three optical signals are launched into the fiber and their sum is incident at a photodetector at the basestation. By using a small angle approximation to convert the angular information into amplitudes, a QAM representation can be established, and the photodetector output current can be written as

(2) is the responsivity of the photodetector. where Since this output is amplitude modulation of two signals in quadrature, this scheme is analogous to traditional QAM architectures. However, note the presence of an additional carrier term, not normally seen in QAM systems. This carrier is advantageous when designing a coherent receiver, as it can function as a pilot signal to injection lock a local oscillator. Alternatively, if this carrier is suppressed, the output current reverts to conventional QAM, and may be sent to legacy digital processing sub-systems. An additional advantage to this method of generation is that by varying either the information-bearing modulating signals or the power of the optical carrier, it is straightforward to adaptively modify the signaling constellation based on channel knowledge and other system conditions. At the same time, the for frewireless carrier frequency can be varied by changing quency-agile systems. Compared with other RF over fiber systems, by imposing a baseband signal directly onto the phases of the two orthogonal optical carriers, this eliminates the need for any IF signal. This elimination enables us to attain much higher and adaptable data rates. Flexible carrier frequencies are also obtained since the QAM is initially generated in the optical domain and later down-converted though laser heterodyning. In addition, the proposed scheme employs direct laser modulation, thus removing the need for an external optical modulator, which could be an added complexity and a source for link loss. Furthermore, it is important to note that although the specific example presented here is an optical QAM system, the specific modulation scheme and, therefore, constellation, is arbitrary. The larger more general focus of this study is the demonstration of OVM. In particular, the QAM system here is facilitated by the use of the small angle approximation to reduce the equations to an easily recognizable form. This need not be the case; use of a lookup table in the transmitter end can eliminate the need for such an approximation. A variety of technologies can be used to implement a high-speed lookup table-based QAM driver. Data rates up to at least several hundred megasiemens per second can be achieved using readily available commercial digital memory integrated circuits (ICs) such as double data rate static random access memory (DDR SRAM) with separate digital-to-analog converter (DAC), or a video random access memory digital-to-analog converter (RAMDAC), which combines one or more high-speed static random access memory (SRAM)-based

LI et al.: COHERENT OVM FOR FIBER RADIO USING E/O MICROCHIP LASERS

3123

Assuming lasers 1 and 2 acquire identical amplitudes and frequencies, but have quadrature phase offset, the combined output of the three-laser array is given by

(3) Fig. 2.

Block diagram of the OPLL.

lookup tables with DACs in a single chip. Straightforward design techniques should enable multiple gigabits per second systems to be developed.

where and are the frequency-dependent amplitude , and and phase noise of the th laser represents the and phase modulation, as in (1). Photomixing of the combined outputs yields the following photocurrent in the microwave range:

III. ANALYSIS Here, the performance of the OVM architecture will be analyzed. First, the phase-locking subsystem used to generate stable carriers in quadrature will be presented. Secondly, the noise and distortion terms will be examined to determine the effects on the transmitted signal. Finally, the ultimate theoretical performance in terms of bit error rate (BER) and data rate of this approach will be discussed.

(4) where the bandpass Gaussian noise is the noise current induced by receiver thermal and shot noise. Reorganizing the and are small values yields an apterms and assuming proximation to the received current, which can be written as

A. Phase-Locking Subsystem As seen in Fig. 1, two OPLLs are present in the OVM–QAM transmitter. OPLL 2 is similar to a digital microwave frequency synthesizer and was addressed in [16]. Such OPLLs have also been demonstrated by various groups—e.g., see [17] and [18]. OPLL 1 is an opto-electronic variant of an analog phase-locked loop (PLL), as illustrated in Fig. 2. In this system, a photodetector is used as an optical phase detector. Since each microchip laser contains an E/O frequency-tuning element, it takes the place of a voltage-controlled oscillator in an electronic PLL. This structure forces the two lasers to maintain a quadrature phase offset. Writing the output of each laser as

(5) The terms and are the total noise for the and channels, respectively, and can be represented as

(6a)

(6b)

yields an output voltage at the photodetector where

where , in which is the photodetector impedance and . The constant is removed by apleaving the error signal applied plying a bias voltage of . Since the OPLL acts to to the loop filter , in the steady state, we have . force B.

Interference and Noise

interference are At this point, noise effects and the considered. The downlink employs optical fiber, therefore, fading and interference in the wireless channels will be neglected. Modulating the phases of the two optical carriers may introduce coupling or interference between the and channels. Such interference is nonlinear in nature, and intensifies as the baseband modulation index is increased. Reducing the interference, but reduces modulation index minimizes the the signal-to-noise ratio (SNR) and increases the BER.

and are the phase components of . For white Gaussian noise, we have and . Note that (5) reduces to (2) with additional noise terms. channel interference and noises are The sources for channel interference is declearly identified in (5). The terministic and can be reduced using post-compensation. Thus, the noise sources are the limiting factors for the overall system channels are performance. In the OVM system, the and symmetric. Therefore, in the following discussion, only the channel will be addressed. According to (6a) and (6b), the channel noise is a combination of laser amplitude (AM) and phase noises, as well as electronic thermal and shot noises. The spectral shape of the laser amplitude noise is determined by the dynamics of the photon density inside the laser cavity. For solid-state microchip lasers, the AM noise peaks at the 1 MHz , beyond laser relaxation oscillation frequencies which the noise gradually reduces to the quantum noise floor

3124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

150 dB Hz . In the OVM implementation, a relative intensity noise (RIN) suppression feedback loop is inserted for each laser element in order to significantly reduce the AM noise near the relaxation oscillation. In principle, by using an ideal RIN noise feedback, AM noise close to the quantum noise floor is attainable. Thus, for simplicity, the frequency dependence of the laser AM noise spectrum may be neglected, and the quantum noise floor is used in its place. As shown in Fig. 1, the OPLLs lock the relative phases , ) between the pairs of lasers so that, within ( the PLL loop bandwidth, the power spectral density of the relative phases are reduced. However, the PLLs do not distinguish between phase noise and the phase modulation induced by baseband signals. As a result, the baseband signal within the PLL bandwidth is also suppressed. Ideally, the baseband signal should have as little energy as possible inside the PLL loop bandwidth. However, outside the loop bandwidth, the outputs from the lasers become uncorrelated and their relative phase differences are solely determined by the laser intrinsic phase noise. Therefore, external feedback does not alleviate phase noise within the signal band, where the laser intrinsic phase noise dominates. Fortunately, solid-state microchip lasers have low intrinsic phase noise within the signal band. Away from the optical carrier ( 1 MHz offset), the intrinsic phase noise of the microchip lasers is quantum noise limited and has a Lorentzian shape [19] with a slope of 20 dB/dec. By measuring the phase noise of the beat tone signal between two identical microchip lasers, the optical phase noise at a 1-MHz offset is found to be 110 dBc/Hz. The integrated phase noise can, therefore, be calculated as

Fig. 3. rms noise (integrated from 1 MHz) in the I channel versus receiver bandwidth. The optical power fed into the photodiode from each laser is 1 mW, and the termination resistance and responsivity of photodiode are 50 and 0.6 mA/mW, respectively.

dB rad and are the lower and upper frequency limits where (in megahertz) for integration and are determined by the frequency band of the baseband signal. Using (6a) and (6b), the root mean square (rms) noise in the unit of radian versus the channel bandwidth under a typical operation condition is calculated and plotted in Fig. 3. It is assumed that the photodiode has responsivity of 0.6 mA/mW, a termination resistance of 50 , and sees equal power (1 mW) from each laser. When calculating phase noise, the lower end of the baseband signal is assumed to be 1 MHz and thereby the inteMHz. As shown in gration of the noise starts from Fig. 3, the laser phase noise dominates the other noise sources. The total rms phase noise is not strongly correlated with channel bandwidth and is found to be approximately 0.006 rad. The dominance of the phase noise is expected because it is the optical intrinsic phase noise that affects the system performance. In addition, since the optical phase noise rolls off at a rate of 20 dB/dec, its effect can be significantly reduced when the lower end of the baseband signal is increased (see Fig. 4). As is increased from 1 to 100 MHz, the rms noise contributed by optical phase noise is reduced from 0.006 to 0.0005. When MHz, the laser AM noise replaces the phase noise as the dominant noise source.

Fig. 4. RMS noise versus f bandwidth.

for a system with 200-MHz receiver

C. Performance Potential In Section III-B, it was found that the noise sources (especially the optical phase noise) degraded the performance. The achievable performance of the OVM will now be discussed. For -QAM, the average energy is given [20] as (7) where is half the distance beconstellation points, is the symbol duratween adjacent tion, is the peak-to-peak voltage of baseband signal, and is the time constant for the differentiator. In practice, . Accordingly, the average SNR per symbol we let is

(8)

LI et al.: COHERENT OVM FOR FIBER RADIO USING E/O MICROCHIP LASERS

3125

Fig. 6. Experiment setup for testing OVM (detailed block diagram of E/O microchip laser module shown in inset).

Fig. 5. (a) SNR and (b) BER versus symbol rates, assuming V = 2 V, = 20 MHz/V, and the remaining parameters are identical to those of Fig. 3.

For Gray coding, where adjacent symbols differ by only 1 bit, the BER can be calculated with the formula [20] for a Gaussian noise assumption (9) Using (8) and (9), the SNR per symbol and BER were calwas 2 V culated (see Fig. 5). It was assumed that the and the laser FM sensitivity was 20 MHz/V, which is the measured value for the 1-mm-thick laser crystal used in the experiments. The remaining laser parameters were identical to those in Fig. 3. According to the previous discussion, for fixed baseband voltage, as the symbol rate is increased, the SNR per symbol is reduced [see Fig. 5(a)] even though the rms noise power barely changed (see Fig. 3). This is expected since when the data rate is increased, the symbol duration will be reduced (RC time of the differentiator is reduced). For a fixed baseband voltage, according to (7) and the following discussion, the optical phase change (or QAM symbol separation) is consequently reduced, thus resulting in reduced SNR. This represents the fundamental

limitation of the OVM for high data rate operation; however, much higher data rates are achievable if the laser FM sensitivity is raised. The FM sensitivity is inversely proportional to the thickness the E/O section. If the laser is implemented in an integrated form with the waveguide thickness of the E/O section equal around 5 m [20], the FM sensitivity will be several gigahertz per volt. This is consistent with sensitivities of off-the-shelf MZMs. Multigigahertz per volt modulation sensitivity thereby enables data rates in the tens of gigabits per second or higher. In addition, according to Fig. 5(b), for a fixed BER, the lower order QAMs (4-QAM or 16-QAM) are more suitable for higher data rate operation. For instance, at a BER of 10 , 4-QAM could operate at over 1100 Mb/s; while 64-QAM could only reach 500 Mb/s. This occurs because the system is limited by the optical phase noise, and the noise power is not a strong function of bandwidth. However, the situation will reverse (i.e., higher order QAM is preferred) if the baseband signal starts from higher frequencies and the white amplitude noise becomes the limiting factor. IV. EXPERIMENTAL VERIFICATIONS Here, the experimental results generating QAM for transmission over a microwave/fiber link are discussed. The experimental setup is depicted in Fig. 6. An array of three E/O tunable microchip lasers was employed. The E/O microchip lasers, fabricated by Casix Inc., Fuzhou, China, consisted of a 0.5-mm gain section (Nd:YVO ) and a 1.0-mm E/O tuning section (MgO:LiNbO ), which were optically bonded for ruggedness and to facilitate low-cost mass production. Two dielectric mirrors were deposited on each side of the laser crystal to form a plano–plano resonator. Each laser was butt-coupled to 808-nm pump diodes and operated in the 1064-nm wavelength, single-frequency, and single transverse-mode regime. The E/O microchip lasers had low threshold ( 50 mW) and high slope efficiency ( 50%) with a maximum single-mode output power of around 100 mW and FM modulation sensitivity of 20 MHz/V. The fiber attenuation for 1064-nm wavelength is around 1.5 dB/km, which is usable for fiber radio applications where the fiber link is less

3126

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 8. AM noise measurements for a 2.4-GHz carrier signal with and without noise suppression. Fig. 7. Microwave carrier spectrum at 2.4 GHz.

than 10 km. However, for longer links, a lasing wavelength at 1340 nm is preferred. By changing the laser mirror coatings, the microchip lasers can operate at 1342 nm. The selection of 1064-nm lasing wavelength for this experimental setup is just a matter of convenience. The subcarrier frequency was set by changing the frequency difference between the lasers using OPLL2. The tuning range varied from 0.5 to 40 GHz (limited by the photodiode used). The loop bandwidths of both OPLLs were adjusted to 1 MHz to minimize distortion to the baseband signals and to assure low phase noise of the microwave carrier. At the receiver, the microwave optical subcarrier was converted to a microwave signal by a high-speed photodiode. A communication signal analyzer (Tektronix CSA803) and a microwave spectrum analyzer (Agilent 8564E) monitored the time-domain waveform and the signal spectrum. Ideally, a QAM demodulator specifically tailored to the requirements of the OVM scheme is needed to realize the full potential of the proposed system. However, since the main emphasis of this experiment was in generating QAM, a commercial unit (Agilent vector signal analyzer (VSA) E8408A) was used for demodulation, which limited the maximum modulation index. Since the signal analyzer (VSA) required a conventional QAM input, with negligible carrier components, the carrier had to be suppressed. Unfortunately, carrier suppression introduces a small, but noticeable phase fluctuation that tends to degrade the demodulator (VSA) performance. A clean microwave carrier signal is vital for basestation implementation of a fiber radio system. Therefore, prior to discussion of the QAM results, the quality of the carrier signal is considered. The 2.4-GHz microwave carrier spectrum is depicted (in Fig. 7). The measured phase noise was 95 dBc/Hz at a 10–kHz offset. The choice of the 2.4-GHz carrier frequency was simply a matter of convenience since QAM demodulation was performed by the VSA, which had a detection range below 2.5 GHz. By setting the frequency difference between lasers 1 and 3, microwave carriers up to 40 GHz can easily be generated [14]. The AM noise was measured with and without AM noise feedback control (Fig. 8). Without the feedback, two noise spurs caused by the relaxation oscillations of lasers 1 and 2 (at 2 and 3 MHz) were observed in the AM noise spectrum. With the feedback enabled, the spurs disappear. It is seen that significant AM noise exists at frequency offsets below 1.8 MHz, which is caused

Fig. 9. 4-QAM: (a) signal constellation and (b) error vector measurements.

by the phase-to-amplitude noise conversion that occurs when the two quadrature carrier signals are combined. We conclude that the OVM scheme generates microwave carriers with low phase and amplitude noise. Next, the quality of the QAM modulation is considered. First, the results of the simplest scheme, i.e., 4-QAM, is discussed and presented. In the OVM scheme, 4-QAM is readily realized when two binary nonreturn to zero (NRZ) baseband signals modulate the phases of lasers 1 and 2. In the experiment, the baseband signals were taken from the de-serialized outputs of a digital pattern generator (Advantest D3173A). The baseband was set to 2 V. The RC time conpeak-to-peak voltage stant of the differentiation stage was set to 1/10 of the symbol period. The microwave subcarrier was tuned to 2.4 GHz and the 4-QAM symbol rate was set to 30 MS/s. In addition, to minimize the signal distortion due to the PLLs, the baseband signals were programmed to have few spectral components below 1 MHz. The signal constellation and the error vector measurements were generated by the VSA and are shown in Fig. 9. The magnitude of the error vector was around 1.5% ( SNR per symbol: 36 dB) consistent with the theoretical calculation in Section III-C). The very tight constellation is indicative of high-quality 4-QAM. 4-QAM with a 100-MS/s symbol rate was also generated and of the evaluated. In this test, the peak-to-peak voltage baseband signal remained 2 V and the clock rate of the baseband

LI et al.: COHERENT OVM FOR FIBER RADIO USING E/O MICROCHIP LASERS

3127

Fig. 11.

Fig. 10.

16-QAM constellation.

(a) I channel eye diagram. (b) Microwave spectrum.

sources was increased to 100 MHz. In addition, the baseband data was a pseudorandom sequence with length (2 1). The RC time constant of the differentiation stage was also accordingly reduced to assure good differentiation. The 100-MS/s rate was beyond the range of the VSA. Therefore, the experimental results are presented in the time and frequency domains. At the waveforms were recovered by the Tektronix receiver, the communication signal analyzer. Only the -“eye diagram” is channels are symmetric. shown [see Fig. 10(a)] since the The “eye” is wide open and suggests good signal quality [see Fig. 10(a)]. It is noted that the lower rail of the eye diagram is wider than the upper rail. This is attributed to signal distortion due to the finite bandwidth of the PLLs and to the fact that the baseband signal was not completely random. Such distortion can be greatly reduced by limiting the baseband signal energy within the PLL loop bandwidth. In addition, the microwave spectrum for the 100-MS/s 4-QAM modulated signal is shown in Fig. 10(b). As expected, a 2.4-GHz carrier coexists with the 4-QAM spectrum. 16-QAM modulation for a 2.4-GHz subcarrier frequency at a 25-MS/s symbol rate (once again limited by the bandwidth of the VSA) was also successfully carried out. In this test, lasers 1 and 2 were modulated by two independent quaternary baseband signals taken from the baseband output of a vector signal generof the baseband signals was ator (Agilent E-4438C). The set to be 2 V. As for 4-QAM at 30 MS/s, the baseband waveforms were carefully programmed to minimize the spectral components within the PLL loop bandwidth. The measured signal constellation, plotted in Fig. 11, appears satisfactory aside from a slight spread of the constellation points. This is a consequence of the phase instability inside the carrier suppression subsystem. baseband signals in the OVM Employing -ary scheme, other QAM modulation orders (e.g., 64-QAM, etc.) can be similarly achieved. Furthermore, any vector modulation

Fig. 12. 16-QAM subcarrier modulation. (a) Microwave spectrum. (b) Signal constellation.

scheme can be implemented with OVM using proper baseband signaling. Although the proposed OVM scheme is able to transmit highspeed data over a single channel, it is sometimes desirable for a microwave/fiber optic link to transmit data over multiple frequency channels with low data rates. An attractive approach, currently used by most fiber radio links, is IF subcarrier modulation (IF/SCM), where IF signals modulate the microwave optical subcarrier. The transmitter in the OVM scheme is compatible with IF/SCM. In Fig. 6, if the modulation to laser 2 is removed and the baseband signal to laser 1 is replaced with an IF signal, IF/SCM will be generated. Compared with other IF/SCM methods, the use of the OVM transmitter has the benefit of directly generating widely tunable microwave carrier frequencies, while being low cost (by eliminating the expensive optical modulator for generating high data rate and high carrier frequencies). To verify this backward compatibility, a 3-dBm 8-MS/s 16-QAM modulated IF signal at 40 MHz (taken from an Agilent vector signal generator) was used to modulate laser 1 while leaving laser 2 idle. Again, these experiment parameters were chosen based on available test equipment. The microwave spectrum of the resulting IF modulated microwave carrier was captured and is depicted in Fig. 12(a). A clean microwave carrier at 2.4 GHz and two 16-QAM modulated sidebands at a

3128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

40-MHz offset are clearly apparent. One of the sidebands was demodulated by the VSA, which captured the signal constellation [see Fig. 12(b)]. Slight spread in the constellation points due to phase error was observed. It should be noted that although the IF modulation generates two sidebands, single-sideband modulation can be obtained if laser 2 is modulated by the inverse of the IF signal instead of being left idle. Single-sideband modulation is attractive in terms of increasing efficiency and reducing the impact from wireless channel dispersion. V. CONCLUSION It is desirable for the next generation of WLAN systems to be able to provide high data rates, potentially exceeding gigabits per second per channel, while limiting the receiver and transmitter cost. These requirements imply the need for very low-noise ultra-wide bandwidth performance of source components and for simple transmitter and receiver design with a minimum number of components integrated into a compact form factor. An OVM scheme was introduced for fiber radio and results were demonstrated for 4- and 16-QAM. The experimental results illustrated generation of both a high-quality carrier (phase noise 95 dBc/Hz 10-kHz offset) and QAM signal, and showed a data rate of 200 Mb/s for 4-QAM, Upgrading the electronics resident in the transmitter and receiver would raise the data rate to 1 Gb/s or higher, while thinning the laser crystal could further improve the rate to 5 Gb/s. Finally, an integrated optic implementation of the laser would increase the modulation sensitivity by orders of magnitude, yielding potential data rates in the tens of gigabits per second. We have shown that the proposed OVM system is ideal for generating adaptable QAM modulation on single or multiple microwave/millimeter-wave subcarriers. Since the modulated subcarriers are directly generated by heterodyning E/O microchip lasers, the system is frequency agile, i.e., the subcarrier frequency can be rapidly varied. This allows for applications such as rapid frequency hopping, which may be desired as a security measure in the next generation of networks. Finally, we note that although this paper is concerned with wireless communication, specifically fiber radio, the experiments also clearly demonstrate the viability of this approach for coherent optical communications. REFERENCES [1] S. Ohmori, Y. Yamao, and N. Nakajima, “The future generations of mobile communications based on broad-band access technologies,” IEEE Commun. Mag., vol. 38, pp. 134–142, Dec. 2000. [2] P. F. M. Smulders, “Exploiting the 60 GHz band for local wireless multimedia access: Prospects and future directions,” IEEE Commun. Mag., vol. 39, pp. 140–147, Jan. 2002. [3] “Amendment of part 2 of the Commission’s rules to allocate additional spectrum to the inter-satellite, fixed, and mobile services and to permit unlicensed devices to use certain segments in the 50.2–50.4 GHz and 51.4–71.0 GHz bands,” FCC, Washington, DC, Dec. 22, 2000. [4] “The European table of frequency allocations and utilizations convering the frequency range 9 kHz to 275 GHz,” Eur. Radiocommun. Committee, Copenhagen, Denmark, ERC Rep. 025, 2004. [5] Fixed Wireless Access System Using Quasi-Millimeter-Wave- and Millimeter-Wave-Band Frequencies Point-To-Multipoint System, ARIB STD-T59, Mar. 2000.

[6] D. Novak, Z. Ahmed, G. H. Smith, and H. F. Liu, “Techniques for millimeter-wave optical fiber transmission systems,” in Int. Topical Microwave Photonics Meeting Tech. Dig., Duisburg/Essen, Germany, Sep. 1997, pp. 39–42. [7] H. Ogawa, D. Polifko, and S. Banba, “Millimeter-Wave fiber optics systems for personal radio communication,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2285–2293, Dec. 1992. [8] C. Eklund, R. B. Marks, K. L. Stanwood, and S. Wang, “IEEE Standard 802.16: A technical overview of the wirelessMAN air interface for broad-band wireless access,” IEEE Commun. Mag., vol. 40, pp. 98–107, Jun. 2002. [9] H. Ohtsuka, O. Kagami, S. Komaki, K. Kohiyama, and M. Kavehrad, “256-QAM subcarrier transmission using coding and optical intensity modulation in distribution networks,” IEEE Photon. Technol. Lett., vol. 3, no. 4, pp. 381–383, Apr. 1991. [10] Y. Shoji, M. Nagatsuka, K. Hamaguchi, and H. Ogawa, “60 GHz band 64 QAM/OFDM terrestrial digital broadcasting signal transmission by using millimeter-wave self-heterodyne system,” IEEE Trans. Broadcasting, vol. 47, no. 3, pp. 218–227, Sep. 2001. [11] A. Martinez, V. Polo, and J. Marti, “Simultaneous baseband and RF optical modulation scheme for feeding wireless and wireline heterogeneous access networks,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 2018–2024, Oct. 2001. [12] W. D. Jemison, A. J. Kreuzberger, and E. Funk, “Microwave photonic vector modulation for high-speed wireless digital communications,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 4, pp. 125–127, Apr. 2002. [13] P. Candelas, J. M. Fuster, J. Marti, and J. C. Roig, “Optically generated electrical-modulation formats in digital-microwave link applications,” J. Lightw. Technol., vol. 21, no. 2, pp. 496–499, Feb. 2003. [14] Y. Li, S. Goldwasser, D. Yoo, and P. Herczfeld, “Direct QAM modulation of microwave subcarrier using electro-optically tunable microchip lasers,” in Int. Topical Microwave Photonics Meeting Tech. Dig., Ogunquit, ME, Oct. 2004, pp. 134–137. [15] Y. Li, A. J. C. Vieira, S. M. Goldwasser, and P. R. Herczfeld, “Rapidly tunable millimeter-wave optical transmitter for lidar/radar,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 2048–2054, Oct. 2001. [16] G. J. Simonis and K. G. Purchase, “Optical generation, distribution, and control of microwaves using laser heterodyne,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 5, pp. 667–669, May 1990. [17] L. N. Langley, M. D. Elkin, C. Edge, M. J. Wale, U. Gliese, X. Huang, and A. J. Seeds, “Packaged semiconductor laser optical phase-locked loop (OPLL) for photonic generation, processing and transmission of microwave signals,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 1257–1264, Jul. 1999. [18] Y. Li, S. Goldwasser, and P. R. Herczfeld, “Optically generated dynamically tunable low noise millimeter wave signals using microchip solid state lasers,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Philadelphia, PA, Jun. 8–13, 2003, pp. 1391–1394. [19] A. L. Schawlow and C. H. Townes, “Infrared and optical maser,” Phys. Rev., vol. 112, pp. 1940–1949, 1958. [20] J. G. Proakis, “Optimum receivers for the additive white Gaussian noise channel,” in Digital Communications, 4th ed. New York: McGrawHill, 2000, ch. 5, pp. 231–319. [21] R.-S. Cheng, W.-L. Chen, and W.-S. Wang, “Mach–Zehnder modulators with lithium niobate ridge waveguides fabricated by proton-exchange wet etch and nickel indiffusion,” IEEE Photon. Technol. Lett., vol. 7, no. 11, pp. 1282–1284, Nov. 1995.

Yifei Li received the B.Eng. degree in optoelectronics from the Huazhong University of Science and Technology, Anqin, China, in 1996, and the Ph.D. degree in electrical engineering from Drexel University, Philadelphia, PA, in 2003. Since 1998, he has performed research in the area of microwave photonics with the Center for Microwave-Lightwave Engineering, Drexel University. His research interests include tunable microchip lasers, hybrid lidar/radar, fiber radio systems, coherent optical communications, high dynamic-range microwave fiber-optic links, and laser nonlinear dynamics.

LI et al.: COHERENT OVM FOR FIBER RADIO USING E/O MICROCHIP LASERS

Maja Bystrom (M’03) received the B.S. degree in computer science and the M.S. and Ph.D. degrees in electrical engineering from Rensselaer Polytechnic Institute, Troy, NY, in 1991, 1994, and 1997, respectively. From 1997 to 2002, she was an Assistant Professor with the Electrical and Computer Engineering Department, Drexel University. She is currently an Associate Professor with the Electrical and Computer Engineering Department, Boston University, Boston, MA. Her research interests lie in the areas of image processing, video transmission, and information theory. Dr. Bystrom was a recipient of a National Science Foundation (NSF) CAREER Award and a Fulbright Award.

David Yoo (S’99) received the B.S. degree in computer engineering from The College of New Jersey (formerly Trenton State College), Ewing, NJ, in 2002, and is currently working toward the M.S. degree in electrical engineering at Drexel University, Philadelphia, PA. He is also a Research Assistant with the Center for Microwave/Lightwave Engineering, Drexel University.

Samuel M. Goldwasser (S’70–M’78) received the B.S. degree from Drexel University, Philadelphia, PA, in 1974, and the M.S. and Ph.D. degrees from the Massachusetts Institute of Technology (MIT), Cambridge, MA, both in 1979, all in electrical engineering. He is currently a Research Professor with the Electrical and Computer Engineering Department, Center for Microwave/Lightwave Engineering, Drexel University. He is actively involved in the development of mode-locked and chirped microchip lasers for communications, lidar-radar, and biomedical imaging. He is also an engineering consultant. He has authored numerous papers, book chapters, and magazine articles, and the World Wide Web-based Sci.Electronics.Repair FAQ, which includes Sam’s Laser FAQ, an extensive collection of practical information on lasers and related topics for experimenters and hobbyists.

3129

Peter R. Herczfeld (S’66–M’67–SM’89–F’91) was born in Budapest, Hungary, in 1936. He received the B.S. degree in physics from Colorado State University, Fort Collins, in 1961, and the M.S. degree in physics and Ph.D. degree in electrical engineering from the University of Minnesota at Minneapolis–St. Paul, in 1963 and 1967, respectively. Since 1967, he has been on the faculty of Drexel University, Philadelphia, PA, where he is currently the Lester Kraus Professor of Electrical and Computer Engineering. He is the Director of the Center for Microwave/Lightwave Engineering, Drexel University, which conducts research in microwaves and photonics. He has served as Project Director for over 90 projects. He has authored or coauthored over 400 papers in solid-state electronics, microwaves, photonics, solar energy, and biomedical engineering. He has advised 30 doctoral students and over 70 masters students over his career. He has also served as a consultant to numerous large and small corporations (e.g., GE, 3M, AEL), government laboratories (e.g., Army Research Office (ARO), Naval Research Laboratory (NRL), CECOM, NAWC), and educational institutions (e.g., University of Minnesota, BCC). Dr. Herczfeld is a member of the IEEE Antennas and Propagation Society (IEEE AP-S). He served as the Distinguished Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He initiated the Microwave Photonics Conferences, an IEEE Lasers and Electro-Optics Society (LEOS)–MTT-S joint venture, which has blossomed into a highly respected international meeting. He was a recipient of several research and publication awards including the European Microwave Prize (1986 and 1994) and the IEEE Millennium Medal. He has also been the recipient of numerous teaching honors including the Mary and Christian Lindback Distinguished Teacher Award presented by Drexel University and the IEEE MTT-S Fred Rosenbaum Distinguished Educator Award.

3130

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Complex-Permittivity Measurement on High-Q Materials via Combined Numerical Approaches X. C. Fan, X. M. Chen, and X. Q. Liu

Abstract—A modified indirect algorithm for extracting real permittivities from measured resonant frequencies has been developed. It is based on the perturbation theory along with the Newton iteration method, and improves the speed of the calculation with a factor of 2–4. It is superior, especially when system matrices under calculation are large. Based on the complementary advantages of the modified algorithm and a direct algorithm, a mixed algorithm of high speed and accuracy has been described. A real measurement system incorporating the linear fractional curve-fitting method and the mixed algorithm has been built to measure complex permittivities of high- materials. Index Terms—Complex-permittivity measurement, dielectric resonator (DR), finite-element method (FEM), linear fractional curve-fitting method, Newton method, secant method.

I. INTRODUCTION

C

YLINDRICAL dielectric resonators (DRs) have been widely used to characterize dielectric properties [1]–[16], and have been analyzed by various kinds of rigorous numerical methods, such as the Galerkin method [2], mode-matching method [3]–[8], finite integral method [9], [10], finite-difference time-domain (FDTD) method [11], and finite-element method (FEM) [12]–[16]. A review for these numerical methods has been given in [17]. When a cylindrical metal cavity has the same aspect ratio as the DR enclosed in the cavity and the ratio of the cavity diameter to the DR diameter is anywhere between 2–3, the conductor losses have a negligible effect on the unloaded -factor of the resonant system [1], so the dielectric loss tangent of the material, which the DR is made of, can be obtained through an accurate measurement of the unloaded factor and subsequent rigorous numerical analyses. However, an accurate permittivity value should be known first, and it is usually obtained by other methods, e.g., the parallel-plate DR method [18], [19]. In fact, both the real permittivity and dielectric loss tangent can be extracted from the measured resonant frequency and unloaded factor of the mode of interest using numerical analyses. This is the so-called inverse problem [8], [15], [16]. When we determine the complex permittivity of ultra-low-loss dielectric materials using whispering-gallery modes, the same problem is encountered [6].

Manuscript received January 23, 2005; revised March 28, 2005. This work was supported in part by the National Science Foundation of China under Grant 50332030, by the Chinese National Key Project for Fundamental Researches under Grant 2002CB613302, and by the National Science Foundation for Distinguished Young Scholars under Grant 50025205. The authors are with the Department of Materials Science and Engineering, Zhejiang University, Hangzhou 310027, China (e-mail: [email protected]. edu.cn). Digital Object Identifier 10.1109/TMTT.2005.855360

The literature on solutions of this problem contain two kinds of algorithms: direct algorithms and indirect algorithms. The former directly searches for a specific permittivity value, which makes the determinant of the system matrix vanish [7], [8]; whereas the latter searches for it indirectly through matching calculated resonant frequencies with the measured resonant frequency [15], [16]. For various kinds of rigorous numerical methods, which kind of algorithms is more efficient? This question has not been clarified yet. Besides, in indirect algorithms, the Newton method was widely used because it could achieve a relatively quick convergence [15], [16]. However, the derivatives of objective functions were obtained by numerical differentiation, which degraded the efficiency of the Newton method greatly. It is an interesting issue to obtain the derivatives in a timesaving way to keep or even raise the efficiency of the Newton method. In this paper, a modified indirect algorithm has been developed to extract real permittivities from measured resonant frequencies, and it improves the speed of normal indirect algorithms with a factor of 2–4. A mixed algorithm, which combines the modified indirect algorithm with a direct algorithm, has then been introduced, and the further improvement in the speed of the calculation is approximately a factor of 2–6. Finally, a real measurement system, which incorporates the linear fractional curve-fitting method [20], [21] and the mixed algorithm has been built to measure complex permittivities of high- materials. In this study, the FEM is chosen to carry out numerical analyses, and quadratic elements instead of linear elements are used to ensure a relatively high accuracy of the calculation, as well as a relatively high speed (see the Appendix). II. CALCULATION METHODS A. Modified Indirect Algorithm In an iterative algorithm to extract the relative real permittivity from the resonant frequency , starting with an appropriate initial value of , successive estimated values will converge to the target value. The difference between any estimated permittivity value and the target permittivity value, denoted by , will cause a frequency shift, denoted by . In view of the perturbation theory, can be regarded as a perturbation term. Thus, applying a formula for cavity-material perturbations [22], one obtains (1) is the partial electric energy filling factor of the where approaches 0, (1) yields sample. As

0018-9480/$20.00 © 2005 IEEE

(2)

FAN et al.: COMPLEX-PERMITTIVITY MEASUREMENT ON HIGH-

MATERIALS VIA COMBINED NUMERICAL APPROACHES

3131

The equation of the Newton method is represented as (3) where the superscripts in parentheses are sequence numbers. From (2) and (3), one obtains (4) Since

Fig. 1. Half cross sections of two shielded cylindrical DRs (all dimensions in millimeters).

, (4) can be rewritten as

(5)

for any nonradiating resonant system containing isotropic dielectrics [6]

in the denominator of (4) with The benefit of replacing will be shown in Section III. The iteration is terminated when the difference between the calculated and target resonant frequencies is less than some prescribed value, e.g., 1 10 GHz. The next estimated permittivity value is then regarded as the target can be evaluated permittivity value, and the target value of simply by

(10)

(6)

in terms of , This equation defines the unloaded factor , the electric energy filling factors of dielectric spacers , the surwith the relative real permittivity , and loss face resistance of any conducting shields, and the geometric factor of the resonant system. , , , and are known from experiments, while , , and are calculated using the FEM, and then can be determined. III. RESULTS AND DISCUSSION

B. Normal Indirect Algorithm is not available so In most cases, an analytical form of in (3) is often evaluated by numerical differentiation (7) C. Direct Algorithm In the direct algorithm, the determinant of the system matrix is regarded as a function of and is expressed by (8) Since the secant method does not require the knowledge of the derivative of , it is preferred rather than the Newton method (9)

The iteration is terminated when the change between two conis less than some prescribed secutive computed values of small value, e.g., 0.001. D. Calculation of Dielectric Loss Tangent of the sample is deterAfter the relative real permittivity of the sample can be obmined, the dielectric loss tangent tained on the basis of the following expression, which is valid

All calculations are performed on a PC with an AMD Athlon 1830-MHz processor and 512-MB DDRAM. Since all the structures discussed below exhibit rotational symmetry with respect to the -axis, only one-half of the meridian cross section needs to be analyzed. To validate the efficiency of the FEM, we discuss a simple case shown in Fig. 1(a) first. A lossless cylindrical DR with relative permittivity of 100, and dimensions of 5 mm in radius and 5 mm in height is fully covered with perfect electric conducting (PEC) shields. FEM is employed to calculate the resonant frequency of mode, which is analytically solvable. Fig. 2 shows the the and CPU time cost of the calrelative frequency error culation as functions of the number of elements . We find that and . Using quadratic elements, the FEM can achieve a pretty high accuracy with a relatively low time cost. Similar results have been reported in [13]. We then use the same case to compare convergences of (4) and can be analytically and (5). In this case, , evaluated. Starting with different initial permittivity values the convergence processes of (4) and (5) are listed in Table I. The convergence of (5) is faster than that of (4), especially when is far from the target value, thus, (5) is preferred rather than (4). This will improve the speed of the calculation with a factor between 1–2. We use a more complicated case shown in Fig. 1(b) to compare the modified indirect algorithm, the normal indirect algorithm, and the direct algorithm. The DR in Fig. 1(a) is now placed in the center of a PEC cavity of 15 mm in radius and 15 mm in height. does not exist and , Since an analytical form of

3132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 2. Relative frequency error and CPU time cost as functions of number of elements. TABLE I COMPARISON OF CONVERGENCES OF (4) AND (5)

TABLE II COMPARISON OF THREE ALGORITHMS

we apply the FEM to carry out numerical analyses. The solution domain is divided into 51 51 elements, of which 25 25 elements locate in the domain of the DR. The calculated resomode is 3.159635418 GHz and is nant frequency of the set as the value of . Parameters to be compared are the error of the terminated permittivity value, the iteration step number, the CPU time cost per iteration step, the total CPU time cost of a whole calculation, and the memory required in the calculation. They are denoted by , , , , and , respectively. Starting with different initial permittivity values, we test performances of these three algorithms and repeat the process five times. Averaged results are listed in Table II. Considering , , , and , the modified indirect algorithm is superior to the normal indirect algorithm. Considering , , and , the modified indirect algorithm is also superior to the direct algorithm. Besides, in the direct algorithm, both initial values should be selected properly or successive approximations of permittivity will converge toward

Fig. 3. Relative CPU time costs per iteration step of three algorithms with respect to number of elements (with the modified indirect algorithm as a reference).

a value corresponding to any other mode, such as the mode in test #2. In the above case, of the direct algorithm is the least, and when the initial permittivity value is enough close to the target value, of the direct algorithm is also the least. However, this of the modified indirect algorithm is not always true. With as reference, Fig. 3 shows the relative CPU time costs per iteration step (denoted by ) of these three algorithms with respect . of the normal indirect algorithm ranges from 1.90 to to 1.95. of the direct algorithm goes up with increasing . It is noteworthy that of the modified indirect algorithm becomes . Such a phenomenon can be obthe least when served in both sparse and full matrices. In a general sense, for a relatively small system matrix, the direct algorithm is preferred, whereas for a relatively large system matrix, the modified indirect algorithm is preferred. Based on the above discussions, we suggest a mixed algorithm: firstly, starting with two appropriate initial values of , the direct algorithm is employed to a relatively small system matrix to obtain an approximation of , which is very close to the target value; secondly, taking the result of the first step as a new initial value, the modified indirect algorithm is applied to a relatively large system matrix to “correct” the result of the first step. In this way, the CPU time cost of the first step is little and the time-consuming calculation at the second step can complete after iterating once or twice, thus, high efficiency and high accuracy can both be achieved. This will further improve the speed of the calculation with a factor between 2–6, which is dependent on the difference between the initial and target values. IV. COMPLEX-PERMITTIVITY MEASUREMENT A measurement system to evaluate the complex permittivity of high- materials has been built and the mixed algorithm has been integrated into the system. The geometry of the measuring fixture is shown in Fig. 4. A cylindrical cavity is made of copper and its surfaces are gold plated. Inside the cavity is a DR, which is supported by a Teflon spacer. Such a resonant structure is excited and detected by a coaxial connector that is weakly coupled to the magnetic field in the cavity by a silver-coating loop, which is perpendicular to the axis of the cavity. The coupling coefficients are adjusted to within a range from 0.02 to 0.04. The -parameter is measured with an Agilent 8753ES network

FAN et al.: COMPLEX-PERMITTIVITY MEASUREMENT ON HIGH-

MATERIALS VIA COMBINED NUMERICAL APPROACHES

TABLE III MEASURED RELATIVE PERMITTIVITY AND LOSS DIELECTRIC SAMPLES

3133

OF

MICROWAVE

Fig. 4. Geometry of measuring fixture of complex permittivity (all dimensions in millimeters).

analyzer and the mode, which has the lowest resonant frequency in such a system, is selected for measurement. Meain the vicinity of resonance are analyzed via sured data of the linear fractional curve-fitting method, which has been well established and can be performed on a computer, to obtain acare determined, curate values of and . After and and are extracted using the mixed algorithm and (10). Several ceramic samples were prepared for measurement. The dielectric properties of the Teflon spacer were measured with an Agilent E4991A impedance analyzer and an Agilent 16453A dielectric material test fixture, and the measured reland loss tangent at 1 GHz were ative permittivity 2.10 0.02 and less than 1 10 , respectively. The surface of the gold-plated copper shield was evaluconductivity for the and modes of ated by measuring the empty cavity [see (13)]. Assuming that was a linear function of the frequency , at room temperature, we found that GHz S/m. Surface resisof the tances were then calculated by (13). The term Teflon spacer was on the order of magnitude of 10 and was neglected during the calculation of the dielectric loss tangent. Data measured by the current method were compared with those by the parallel-plate DR method. For comparison, the loss of dielectric samples was expressed as the product of the resonant frequency and the corresponding factor of the DR . Table III (inverse of the dielectric loss tangent), denoted by lists the results of measurements and numerical analyses. The relative uncertainties of by the parallel-plate DR method and the current method were around 0.3% and 0.5%, respectively. They primarily resulted from dimension uncertainties. The 5 10 and 1.5% loss uncertainties were around 5% 2 10 , respectively. They were mainly caused by and . Taking these uncertainties into the uncertainties of account, data of complex permittivity measured by the current method were in good agreement with those by the parallel-plate DR method, as shown in Table III. With the help of combined numerical approaches, the current method is qualified for complex-permittivity measurement of high- materials.

system matrix is large. It is applicable to various modes, resonant structures, and rigorous numerical methods. If the complex frequency is introduced into the calculation, it can also be extended to suit high-loss cases. The modified indirect algorithm and direct algorithm are recommended for the mode-matching method, finite integration method, etc. because their system matrices are relatively small; whereas the mixed algorithm is recommended for the FEM, FDTD, etc., whose system matrices are relatively large. APPENDIX The principles of the two-dimensional FEM have been described in [12], [13], and [16], and will not be presented here, except for the following. 1) Letting the azimuthal electric-field component , is used to describe the electromagnetic fields. Within eight-node quadrangle quadratic elements, it is approximated by (11) where and are the abscissa and ordinate, respectively, and are undetermined coefficients. 2) For the purpose of computational savings, the system matrix is divided into two complementary matrices: one is assembled from all elements locating within the sample ) and the other one from the rest. If we (assume multiply the former by an estimated permittivity value and then add the result to the latter, we get the system matrix. 3) The electric energy filling factors are calculated through volume integration of the electric field [6]. The geometric factor is calculated using the incremental frequency rule [23]

(12) V. CONCLUSION The modified indirect algorithm has greatly improved the efficiency of the normal indirect algorithm. It is also superior to the direct algorithm in many aspects, especially when the

where is a small change in dimension, and is the increment in resonant frequency caused by moving

3134

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

all the conducting shields inwards for resistance can be determined by

. The surface

(13) is the geometric factor of the empty cavity in where is the corresponding unloaded the mode of interest, factor of the empty cavity, and is the surface conductivity of the conducting shields at some given frequency . ACKNOWLEDGMENT The authors acknowledge L. Li, D. Liu, and N. Qin, all of the Department of Materials Science and Engineering, Zhejiang University, Hangzhou, China, for preparation of ceramic samples, together with Prof. Y. F. Xie, Department of Information Science and Electronic Engineering, Zhejiang University, for measuring surface conductivity of the empty cavity.

[16] K. Hirayama and Y. Hayashi, “Finite element analysis for complex permittivity measurement of a dielectric plate and its application to inverse problem,” Elect. Commun. Jpn., pt. 2, vol. 84, no. 5, pp. 10–19, 2001. [17] D. Kajfez and P. Guillon, Dielectric Resonators, 2nd ed. Atlanta: Noble, 1998, ch. 5. [18] B. W. Hakki and P. D. Coleman, “A dielectric resonator method of measuring inductive capacities in the millimeter range,” IEEE Trans. Microw. Theory Tech., vol. MTT-8, no. 7, pp. 402–410, Jul. 1960. [19] W. E. Courtney, “Analysis and evaluation of a method of measuring the complex permittivity and permeability of microwave insulators,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 8, pp. 476–485, Aug. 1970. [20] D. Kajfez, “Linear fractional curve fitting for measurement of highfactors,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1149–1153, Jul. 1994. , “Random and systematic uncertainties of reflection-type -factor [21] measurement with network analyzer,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 512–59, Feb. 2003. [22] R. F. Harrington, Time–Harmonic Electromagnetic Fields. New York: McGraw-Hill, 1961, pp. 321–326. [23] D. Kajfez, “Incremental frequency rule for computing the -factor of dielectric resonator,” IEEE Trans. Microw. Theory shielded TE Tech., vol. MTT-32, no. 8, pp. 941–943, Aug. 1984.

Q

Q

Q

REFERENCES [1] J. Delaballe, P. Guillon, and Y. Garault, “Local complex permittivity measurements of MIC substrates,” Electron. Commun., vol. 35, pp. 80–83, Nov. 1981. [2] J. Krupka, “Resonant modes in shielded cylindrical ferrite and singlecrystal dielectric resonators,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 4, pp. 691–697, Apr. 1989. [3] Y. Kobayashi, T. Aoki, and Y. Kabe, “Influence of conductor shields on the -factors of a TE dielectric resonator,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 12, pp. 1361–1366, Dec. 1985. [4] K. A. Zaki and C. Chen, “New results in dielectric-loaded resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 7, pp. 815–824, Jul. 1986. [5] M. Zinieris and R. Sloan, “Higher-order modes in dielectric resonators for measurement of loss tangent,” Proc. Inst. Elect. Eng.—Sci. Meas. Technol., vol. 147, pp. 91–94, Mar. 2000. [6] J. Krupka, K. Derzakowski, A. Abramowicz, M. E. Tobar, and R. G. Geyer, “Use of whispering-gallery modes for complex permittivity determinations of ultra-low-loss dielectric materials,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 752–759, Jun. 1999. [7] J. Breeze, X. Aupi, and N. Alford, “Ultra low loss polycrystalline alumina,” Appl. Phys. Lett., vol. 81, pp. 5021–5023, Dec. 2002. [8] X. P. Chen, D. X. Zhou, G. H. Huang, J. M. Xu, D. L. Zhang, and W. Z. Lu, “A new method for microwave dielectric measurement of low loss ceramics,” Mater. Sci. Eng. B, vol. 99, pp. 390–393, 2003. [9] D. Kajfez and A. Gundavajhala, “Measurement of material properties with a tunable resonant cavity,” Electron. Lett., vol. 29, pp. 1936–1937, Oct. 1993. [10] A. Kishk, D. Kajfez, and S. Chebolu, “Resonant frequency and factor of axisymmetric composite microwave cavities,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2287–2293, Oct. 2002. [11] A. Navarro, M. J. Nunez, and E. Martin, “Study of TE and TM modes in dielectric resonators by a finite difference time-domain method coupled with the discrete Fourier transform,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 1, pp. 14–17, Jan. 1991. [12] P. S. Kooi, M. S. Leong, and A. L. Sathya Prakash, “Finite-element analysis of the shielded cylindrical dielectric resonator,” Proc. Inst. Elect. Eng., pt. H, vol. 132, pp. 7–16, Feb. 1985. [13] K. Masuda, K. Yoshikawa, M. Ohnishi, Y. Yamamoto, H. Toku, M. Sobajima, and J. Kitagaki, “Development of an improved two-dimensional finite-element code for cylindrically symmetric eigenmodes,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 8, pp. 1180–1182, Aug. 1998. [14] J.-F. Lee, G. M. Wilkins, and R. Mitra, “Finite-element analysis of axisymmetric cavity resonator using a hybrid edge element technique,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 11, pp. 1981–1987, Nov. 1993. [15] A. B. Kouki, A. Khebir, and F. M. Ghannouchi, “A numerical approach for the dielectric constant extraction from resonant cavity measurements,” presented at the IEEE Instrumentation Measurement Tech. Conf., Brussels, Belgium, Jun. 4–6, 1996.

Q

Q

X. C. Fan was born in Datian, China, on September 16, 1980. He received the B.E. degrees (double) in material science and communication engineering from Zhejiang University, Hangzhou, China, in 2003. He is currently with the Department of Materials Science and Engineering, Zhejiang University. His current research interests are numerical simulation and microwave measurement of dielectric materials.

X. M. Chen received the B.E. degree in material science from the Central South Institute of Mining and Metallurgy (now Central South University), Changsha, China, in 1981, and the Ph.D. degree in material science from The University of Tokyo, Tokyo, Japan, in 1991. From 1991 to 1994, he was a Research Scientist with Yokohama Research and Development Laboratories, The Furukawa Electric Company Ltd., Yokohama, Japan. In 1994, he joined the Department of Materials Science and Engineering, Zhejiang University, Hangzhou, China, as an Assistant Professor, and became a Professor in 1996. He is currently a Chair Professor with the Department of Materials Science and Engineering, Zhejiang University. He has authored or coauthored over 110 papers in peer-reviewed journals. His primary research interests cover the fields of microwave dielectric ceramics, dielectric and ferroelectric new materials, composite and multifunctional ceramics, modified relaxor ceramics for high-frequency applications, and synergy ceramics. Dr. Chen is a member of The American Ceramic Society. He is a Fellow of the Chinese Electronic Society.

X. Q. Liu was born in Chun’an, China, on September 14, 1977. He received the B.E. and Ph.D. degrees in materials science from Zhejiang University, Hangzhou, China, in 1999 and 2004, respectively. He is currently an Instructor with the Department of Materials Science and Engineering, Zhejiang University. His current research interests are ceramic matrix composites and microwave measurement of dielectric materials.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

3135

Characteristics of Cross (Bypass) Coupling Through Higher/Lower Order Modes and Their Applications in Elliptic Filter Design Smain Amari, Member, IEEE, and Uwe Rosenberg, Senior Member, IEEE

Abstract—This paper presents a new set of results concerning the use of higher/lower order modes as a means to implement bypass or cross coupling for applications in elliptic filter design. It is shown that the signs of the coupling coefficients to produce a transmission zero (TZ) either below or above the passband are, in certain situations, reversed from the predictions of simpler existing models. In particular, the bypass coupling to higher/lower order modes must be significantly stronger than the coupling to the main resonance in order to generate TZs in the immediate vicinity of the passband. Planar ( -plane) singlets are used to illustrate the derived results. This study should provide very important guidelines in selecting the proper main and bypass couplings for sophisticated filtering structures. Example filters are designed, built, and measured to demonstrate the validity of the introduced theory. Index Terms—Bandpass filters, elliptic function filters, filter synthesis, modal cross coupling, singlets, transmission zeros (TZs).

I. INTRODUCTION

E

LLIPTIC AND pseudoelliptic microwave filters, which find numerous applications in modern communications systems, are most often designed as a set of cross-coupled resonators [1]–[3]. The actual implementation of the cross or bypass coupling is either physical or modal. In the case of physical cross coupling, a physical element such as a coupling aperture in waveguide filters or fringing fields in planar filters is used. This technique has the advantage that the coupling element is a physically identifiable and adjustable element. An alternative approach is the use of other modes, propagating or evanescent, as separate paths for energy flow. Early designs based on this technique used higher order modes in waveguide cavities to generate the transmission zeros (TZs) needed for a pseudoelliptic response [4]–[6]. Such a scheme is believed to be limited to filtering functions with TZs only above the passband although no rigorous proof of this statement has been reported. The effect of extra cavity modes was investigated for a -mode cavities. However, special filter design in [7] using the observed effect, although strong enough to compensate for parasitic couplings, was too weak to be suitable for the design of tailored filter functions.

Fig. 1. Circuit model of cavity with two dominant resonances at f and f .

Recently, it has been shown that the introduction of special nodes, called nonresonating nodes (NRNs), allows more flexibility in regards to the implementation and separate control of TZs [8]–[10]. The basic building block of elliptic filters in this approach is no longer a resonator, but rather a singlet [9]. The singlet is a first-order structure with one resonator and one TZ at a real frequency. Implementations of singlets in both waveguide and planar technology were reported in [9] and [10]. This paper is written to address several pertinent questions in connection with singlet implementation in simple over-moded -plane waveguide structures. It addresses, among other issues, the following questions. 1) In the conclusion of [6], the authors state: “the frequency of the TZ introduced is always higher than the passband of the filter.” Naturally, this refers to the case where the in a rectangular cavity is used as an additional signal path. Why is this the case? 2) Is it possible to predict the position of the TZ from the field distributions of the resonating and nonresonating modes and the positions of the ports of an over-moded cavity? 3) How is a TZ moved from one side of the passband to the other? 4) Is it possible to tell whether a given set of modes can succeed in implementing a singlet beforehand? The answers to each one of these four questions are given in this paper. These results should be very useful for engineers who are interested in designing advanced filtering structures. II. CIRCUIT MODEL WITH SPURIOUS RESPONSE

Manuscript received January 24, 2005. S. Amari is with the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada K7K 7B4 (e-mail: [email protected]). U. Rosenberg is with Marconi Communications GmbH, Backnang, D-71502, Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855359

A simple low-pass circuit model (cf. Fig. 1), which includes two dominant resonances (modes), is used to investigate in detail the effect of the spurious resonances on the appearance of TZs in oversized cavities. The patterned disks are resonators with res, which differ by an amount that is onant frequencies and

0018-9480/$20.00 © 2005 IEEE

3136

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

significantly larger than the desired bandwidth of the filter. The isconsideredasaspuriousoneinallwhatfollows. resonanceat Under these conditions, only one resonance is used to implement the passband, the other one simply appears as a spurious response in the stopband of the filter. The ’s in Fig. 1 are unknown normalized inverters (coupling coefficients). The patterned disks are the two resonances, which are represented by unit capacitors in and , respectively. The parallel with constant reactances possibly source and load are unit conductances and , respectively. in parallel with constant reactances Note that these reactances at the input and output nodes have no bearing on the position of the TZs, although they affect the overall response of the filter. The frequency transformation from the bandpass to the lowpass network is the standard one, i.e., (1) is the bandwidth. where is the center of the passband and ( dB is assumed for the in-band return loss). To analyze the circuit in Fig. 1, we can use its nodal admittance matrix, which takes the following form: (2) Note that the coupling matrix is obtained from this matrix by dropping the terms and in the diagonal elements. Keeping in mind that we are trying to model a frequency response, which , and exhibits two reflection zeros, one at and the other at possibly a TZ at a frequency , we can determine the entries of the matrix in (2) either analytically or by optimization. Both methods lead to the same parameters of the circuit. The spurious resonance is taken as the third node in the admittance matrix in . Two cases should (2) with a normalized frequency shift of be considered depending on whether the spurious response is above or below the passband.

A few important points are worth mentioning in regard to these two results. 1) The coupling coefficients to the spurious resonance are markedly larger than those to the main one . 2) The diagonal element of the spurious resonance is significantly larger than unity as expected. 3) The coupling coefficients are all positive for a TZ above the passband. To place the TZ below the passband, the coupling coefficients must be of mixed signs. 4) The coupling coefficients to the spurious response are larger when the TZ is below the passband. 5) To move the TZ from one side of the passband to the other, it is necessary to change the sign of one coupling coefficient and adjust the strength of all coupling coefficients. Some of these results can be proven rigorously. In particular, the position of the TZ, which is identical to the root of where is the admittance matrix in (2) is given by

(6) , , and Here, the facts that are used. In the coupling matrix in (5), for example, these assumptions are readily met. Equation (6) shows that the product must be positive if the TZ is above the passband, but negative when it is below it. B. Spurious Response Below Passband In this case, the normalized frequency of the spurious resonance is located below the passband approximately at (7) As an example, for a spurious response at normalized frequency , and a TZ at , we get the following coupling matrix:

A. Spurious Response Above Passband In this case, the normalized frequency of the spurious response is located above the passband approximately at

(8)

(3) As an example, for a spurious response at normalized frequency , and a TZ at , we get the following coupling matrix:

, For a spurious response at normalized frequency , the following coupling matrix is obtained: and a TZ at

(9) (4) If under the same conditions, a TZ at get the following coupling matrix:

is desired, we

(5)

Similar conclusions to the previous case can be drawn from these results. There is, however, a very important difference from the previous case in that the coupling coefficients are all of the same type (positive) when the TZ is below the passband. This case does not appear when the coupling is through physical elements such as apertures or holes instead of other modes and the coupling coefficient is assumed frequency independent. This result can be

AMARI AND ROSENBERG: CHARACTERISTICS OF CROSS (BYPASS) COUPLING THROUGH HIGHER/LOWER ORDER MODES

M

Fig. 2. Circuit model of a singlet with constant cross-coupling coefficient .

3137

Here, it was assumed that the spurious resonance is located at a frequency that is several times the bandwidth of the filter away from the passband and that we are only interested in the response around the passband, up to slightly beyond the position of the TZ. From (11), we see that the cross-coupling coefficient in Fig. 2 is given by

proven rigorously by using (2) when . Indeed, the normalized frequency of the TZ in this case is given by

(10) This equation shows that is negative (TZ below the passband) if the product is positive as the previous numerical results have shown. This result is also consistent with the fact that the spurious resonance shifts from inductive to capacitive when the frequency is increased from below to above its resonance frequency, thereby adding a phase reversal in the signal going through it. This phase reversal must be offset by the sign of one of the coupling coefficients. III. RELATIONSHIP TO STANDARD MODELS Most, although not all, existing coupling and routing schemes of coupled resonator filters include only constant coupling coefficients, which describe coupling through physical elements such as apertures, loops, etc. A fundamental result of such models is the fact that coupling coefficients of mixed signs are needed to generate a TZ below the passband. However, the in Section II indicate that, with a spurious results of part response below the passband, the coupling coefficients are all positive for a response with a TZ below the passband if the cross (bypass) coupling is modal instead of structural. To reconcile these two seemingly conflicting results, we consider the simpler model of a singlet, as shown in Fig. 2. Note that this model has only one resonator and no spurious response. This implies that the two circuits are equivalent only if the spurious response of the first one is ignored, i.e., if only the response around the passband is of interest. In order to compare the two models, we need to reduce the order of Fig. 1 by eliminating the spurious resonance from the admittance matrix in (2) to yield a of the resulting network of order 3. The admittance matrix network is

(11)

(12) Inaddition,twoconstantreactancesappearattheinputandoutput, respectively. Note that the spurious response can be accounted for in the simpler circuit model of Fig. 2 if the coupling coefficients are allowed to depend on frequency, as can be seen from the first matrix in (11). From (12), we see that the cross-coupling coefdepends on the resonant frequency of the spurious ficient resonance. In particular, if the spurious resonance is below the , the sign of the cross coupling is changed. In passband other words, even if all of the coupling coefficients in the circuit in Fig. 1 are positive, the equivalent cross-coupling coefficient in Fig. 2 is negative under these conditions. On the other hand, there is no sign change when the spurious response is above the passband, which is the most usual case. The results obtained above were used to design -plane singlets for applications in sophisticated filtering structures. resonances in rectangular Although singlets based on waveguide technology were reported earlier [10], here, a new realization is introduced, which has several advantages, as will be discussed later. Suffice it to say that all the results reported in [10] in regard to the location of the TZs, and their control are easily explained by the model in Fig. 1. To implement these new singlets, we first examine some important features of oversized -plane cavities. IV. OVERSIZED ASYMMETRIC

-PLANE CAVITY

A third-order in-line -plane bandpass filter with one TZ above the passband was presented in [5]. Similar filters were described by other researchers [4], [6]. The presence of TZs in these filters is due to the excitation of higher order modes in the cavities. The fundamental- and second-order modes provide two separate paths for the signal between the two ports of an oversized cavity. Naturally, other modes, which are excited at the ports, provide additional paths, but these are assumed to be weak enough to be neglected. By properly adjusting the relative phases and magnitudes of the coupling to each of the two dominant paths, TZs are generated. However, as reported in [6], only TZs above the passband have been realized using this approach. To understand the origin of this limitation, the previous model is used to examine the two cases separately. We consider an oversized -plane cavity, which is connected to input and output rectangular waveguides in which the dominant mode is propagating. In the first case, the passband is located in resonance and the resonance the vicinity of the is viewed as the spurious response. This is case of Section III according to which the coupling coefficients to the spurious resonance should be significantly stronger than those to the main resonance for a TZ to be generated in the vicinity of the passband. Unfortunately, the coupling of the incident

3138

Fig. 3. Asymmetric

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

H -plane cavity with J J J J > 0.

mode to the resonance cannot be significantly stronger resonance. Consequently, no than the coupling to the TZ can be generated close to the passband. This result seems to contradict the measured results reported in [5] and [6]. The presence of the TZs in the asymmetric -plane cavity reported in [5] can be explained by considering the case where the resonance and is then passband is located at the viewed as the spurious one. Under these conditions, the coupling can be indeed much stronger to the spurious resonance . This is case than the coupling to the main resonance B in Section III, which requires that all coupling coefficients be of the same type for a TZ below the passband. More precisely, the product of the four coupling coefficients must be positive. This arrangement is achieved by placing the input and output coupling apertures as shown in Fig. 3. Note that the field distributions of the two resonances do not depend on the frequency. In particular, the transverse components of the magnetic field undergo a 180 phase shift between the input and output coupling apertures. This follows from the theory of cavities, as discussed, for example, in [11] and [12]. It is indeed for the structure in Fig. 3 that a TZ is observed mode, as reported below the resonant frequency of the in [5]. OnemightarguethatthisTZcanbepushedtolowerfrequencies resonance. This is not possible because and even below the of the relative strengths of the coupling coefficients to the two resonance is resonances. The signal passing through the simply too strong to be cancelled by the signal going through the resonance, except at frequencies that are not too far from resonant frequency. In particular, the TZ generated this the way is expected to be at a frequency higher or only slightly lower where and are the resonant than approximately and , respectively. frequencies of These predictions can be validated by a simple cavity with different coupling configurations (cf. Fig. 4). The cavity dimenresonance at 12 GHz and sions are chosen to provide a resonance at 15 GHz. It is evident that, in the case of a a symmetric structure (coupling irises at the center of the oppois coupled by the incident site cavity walls), only modes of the interfaces (dotted line in Fig. 4). If the irises are located close to the same sidewall of the cavity, a TZ occurs and the resonance above 13 GHz, between the as shown by the solid line in Fig. 4. The configuration with the

Fig. 4. Cavity with different coupling configurations (TE resonance at 15 GHz). 12 GHz/TE

Fig. 5.

Asymmetric

resonance at

H -plane cavity with J J J J < 0.

irises located close to opposite sidewalls of the cavities yields an resonance comincreased rejection behavior below the pared with the responses of the other configurations, as shown by the dashed line in Fig. 4. However, there is no TZ down to the waveguide cutoff frequency since the energy coupled by the mode is too weak for a cancellation. resonance to deObviously, it is possible to use the sign the passband of a filter and regard as a spurious resonance. In this case, it is possible to place the TZ either below the passband (case just discussed) or above it. In order to do this, the input and output coupling apertures must be arranged as shown in Fig. 5, which accounts for the required sign constraints on the coupling. The validity of this statement can be proven easily by a simple cavity, as shown in Fig. 5. In the current case, the cavity is diresonance at 9 GHz and the mensioned to support the resonance at 12 GHz (cf. Fig. 6). Centered irises only resonance, as in the previous case. If the irises couple the are close to the same sidewall, a TZ is obtained at 10.2 GHz, as shown by the solid line in Fig. 6. The configuration with the irises close to opposite sidewalls exhibits in this configuration and resonances, but no TZ is observed. Howthe ever, shifting the irises toward the center, i.e., increasing the couresonance and decreasing that to the pling to the

AMARI AND ROSENBERG: CHARACTERISTICS OF CROSS (BYPASS) COUPLING THROUGH HIGHER/LOWER ORDER MODES

3139

Fig. 6. Oversized cavity with different coupling configurations (TE resonance at 9 GHz/TE resonance at 12 GHz).

resonance, brings about a TZ above the resonance, as predicted by the circuit model. This discussion shows that the structures in Figs. 3 and 5 can be used as basic building blocks to design flexible higher order inline pseudoelliptic filters in -plane technology with the passband resonance. If the spurious response in centered around the , is an issue for the application at the lower stopband, due to hand, additional cavities dimensioned to resonate in the dominant resonance around the passband of the filter may be added to the filter to improve the stopbands. It is found that one or, at most, two additional such resonators are sufficient to practically suppress the spurious responses in the lower stopband. The results of this study are used to design inline pseudoelliptic filters in planar technology. These filters have several advantages including ease of analysis and design using modern field simulators, as well as ease of fabrication by milling. In addition, the inline topology allows a modular design in which each TZ is generated and controlled by a dedicated cavity. The initial design of these filters can be carried out through well-escavitablished techniques of coupled resonator filters for ties [13]. The singlets can be designed by forcing their frequency response to match that of the circuit model in a narrow frequency range around the passband and produce the TZ at the proper position. The initial design is then optimized to fit the specifications. In both the analysis and design steps, the commercial software package Microwave Wizard from Mician GbR, Bremen, Germany, was used. V. APPLICATIONS TO FILTERS The above results were used to design a number of filters. A. Fourth-Order Filter With One Real TZ In order to implement one TZ, one singlet is used in cascade resonators. There are four possible ways of with three arranging the three resonators and the singlet. Here, we consider only the case shown in Fig. 7 where the singlet is placed at the third position from the input (second from output). The simulated response of this filter is also shown in Fig. 7 where the presence of the TZ in the upper stopband is evident. To move this TZ to the lower side of the passband, we only need to adjust the positions of the coupling apertures at the input and

Fig. 7. Fourth-order inline filter design with one TZ. (a) structure. (b) Computed characteristics.

H -plane cavity

output of the singlet. The resulting structure and its response is not shown here to save space. B. Fourth-Order Filter With Two Real TZs The second example is a fourth-order bandpass filter with two TZs at 11.6 and 12.4 GHz, respectively. The bandwidth is 200 MHz centered at 12 GHz with a minimum in-band return loss of 21 dB. The implementation of two TZs in a fourth-order filter requires two singlets and two resonators in an inline configuration. cavity with bypass coupling through For the singlets, a resonance is used. The -plane resonators the spurious cavities, which are also used to suppress to a are simply large extent the spurious resonances of the two singlets. Ignoring the trivial cases of exchanging the input and output, there are four ways to arrange the two types of building blocks to achieve the specified response. These are: 1) resonator–resonator–singlet–singlet (rrss) (Fig. 8); 2) resonator–singlet–resonator–singlet (rsrs) (Fig. 8); 3) resonator–singlet–singlet–resonator (rssr); and 4) singlet–resonator–resonator–singlet (srrs). Although the four designs are equivalent in theory, in practice, some may have advantages over others. The responses of the two arrangements depicted in Fig. 8 are shown in Fig. 9. The solid lines show the response of the combination singlet–singlet–resonator–resonator (ssrr) and the dashed lines that of the singlet–resonator–singlet–resonator (srsr). In the passband and its vicinity, the responses of the two configurations are practically identical. However, away from the passband, the ssrr combination has a better control of its spurious re-

3140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

H

Fig. 8. Fourth-order -plane inline filter structures with two TZs. (left) rrss configuration. (right) rsrs configuration.

Fig. 10. Fig. 9. Computed responses of the fourth-order inline filter configurations shown in Fig. 8. Solid line: rrss structure. Dashed line: rsrs structure.

TE

H

-plane cavity filter configuration with three TE resonators.

singlets and two

sponse. Note that the TZs of two adjacent singlets are controlled independently as long as the node connecting them is nonresonating [9]. Two alternatives were used in the current designs to implement the nonresonating nodes. In the first one, the constant reactance of the NRN is absorbed in the discontinuity, which also provides the inverters connecting the resonance of the singlets to the NRN. In the second alternative, a quarter-wave section (unit inverter) is placed between the two adjacent singlets. The presence of the quarter-wave section practically decouples the two singlets and allows more independent control of their respective responses. This can be extremely useful if the filters require post-fabrication tuning. The responses of the two realizations are very similar, at least in the passband and its vicinity. VI. EXPERIMENTAL RESULTS A fifth-order filter has been designed at 28.25 GHz providing an equiripple bandwidth (return loss: 21 dB) of 600 MHz. The response exhibits three TZs—one below (27.45 GHz) and two above the passband (28.9 and 29.58 GHz). An -plane cavity structure with WR34 waveguide interfaces has been used for the realization of the filter. Fig. 10 shows a sketch of the chosen configuration, which considers radii for manufacturing with computer numerical controlled (CNC) milling techniques. The singlet situated next to the first interface is assigned to the TZ below the passband. The other two TZs are controlled by two additional singlets that are cascaded close to the other interface. It should be noted that there is no bypass coupling in the structure apart from those in the singlets.

Fig. 11.

Realized fifth-order

H -plane filter together with a one-cent coin.

The filter has been realized from a basic part containing the filter structure, which is completed by a lid mounted on the top (cf. Fig. 11). The measured passband return loss exhibits more than 16 dB without any tuning of the filter (cf. Fig. 12). Excellent agreement between the computed and measured transmission characteristics of the filter is observed in Fig. 12, except

AMARI AND ROSENBERG: CHARACTERISTICS OF CROSS (BYPASS) COUPLING THROUGH HIGHER/LOWER ORDER MODES

Fig. 12. Measured (solid lines) and computed (dashed lines) characteristics -plane filter realized with three singlets and two of the fifth-order fundamental-mode cavities.

H

for a small frequency offset of less than 25 MHz, which can be attributed to manufacturing tolerances of 0.02 mm. These results clearly demonstrate the validity of the theory presented in this paper. The dimensions of the filters presented in this paper will be provided to anyone who requests them. VII. CONCLUSIONS Theoretical considerations for the utilization of additional cavity modes—in addition to the assigned resonance mode—for the implementation of TZs in novel filter designs have been introduced. These additional modes of a cavity—which are resonating at a far distance from the desired passband—provide a bypass of signal energy to achieve destructive interference at the desired frequency of a TZ. Thus, such a cavity can be used as a singlet in novel filter designs where dedicated TZs are controlled individually. Necessary conditions for successful implementation of this concept for an arbitrary location of the TZs below and above the passband have been discussed. This method has been applied to several -plane filters to demonstrate the implementation and features for practical design tasks. Experimental validation has been provided by -band with three individually a fifth-order filter design at controlled TZs. Accurate agreement between computed and measured results have verified the novel approach. REFERENCES [1] R. M. Kurzrok, “General three-resonator filters in waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-14, no. 1, pp. 46–47, Jan. 1966. [2] A. E. Atia and A. E. Williams, “New types of waveguide bandpass filters for satellite transponders,” Comsat Tech. Rev., vol. 1, pp. 21–43, Fall 1971. [3] R. J. Cameron, “General prototype network synthesis methods for microwave filters,” ESA J., vol. 6, pp. 193–206, 1982. [4] F. Arndt, T. Duschak, U. Papziner, and P. Roalppe, “Asymmetric iris coupled cavity filters with stopband poles,” in IEEE MTT-S Int. Microwave Symp. Dig., 1990, pp. 215–218. [5] K. Iguchi, M. Tsuji, and H. Shigesawa, “Negative coupling between TE and TE modes for use in evanescent-mode bandpass filters and their field-theoretic CAD,” in IEEE MTT-S Int. Microwave Symp. Dig., 1994, pp. 727–730. [6] M. Guglielmi, F. Montauti, L. Pellegrini, and P. Arcioni, “Implementing transmission zeros in the inductive-window bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 8, pp. 1911–1915, Aug. 1995.

3141

[7] U. Rosenberg and W. Hägele, “Consideration of parasitic bypass couplings in overmoded cavity filter designs,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1301–1306, Jul. 1994. [8] S. Amari and U. Rosenberg, “New building blocks for modular design of elliptic and self-equalized filters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 721–736, Feb. 2004. [9] S. Amari, U. Rosenberg, and J. Bornemann, “Singlets, cascaded singlets and the nonresonating node model for modular design of advanced microwave filters,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 237–239, May 2004. [10] U. Rosenberg, S. Amari, and J. Bornemann, “Inline TM -mode filters with high design flexibility by utilizing bypass couplings of nonresmodes,” IEEE Trans. Microw. Theory Tech., vol. 51, onating TE no. 6, pp. 1735–1742, Jun. 2003. [11] K. Kurokawa, “The expansion of electromagnetic fields in cavities,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 178–187, Apr. 1958. [12] T. Teichmann and E. P. Wigner, “Electromagnetic field expansions in loss-free cavities excited through holes,” J. Appl. Phys., vol. 24, pp. 262–267, Mar. 1953. [13] I. Hunter, Theory and Design of Microwave Filters. London, U.K.: IEE Press, 2001.

Smain Amari (M’98) received the DES degree in physics and electronics from Constantine University, Constantine, Algeria, in 1985, and the Masters degree in electrical engineering and Ph.D. degree in physics from Washington University, St. Louis, MO, in 1989 and 1994, respectively. From 1994 to 2000, he was with the Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada. From 1997 to 1999, he was a Visiting Scientist with the Swiss Federal Institute of Technology, Zürich, Switzerland, and a Visiting Professor in Summer 2001. Since November 2000, he has been with the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada, where he is currently an Associate Professor. He is interested in numerical analysis, numerical techniques in electromagnetics, applied physics, applied mathematics, wireless and optical communications, computer-aided design (CAD) of microwave components, and application of quantum field theory in quantum many-particle systems.

Uwe Rosenberg (M’90–SM’93) received the Dipl. Ing. degree (first-class honors) in electrical engineering (telecommunication technique) from the Fachhochschule der Deutschen Bundespost, Dieburg, Germany, in 1982. From 1982 to 1983, he was with Hydro Therm, Dieburg, Germany, where he was involved with the design and development of automatic safety and heating control circuits. From 1983 to 1985, he was with the Technische Hochschule Darmstadt, Darmstadt, Germany, where he was involved with the design and development of experimental installations and software components for microcomputer control systems. In 1985, he joined the Space Division, ANT Nachrichtentechnik GmbH (now Tesat-Spacecom GmbH & Co. KG), Backnang, Germany, where he was engaged in research and development on microwave filters, multiplexers, and passive subsystems for communications satellites. Since 1989, he has been Head of the Research and Development Laboratory for Passive Microwave Components and Subsystems, Marconi Communications GmbH (formerly Bosch Telecom GmbH, Public Networks Division), Backnang, Germany, where he has been responsible for research and development of integrated waveguide transceiver circuitries, channel branching networks (multiplexers), antenna feed and waveguide (feeder) systems for trunk and access radio applications, mobile base-stations, large earth stations, and communications satellites. He coauthored Waveguide Components for Antenna Feed Systems: Theory and CAD (Norwood, MA: Artech House, 1993). He has also authored or coauthored over 70 technical papers. He holds over 40 microwave design patents Mr. Rosenberg is a member of Verband der Elektrotechnik Elektronik Informationstechnik (VDE), Informationstechnische Gesellschaft (ITG), and Verein Deutscher Ingenieure (VDI). He is a senior member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antennas and Propagation Society (IEEE AP-S).

3142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

On the Theory of Chained-Function Filters Christos E. Chrisostomidis, Member, IEEE, and Stepan Lucyszyn, Senior Member, IEEE

Abstract—For the first time, the new class of filter transfer functions, called chained-function filters, is described in theoretical detail. The chained-function concept can give a variety of transfer functions, having the same order, but different frequency domain, time domain, and implementation characteristics. As a result, a filter can be selected to have the required reduction in sensitivity to manufacturing errors, resonator unloaded- , and filter losses. This can be achieved while maintaining a given return-loss level and a predetermined out-of-band rejection performance that is comparable with conventional Chebyshev filters. The transfer-function formulations are given in detail, as well as the analysis of frequency- and time-domain responses, resonator unloaded- requirements, and filter loss responses. Theoretical comparison with conventional Chebyshev filter characteristics confirm the already demonstrated advantages of this new family of filter transfer functions. Index Terms—Chained functions, filters, microwave/millimeterwave filters, predistortion, transient time responses.

I. INTRODUCTION

M

ODERN FILTER design specifications require higher frequencies of operation, smaller fractional bandwidths, smaller development times and lower manufacturing cost. Most of the microwave and millimeter-wave bandpass filters that are currently manufactured are of the Chebyshev family, which has a transfer function that produces the best out-of-band rejection for a given maximum permitted level of passband equiripple insertion loss. With a Chebyshev transfer function, however, its implementation requires a specific manufacturing tolerance and specific unloaded- values for the individual resonators, and these cannot be changed or modified. Moreover, it is also known that the most critical factor for obtaining a first-pass tuningless implementation is the relative frequency separation of the return-loss (RL) zeros. These zeros are distributed, within the passband of the filter, in a way that gives the equiripple response. The smaller the frequency separation of the RL zeros, the higher the sensitivity to any physical parameter variation. Narrow-band high-order conventional Chebyshev filters (e.g., sixth order and higher) will have their RL zeros distributed over an extremely small frequency range and, therefore, a very accurate manufacturing process needs to be employed. Normal filter designs usually start with the selection of a suitable transfer function that will satisfy a given set of target specifications. This ideal transfer function will then be translated into Manuscript received January 22, 2005; revised April 27, 2005. This work was supported by the European Space Research and Technology Center under Contract 13576/99/NL/MV—Chained Function Filters Transfer Function. C. E. Chrisostomidis is with Polichromo SA, Metamorfosi, Athens, Greece (e-mail: christos@ polichromo.gr). S. Lucyszyn is with the Department of Electrical and Electronic Engineering, Imperial College London, London SW7 2AZ, U.K. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855358

an ideal electrical network representation of the filter. However, the ideal network elements are implemented by real components that exhibit loss. The addition of component losses in the network results in a distortion of the ideal frequency response. In the complex frequency plane, the transmission zeros (or poles of attenuation) shift from the imaginary axis into the left-hand side of the complex frequency plane and, thus, can only provide finite attenuation. Moreover, the transition from passband to stopband becomes rounded and the minimum value of the transfer function, which corresponds to zero attenuation in the passband, is not obtainable; some insertion loss will always be present. It is known that, in order to compensate for the influence of losses, especially in the passband, all transfer function poles have to be moved toward the right of their original positions. This process is known as predistortion. However, this action cannot be taken arbitrarily since it will violate the realization conditions. In other words, the poles can be moved by a maximum amount, with a value determined by the real part of the pole closest to the imaginary axis. For all polynomial filters, this maximum amount is fixed. Therefore, there is a minimum resonator unloaded- required, in order to achieve the target response, which cannot be modified. As a result, the choice of manufacturing technology is limited to those that can deliver the appropriate unloaded- . Today there is an ever increasing demand for hardware miniaturization, requiring new technologies. For example, micromachined filters can provide high- components, but, in some cases, this may still not be high enough for conventional Chebyshev transfer-function requirements [1], [2]. In this paper, a solution to these problems is proposed in the form of a new family of filter transfer functions called chainedfunction filters. The chained-function concept can give a variety of transfer functions having the same order, but different frequency and implementation characteristics. The practical advantages of this filter family have already been demonstrated in both rectangular waveguide [3] and microstrip [4] technologies. However, for the first time, a rigorous theoretical exposition of the chained-function properties is given, as well as a detailed comparison with the conventional Chebyshev approximation. II. CHAINED FUNCTIONS An approximation to the target function with the function using Taylor’s expansion requires its adjustable parameters to be chosen so that as many derivatives as possible are matched at a particular frequency point. For example, an th-order maximally flat (i.e., Butterworth) low-pass filter has the first derivatives matched at zero frequency and, thus, the approximation is maximally flat at the origin. This means that the Butterworth approximation concentrates all of its attention at the origin. However, this is achieved at the expense

0018-9480/$20.00 © 2005 IEEE

CHRISOSTOMIDIS AND LUCYSZYN: ON THE THEORY OF CHAINED-FUNCTION FILTERS

of the rest of the frequency band. Therefore, the approximation will have poor insertion loss characteristics around the cutoff is said to be a Chebyshev apfrequency. If the function proximation to , then the available parameters are adjusted so that the magnitude of the largest error is minimized in the frequency interval of interest. This effectively means that all frequencies in this interval are equally important. This results in very sharp insertion loss characteristics around the cutoff frequency. The Chebyshev approximation is optimum in the sense that, of all possible transmission functions, it has the lowest complexity for yielding a predetermined maximum deviation in the passband and the steepest cutoff outside the passband. No other polynomial possesses these optimum properties [5]. For this reason, even though the Chebyshev approximation does not have the mathematical simplicity of the Butterworth approximation, it is still the most common amplitude approximation used by filter designers. It is known that transfer functions generated using Butterworth polynomials have reduced sensitivity, require lower resonator unloaded- , and result into lower loss filters when compared with the conventional Chebyshev family having the same filter order [5], [9]. However, the out-of-band rejection is much worse than with the conventional Chebyshev. As a result, in order to match the rejection level of the two filter amplitude approximations, the Butterworth filter order needs to be dramatically increased. Chained functions, on the other hand, can be considered to be a compromise found between the Butterworth and Chebyshev approximations. Chained functions can bridge between the lower sensitivity, low resonator unloaded- , and lower loss filter properties of the Butterworth approximation and the high out-of-band rejection properties of the conventional Chebyshev filter. With chained-function filters, one may define a new polynomial generating function that is given by the product of low-order functions, called seed functions, each one having a predefined multiplicity . There are many different possible combinations of seed-function orders, each having a different multiplicity, which could give the same value of total filter . order The number of possible seed-function combinations can be expressed as [4], [6] (1) where is the partition function, which gives the number of unrestricted decompositions of the integer number as a sum of smaller integers, without regard to the order. The maximum number of possible seed-function combinations, for various filter orders, is summarized in Table I. For example, there are 11 different ways of expressing a sixthas order chained-function filter since

Thus, a sixth-order chained-function filter can be formed by a third-order seed function having a multiplicity of two (i.e., a squared third-order seed function) or by chaining a fourth-order chained function, having a multiplicity of one, with a first-order seed function having a multiplicity of two, etc.

3143

TABLE I NUMBER OF UNRESTRICTED COMBINATIONS

III. POLYNOMIAL GENERATION To briefly recall the basic formulation of chained functions, consider the representation to the approximation of rational transfer functions having the form [5]

(2) where is a positive constant, not greater than unity, that conis trols the passband ripple height (or RL level) and . For chained-function fila polynomial function of degree ters, one can define a new class of polynomial functions having , where can be formed by the product as [6] of seed functions (3) where is the order of the th seed function, and this has a . It can be shown that is given by the sum multiplicity of the degrees of the constituent functions as [6] (4) For the seed function, lower order generalized Chebyshev functions can be used. Seed functions can be defined as [3]

where (5)

where is the position of the th transmission zero. It should be noted that when all transmission zeros in every seed function approach infinity, the characteristic function in (5) degenerates to the conventional Chebyshev filter function. In this way, the ability to realize the chained function is ensured since each seed function in the product is realizable [1], [4], [5].

3144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

The th seed function, having a multiplicity formulated as

, can be

TABLE II (a) CHAINED-FUNCTION POLYNOMIALS FOR n = 5. (b) CHAINED-FUNCTION POLYNOMIALS FOR n = 6. (c) CHAINED-FUNCTION POLYNOMIALS FOR n = 7

even odd (6) where the coefficients culated as

for the th seed function can be cal(a)

(7) (8) where

is the iterator and

and also even odd

(9)

Finally, to form the desired chained-function polynomial, one needs to chain the seed functions. This can be achieved, in an iterative manner, by considering a pair of seed functions at a time as follows:

(b)

(10) (11) An auxiliary polynomial can then be formed as (12) where the coefficients

can be evaluated as

where (13) This auxiliary polynomial function will be used in place of (10) and will be chained with the next seed function (if any) in place of (11), and so on. The final chainedcan be formed when all seed funcfunction polynomial tions have been evaluated. Table II(a)–(c) shows the resulting and , respecchained-function polynomials for tively, formed by conventional Chebyshev seed functions. The first row in each table corresponds to the Butterworth approximation, while the last corresponds to the same order conventional Chebyshev polynomial. For conventional Chebyshev filters, the pole positions can be found using analytical expressions available in the literature (see, e.g., [8]). Unfortunately, there are no closed-form expressions for the pole positions of chained-function filters. These

(c)

need to be determined with numerical methods. By using the formulas presented here, the final chained-function polynomial can now be simply expressed as (14) are real coefficients. The pole positions can then be where determined by finding the roots of (15) where

has been replaced by (since complex frequency ). The signs of the pole positions, calculated using

CHRISOSTOMIDIS AND LUCYSZYN: ON THE THEORY OF CHAINED-FUNCTION FILTERS

3145

Fig. 1. Passband ripple characteristics for sixth-order conventional Chebyshev and chained-function filters. Fig. 2. RL characteristics for sixth-order conventional Chebyshev and chained-function filters.

(15), need to be modified according to the alternating-singularity principle described in [7]. With the aid of known polezero data, the transmission function is fully determined. The steady-state filter properties (e.g., attenuation, insertion phase, and group delay) and the dynamic properties (e.g., step and impulse responses) can now be easily determined. IV. CHAINED-FUNCTION PROPERTIES There are several characteristics that define a filter’s performance. Among the most important are the insertion loss and group-delay responses. In addition to these steady-state frequency-domain responses, there are several important transient responses. The latter characterizes the dynamic properties of the filter in the time domain. These responses can be calculated in a normalized low-pass prototype and, by using the appropriate transformations, they can be translated to the desired high-pass, bandpass, or bandstop designs. An exposition of the normalized low-pass chained-function filter properties will now be given.

ripple level will always be less than or equal to that of the conventional Chebyshev, for all seed-function combinations. This, in turn, ensures that the worst case RL level of the chained-function filter will stay below the target level for all seed-function combinations. Since bandwidth is exactly the same, the RL level for the chained functions will be identical to that of the conventional Chebyshev function at the passband edges. Fig. 2 shows the corresponding RL responses for the sixth-order conventional Chebyshev filter (designed for a 25-dB RL level), compared to those of the chained function. As mentioned in Section I, a critical factor for achieving a first-pass tunningless implementation, using the conventional Chebyshev approximation, is the relative frequency separation of the RL zeros. One can observe that the RL zeros are not equally spaced in the passband. Moreover, the smallest frequency separation distance will be those zeros closest to the cutoff frequency. The relative distance in frequency between the closest spaced zeros can be calculated from

A. Chained-Function Passband Ripple Chained functions will have superior rejection properties, compared with the Butterworth approximation, but slightly less when compared with the conventional Chebyshev. This is due to the fact that the chaining process will distort the optimum properties of the Chebyshev function. This will have an effect on both the equiripple passband response and the rejection slope of the resulting chained-function filters. In particular, some of the seed-function combinations will give a quasi-equiripple passband response, while others will not. For example, powered seed functions (e.g., a cubed second order or a squared third order) will give a quasi-equiripple response. However, a second-order chained with a fourth order will not. Fig. 1 shows the passband ripple for a sixth-order filter (ripple 0.014 dB, 25-dB RL level) with a conventional level Chebyshev and chained-function approximations. B. Chained-Function RL Zero Distribution As can be seen in Fig. 1, all functions will provide exactly the same passband ripple bandwidth, but the ripple levels will be different. It should be mentioned that the chained-function

(16) It is evident, from (16), that by increasing the filter order, the minimum frequency separation of the RL zeros decreases. If the is greater than sixth order, then (16) can be filter order approximated to (17) However, as can be found from (16), the largest possible separation distance between the RL zeros is obtained from a secondorder function. Unfortunately, the out-of-band rejection of such a function is not enough for most practical applications. One of the key advantages of the chained function is that it allows the designer to use this function as a seed function and then to chain it with itself until the right out-of-band rejection has been achieved. This effectively places multiple RL zeros at the same frequencies. The resulting chained function has been proven, both in theory and practice, to be more robust [3] even in the

3146

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 3. Insertion-loss responses for a fourth-order conventional Chebyshev (curve A) and a hypothetical fourth-order chained function (curve B).

case of a tuningless implementation, using a low-accuracy microstrip fabrication process [4]. As a result, the chained-function family can form the basis for real tuningless filters, while maintaining the required out-of-band rejection for a given minimum RL level.

Fig. 4. Insertion-loss responses for sixth-order conventional Chebyshev and various sixth-order chained functions.

C. Chained-Function Rejection Properties As previously mentioned, the rejection slope of the chained functions is smaller than the corresponding conventional Chebyshev filters. Also, for an th-order filter, there is no seed-function combination that can provide better rejection than an th-order conventional Chebyshev approximation. This can be proven by contradiction [9]. One may assume that there is a seed-function combination that can provide better rejection, when compared with the conventional Chebyshev filter, and then show that this assumption leads to a contradiction. For example, consider two fourth-order polynomial functions, i.e., is the conventional Chebyshev filter and is a hypothetical chained function, as shown in Fig. 3. From Fig. 3, the two curves must intersect at least five times (irrespective of the shape of the chained function) at points . Therefore, the equation

Fig. 5. Group-delay responses for a sixth-order conventional Chebyshev and various sixth-order chained functions.

(18) must provide five solutions. However, this is not possible since the two polynomials are both fourth order. Equation (18) can only provide five solutions if at least one of the polynomial functions is a fifth order. This establishes the contradiction. Thus, in order to achieve the same (or better) out-of-band rejection than the conventional Chebyshev filter, the order of the chained function needs to be increased by one. As will be demonstrated, this is sufficient for most practical applications. Fig. 4 shows the insertion-loss responses for a sixth-order conventional Chebyshev approximation and chained-function approximations. As can be seen in Fig. 4, the out-of-band rejection of some chained-function combinations are comparable to that of the conventional Chebyshev approximation, but by any means, they cannot be better. This is reasonable since the chaining process will distort the optimization of the Chebyshev seed functions. It should be noted that since the rejection slope available with

a chained function is less than that of a corresponding conventional Chebyshev approximation, a small increase in the filter’s noise bandwidth will be present. D. Chained-Function Group-Delay Characteristics The filter’s group delay can be calculated by differentiating its insertion phase response with respect to angular frequency. The group-delay performance of a filter may also reveal its loss characteristics. In general, it can be shown that the larger the ripple level of a conventional Chebyshev filter, the greater the group-delay distortion near the cutoff frequency. As a result, signals with frequencies near cutoff remain within the filter for a longer duration (than in the passband) and, thus, they can suffer more attenuation. The group-delay performance of chained-function filters can be found between the Butterworth and conventional Chebyshev responses, as can be seen in Fig. 5.

CHRISOSTOMIDIS AND LUCYSZYN: ON THE THEORY OF CHAINED-FUNCTION FILTERS

3147

Fig. 6. Impulse responses for a sixth-order conventional Chebyshev and various sixth-order chained functions.

Fig. 7. Step responses for a sixth-order conventional Chebyshev and various sixth-order chained functions.

As can be seen in Fig. 5, when compared to the conventional Chebyshev approximation, chained functions may not provide very high cutoff slopes, however, both the zero-frequency group delay (or center frequency group delay for a bandpass filter) and passband edge deviation are smaller. It can be deduced that when losses are taken into account, the chained-function filter will result in a lower loss implementation. Since they have a lower group delay, compared to the conventional Chebyshev filter, the passband frequencies will remain within the filter for a shorter period of time, thus suffering less attenuation from the losses.

filters with even orders have unequal termination impedances since the ratio of the terminating loads must be such that they provide the required ripple level at zero frequency (or the center frequency for a bandpass filter). In contrast, even-order chained-function filters having identical terminations can be designed according to the chosen seed-function combination. Even-order chained-function filters may be decomposed into odd-order seed functions and, therefore, the product will result in a symmetrical design having equal terminations (since odd orders require a perfect match at zero frequency). However, odd-order chained functions can only result in symmetrical designs because an odd number cannot be decomposed into even-order numbers. An important filter parameter is the maximum-to-minimum . It is desirable to keep this ratio element value ratio as small as possible with microwave filters due to the limited range of characteristic impedances that some implementation technologies have. For example, with microstrip filters, the maximum and minimum realizable impedance is determined by the available minimum and maximum linewidths, respectively. Also, the coplanar waveguide cannot accommodate a . Different seed-function combinations offer large ratios; some are smaller, while others may different be larger than those required for the conventional Chebyshev filter.

E. Chained-Function Time-Domain Characteristics The choice between various types of filter approximation will depend on its application. The transient response requirements may also be an important consideration when choosing a filter type for cases where the signal’s spectrum and the filter’s passband have similar bandwidth. The impulse and step responses for a sixth-order conventional Chebyshev and various sixth-order chained-function filters can be seen in Figs. 6 and 7, respectively. Once again, the chained-function responses, as seen in Figs. 6 and 7, are found between the Butterworth and conventional Chebyshev approximations. The impulse responses are lower in amplitude and wider when compared with the Butterworth. With the step responses, there are small variations in the rise time and in the ringing of the filters.

G. Chained-Function Loss Considerations F. Chained-Function Element Values Since chained-function filters are formed from the product of low-order seed functions, even-order filters can now be designed to be symmetrical (i.e., some filter elements can have the same value). This degree of flexibility is not available with the conventional Chebyshev approximation, where even-order filters result in asymmetric designs (i.e., none of the filter elements have the same value), while only odd-order approximations can result in symmetric designs. Conventional Chebyshev

In order to develop a filter, it is necessary to consider the required attenuation specifications, paying particular attention to the filter’s fractional ripple bandwidth and its relationship ) necessary to to the resonator’s minimum unloaded- ( realize the design [5]. For a given set of specifications, the value must exceed a certain minimum, for of a resonator’s can be calculated from the that filter to be realized. This required normalized low-pass prototype filter and then related to , and vice versa. In a normalized the individual resonator’s

3148

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

TABLE III (a) CHAINED-FUNCTION FILTER q FOR n = 5. (b) CHAINED-FUNCTION FILTER q FOR n = 6. (c) CHAINED-FUNCTION FILTER q FOR n = 7

(a)

Fig. 8. Pole patterns for a sixth-order conventional Chebyshev and various sixth-order chained functions.

low-pass prototype filter, the minimum quality factor be defined as [5]

can

(b)

(19) is the real part of the transfer-function pole closest where to the imaginary axis. It is a general property that, for any kind also increases. of filter, as the pole frequency increases, Therefore, the pole closest to the imaginary axis will be the one located at the highest frequency. Fig. 8 shows the pole patterns for a sixth-order conventional Chebyshev and chained-function filters (designed for 25-dB RL). can then be calculated as [5] where

(20)

where and are the center frequency and bandwidth of the required bandpass filter, respectively, while is the loaded . When one is dealing with finite resonator values, then the effects of losses on the insertion loss response of the filter are of particular interest. Qualitatively, passband ripples are smeared and the response near cutoff is rounded, thus, increasing the filter’s bandwidth. Effectively, losses shift the filter’s poles to the left, by an amount inversely proportional to the resonator’s . In order to compensate for the influence of losses, especially in the passband, all filter poles have to be shifted toward the right of their original position by an equal amount (i.e., in). The upper permisversely proportional to the resonator’s . However, compensasible limit for this action is given by tion for losses results in an increase in the passband attenuation. This, in turn, degrades the filter’s RL. For this reason, the application of loss compensation is limited [5]. is equal to , the filter reWhen the resonator’s sponse may then be achieved, provided predistorted coefficients

(c)

are used, but an extremely large value of insertion loss will reis slightly larger than , the filter will have a sult. If high value of insertion loss and the bandwidth will be narrower than the design value. When [5] (21) then the resulting bandwidth will be equal to the design value. is larger than , the loss in the filter Moreover, when does not primarily depend on the number of sections, but it is [10]. Once the exclusively controlled by the ratio and have been determined, the loss in the values of filter is almost completely defined, and this varies very little with the shape of the filter, the number of sections, the bandwidth, etc. [5]. depends For conventional Chebyshev filters, the value of on the filter order and the required RL level (i.e., defined by the passband ripple factor). Table III shows the calculated for chained-function filters, having different orders and ripple

CHRISOSTOMIDIS AND LUCYSZYN: ON THE THEORY OF CHAINED-FUNCTION FILTERS

factor. The first row in each table corresponds to the Butterworth approximation normalized to the same ripple bandwidth, while the last row corresponds to the conventional Chebyshev approximation. For multiple-resonator bandpass filters, the following approximate formula gives the insertion loss, due to dissipation, at the center of the passband [11]:

3149

TABLE IV (a) SUMMARY

OF CHAINED-FUNCTION FILTER PROPERTIES FOR = 6( = 25 dB). (b) SUMMARY OF CHAINED-FUNCTION = 6( = 20 dB). (c) SUMMARY OF FILTER PROPERTIES FOR =6( = 15 dB) CHAINED-FUNCTION FILTER PROPERTIES FOR

n

RL

0

n

RL

0

n

RL 0

(22) is the unloaded- for all resonators and are the where element values in the normalized low-pass prototype. Another way of expressing (22) involves the filter’s group delay [12] (23) (a)

is the value of the normalized low-pass prototype where group delay at zero frequency normalized to 1 s. In order for (23) to be valid, the filter must be well matched at zero frequency (or the center frequency for a bandpass filter) so that the mismatch loss will be very small. It is evident from (22) and (23) that with and a fixed fractional bandwidth, the identical resonator filter’s loss will be increased if the sum of the filter elements is also increased or, consequently, if the zero-frequency group delay is increased. The approximation associated with (22) and (23) may be avoided if exact network calculations are made for an equivalent circuit of the required filter network. The low-pass prototype network is considered to be the most convenient for this purpose and shunt conductances of [11]. The series resistances the low-pass prototype can be related to the resonator’s as [11]

(b)

(24) -parameters of the filter structure can then be The formed. The end result can be related, by means of a frequency transformation, to the required bandpass filter design. A summary of chained-function parameters can be seen in Table IV for all sixth-order chained functions having different , RL levels, including the calculated filter noise bandwidth , the the element maximum to minimum value ratio sum of the corresponding filter elements , and the termination ratio . The first row in each table corresponds to the Butterworth function, while the last corresponds to the conventional Chebyshev function of the same order and ripple bandwidth. V. INCREASED-ORDER CHAINED-FUNCTION EXAMPLE The previous results give a direct comparison between equal-order Chebyshev and chained-function filters. As mentioned in the chained-function characteristics, for the same filter order, there is no seed-function combination that can give better rejection than a Chebyshev filter. Equal rejection can only be achieved by increasing the chained-function filter order

(c)

by one. By doing this, there are still some advantages to be gained. This will now be demonstrated by example. Consider a bandpass filter having a center frequency of 800 MHz and a ripple bandwidth of 7 MHz. The target RL level is set at 25 dB and a 60-dB rejection is required at a stopband bandwidth of 29.4 MHz. To convert these specifications into a normalized low-pass prototype, the steepness factor needs to be calculated. This is the bandwidth ratio of the stopband over the passband and, in this case, is equal to 4.2. A fifth-order conventional Chebyshev filter, having a passband ripple of approximately 0.014 dB, will be sufficient. The same specifications can be achieved by a sixth-order chained function. The chosen function consists of a third-order chained with cubed first-order seed functions. The normalized low-pass insertion-loss responses for the two filters are shown in Fig. 9.

3150

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 9. Insertion-loss responses for a fifth-order conventional Chebyshev and a sixth-order chained function.

Fig. 11. Insertion-loss responses for a lossy fifth-order conventional Chebyshev and a lossy sixth-order chained function.

Fig. 12. Pole patterns for fifth-order conventional Chebyshev and sixth-order chained-function filters.

Fig. 10. Group-delay responses for a fifth-order conventional Chebyshev and sixth-order chained function.

The group-delay responses for the two filters are shown in Fig. 10. As can be seen in Fig. 10, the zero-frequency groupdelay responses are identical for both filters and, thus, one can expect the chained-function filter to provide the same loss in spite of the fact that it is of a higher order. The passband groupdelay deviation of the chained function is much smaller than that of the conventional Chebyshev. Therefore, it is expected that the chained-function filter will result in less cutoff frequency rounding when losses are taken into account. The exact calculated loss response for the two filters can be calculated using exact seen in Fig. 11 for different values of methods previously described. Using (19), the required of the chained function is 20% less than that for the conventional Chebyshev filter. This effectively means that the chained-function filter will be more robust to resonator finite- effects than its conventional Chebyshev counterpart. This can also be seen in the calculated pole pattern, shown in Fig. 12.

VI. CONCLUSION It is evident from Table II that the chained-function concept provides a variety of transfer functions to choose from. Several different responses, having the same order, passband ripple bandwidth, and worst case RL level may be designed with rejection properties given from the Butterworth to pseudoelliptic into firstfilter functions. For example, the decomposition of ) reorder seed functions (i.e., sults in a polynomial having the form of , which is the Butterworth approximation. Similarly, allowing some (or all) of the first-order seed functions to each have a single transmission zero, a pseudoinverse Chebyshev response can be achieved. into two or more lower order seed functions, Partitioning pseudo-Chebyshev (or pseudoelliptic if transmission zeros have been used) characteristics can be achieved with transfer functions that maintain the predefined specifications, while having different implementation characteristics. Chained-function filters are an attractive solution to low-cost, high-performance, microwave, and millimeter-wave bandpass filters. The ability to generate different seed-function combinations, having different implementation characteristics, can be

CHRISOSTOMIDIS AND LUCYSZYN: ON THE THEORY OF CHAINED-FUNCTION FILTERS

used to extend the state-of-the-art in tuningless filters toward higher frequencies or smaller fractional bandwidths or, alternatively, to lower the accuracy and manufacturing cost requirements for a given set of filter specifications. Indeed, chained-function filters can bridge between the lowsensitivity lowerresonator unloaded- and low-loss properties of the Butterworth approximation with the high out-of-band rejection properties of the conventional Chebyshev approximation. ACKNOWLEDGMENT The authors would like to thank Dr. M. Guglielmi, European Space Agency/European Space Research and Technology Centre (ESA/ESTEC), Noordwijk, The Netherlands, for inspiring this work and his support. REFERENCES

Q

[1] I. C. Hunter, “Applications of high- filters in cellular radio systems,” presented at the IEEE Eur. MIDAS Topical Meeting on MEMS for High- Filters Workshop, Jul. 2000. [2] C. T. C. Nguyen, “Transceiver front-end architectures using high- micromechanical resonators,” presented at the IEEE Eur. MIDAS Topical Meeting on MEMS for High- Filters Workshop, Jul. 2000. [3] M. Guglielmi and G. Connor, “Chained function filters,” IEEE Microw. Guided Wave Lett., vol. 7, no. 12, pp. 390–392, Dec. 1997. [4] C. E. Chrisostomidis, M. Guglielmi, P. Young, and S. Lucyszyn, “Application of chained functions to low-cost microwave bandpass filters using standard PCB etching techniques,” in Proc. 30th Eur. Microwave Conf., Paris, France, Oct. 2000, pp. 40–43. [5] A. I. Zverev, Handbook of Filter Synthesis. New York: Wiley, 1967. [6] C. E. Chrisostomidis, “Chained function filters—Theory and applications,” Ph.D. dissertation, Univ. Surrey, Surrey, U.K., 2003. [7] J. D. Rhodes and S. A. Alseyab, “The generalized Chebyshev low-pass prototype filter,” Int. J. Circuit Theory Applicat., vol. 8, pp. 113–125, 1980. [8] J. D. Rhodes, Theory of Electrical Filters. New York: Wiley, 1976. [9] R. W. Daniels, Approximation Methods for Electronic Filter Design. New York: McGraw-Hill, 1974. [10] E. G. Fubini and E. A. Guillemin, “Minimum insertion loss filters,” Proc. IRE, vol. 47, no. 1, pp. 37–41, Jan. 1959. [11] S. B. Cohn, “Dissipation loss in multiple-coupled resonator filters,” Proc. IRE, vol. 47, no. 8, pp. 1342–1348, Aug. 1959. [12] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980.

Q

Q

Q

3151

Christos E. Chrisostomidis (M’97) was born in Athens, Greece. He received the Electrical and Electronic Engineering degree (with first-class honors) and Ph.D. degree from the University of Surrey, Surrey, U.K., in 1996 and 2003, respectively. His doctoral dissertation was entitled “Chained Function Filters—Theory and Applications.” He is currently with the Microwaves and Systems Research Group (MSRG), University of Surrey. Toward the end of 2000, he joined the Greek army for 18 months within the Tactical Communications Division as part of his national service. During this period, he received a degree from the School of Telecom Technical Training. In 2002, he joined Polichromo SA, Athens, Greece, as a Research and Development Engineer. In 2003, he became the Manager of the Research and Development Group, Polichromo SA, where he specializes in Linux embedded systems for data networks and communications.

Stepan Lucyszyn (M’91–SM’04) joined Imperial College London, London, U.K., in June 2001, as a Senior Lecturer within the Optical and Semiconductor Devices Group. Prior to this, he was a Senior Lecturer with the University of Surrey, Surrey, U.K. He was the Principal Investigator on, and Coordinator for, two large multiuniversity millimeter-wave research projects and a Coinvestigator on other projects. During the summer of 2002, he was a Guest Researcher within the Microelectromechanical Systems (MEMS) Laboratory, National Institute of Advanced Industrial Science and Technology, Tsukuba, Japan. For the past nine years, he has taught “MMIC Measurement Techniques” at the Institution of Electrical Engineers (IEE) Vacation Schools on Microwave Measurements, National Physical Laboratory (NPL), Teddington and Malvern, U.K. He has authored or coauthored 88 research papers in both national and international conferences and journals in the broad area of microwave and millimeter-wave engineering. In addition, he co-edited and wrote three chapters in MMIC Design (London, U.K.: IEE Press, 1995) and four chapters in RFIC and MMIC Design and Technology (London, U.K.: IEE Press, 2001). Dr. Lucyszyn is a Fellow of the Institution of Electrical Engineers (IEE), U.K., and a Fellow of the Institute of Physics. He was the recipient of two recent Engineering and Physical Sciences Research Council (EPSRC) research grants. The first is to investigate millimeter-wave RF MEMS filters, utilizing conventional surface micromachining techniques on silicon. The second is to develop ultraquiet millimeter-wave detectors using C AT’s nanowhiskers. He was also the sole applicant to represent Imperial College London within the European Union’s Framework VI Network of Excellence on Advanced MEMS for RF and Millimeter Wave Communications (AMICOM).

3152

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Ka-Band Resonant Ring for Testing Components for a High-Gradient Linear Accelerator

Alexandr Bogdashov, Gregory Denisov, Dmitry Lukovnikov, Yury Rodin, and Jay Hirshfield

Abstract—A new millimeter-wave resonant ring using a traveling TE01 wave in an oversized cylindrical waveguide has been developed for high-power tests of accelerator components. Novel low-loss miter bends with flat mirrors that utilize mode mixing to minimize losses were used in the resonant ring. Low-power measurements show a maximum effective power gain factor that exceeds 35 : 1 at the operating frequency of 34.272 GHz. Total quality factor is approximately 21 400, and the reflection coefficient from the input to the ring resonator is less than 1%. Index Terms—Accelerator RF systems, electromagnetic coupling, electromagnetic diffraction, resonators, traveling-wave devices.

I. INTRODUCTION

A

NUMBER of components for a future -band linear accelerator are under development for use in a test accelerator at very high powers in the range 0.1–1 GW depending on the component. This study is directed toward development of structures that can sustain an acceleration gradient approaching 200 MV/m, three times that of current -band designs. However, there are currently no -band sources available at power levels approaching the gigawatt level. The most promising candidate at this frequency, a 34-GHz magnicon, is currently under development and test [1]. This tube is designed to amplify radiation to the 40–50-MW level; it has already reached over 10 MW in 200-ns pulses after a conditioning campaign of only 2 10 pulses. It is clear that this power is much lower than that required for a full-scale component test. Major RF components of interest have high transmission coefficients. These components include barrier windows, mode converters, tapers, waveguides, miter bends, phase shifters, pumping ports, compensators of thermal expansion, and others. Transmission coefficients for these components vary from 90% to 99.5%. Such components require high power tests in order to determine their reliability, and/or to introduce corrections in their design. When a component has a high transmission coefficient, it can be tested in a microwave resonant ring fed by an available source such as the magnicon. In a resonant ring, an effective high-power operating regime can be realized with a running wave having field strengths corresponding to a power much higher than the power available Manuscript received January 26, 2005; revised May 26, 2005. This work was supported by the U.S. Department of Energy, Office of High Energy Physics. A. Bogdashov, G. Denisov, D. Lukovnikov, and Y. Rodin are with the Institute of Applied Physics, Russian Academy of Sciences, 603950 Nizhny Novgorod, Russia (e-mail: [email protected]; [email protected]). J. Hirshfield is with the Department of Physics, Yale University, New Haven, CT 06520 USA and also with Omega-P Inc., New Haven, CT 06520 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855357

Fig. 1.

Schematic of the resonant ring.

from the source [2]. The goal of the research described in this paper is the development of a resonant ring, which provides a power “gain” factor in the range of 10–20 with the capability of approaching the gigawatt level at 34.272 GHz. Power gain is limited by RF losses in the ring itself, and by losses in the particular component under test. The layout of the millimeter-wave resonant ring is shown mode in Fig. 1. The operating mode of the ring is the in an oversized circular waveguide. This mode has zero electric field at the waveguide walls and, consequently, very low ohmic losses. This mode is a natural choice for the transmission line to feed millimeter-wave accelerator structures. Furthermore, the most advanced window designs are based on the use of this mode. Recently a low-loss miter bend operating with this mode was developed. Experimental tests described below show the total loss in the miter bend to be approximately 1%, including ohmic and diffraction losses. The resonant ring consists of a directional coupler and straight waveguide runs joined by four miter bends, the latter equipped with vacuum pumping ports. For adjusting the resonant frequency of the ring to be equal to the magnicon operating frequency, a bellows-type adjustable waveguide section is used. For the total wave run around the cavity of length as great as 6 m, the total relative loss of wave power per round-trip (including coupling losses) should not exceed approximately 10%. The factor of the resonator

0018-9480/$20.00 © 2005 IEEE

BOGDASHOV et al.:

-BAND RESONANT RING FOR TESTING COMPONENTS FOR HIGH-GRADIENT LINEAR ACCELERATOR

3153

should be approximately 30 000, a value well within the anticipated frequency stability range of the magnicon. This value of the factor means that the resonator fill time will be several tens of nanoseconds, a value that is much shorter than the microsecond-wide magnicon output pulse. II. SIMPLIFIED ANALYSIS OF THE RESONANT RING Simplified analysis of the ring resonator supporting a traveling wave may be carried out using a plane-wave approximation [3], [4]. Let us assume that the operating mode of the ring , where is has along the resonator the phase factor the ring perimeter and power attenuation of the wave per round We also assume that a semitrip is described by factor transparent plate that constitutes the input/output coupler is described by the power transmission coefficient . , where and The power gain is defined as are the traveling- and incident-wave powers. At the resonant ), the maximum gain is frequency (given by

Fig. 2. Powers of modes along the improved miter bend.

(1) while the power reflected to the microwave load is (2) These formulas lead to very clear consequences. In particular, when the losses are equal to the coupling, namely, (3) then the reflected power is zero. In the case of low loss and corresponding low cou, the power gain formula can be simplified to pling (4) For example, when the losses and coupling are each equal to 0.05 (this means approximately 5% per round trip of the wave), then the gain is 20 and the reflection is zero. We may note here that a three-mirror resonant ring was fabricated and tested at low power in [4]. In that case, the test results agreed well with design calculations. Calculations of the cavity eigenmode structure and frequency response of the resonant ring were made using a scattering matrix method. In the calculations, each miter bend is replaced by an effective waveguide gap. The length of this gap is equal to double distance between the guide edge and mirror. As follows from the measurements, such a model overstates the actual level of losses approximately two times. The losses consist of ohmic losses in the waveguide runs, ohmic and diffraction losses in the miter bend, scattering losses in the bellows, and transmission losses in a component under mode drop rapidly as the test. The ohmic losses of the waveguide diameter increases. For a 63.5-mm diameter, the losses will be less than 0.5% for a waveguide length of 6 m. The miter bend is a critical component for the resonant ring since there are four bends in the ring. It was demonstrated recently that the total loss in the miter bend with 63.5-mm diameter

H

Fig. 3. distribution at the waveguide wall. Position of the miter bend mirror corresponds to the low field area around = 250 mm.

z

could be made as small as approximately 1%. To provide such low losses, a special design of the miter bend was applied. The improved mitre bend consists of two symmetric mode converters (shoulders) and a flat mirror between them [5]. The converters produce the optimal mode mixture, which has a very weak field at the waveguide and bend mirror edges, as shown in Figs. 2 and 3. The bend is symmetrical and a flat mirror is used. The use of the flat mirror results in absence of cross-polarization after reflection from the mirror. This modified mitre bend was designed for a frequency of 34.272 GHz with a waveguide diameter of 63.5 mm. The diffraction losses in bellows could be estimated, as in a regular waveguide gap [6], to be (5) where is the gap length, wavenumber, and

is the waveguide radius, is the is the -mode eigenvalue.

3154

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 4.

Traveling-wave resonator. Power gain factor at 34.272 GHz is 35.

Fig. 5.

Coupling mirror.

III. EXPERIMENTAL RESULTS A photograph of the resonant ring is shown in Fig. 4. It is mode of fabricated of aluminum alloy. The fundamental mode the rectangular waveguide was transformed into the operating mode of a circular waveguide, and then into the by a Marié transducer. Up-tapers and mode converters were used in the input and measuring channels for efficient coupling to the resonator eigenmode. Special attention was given to optimization of coupling. The brass coupling mirror (see Fig. 5) is used for resonator excitation in an optimal mode mixture generated by the input mode converter. This thin flat mirror is perforated with circular holes. It provides a coupling coefficient, which fits the inherent diffraction and ohmic losses of the traveling-wave resonator. The estimation of the electric field at the perforated mirror was performed. According to numerical calculations, the electric fields at the edge of the mirror hole are higher for the chosen geometry than the field on the nonperforated mirror only two times, and this increase is admissible for the application. To prevent any influence of trapped parasitic modes, special holes were made in the miter bends. These permit single transverse-mode excitation at the operating frequency. Preliminary investigation was carried out without placing a test object into the resonator. Straight sections of circular waveguide (internal diameter: 63.5 mm) were placed between miter bends and conmode. One or both of these could be retain a 99% pure placed with a component under test. Low-power tests were carried out with a microwave frequency synthesizer with an output power level of approximately

Fig. 6. (a) Gain factor is 35 : 1. (b) Reflection

< 1%.

20 mW, and frequency stability of approximately 1 10 . The transmitted microwave power was measured by the detector in the coupled measuring channel. The gain factor and reflection coefficient of the empty resonator were measured at 1-MHz frequency intervals (Fig. 6). At an input coupling of 3%, a reflection coefficient from the empty resonator at frequency 34.272 GHz is measured to be 0.3%, and the gain factor is 35 : 1. Lorentz form fitted the resonance curve, giving a resulting quality factor of 21 400. Gain dependence on coupling in accordance with (1) is shown in Fig. 7. The power gain that was achieved is direct evidence for the extremely low losses in the miter bends. The traveling-wave mode of operation excludes reflection back to the source and, thus, provides stable operation of the source. For high-power levels (40 MW of initial power times the measured resonant gain of 35), the breakdown problem becomes acute. From this point-of-view, the most critical place is the perforated mirror because, at the edge of a hole, the electric field may be much stronger then somewhere else in the ring. This effect was estimated basing on the model of a circular waveguide with an iris inside. The iris simulates the perforated mirror fragand guide mode ment and the incidence wave is a

BOGDASHOV et al.:

-BAND RESONANT RING FOR TESTING COMPONENTS FOR HIGH-GRADIENT LINEAR ACCELERATOR

3155

[6] R. B. Vaganov, “Asymmetrical wave diffraction at a wide slot in a circular waveguide (asymmetrical waves diffraction field at wide slots in circular waveguides determined in Huygens–Kirchhoff approximation)” (in Russian), Radiofiz., vol. 12, no. 4, pp. 630–633, 1969. Alexandr Bogdashov was born in Dzerzhinsk, Russia, on August 17, 1970. He received the M.S. degree from Nizhny Novgorod University, Nizhny Novgorod, Russia, 1993. Since 1993 he has been with the Institute of Applied Physics, Russian Academy of Science, Nizhny Novgorod, Russia, where he is engaged in gyrotron development at GYCOM Ltd. He has authored or coauthored 40 publications on theoretical and experimental topics. His research interest is concentrated on computational electrodynamics and applications for high-power electronics (waveguide-mode converter design and optimization, quasi-optical mirror and antenna synthesis, notch filters, microwave transmission lines). Mr. Bogdashov was the recipient of the 2003 Young Scientist Award presented by the Russian Academy of Sciences. Fig. 7.

Gain versus coupling (inherent resonator losses: 2.87%).

mixture. To model the most critical case, and guide waves with high electric components at the hole edge were taken as initial at the frequency of 34.272 GHz. For the typical iris thickness of 0.7 mm and hole radius of and were 1.5 mm, the field distributions for components calculated. As follows from the results of calculations, the electric fields at the hole edge are of the same order as that of the corresponding components of the initial wave. IV. CONCLUSION A new millimeter-wave resonant ring operating with a wave for high power tests of components has traveling been developed. Novel low-loss miter bends with flat mirrors and mode mixing have been used in the resonator. Low-power measurements have been carried out, showing a maximum gain factor that exceeds 35 : 1 at an operating frequency of 34.272 GHz, and a quality factor of 21 400. The reflection coefficient from the resonator is measured to be less than 1%. ACKNOWLEDGMENT The authors thank O. A. Nezhevenko, Omega-P Inc., New Haven, CT, for suggesting this approach for achievement of high effective millimeter-wave power. REFERENCES [1] A. Nezhevenko, M. A. LaPointe, V. P. Yakovlev, and J. L. Hirshfield, “Commissioning of the 34-GHz, 45-MW pulsed magnicon,” IEEE Trans. Plasma Sci., vol. 32, no. 3, pp. 994–1001, Jun. 2004. [2] A. Neuber, J. Dickens, D. Hemmert, H. Krompholz, L. L. Hatfield, and M. Kristiansen, “Window breakdown caused by high-power microwaves,” IEEE Trans. Plasma Sci., vol. 26, no. 3, pp. 296–303, Jun. 1998. [3] J. L. Altman, Microwave Circuits. New York: Van Nostrand, 1964. [4] G. G. Denisov and M. Y. Shmelev, “Effective power input into quasioptical cavity with travelling wave,” Int. J. Infrared Millim. Waves, vol. 12, no. 10, pp. 1187–1194, 1991. [5] V. L. Belousov, A. A. Bogdashov, G. G. Denisov, A. V. Chirkov, and S. V. Kuzikov, “New components for TE transmission lines,” in Proc. Int. Strong Microwaves in Plasmas Workshop, vol. 2, Nizhny Novgorod, Russia, Aug. 2–9, 1999, pp. 948–953.

Gregory Denisov was born April 30, 1956, in Gorky, Russia. He received the M.S. degree in radiophysics from Gorky State University, Gorky, Russia, in 1978, and the Ph.D. and Professor degrees from the Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod, Russia, in 1985 and 2002, respectively. He is currently Head of the Gyrotron Division, Institute of Applied Physics. His main activities include relativistic microwave oscillators and amplifiers, transmission lines and antenna systems for high-power microwave radiation, methods for measurement and control of wave beam parameters, powerful microwave sources for electron–cyclotron resonance heating (ECRH) systems in fusion installations (gyrotrons), and technological setups. Dr. Denisov was the recipient of the 1996 International Award for Excellence in Fusion Engineering presented by Fusion Power Associates and the 2004 State Award for achievements in science. Dmitry Lukovnikov was born in Gorky, Russia, in 1965. He received the M.S. degree in electrophysical engineering from the Polytechnical Institute of Nizhny Novgorod, Nizhny Novgorod, Russia, in 1988, and the Ph.D. degree from the Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod, Russia, in 1998. Since 1988, he has been with the Institute of Applied Physics, Russian Academy of Sciences. He contributed to the creation of the FOM-Institute for Plasma Physics Rijnhuizen fusion finite-element method (FEM), Reinhuisen, The Netherlands. He elaborates numerical codes for the problems of electrodynamical systems of millimeter and submillimeter waves. He also participates in the design of notch filters, gyrotron, free electron maser cavities, etc. He has authored or coauthored approximately 20 papers mainly in the field of mathematical methods in microwave technology. Yury Rodin was born in Gorky, Russia, in 1960. He received the M.S. degree in radio engineering from the Gorky Polytechnic Institute, Gorky, Russia, in 1984. Since 1979, he has been with the Institute of Applied Physics, Russian Academy of Sciences, Nizhny Novgorod, Russia. He has authored or coauthored approximately 20 papers. His main activities include high-power measurement of microwaves, control of wave beam parameters, and gyrotron transmission lines. Mr. Rodin was the recipient of a 1993 Soros grant.

Jay Hirshfield, photograph and biography not available at time of publication.

3156

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Reactance of Hollow, Solid, and Hemispherical-Cap Cylindrical Posts in Rectangular Waveguide J. Roelvink, Student Member, IEEE, and Allan G. Williamson, Senior Member, IEEE

Abstract—An accurate experimental technique is employed to determine the reactance of three commonly used symmetrical waveguide discontinuities: cylindrical posts with hollow, solid, and hemispherical-cap ends, and a useful data set is presented. Length adjustment factors are derived for the case of symmetrical excitation that relate the reactance of each post form. When used in conjunction with an existing analysis, it is shown that very accurate results can be predicted for the reactance of solid and hemispherical-cap posts. Index Terms—Filters, tuning networks, waveguides.

I. INTRODUCTION

O

NE OF the most commonly used reactive elements in waveguide matching and filter networks is a cylindrical metallic post that extends into the waveguide, as shown in Fig. 1(a). The post axis is orientated parallel to the dominant -mode electric field. The current distribution induced on the post by the incident mode will depend on the physical dimensions of the waveguide and the size and form of the post itself; hollow, solid, or hemispherical cap. By changing , the induced current and, hence, the reactive effect of the post, can be adjusted (in practice, this is usually facilitated by using a threaded post that enters through a threaded hole in the waveguide). Notwithstanding its widespread use, there is little published data for the reactance of cylindrical posts other than in [1] where experimental data is given for solid and hemispherical-cap posts. Over the years, these measurements have received many citations. , the axial current induced In the full-height case, when by the incident mode is constant along the post surface, significantly reducing the difficulty of the theoretical analysis. Schwinger and Saxon solved this problem using a variational procedure [2] by approximating the circumferential variation of the axial current around the post by two terms of a Fourier series, the results of which appear in [1]. Leviatan et al. [3] also considered the full-height case by representing the post as a number of filaments and solving the boundary conditions using a point-matching technique. This analysis allows the circumferential variation of the induced axial current around the post to be approximated to a high order, making it very accurate for posts up to large radii. Their results were shown to be Manuscript received February 2, 2005; revised May 14, 2005. The work of J. Roelvink was supported by Technology New Zealand under a Technology in Industry Award. The authors are with the Department of Electrical and Computer Engineering, The University of Auckland, Auckland, New Zealand (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855356

Fig. 1.

(a) Variable-length post sectional view. (b) T-equivalent circuit.

in good agreement with Schwinger’s theoretical analysis within his stated bounds of accuracy. , the axial current inIn the variable-length case, when duced by the incident mode is no longer constant along the post, significantly increasing the complexity of any theoretical analysis. One of the earliest studies was by Lewin [4] who represented the post current by a filament with an assumed sinusoidal distribution. A more accurate theoretical analysis was developed by Williamson [5] for the analytically tractable case of a hollow post. Unlike Lewin, no assumption was made about the form of the induced current, although the effect of the circumferential variation around the post surface was averaged, making the analysis applicable to relatively thin posts only. More recently, an analysis has been reported [6] in which the post is approximated as a prism consisting of a number of strips each carrying an axial current. In practice, the post would most likely be solid or, for high power applications, have a hemispherical cap. It would be expected that the reactance of a hollow, solid, or hemispherical-cap post of a certain length would only be slightly different, and the variation of the reactance of each form as a function of the physical dimensions and position would be very similar. Indeed, it might be expected that the reactance of one form could be closely represented by that of another of a slightly different length. If this length adjustment was known, then data available for one form could be used to predict the reactance values for others. Moreover, the theoretical treatment in [5] could then be

0018-9480/$20.00 © 2005 IEEE

ROELVINK AND WILLIAMSON: REACTANCE OF HOLLOW, SOLID, AND HEMISPHERICAL-CAP CYLINDRICAL POSTS

3157

TABLE I 2a = 3 mm (2a=d = 0:063), SOLID POST

Fig. 2. Experimental test setup.

used to predict reactance results for solid and hemispherical-cap posts. In this paper, a simple and accurate experimental procedure for finding the reactance of symmetrical waveguide discontinuities is used to obtain a data set for the reactance of hollow, solid, and hemispherical-cap posts in a rectangular waveguide. The experimental data set is then used to produce length adjustment factors, relating the reactance of solid and hemispherical-cap posts to hollow posts. Using these length adjustments with the theory in [5], it is shown that the reactance of solid, hollow, and hemispherical-cap posts can be predicted very accurately.

TABLE II 2a = 6 mm(2a=d = 0:126), SOLID POST

II. EXPERIMENTAL PROCEDURE The effect of a symmetrical discontinuity, located at some point in a waveguide, can be modeled by the T-equivalent circuit in Fig. 1(b) as with any symmetrical lossless two-port network. By considering an incident wave at one port and assuming that the other is terminated in a perfect match, it is possible to show that [3] (1)

TABLE III 2a = 9 mm (2a=d = 0:189), SOLID POST

(2) and are normalized reactances, and and are the where reflection and transmission coefficients, respectively, relative to the central plane of the discontinuity. An experimental study was conducted to obtain the -parameters of five different diameter posts ( and mm) within a -band waveguide ( mm, mm) over the usual operating frequency range of the waveguide (3.95–5.85 GHz) using an Agilent PNA series network analyzer. The posts and waveguide test setup were constructed from brass. Due to practical limitations, hemispherical-cap posts were considered for only the two largest post diameters and hollow posts for only the three largest post diameters. The experimental setup is shown in Fig. 2 and was calibrated to the plane – (at the connection between the network analyzer port hardware and the waveguide test section) by a thru-reflect line (TRL) calibration. The reference plane to the plane – at the was subsequently shifted a length central plane of the discontinuity. Since the cylindrical post is and . symmetrical about – , in theory, In practice, there was a slight difference due to small imperfections in the experimental setup and, thus, the average of

and , and and was used as the reflection and transmission coefficients, respectively. III. RESULTS Tables I–X present data for the normalized reactances and versus of each post diameter and form at several freis capacitive at most insertion quencies. It can be seen that . Correspondingly, depths, but becomes inductive for large

3158

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

TABLE IV

TABLE VII

2a = 9 mm (2a=d = 0:189)), HOLLOW POST

2a = 12 mm (2a=d = 0:252), HEMISPHERICAL-CAP POST

TABLE VIII TABLE V

2a = 15 mm (2a=d = 0:315), SOLID POST

2a = 12 mm(2a=d = 0:252), SOLID POST

TABLE IX 2a = 15 mm (2a=d = 0:315), HOLLOW POST

TABLE VI 2a = 12 mm (2a=d = 0:252), HOLLOW POST

the posts resonate at a certain length, the resonant length increasing for increasing post diameters. The reactance , for electrically thin posts, varies almost linearly with insertion and is small. However, as the post diameter increases, the variation of with insertion no longer behaves linearly and the reactance becomes significant. Consequently, is often referred to as the “post-thickness reactance,” as in [7]. The hollow post theory in [5] considers the average electric field incident over the post surface, the boundary condition on the post being applied in that sense. This was shown [5] to give

accurate results for the average axial surface current, but neglects the circumferential variation around the post (and, thus, and ). However, for the case of symmetrical excitation, the circumferential variation of the current would be somewhat lessened and it might be expected that the theory in [5] would accurately model that situation. For symmetrical excitation, the equivalent circuit of Fig. 1(b) would reduce to a . single reactance IV. LENGTH ADJUSTMENT FACTORS Fig. 3 presents a graph of for the three post forms considered in this study. It can be seen that the physical differences of

ROELVINK AND WILLIAMSON: REACTANCE OF HOLLOW, SOLID, AND HEMISPHERICAL-CAP CYLINDRICAL POSTS

3159

TABLE X 2a = 15 mm (2a=d = 0:315), HEMISPHERICAL-CAP POST

Fig. 4. Theoretical and experimental results for the variable-length cylindrical post reactance x as a function of `=h. h = 22:15 mm, d = 47:55 mm, e=d = 0:5, 2a = 12 mm, and f = 4:90 GHz. : theoretical results using length adjustment factors and [5].   : experimental results for solid post. : experimental results for hemispherical-cap post. : experimental results for hollow post.

Fig. 3. Experimental results for the variable-length cylindrical post reactance x as a function of `=h. h = 22:15 mm, d = 47:55 mm, e=d = 0:5, 2a = 15 mm, and f = 3:95 GHz   : solid post. : hemispherical-cap post. : hollow post.

the post ends translate to a slight offset in the reactance curves, as postulated. If the hollow post was taken as the reference post, it is evident that the solid post has a reactance that corresponds to an “effective hollow length,” which is longer than its actual length. In the case of a hemispherical-cap post, the “effective hollow length” is less than the physical length measured to the apex. These differences (between the actual length and effective hollow length, i.e., the length of a hollow post yielding the same reactance value) were calculated from the experimental data for several frequencies and the five different post diameters. Essentially the same result was found at each frequency and, accordingly, it was concluded that the adjustment factor was principally only a function of the post radius. It was found that to a very good approximation ( and ), the effective hollow length of solid and hemispherical-cap posts of length are given by (3) (4) This result accurately models the situation shown in Fig. 3, except when the post end is very close to the upper surface of the waveguide, i.e., when approaches and for small inser(in many practions of the hemispherical-cap post, when tical applications, these regions would be avoided and, thus, (3) and (4) are largely sufficient at characterizing the difference in reactance). The above results, i.e., (3) and (4), were deduced from the scattered fields (far fields) of cylindrical posts in a rectangular

waveguide. It is interesting to note that they are in good agreement with previously published results for a different situation. Lee and Mittra [8] and Ting [9] considered the case of a cylindrical antenna in free space driven by a coaxial line, and by comparing the input admittance (which depends on the near fields) of hollow and solid and hollow and hemispherical-cap antennas, and respectively, deduced length adjustment factors of . V. COMPARISON OF THEORETICAL AND EXPERIMENTAL VALUES FOR If the experimentally derived adjustment factors, i.e., (3) and (4), are now applied to the hollow post theory in [5], it should be possible to predict the reactance of solid and hemispherical-cap obtained in this posts. Theoretical results for the reactance manner are shown in Fig. 4 along with the experimental measurements of the three post forms. The accuracy of the length adjustment factors is evident even when the post insertion is quite for large. Furthermore, it is interesting that the results for hollow posts are quite accurate even for the electrically thick posts, up to 32% the waveguide width, that were considered in this study. VI. CONCLUSION An accurate means of measuring the reactance of variablelength cylindrical posts in a rectangular waveguide has been used that could easily be applied to other waveguide discontinuities and cross sections. This has permitted a useful data set to be obtained. Furthermore, experimentally derived length adjustment factors have been presented that relate the reactance of solid and hemispherical-cap posts to that of a hollow cylindrical post in a rectangular waveguide. These factors are applicable to the case of symmetrical excitation and can be used for relatively thick diameter posts, the largest investigated being over 30% of the waveguide width. When combined with a readily available theory for the reactance of hollow cylindrical posts, theoretical results have been obtained that are in excellent agreement with

3160

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

the experimental measurements. The knowledge of these factors is of benefit in the practical design of waveguide filters and microwave tuning networks, providing a method for accurately predicting commonly used, but little analyzed reactive elements. Developing a means of analyzing the case of general excitation is a focus of ongoing research by the authors. ACKNOWLEDGMENT The authors wish to thank Keam Holdem Associates, Auckland, New Zealand, for being the industrial partner of the Technology New Zealand TIF Award, and Dr. R. Keam, Keam Holdem Associates, for several helpful discussions. REFERENCES [1] N. Marcuvitz, Ed., Waveguide Handbook. ser. MIT Rad. Lab. New York: McGraw-Hill, 1951, vol. 10, pp. 271–273. [2] J. Schwinger and D. Saxon, Discontinuities in Waveguides. New York: Gordon and Breach, 1968. [3] Y. Leviatan, P. G. Li, A. T. Adams, and J. Perini, “Single-post inductive obstacle in rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 10, pp. 806–812, Oct. 1983. [4] L. Lewin, Advanced Theory of Waveguides. London, U.K.: Iliffe, 1951. [5] A. G. Williamson, “Variable-length cylindrical post in a rectangular waveguide,” Proc. Inst. Elect. Eng., pt. H, vol. 133, pp. 1–9, 1986. [6] Y. Huang, N. Yang, S. Lin, and R. F. Harrington, “Analysis of a post with arbitrary cross section and height in a rectangular waveguide,” Proc. Inst. Elect. Eng., pt. H, vol. 138, pp. 475–480, 1991. [7] A. G. Williamson, “Analysis and modeling of a coaxial-line/rectangular-waveguide junction,” Proc. Inst. Elect. Eng., pt. H, vol. 129, pp. 262–270, 1982. [8] S. W. Lee and R. Mittra, “Admittance of a solid cylindrical antenna,” Can. J. Phys., vol. 47, pp. 1959–1970, 1969. [9] C. Ting, “Theoretical study of a cylindrical antenna with a hemispherical cap,” IEEE Trans. Antennas Propag., vol. AP-17, no. 6, pp. 715–721, Nov. 1969.

J. Roelvink (S’02) was born in Auckland, New Zealand, in 1981. He received the B.E. degree in electrical and electronic engineering (with first-class honors) from the University of Auckland, Auckland, New Zealand, in 2002, and is currently working towards the Ph.D. degree at the University of Auckland. Since 2002, he has been an Assistant Engineer with Keam Holdem Associates, Auckland, New Zealand, which specializes in industrial microwave and RF heating. Mr. Roelvink was the recipient of a Technology New Zealand Postgraduate Fellowship.

Allan G. Williamson (M’78–SM’83) received the B.E. and Ph.D. degrees in electrical engineering from the University of Auckland, Auckland, New Zealand, in 1970 and 1977, respectively. Following a period with the New Zealand Broadcasting Corporation, he joined the Department of Electrical Engineering, University of Auckland, as a Lecturer in 1975, and became a Senior Lecturer in 1979, and an Associate Professor and Leader of the Radio Systems Group in 1985. In 1988, he became a Professor of telecommunications and is currently Department Head, having previously served as Department Head from 1989 to 1994, and Associate Dean (Research) with the Faculty of Engineering from 2000 to 2002. His early research was concerned with microwave passive devices, while more recently, he has been involved with mobile radio research, and is now involved with issues related to cellular radio, personal communications, and indoor wireless systems. In 1980, he was a Royal Society/Nuffield Foundation Scholar with the University of Birmingham, Edgsbaston, U.K. From 1984 to 1985, he was a Leverhulme Visiting Fellow with the University of Liverpool, Liverpool, U.K. Prof. Williamson is a Fellow of the Institution of Electrical Engineers (IEE), U.K., and a Fellow of the Institution of Professional Engineers New Zealand. He has served as chairman of both the IEEE New Zealand North Section and the IEEE New Zealand Council.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

3161

Insertion Loss Function Synthesis of Maximally Flat Parallel-Coupled Line Bandpass Filters Kuo-Sheng Chin and Jen-Tsai Kuo, Senior Member, IEEE

Abstract—Insertion loss (IL) functions are derived for synthesis of microstrip parallel-coupled line bandpass filters with maximally flat responses. The derivation is performed by successively multimatrices of all coupled stages. Simultaneous plying the equations for determining linewidth and line spacing of the coupled stages are established by total of the filter specification and comparing the IL function with the canonical form. The results are provided for filters of order . Two filters with fractional are synthesized and demonstrated by simbandwidths ulation using a full-wave software package. In addition, two filters % and % are fabricated. Tapped line inputs are with employed to these two circuits since some linewidths or gaps are beyond the fabrication resolution. The measured results show very good agreement with the theoretical responses.

1 = 30% 50 1 = 40

( ) 6

Index Terms—Insertion loss (IL) function, maximally flat -distribution method, response, parallel-coupled line filter, tapped line.

I. INTRODUCTION

T

HE parallel-coupled line filter has been widely used in microwave communication systems for at least three decades [1]–[5]. It is popular since it has an easy synthesis procedure and a wide range of realizable bandwidths (BWs). In a conventional design, approximate synthesis formulas have been well documented for determining dimensions of each coupled stage [4], [5]. One of the key steps is to establish an equivalence of to a two-port network a coupled stage at design frequency consisting of two quarter-wave transmission line sections with an admittance inverter in between [5]. Since the admittance inverter is assumed independent of frequency, the exact equivalence is valid only at . Thus, the formulas are accurate only for bandpass filters (BPFs) with a relative small BW. It is because the coupling coefficient of each stage decreases as frequency moves away from , BPFs synthesized based on the conventional method will have a fractional BW less than specification. The BW decrement deteriorates as filter order or designed BW is increased. As reported in [6], when filter order and , the synthesized circuit has only . When , the realized BWs are only 41% and 38% for and , respectively. For recovering the and of BW decrement, new formulas for determining each coupled stage have been derived in [6] for synthesizing relatively wide-band filters. In this way, the realized BWs can be Manuscript received January 26, 2005, revised April 4, 2005. This work was supported in part by the National Science Council, Taiwan, R.O.C., under Grant NSC 93-2213-E-009-095 and Grant NSC 93-2752-E-009-002-PAE. The authors are with the Department of Communication Engineering, National Chiao Tung University, Hsinchu 300, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855355

Fig. 1.

Layout of an

N th-order parallel-coupled line filter.

greatly improved, but the BW decrement is still not completely is given, the new deresolved. For example, when and , signs still have only 48.2% and 44% for respectively. Some methods have been proposed to design filters with accurate passband responses. In [7], insertion loss (IL) functions are derived for maximally flat filters with short-circuited quarterwave stubs. The distribution method in [8] can provide accurate solutions to filters with narrow and wide BWs. Entire procedure for finding the distribution includes choosing the number matrix, and solving of sections, creating a composite individual admittance values of the resonators. For direct-coupled microwave filters of 2–12 resonant elements having , the theoretical results in [9] have good agreement with computed responses. In this paper, the IL function of a parallel-coupled BPF is derived for synthesizing maximally flat responses. Based on the derived function, simultaneous conditions for determining dimensions of all coupled stages are provided. In the following, . Section II shows the derivation for filters of order Section III presents results of two filters to demonstrate the formulation and synthesis. In realizing two additional relatively wide-band filters, pattern resolution of certain stages exceeds our fabrication limits. Thus, in Section IV, tapped lines are designed to resolve this problem. Measured responses are compared with EM simulation and theoretical predictions. Finally, Section V draws the conclusion. II. IL FUNCTION For the th-order parallel-coupled microstrip filter in Fig. 1, let the generator and load impedances be identical and normalized to unity. Since a maximally flat response is assumed, the circuit layout is symmetric about its center and, when it is charmatrix, holds. It can acterized by a composite be shown that the IL function can be written as [4]

(1) , is order, is power available from source, where is power delivered to load. and

0018-9480/$20.00 © 2005 IEEE

3162

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

are functions of for determining coupled stages.

and . The object of (5) is to find conditions and and, hence, geometries of the

A. First-Order Filters

i

W

G Z

Fig. 2. th coupled stage with linewidth and gap . and its even- and odd-mode characteristic impedances, respectively.

Z

are

When two identical

, the composite matrix is a product of matrices. It can be derived that

Of an th-order filter, the impedance matrix elements of the th coupled stage in Fig. 2 can be derived [5] as (2a)

(6) Comparing (6) with the canonical form (1), we have

(2b) where is its electrical length and and are the characteristic impedances of the even and odd modes, respectively. Here, the even- and odd-mode phase velocities for all coupled matrix can stages are assumed identical. From (2), the be written as (3a)

or

(7)

Substituting (7) into (6) yields the IL function (8) The condition for solving can be obtained by imposing the given 3-dB BW to (8). This will be addressed later. B. Third-Order Filters

(3b) (3c) (3d)

For a third-order filter, , , , and . The composite matrix can be obtained by matrices of the leading two stages, and multiplying the post-multiplying the resulted matrix by itself with indices 1 and 2 being interchanged. The result can be written as

matrix of an th-order filter can be The composite maobtained by successively multiplying the trices as follows:

(9a) (4) where becomes large, the result of (4) can be very tedious and As complicated. If the matrix entries are expressed in terms of , however, the results become much simpler. Substituting (3a) for all stages into (4) yields

(9b) (9c)

(5a) and are in (5b) and (5c), shown where at the bottom of this page. The coefficients of each polynomial

(9d) Matching (9a) with the canonical form (1), we reserve only term, i.e., enforce and , to the

odd

(5b)

even

(5c)

CHIN AND KUO: IL FUNCTION SYNTHESIS OF MAXIMALLY FLAT PARALLEL-COUPLED LINE BPFs

TABLE I MAXIMALLY FLAT CONDITIONS FOR

eliminate the dependence of the IL function on . It leads to the following two conditions:

and

(10a) (10b) Inserting (10b) and (9d) into (9a) yields

(11) is purposely kept in (11) since it is useful in The variable expressing the IL function in a general form. Note that there are four unknowns to be determined by only three equations, i.e., (10a), (10b), and (11) from the given BW. Thus, we have one degree of freedom in choosing the circuit dimensions.

3163

N =16

At the same time, the simultaneous conditions for determining and are listed in Table I. It is found that for each . Note that total number of unknowns for an th-order filter for odd and for even . As shown in Table I, is only conditions are obtained, including the condition is an integer by truncating specified by the BW. Here, . It can be seen that number of equations is less than that of . For example, when , eight variunknowns when ables have to be found for four of seven coupled stages. Three free dimensions exist since these variables are specified by only five equations. This under-determined feature is very helpful for circuit realization since both linewidth and gap size of coupled microstrips have resolution limits in fabrication. This will be discussed in Section IV. D.

Condition and the 3-dB BW

For a maximally flat filter, the total related by

C. IL Function of a Filter of Order For an th-order filter, the IL function can be derived in a similar fashion. The simultaneous equations for solving and are obtained by saving the term and enforcing coefficients for all other terms to zero. It is found that a general expression exists for the IL functions of order

and 3-dB BW is

(13) where is the design frequency, and cutoff frequencies specified by

and

are the 3-dB

(14)

(12a) Thus, the electrical length

can be written in terms of

as

(12b) (15)

3164

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 4. with

Possible roots for S and T with respect to T for a third-order filter

1 = 30% and 1 = 50%.

Fig. 3.

f

Calculated maximally flat responses for

= 5:8 GHz.

and

N = 1; 3; and 5. 1 = 50%,

in (12) can be derived as (16)

condition herein. For demonstration, based This is called the on (12) and (16), Fig. 3 plots the calculated maximally flat reand with and GHz. sponses for The inserted frame shows the detailed passband performance. The synthesis method can be applied to Chebyshev filters as , the expressions of , , and in (9) well. When are then specified by constants associated with the ripple level. Detailed results will be reported later in another form. III. TWO EXAMPLES A third-order filter and a sixth-order filter are synthesized at GHz with for validating the formulation. Simulated results via IE3D1 are presented for both circuits, while measurements are further performed for filter . , the condition (16) gives 1) Filter : When (17) where (10a) is used and, from (15), (10b) into (17) yields

= 50

and

90 .

Z

of the first and second stages of filter



rad. Inserting

(18) There is one degree of freedom in finding the solution. Fig. 4 plots the solutions of and for ranging from 0.9 to 1.3. Referring to (3c) and (3d), we have and . Obviously, not all roots shown in Fig. 4 are realizable using the standard microstrip technology. and depend on structural parameters, and Realizable is the dominant factor. Suppose that the filters are obviously designed on a substrate with and thickness 1IE3D

Fig. 5. Root loci for Z when Z and

Simulator, Zeland Software Inc., Freemont, CA, Jan. 1997.

mm. According to resolution of our fabrication facilities, and must be no less than 0.1. When and 90 , and for the first and second stages are plotted together with the design graph in Fig. 5. As is increased, values , , and increase, while that of decreases. of is used, the gap size for stage 1 will be no If larger than 0.1. If both stages are required to have , , the value of must be between 1.03 and for , , 1.06. Therefore, the solution is chosen as , and . The corresponding modal characteristic impedances are listed in Table II. Fig. 6 shows the theoretical and measured results of filter . to Quarter-wave transformers are used to match 50 at the input and output ports. In Fig. 6, the curve denoted by “theoretical” is obtained by (11), and those by “present” and

CHIN AND KUO: IL FUNCTION SYNTHESIS OF MAXIMALLY FLAT PARALLEL-COUPLED LINE BPFs

3165

TABLE II CHOSEN SOLUTIONS AND MODAL CHARACTERISTIC IMPEDANCES OF EACH COUPLED STAGE OF FILTERS AND

Fig. 7. for S

(

Root loci of S , T , S , and T for a sixth-order filter with : ; : ; : ; : ; and : ; : .

;T

) = (0 49 0 28) (0 96 0 51)

(1 54 0 61)

1 = 30%

the measured “present” filter has , which is very close to the design. The filter based on the conventional method , mainly due to the use of frequency-inde[4], [5] has pendent J-inverters for the coupled line stages. This is consistent with the results reported in [6]. Fig. 6(b) presents a photograph of filter . condition is 2) Filter : For a sixth-order filter, the

(19)

Fig. 6. (a) Theoretical and measured responses of filter . (b) Photograph of : GHz, N , . Circuit dimensions: the fabricated circuit. f W : mm, G : mm, W : mm, G : mm. The : , linewidth of the quarter-wave transformer is 0.8 mm. Substrate: " thickness : mm.

= 0 24 = 1 27

=58 = 0 15

= 3 1 = 30% = 1 45 = 0 13

= 10 2

“conventional” are measured responses of filters synthesized by the present method and the conventional method [5], respectively. The “present” response matches with the “theoretical” maximally flat response very well. The excess poles of could result from the unequal even- and odd-mode phase velocities of the microstrip coupled stages. Detailed data show that

There are three degrees of freedom for choosing the solutions. We take , , and as sweep variables in solving the simultaneous equations. If solutions with tough structural parameters ranges from 0.49 to 1.54 and are removed, the rest of from 0.28 to 0.61 for . Three sets of solutions with and are plotted in Fig. 7. Based on the design graph in Fig. 5 and , we choose a solution for filter for validating the circuit synthesis. As shown in Fig. 8, the simulation results match very well with the theoretical prediction. The simulated response has a BW of 30.3%, i.e., only 0.3% away from the specification. The characteristic impedances for each coupled stage are listed in Table II and detailed dimensions are in the figure caption. mm and mm, Since some gap sizes, e.g., are far beyond the best resolution of our fabrication facilities, only simulation responses are provided. IV. IMPLEMENTATION USING TAPPED INPUT/OUTPUT In many cases, such as in Fig. 8, linewidths or gaps are too small to fabricate, even the degrees of freedom in choosing the solution are fully utilized. This situation becomes more severe when order or BW is increased. Fortunately, the tapped input/output [10], [11] can be used to resolve this problem. Theoretically, the tapping structure can realize a very wide range of the coupling coefficients. Thus, the criterion for choosing the

3166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

TABLE III CHOSEN ROOTS AND MODAL IMPEDANCES OF THE EXPERIMENTAL FILTERS WITH TAPPED INPUTS

TWO

=58 = = 0 06 = = 0 47 = 0 55

Fig. 8. Simulation and theoretical responses of filter . f : GHz, N , . Circuit dimensions: W : mm, G : mm, W : mm, W : mm, G : mm, W : mm, : mm, G : mm. G

6 1 = 30% = 0 02 1 27 = 0 78

= 0 92

= 0 24

Fig. 9. Tapped line treated as a two-port network.

Fig. 10.

Comparison of jS

j

responses of tapped lines and coupled stages.

solution becomes to release dimensions of middle stages and locate the difficulties to the end stages as much as possible. Since the derivation of the IL function (12) is based on a cascade of coupled stages, we have to establish the equivalence between a tapped resonator and a coupled stage. For the tapped structure in Fig. 9, let be the distance between the tap be its characteristic point and one end of the resonator and impedance. It can be shown that its impedance matrix elements can be written as (20a) (20b)

Fig. 11. (a) Theoretical, simulated, and measured responses of filter  . f = 5:8 GHz, N = 3, and 1 = 50%. (b) Photograph of the fabricated circuit. Circuit dimensions: W = 2 mm, W = 0:54 mm, G = 0:23 mm.

(20c) At the same time, the matrix elements of a coupled line stage are in (2). The equivalence of these two two-ports can be estab, , and lished by letting . The equivalence is, however, valid only for a finite frequency band. Fig. 10 investigates the performance of the equivalence. Two coupled stages with and are studied. The sum of and is 100 since and is expected. In Fig. 10, both

CHIN AND KUO: IL FUNCTION SYNTHESIS OF MAXIMALLY FLAT PARALLEL-COUPLED LINE BPFs

Fig. 12. Possible roots for the second and third coupled stages of a fifth-order . parallel-coupled line filter with

1 = 40%

3167

line structures will be employed to the following two experimental filters. and 1) Filter : This filter is designed to have . Based on (15), rad, the and soluvarying from 1.1 to 1.6 are shown in Fig. 4. For tions for realization, the chosen roots and modal characteristic imped. A tapped resances are listed in Table III with onator with is used to replace the end stages and . Fig. 11(a) plots with the theoretical, simulated, and measured responses. They have very good agreement within the passband. Detailed data show that the BWs of the simulated and measured results have only 0.5% and 0.5%, respectively, away from the theory. The measured midband IL is approximately 0.35 dB. A photograph of the fabricated filter is shown in Fig. 11(b). Note that the line gap 0.23 mm is much easier to realize than the 0.13-mm gap of filter . Thus, as compared with filter , there are at least two advantages incorporating the tapped input/output into the design. One is that it greatly releases the tough circuit dimensions even though the BW is increased from 30% to 50%, and the other is . that the impedance transformer can be saved since 2) Filter : The second experiment is a fifth-order filter with . Fig. 12 plots the filtered roots with rad. The end stages, with and , are . Fig. 13(a) replaced with a tapped resonator with plots the theoretical, simulation, and measured results. All of them show good agreement. The measured midband IL is 0.5 dB. The BW of the measured response has approximately 1% less than the theoretical calculation by (12b). The required minimal gap of this filter is 0.22 mm. If a coupled stage is used instead, the required line gap will be less than 0.01 mm. Fig. 13(b) shows the photograph of the experiment circuit. V. CONCLUSIONS Parallel coupled line filters with maximally flat responses of are synthesized based on derived IL functions. order Simultaneous equations for maximally flat responses and the condition are formulated for determining and of each coupled stage. The under-determined conditions leave several degrees of freedom in choosing the circuit dimensions. By properly utilizing these degrees of freedom, the problem resulted from the tight coupled line dimensions can be resolved by gathering all difficulties to the end stages and employing tapped input/output to replace the end stages. Four circuits are simulated and three of them are fabricated and measured to demonstrate the formulation and circuit synthesis. The measured results manifest very accurate BWs and show that the proposed method not only provide a significant improvement in predicting the filter BW, but also preserve the quality of passband responses.

= =

Fig. 13. (a) Theoretical, simulated, and measured responses of filter . f : GHz, N , and . (b) Photograph of the fabricated circuit. : mm, W : mm, W : mm, G Circuit dimensions: W : mm, G : mm.

58 0 22

= 5 1 = 40% =17 = 0 22

= 0 58

=12

cases have a maximal deviation less than 0.08 and 0.34 dB within a BW of 50% and 100%, respectively. These two tapped

REFERENCES [1] S. B. Cohn, “Parallel-coupled transmission-line resonator filters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 223–231, Apr. 1958. [2] L. Zhu, W. Menzel, K. Wu, and F. Boegelsack, “Theoretical characterization and experimental verification of a novel compact broad-band microstrip bandpass filter,” in Proc. Asia–Pacific Microwave Conf., 2001, pp. 625–628.

3168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

[3] C.-Y. Chang and T. Itoh, “A modified parallel-coupled filter structure that improves the upper stopband rejection and response symmetry,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 2, pp. 310–314, Feb. 1991. [4] G. L. Matthaei, L. Young, and E. M. T. Johns, Microwave Filters, Impedance Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [5] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [6] K.-S. Chin, L.-Y. Lin, and J.-T. Kuo, “New formulas for synthesizing microstrip bandpass filters with relatively wide bandwidths,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 231–233, May 2004. [7] W. W. Mumford, “Tables of stub admittances for maximally flat filters using shorted quarter-wave stubs,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 9, pp. 695–696, Sep. 1965. [8] J. M. Drozd and W. T. Joines, “Maximally flat quarter-wavelength-coupled transmission-line filters using distribution,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2100–2113, Dec. 1997. [9] R. Levy, “Theory of direct coupled cavity filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-15, no. 6, pp. 340–348, Jun. 1967. [10] E. G. Cristal, “Tapped-line coupled transmission lines with applications to interdigital and combline filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 12, pp. 1007–1012, Dec. 1975. [11] J. S. Wong, “Microstrip tapped-line filter design,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 1, pp. 44–50, Jan. 1979.

Q

Kuo-Sheng Chin received the M.S.E.E. degree from Syracuse University, Syracuse, NY, in 1993, and is currently working toward the Ph.D. degree in communication engineering at the National Chiao Tung University (NCTU), Taiwan, R.O.C. Since 1986, he has been with the Chung Shan Institute of Science and Technology (CSIST), Taoyuan, R.O.C., and has been involved with radomes and antennas research. He is currently a Senior Scientist with CSIST. His main research interests are microwave measurements and microwave circuit design.

Jen-Tsai Kuo (S’88–M’92–SM’04) received the Ph.D. degree from the Institute of Electronics, National Chiao Tung University (NCTU), Taiwan, R.O.C., in 1992. Since 1984, he has been with the Department of Communication Engineering, NCTU, as a Lecturer in both the Microwave and Communication Electronics Laboratories. During 1995 academic year, he was a Visiting Scholar with the University of California at Los Angeles (UCLA). He is currently a Professor with the Department of Communication Engineering, NCTU, and serves as the Chairman of the Degree Program of the Electrical Engineering and Computer Science (EECS) College, NCTU. His research interests include the analysis and design of high-frequency electronics and microwave circuits, high-speed interconnects and packages, field-theoretical studies of guided waves, and numerical techniques in electromagnetics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

3169

Mode-Matching Analysis of a Shielded Rectangular Dielectric-Rod Waveguide Colin G. Wells, Student Member, IEEE, and James A. R. Ball, Member, IEEE

Abstract—Rectangular cross-sectional dielectric waveguides are widely used at millimeter wavelengths. In addition, shielded dielectric resonators having a square cross section are often used as filter elements; however, there is almost no information available on the effect of the shield. Rectangular or square dielectric waveguide is notoriously difficult to analyze because of the singular behavior of the fields at the corners. Most published analyses are for materials with a low dielectric constant, and do not include the effects of a shield. This paper describes a numerically efficient mode-matching method for the analysis of shielded dielectric-rod waveguide, which is applicable to both low and high dielectric-constant materials. The effect of the shield on the propagation behavior is studied. The shield dimensions may be selected such that the shield has a negligible effect so that results can be compared with free-space data. The results are verified by comparison with several sets of published data, and have been confirmed by measurement for a nominal of 37.4. Index Terms—Dielectric resonators, dielectric waveguides, electromagnetic propagation in nonhomogeneous media, mode-matching methods, shielding.

I. INTRODUCTION

D

IELECTRIC waveguides are an attractive alternative to metal waveguides at millimeter-wave frequencies due to their lower propagation loss, lower cost, and easier fabrication [1]. Rectangular dielectric waveguides form a large proportion of these and have uses in integrated optics and millimeter-wave integrated circuits and transmission lines. However, there has always been difficulty obtaining accurate propagation coefficients for the various modes on these structures. There is no closed-form solution to the problem [1] and the methods used either rely on approximations, as in the procedure originated by Marcatili [2] and improved by Knox and Toulios [3], or are numerical in nature. The main numerical techniques range from the circular harmonic analysis of Goell [4], finite-element [5] and finite-difference [6] procedures, to mode matching. Mode-matching methods have been applied to the dielectric image line by Solbach and Wolff [7], and to the homogeneous inverted strip guide by Mittra et al. [8]. The latter used a similar procedure to [7], with the mode-matching techniques developed by Mittra and Lee [9]. In a very comprehensive paper, Strube and Arndt [10] have applied the method of Solbach and Wolff to the shielded dielectric image line. The first part of their paper used this procedure, together with the inclusion of an extra Manuscript received February 8, 2005; revised April 13, 2005. The work of C. G. Wells was supported by the University of Southern Queensland under a scholarship. The authors are with the Department of Engineering, University of Southern Queensland, Toowoomba, Qld., Australia. Digital Object Identifier 10.1109/TMTT.2005.855148

Fig. 1. Rectangular dielectric line and shield.

electric wall, to analyze propagation on infinite shielded image guide. As well as propagating and evanescent modes, complex modes and backward waves were identified and thoroughly investigated. Complex modes can only exist in pairs having complex conjugate propagation coefficients, and couple so that the total power flow is always reactive. A backward wave is one in which the power flows in the opposite direction to the wavefronts. The second part of their paper finds the scattering matrix of a transition from shielded dielectric image guide to rectangular waveguide, by matching the tangential fields at the interresults were face. A comparison of measured and calculated used to verify the method. The results obtained by Strube and Arndt correspond to those modes that can exist in the dielectric-rod waveguide shown in Fig. 1 when the -axis is an electric wall. However, these do not include some of the dominant modes, for which the -axis is a magnetic wall. To obtain the full set of modes for this waveguide, it is necessary to consider all four types of symmetry. An analysis of complex and backward waves in an inhomogeneously filled waveguide has been carried out by Omar and Schunemann [11]. A method to predict the presence of complex modes in inhomogeneous lossless dielectric waveguide can be found in [12]. An alternative mode-matching (boundary element) method for the shielded dielectric-rod waveguide, incorporating dyadic Green’s functions, was developed by Collin [13] and Collin and Ksienski [14]. A problem with numerical solutions is that they can suffer from slow convergence due to divergence of the electric field at the corners of the dielectric where the refractive index changes abruptly [15]. However, for most purposes, sufficient accuracy can still be obtained for a relatively small number of basis functions. In a typical situation, the permittivity of the dielectric will (usually air) so that be higher than the surrounding medium the electromagnetic fields will be concentrated in the dielectric line, and the proportion outside it will decay away exponentially.

0018-9480/$20.00 © 2005 IEEE

3170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

. The outer shield will be considered as a perfect permittivity electric conductor (electric wall). The bottom and left-hand-side (LHS) symmetry planes, coincident with the - and -axes, may be either electric or magnetic walls. The selection of wall types will determine the types of symmetry that can exist in the structure. In this paper, as in [8] and [10], a modification of the modematching method of Solbach and Wolff [7] will be used so that the effect of the proximity of the shield to the dielectric can be ascertained. However, to provide calculation of all modes possible in this structure, additional basis functions to cater for the full range of symmetries (see Section II-A) have had to be provided. This variation will be called the modified Solbach and Wolff (MSW) method through the remainder of this paper. A. Basis Functions Fig. 2. One-quarter of the rectangular dielectric line with a shield, showing mode-matching regions.

The ability of a high-permittivity material to contain and concentrate the fields, together with the availability of high- temperature stable materials, has led to the development of the dielectric resonator as a filter element. In filter applications, the dielectric resonators are often enclosed in metallic shields or cavities to prevent unwanted coupling, as shown in Fig. 1. Cavity filters incorporating dielectric resonators are widely used in mobile base stations and other demanding applications. Traditionally, many of these have used cylindrical resonators. Designers have sought to reduce the size of these filters by using multiple mode cavities. This has led to increased interest in resonators that have a square cross section, and also in cubical resonators. Dielectric filter cavities may be analyzed using the methods developed by Zaki and Atia. The propagation characteristics of an infinite cylindrical waveguide containing a dielectric rod were first established. A cylindrical cavity was then modeled as a length of this guiding structure, terminated in short lengths of empty waveguide [16]. In a later paper, this was extended to cylindrical dielectric resonators in rectangular waveguide and cavities [17]. This paper represents the first step in a similar study of the shielded square section dielectric resonator. II. ANALYSIS USING THE MODE-MATCHING METHOD An advantage of a mode-matching method is that it has relatively good processing speed due to its semianalytical nature. It also allows visualization of the fields in the structure by solving for the unknown coefficients of the basis function equations. Another advantage is that it can be used with reasonably high ). values of permittivity ([10] show results as high as The other numerical methods cited, with the exception of the finite-difference method of Schwieg and Bridges [6], have only . been applied to relatively low values Due to the symmetrical nature of the shielded dielectric waveguide, only one-quarter of the structure needs to be analyzed. Fig. 2 shows how the cross section is divided into three regions. Regions and surround the dielectric rod and are filled with , which, in this paper, will be cona medium of permittivity . Region is the dielectric rod with sidered to be air

The modes that can propagate in a shielded rectangular dielectric-rod waveguide are all hybrid modes, i.e., they always have field variation along either the horizontal or vertical dielectric-rod boundaries and, thus, have both electric- and magnetic-field components in the longitudinal direction [18]. In each of the regions in Fig. 2, the field patterns for these modes can be built up from superpositions of appropriate basis functions, which are transverse magnetic or transverse electric with respect (electric) and to the -direction. These will be designated (magnetic), respectively, and are indicated by subscripts and . The cross section has two axes of symmetry, which means there are four possible symmetries. In this paper, these will be -field component, classified according to the behavior of the following Schweig and Bridges [6]. For example, superscript will indicate that is an even function of and an odd function of . It is most efficient to derive the basis function fields from vector potentials. From Balanis [19], the magnetic vector powave propagating in the -direction in a nontential for a magnetic region with rectangular boundaries is of the form

(1) The longitudinal-field components can then be obtained from (2) (3) and will have From these expressions, it can be seen that opposite types of symmetry. The longitudinal electric field will be as follows:

(4) Using the boundary conditions imposed by the shield, approcan be selected in each region and for priate equations for

WELLS AND BALL: MODE-MATCHING ANALYSIS OF SHIELDED RECTANGULAR DIELECTRIC-ROD WAVEGUIDE

each symmetry. For the case of , an even function of odd function of ( ), these are as follows:

and

3171

and from this (10)

and also (11) Substituting (10) into (11) gives the transcendental equation (12)

(5) where

The wavenumbers can then be obtained from numerical solutions of (12) after substitution of the relation where (13) which is derived using tions of (6). Similarly, for the

and the region II equamodes,

(6) (14) and is the mode number in region II. From (2) and (5), the resultant magnetic vector potential equations for the shielded dielectric waveguide are then

and the transcendental equation for the wavenumbers becomes (15) The equations for the other symmetries of the basis functions can be similarly derived.

and

(7) B. Mode Matching at the Boundary Between Regions basis functions for From (7), the other components of the each region can be derived using the partial differential equations from Balanis [19]. Similarly, the electric vector potential equations for a mode propagating in the -direction are found to be

and must be continuous at the The parallel fields mode-matching boundary between regions I and II ( , ). In the case of the electric field, this leads to the equations

(8) (16) The other components of the basis functions can again be found using the partial differential equations from Balanis [19]. , Continuity of the transverse fields at the boundary between regions and must also be taken into account so that wavenumbers and can be found. to allow for At this boundary, wavenumbers , odd symmetry, and continuity of phase. Then, for even modes, (9)

For continuity of the magnetic fields,

(17)

3172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

where

is the right-hand side (RHS) of (10) and (14) for the and modes, respectively, and is used to reduce the number of unknown coefficients. The above pair constitute a doubly infinite set of linear equations for the modal coefficients and . To simplify these equations, and to expand their number to equal the number of unknowns, the electric and magnetic fields in region I were used as testing functions. Only the -dependent factors are required, and these have been desigand , respectively. The following orthogonated nality relations are required:

For this odd case, the subscripts and are odd integers only and are equivalent in number to the number of basis funccase, there will be a total of tions used . For the even even integers (including zero). In abbreviated form, the matrix equations can be written as (20) The magnetic field equations are similar, and in abbreviated form are (21) C. Propagation Coefficient and Unknown Mode Coefficients of the Structure

(18)

A homogeneous system of equations may be formed from (20) and (21) as follows:

where and are the indices used to find wavenumbers or [as in (6)] for each mode number in region I. That is, (16) and (17) are multiplied by or testing functions or from region I, respectively, and integrated at , as per Mittra et over the interval al. [8]. The infinite set of equations so formed is reduced by truncating the number of basis functions used to a value that can be practically computed and will give a desired accuracy in the solution. The maximum values of the mode indices and are and , respectively. An equal number of basis functions in both regions I and II to alleviate any were used problems with relative convergence [20], [21]. In matrix form, symmetry are the equations using the electric field and odd

(22)

for

.. . .. .

The eigenvalues of (22) are the propagation coefficients of the modes of the structure. These modes can be propagating, evanescent, complex, or backward wave types and are found by substituting a range of propagation coefficient values into the equations and finding those values for which the determinant is zero. To determine that the propagation coefficients found are physically sensible, and also to find the type of mode each represents, it is essential to calculate the unknown coefficients and plot the field patterns. A selected coefficient is chosen as unity or some appropriate factor. In this paper, the coefficient chosen and, thus, is that of the first TM mode in region II (electric) to the associated matrix element values are (magnetic), as shown. Consequently, the coefficients are reduced by one to and the and matrices are reduced and . Hence, (22) can be written as by a column to electric .. . magnetic

.. .

(23)

.. . (19)

.. . diagonal The sub-matrices of the LHS of (19) are matrices, the elements of which are the result of (18). The zero component being zero for sub-matrices are the result of the . The elements of the sub-matrices of the RHS of (19) are coupling integrals of the form

The system of (23) has more equations than unknowns (i.e., overdetermined), but can still be solved for the normalized values of the unknown coefficients by the use of the MATLAB operator “ .” This function gives a least squares solution for these truncated equations and, thus, produces a best fit result [22]. It was found that there is a limit to the number modes that can be used in the system of equations before ill conditioning occurs in the form of rank deficiency (MATLAB gives a warning if the matrix is rank deficient). This is due to the very large numbers that occur when trigonometric functions are evaluated for imaginary arguments. In effect, the computer runs out of

WELLS AND BALL: MODE-MATCHING ANALYSIS OF SHIELDED RECTANGULAR DIELECTRIC-ROD WAVEGUIDE

3173

sufficient decimal places to accurately cover the range of the size of numbers in the equation matrix. However, the number of modes required for sufficient accuracy is well below this limit. Once the coefficients are found, they can then be substituted into the field equations so that the field components can be determined from the sum of the basis functions at a number of spatial grid points, and the resultant field in the structure can be plotted as a superposition of all the components. III. DISCUSSION AND COMPARISON OF RESULTS WITH OTHER METHODS To confirm the validity of this method, the propagation coefficients were calculated for a number of frequency ranges and permittivity values, and compared to the results from other methods. All of the calculations and measurements reported here are for a square cross section, i.e., a square dielectric rod symmetrically located within a square shield. The structure for will be characterized by the aspect ratio for the shield. In the the dielectric rod and following, the normalization applied by Schwieg and Bridges [6] will be used, where and are the normalized frequency and propagation coefficient, respectively:

Fig. 3. Comparison of the convergence properties of the Goell [4] and MSW methods when used with a square cross-sectional dielectric-rod waveguide (" = 37:4) in free space where B is the normalized propagation coefficient.

(24) The method described in this paper gives the propagation coefficients of the possible modes for each symmetry used. The designations of the modes on the dielectric line in this paper is the same as that used by Marcatili, Goell, and others. Modes or , where or denotes the diwill be identified as and rection of polarization of the main electric field, and are the number of maxima in the - and -directions over the -plane of the dielectric. Fig. 4.

A. Comparison of Method Convergence Properties A comparison of the convergence properties of Goell’s method and the MSW versus the number of basis functions used is shown in Fig. 3. The dielectric rod was square with and, in free space, with a normalized fre. The square shield dimension ratio in the quency of , which is of sufficient distance MSW method had from the dielectric (see Fig. 4) so as to be a good approximation and of free space. As can be seen, only approximately 7 basis functions are required for good convergence. At 7 and 11 basis functions, the MSW method and 11 Goell’s results are within 1%. B. Effect on the Propagation Coefficient of the Proximity of the Shield to the Dielectric Rod The effect of the proximity of the shield on the propagaand tion coefficients of the first few modes to propagate ( ) is shown in Fig. 4. It can be seen that, for a shield , the shield has to dielectric dimension ratio value only a small effect on the propagation coefficient. These results

Effect of the proximity of the shield on , "

6 mm, frequency = 3:5 GHz.

= 37:4, a

=

b

=

are verified by considering the situation where the shield size . In both cases, approaches that of the dielectric the propagation coefficients found using the MSW method approached those calculated for dielectric-filled rectangular waveguide, as shown in this figure. C. Comparison of Methods Used for Calculation of the Rod Propagation Coefficient in Free Space and , With the dielectric in free space, Fig. 5 shows the propagation coefficients of the first modes to to . propagate for normalized frequencies from is used in the MSW To simulate a free-space situation, program. One can see that there is good agreement with the free-space method of Goell. Some differences at low frequencies are due to the effect of the use of the shield in the MSW proand are degenerate, while and gram. Modes are degenerate and coupled (discussed later in Section III-F).

3174

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 5. Comparison of the calculation methods of MSW and Goell [4] for a square cross-sectional dielectric-rod waveguide in free space where B and V are the normalized propagation coefficient and frequency, respectively (" = 13:1).

Fig. 7. Mode diagram for the first few modes to propagate in a shielded dielectric-rod waveguide plus some of the associated complex modes, = 6 mm), evanescent modes, and backward waves. SR = 1(a = 37:13. The modes are labeled SDR = 2(a = 12 mm), and " with their associated symmetry in parentheses.

shield is 24-mm square . This figure shows differences from that of the dielectric image line reported by Strube and Arndt [10]. The MSW method (for a shielded dielectric-rod and ) reveals a coupled waveguide with mode, which is dominant in this structure, and also mode is associated with a degenerate . The and the modes and their associated higher order modes do not occur in the dielectric image line and the studies of this structure in [7] and [10] use only a combination of and symmetry. Some of the complex, evanescent, and backward wave modes for the shielded dielectric rod, mentioned in Section II-C, are also shown in Fig. 7. The symmetry associated with each mode is shown in parentheses. F. Field Patterns of the First Few Modes to Propagate on the Shielded Dielectric-Rod Waveguide Fig. 6. Comparison of the calculation methods of MSW, the boundary-element method of Collin [13], and the finite-difference method of Schweig and Bridges for a shielded square cross-sectional dielectric-rod waveguide SDR = 1:87 and " = 2:22, where B and V are the normalized propagation coefficient and frequency, respectively.

D. Comparison of Methods for Calculation of the Shielded Dielectric-Rod Propagation Coefficient The MSW method with symmetry shows good agreement with propagation coefficients obtained by Collin [13] and Collin and Ksienski [14] using a boundary-element method and the finite-difference method of Schweig and Bridges. These results , , , are shown in Fig. 6. The mode is . and E. Propagation Coefficient Verses Frequency Mode Diagram of the Shielded Dielectric-Rod Waveguide The propagation coefficient verses frequency-mode diagram, of the first few modes to propagate, is shown in Fig. 7. The , the 12-mm square dielectric has a permittivity

The transverse electric and magnetic fields of the mode, symin one-quarter of the structure, and determined from metry, are shown in Fig. 8. The calculated propagation coeffiat 3.5 GHz. The modes have cient is symmetry. In a square cross section, using the same parameters, these are degenerate, as they have the same propagation coef. The resultant field plot is a superposition of ficient both modes, and is shown in Fig. 9. These modes are coupled together, as described by Goell [4], such that their propagation coefficients remain locked together for a range of cross-sectional is someaspect ratios. The coupled modes separate when what greater or less than 1 depending on the frequency. For ex( mm, ample if, for this same structure, mm) is used, the mode is now uncoupled and is found to . The transverse have a propagation coefficient of electric-field pattern of the mode is shown in Fig. 10. The mode was found not to propagate. If ( mm, mm) is used instead, the roles of the modes are reversed. modes are The same type of situations occur when the produced with symmetry.

WELLS AND BALL: MODE-MATCHING ANALYSIS OF SHIELDED RECTANGULAR DIELECTRIC-ROD WAVEGUIDE

Fig. 8. Plot of the transverse electric and magnetic fields (" the E mode from the MSW method and oe symmetry.

3175

= 37:13) of

Fig. 11. Setup for an S

measurement of the shielded dielectric waveguide.

can be shown effectively at the same time as the larger intensity field of the air region. IV. MEASUREMENT TECHNIQUE

Fig. 9. Plot of the transverse electric and magnetic fields (" = 37:13) of the coupled E and E modes with dielectric aspect ratio DR = 1, oo symmetry. NB: electric-field intensity in the dielectric X 5.

Apart from the boundary-element method results of Collin mentioned in Section III, there does not appear to be any published results on the specific effects of the shield on the propagation coefficient of the structure described in this paper. Therefore, to verify the method when the shield is close to the dielectric, a measurement approach was devised whereby the propagation coefficient could be calculated from the measured reflecof the structure. A length ( ) of a shielded tion coefficient square cross-sectional dielectric rod was fitted with end plates, and a connector and probe were installed midway to allow measurement by a vector network analyzer (see Fig. 11). To provide a situation where there would be a sufficient effect from mm and the shield, dielectric dimensions of mm where chosen. The dielectric used was 153.3-mm long and had a nominal relative permittivity of 37.4 1. This structure behaves as a resonant cavity and the resonant frequencies produced are related to multiple half-wavelengths between the plates and can be measured at magnitude data. The propagation minimum points in the coefficient at these points can then be calculated from (25)

Fig. 10. Plot of the electric field (" = 37:13) of the E mode with dielectric aspect ratio DR = 1:33, oo symmetry. NB: field intensity in the dielectric X 10.

It is interesting to note that the transverse-field patterns of and Figs. 8 and 9 in the dielectric rod are not unlike the modes in dielectric-filled rectangular waveguide, respectively, as was indicated in Section III-B. The electric-field intensities in the dielectric in Figs. 9 and 10 have been artificially increased by factors of 10 and 5, respectively in the plots. This is so that field patterns in the dielectric

where is the number of multiple half-wavelengths of the resonant modes that can exist in the shielded dielectric-rod waveguide, and is the distance between the planes. These propacan then be compared to calgation coefficient values culated values from the MSW method at the measured resonant frequencies. V. COMPARISON OF CALCULATED AND MEASURED RESULTS A plot of calculated propagation coefficient over a frequency range that covers the first few modes to propagate is shown in modes are dominant, and the Fig. 12. The coupled degenerate modes and , which are normally dominant in the free-space situation, are found to be cut off at just below 2.9 GHz.

3176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 12. Calculated propagation coefficient values for the first few modes to propagate, shield dimension ratio SDR = 1:5. The modes are labeled with their associated symmetry in parentheses.

Fig. 13.

S

magnitude data for the frequency range from 2.0 to 3.6 GHz.

With this frequency range applied to the test setup of Fig. 11, data produced is as shown in Fig. 13. It was found that the the frequencies at the resonant dips shown were within 1% of coupled and calculated resonant frequencies for the , degenerate modes. The mode did not couple for the mode to the measurement probe, nor did and for the , modes. It can be seen that some of the resonant dips associated with and are in pairs and some are not. Some of these are too small to be seen due to the scale of Fig. 13. The pairing indicates that these modes are not quite degenerate in the test unit due to some asymmetry in its dimensions. In these cases, the measurement frequency was averaged. Where only a single or resonant dip was measured, it appears that either the mode did not couple sufficiently to the probe to be visible or they overlap. Fig. 13 also shows that there are no resonances below 2.9 GHz and modes and, thus, the coupled for the mode is truly dominant.

Fig. 14. Comparison of (N ) propagation coefficients, at the measured resonant frequencies, and calculated propagation coefficients for the E or E mode.

Fig. 15. Comparison of (N ) propagation coefficients, at the measured resonant frequencies, and calculated propagation coefficients for the E =E coupled mode.

The propagation coefficients, calculated from (25) at the measured frequencies for and , are compared against MSW calculated values in Fig. 14. An estimated permittivity of the was used. The extremes of the permitdielectric of tivity tolerance for this dielectric are also shown in Fig. 14. and The measured propagation coefficient values for the modes are within 2% of the MSW values above 3 GHz. Similarly, the measured frequency propagation values for the coupled mode are also within 2%, as shown in Fig. 15. VI. CONCLUSION A rigorous method for the computation of the propagation coefficients and field patterns of the fundamental modes in a shielded rectangular dielectric-rod waveguide has been presented. The method, based on that of Solbach and Wolff gives closely comparable results to that of Goell in free space and the boundary-element method of Collin, and has been verified by

WELLS AND BALL: MODE-MATCHING ANALYSIS OF SHIELDED RECTANGULAR DIELECTRIC-ROD WAVEGUIDE

experiment for the case of a shield close to a dielectric of high permittivity. The method also reveals that when the dielectric coupled mode exists. The is shielded, a dominant method allows the effect of shield proximity to be assessed and, thus, has application to the design of cavity filters incorporating rectangular parallelepiped or cubic dielectric resonators. It is easily extended to include calculation of both dielectric losses and conductor losses in the shield wall. This will be the subject of a future paper. This study could also be extended to the calculation of the resonant frequencies of fundamental-mode cubic dielectric-loaded cavity resonators. ACKNOWLEDGMENT The prototype dielectric shielded line was manufactured by C. Galligan, Mechanical Engineering Workshop, University of Southern Queensland (USQ), Toowoomba, Qld., Australia. REFERENCES [1] D. Lioubtchenko, S. Tretyakov, and S. Dudorov, Millimeter-Wave Waveguides. Boston, MA: Kluwer, 2003. [2] E. A. J. Marcatili, “Dielectric rectangular waveguide and directional coupler for integrated optics,” Bell Syst. Tech. J., vol. 48, no. 9, pp. 2071–2102, Sep. 1969. [3] R. M. Knox and P. P. Toulios, “Integrated circuit for millimeter through optical frequency range,” in Proceedings of the MRI Symposium on Submillimeter Wave, J. Fox, Ed. Brooklyn, NY: Polytech. Press, 1970, pp. 497–516. [4] J. E. Goell, “A circular-harmonic computer analysis of rectangular dielectric waveguides,” Bell Syst. Tech. J., vol. 48, no. 9, pp. 2133–2160, Sep. 1969. [5] B. M. A. Rahman and J. B. Davies, “Finite element analysis of optical and microwave waveguide problems,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 1, pp. 20–28, Jan. 1984. [6] E. Schweig and W. B. Bridges, “Computer analysis of dielectric waveguides: A finite difference method,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 5, pp. 531–541, May 1984. [7] K. Solbach and I. Wolff, “The electromagnetic fields and the phase constants of dielectric image lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 4, pp. 266–274, Apr. 1978. [8] R. Mittra, Y.-L. Hou, and V. Jamnejad, “Analysis of open dielectric waveguides using mode-matching technique and variational methods,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 1, pp. 36–43, Jan. 1980. [9] R. Mittra and S. W. Lee, Analytical Techniques in the Theory of Guided Waves. New York: Macmillan, 1971. [10] J. Strube and F. Arndt, “Rigorous hybrid-mode analysis of the transition from rectangular waveguide to shielded dielectric image line,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 5, pp. 391–401, May 1985. [11] A. S. Omar and K. F. Schunemann, “Complex and backward-wave modes in inhomogeneously and anisotropically filled waveguides,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 3, pp. 268–275, Mar. 1987. [12] M. Marozowski and J. Mazur, “Predicting complex waves in lossless guides,” in Proc. 20th Eur. Microwave Conf., 1990, pp. 487–492.

3177

[13] R. E. Collin, Field Theory of Guided Waves, 2nd ed. New York: IEEE Press, 1991. [14] R. E. Collin and D. A. Ksienski, “Boundary element method for dielectric resonators and waveguides,” Radio Sci., vol. 22, no. 7, pp. 1155–1167, Dec. 1987. [15] A. S. Sudbo, “Why are accurate computations of mode fields in rectangular dielectric waveguides difficult?,” J. Lightw. Technol., vol. 10, no. 4, pp. 419–419, Apr. 1992. [16] K. A. Zaki and A. E. Atia, “Modes in dielectric-loaded waveguides and resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 12, pp. 1039–1045, Dec. 1983. [17] X.-P. Liang and K. A. Zaki, “Modeling of cylindrical dielectric resonators in rectangular waveguides and cavities,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 12, pp. 2174–2181, Dec. 1993. [18] L. Chambers, “Propagation in waveguides filled longitudinally with two or more dielectrics,” Br. J. Appl. Phys., vol. 4, pp. 39–45, Feb. 1953. [19] C. A. Balanis, Advanced Engineering Electromagnetics. New York: Wiley, 1988. [20] R. Mittra, “Relative convergence of the solution of a doubly infinite set of equations,” J. Res. Nat. Bureau of Standards—D. Radio Propag., vol. 67D, no. 2, pp. 245–254, Mar.–Apr. 1963. [21] M. Leroy, “On the convergence of numerical results in modal analysis,” IEEE Trans. Antennas Propag., vol. 31, no. 7, pp. 655–659, Jul. 1983. [22] J. Penny and G. Lindfield, Numerical Methods Using Matlab. Sydney, Australia: Ellis Horwood, 1995.

Colin G. Wells (S’03) was born in Sydney, Australia, on April 3, 1951. He received the B.Eng. degree in electrical and electronic engineering from the University of Southern Queensland, Toowoomba, Qld., Australia, in 2002, and is currently working toward the Ph.D. degree in the design of microwave components and filters using the mode-matching technique at the University of Southern Queensland.

James A. R. Ball (M’81) was born in Guildford, U.K., on February 11, 1943. He received the B.Sc. degree in engineering from Leicester University, Leicester, U.K., in 1964, the M.Sc. degree in physics from the University of London, London, U.K., in 1968, and the Ph.D. degree in electrical engineering from the University of Queensland, Toowoomba, Qld., Australia, in 1988. From 1964 to 1969, he was a Microwave Engineer with EMI Electronics U.K., where he was involved with stripline and waveguide component design. From 1969 to 1971, he was with Amalgamated Wireless (Australasia), where he was involved with the design of low-frequency and UHF filters and the testing of delta modulation and UHF radio links. In 1971, he joined the University of Southern Queensland, where he is currently an Associate Professor of electrical, electronic and computer engineering. His research interests are in the areas of microwave components, devices, and measurements and the numerical solution of electromagnetic-field problems.

3178

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Q-Factor Definition and Evaluation for Spiral Inductors Fabricated Using Wafer-Level CSP Technology Yutaka Aoki and Kazuhiko Honjo, Fellow, IEEE

Abstract—A novel -factor definition and evaluation method are proposed for low-loss high- spiral inductors fabricated by using the wafer-level chip-size package (WLP) on silicon substrates, where the copper wiring technology with a polyimide isolation layer is used. In conventional -factor evaluation for inductors, a short-circuited load condition is used, where the factor is represented by using -parameters as = Im 1 11 Re 1 11 . This conventional method profactor of 20 with 2–5-nH inductance around 3.9 GHz. vides a However, since structures for the spiral inductors are asymmetrical, the short-circuited load condition and short-circuited source values, respectively. The -value difcondition give different ferences of approximately 100% have often been observed in the WLP. The differences mainly come from differences in loss estimation. In a novel method, a complex conjugate impedance-matching condition is retained both at an input port and an output port of the inductor. The maximum available power gain ( AMAX ) is introduced to evaluate the energy loss in one cycle. This condition provides a unique insertion loss of passive devices. Thus, the difference of the factor depends only on the difference of magnetic and electric energy. The difference of the value is reduced. Index Terms—Copper interconnect, AMAX , high , low-loss, silicon substrate, spiral inductor, wafer-level chip-scale package (WLP).

I. INTRODUCTION

R

ECENT advances in RF integrated circuits (RFICs) require low-loss high- passive circuit components such as inductors, capacitors, and transmission lines on silicon substrates. The wafer-level chip-scale package (WLP) technology is considered to be one of the most promising technologies [1] for the requirements. In the WLP, the dielectric material losses caused by low-resistivity silicon substrates, which are suitable for very large scale integration (LSI) of active devices, are avoidable without causing chip size magnification. The conductor losses can also be minimized by using an appropriate wiring metal such as copper. factors of the passive components have been evaluThe ated from their two-port equivalent circuit, where the output port Manuscript received February 16, 2005; revised May 5, 2005. This work was supported by the CASIO Computer Company Ltd. and by the University of Electro-Communications. Y. Aoki is with the Advanced Research Laboratory, Hamura Research and Development Center, CASIO Computer Company Ltd., Hamura-shi, Tokyo 205-8555, Japan (e-mail: [email protected]). K. Honjo is with the Information and Communication Engineering Department, University of Electro-Communications, Chofu, Tokyo 182-8585, Japan (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855147

Fig. 1.

Partial cross-sectional view of the WLP.

is short circuited. This simple evaluation method is valid and useful in the case that the components are symmetrical. However, passive components such as spiral inductors are usually asymmetrical. According to improvements in loss characteristics of passive components and also their asymmetrical structures, a more precise and appropriate characterization method has been required to develop. Recently, a -factor evaluation method for various load and source conditions was proposed [2], [3]. However, this method does not provide the appropriate comparison with the components since factors vary with the load and the source impedance changes. In this paper, a novel -factor evaluation method is proposed. In our previous study [4], the passive components are treated as a two-port circuit and the energy loss per one cycle is represented by the maximum , where both input and output available power gain ports are complex conjugate impedance matched. This evaluation method is applied for developed WLP spiral inductors. II. SPIRAL INDUCTORS IN WLP The WLP is a novel structure for LSI packages with minimized chip mounting areas keeping high reliability. As seen in Fig. 1, signal inputs, signal outputs, and power supplies for LSI are performed through solder balls via copper bump posts located at appropriate places inside the LSI pattern layout. The copper posts, whose height is 100 m, are exposed out of epoxy sealing layers. This type of layout is called “area terminal layout,” meanwhile, the other conventional layouts are categorized as the “peripheral terminal layout,” where all the connecting pads are located at peripheries of the LSI chips as bonding pads. Thus, a WLP area size is greatly reduced to the LSI chip size itself. At the same time, highly reliable interconnections without using wire bonding as a fine pitch ball

0018-9480/$20.00 © 2005 IEEE

AOKI AND HONJO:

-FACTOR DEFINITION AND EVALUATION FOR SPIRAL INDUCTORS

Fig. 2. Measured copper-wiring resistivity in WLP.

Fig. 5.

A.

3179

Fabricated spiral inductor.

-Factor Evaluation by Conventional Method 1

Usually, factors have been evaluated by using a short-circuited load condition, where the factor is represented using -parameters as [6]

(1)

or Fig. 3.

Cross-sectional view of spiral inductor in WLP.

(2)

B.

-Factor Evaluation by Conventional Method 2

In addition, the factor can also be derived from the derivative of phase at the resonant frequency [7], [8], as described in (3). By adding an ideal capacitor in parallel to the inductor for or , formulas for the canceling an imaginary part of factor can be derived as (4) and (5) as follows: Fig. 4.

Top view of spiral inductor in WLP.

grid array (FBGA) are achieved in WLP. In WLP technology, low-loss spiral inductors can also be fabricated. The copper wiring is effective for conduction loss reduction and an appropriate polyimide (relative dielectric constant of 3.3) thickness is also effective to reduce leakage current loss caused by low-resistivity silicon substrates. This copper wiring was made by electroplating technology. Fig. 2 shows measurement results of this copper-wiring resistivity. The results are almost the same as the pure resistivity of bulk copper. Figs. 3–5 show a cross-sectional view, a top view, and a photograph of the fabricated spiral inductor, respectively. By using WLP technology, a factor increase of 50%–100% has been achieved compared with the spiral inductors fabricated using the conventional aluminum wiring process on silicon substrate [5].

(3) or

(4) (5) factors By means of conventional method 1, maximum around 20 have been obtained for 2–5-nH inductance ranging around 3.9 GHz. Increasing polyimide thickness is effective for improvement in factors since electric fields in the lossy silicon

3180

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

TABLE I EQUIVALENT-CIRCUIT MODEL PARAMETERS FOR THE INDUCTOR. THE NUMBER OF TURNS, LINE AND SPACE WIDTH, DIAMETER ARE 2.5, 20/10 m, AND 100 m

Fig. 6.

Influence of polyimide thickness on Q factor.

Fig. 8.

Measured and simulated Q factors by conventional definitions.

III. ACCURATE MEASUREMENT METHOD OF ASYMMETRIC STRUCTURE OF INDUCTOR Fig. 7.

Equivalent circuit for spiral inductor.

substrate can be reduced. As shown in Fig. 6, a polyimide thickness increase from 5 to 10 m brings about an increase in the factor by 10%–15% [5]. However, since structures of spiral inductors are asymmetrical, as seen in Figs. 3 and 4, applying a short-circuited condition for Port 1 and that for Port 2 give different values, respectively. This can be understood from an equivalent circuit for spiral inductors [9], as shown in Fig. 7. Table I shows parameter values for this equivalent circuit. These values were extracted from the measured deembedded -parameters with the least square method using Agilent IC-CAP. Simulated factors using method 1 are shown in Fig. 8. The value for Cox 1 is more than eight times as large as Cox 2 since there is a crossover parasitic capacitance caused by an under leading line at the inductor’s port 1 side. Thus, the spiral inductor losses caused at the short-circuited side, namely Cox 1, Csub, and Rsub 1 are always underestimated. Fig. 8 compares measured factors evaluated from conventional methods 1 and 2. These two categories of measured factors are almost the same values. For precise and practical evaluations of the asymmetry passive elements, development of a new evaluation method is necessary.

The

factor of inductors is originally defined as peak magnetic energy peak electric energy energy loss in one cycle

(6) and are the time-average magnetic energy and where the time-average electric energy, respectively [7], [10]. Each is equal to one-half of its peak energy. The time-average energy should equal the energy loss in one cycle loss denoted by . Horng et al. have derived an -padivided by the period rameter formulation of the factor for arbitrary source and load reflection coefficient, as shown in (7) at the bottom of this page [2], where (8) (9) represents the reflection coefficient looking toward the load represents the reflection coefficient looking toward the and source, as shown in Fig. 9. Equation (7) is a general formula representing the factor for inductors with asymmetry structures.

(7)

AOKI AND HONJO:

-FACTOR DEFINITION AND EVALUATION FOR SPIRAL INDUCTORS

3181

Fig. 9. Network representation of spiral inductor. Fig. 11.

Example of practical uses for spiral inductors.

Fig. 10. Definition of external circuit conditions for the DUT, where complex conjugate impedance matching is retained at both input and output ports.

However, it is difficult to compare values among difis a funcferent types of spiral inductor structures since tion of the source and load impedances, as seen in (7)–(9). The load and the source impedance condition of 50 also does not provide a general condition since spiral inductors are used in different impedance levels depending on circuit designs. To solve this issue, a novel formation for loss, which does not depend on load and source conditions, is necessary. In transistor amplifier theory, maximum available power gain is often used for evaluations of transistor high-freis defined as quency gain. power delivered to load power available from source where both an input and output port of the device-under-test (DUT) are complex conjugate impedance matched to the source greater than unity means gain, and load simultaneously. and less than unity means loss. In this case, a spiral inductor can is always less than unity be considered as the DUT. since the spiral inductors are passive devices. described in (6) can be read as

Fig. 12. Port-1 side measured

Q factor derived from conventional method 1.

of the inductor and at an output port of the inductor, are both complex conjugate impedance matched simultaneously. This condition is similar to the above-mentioned load and source impedance conditions for the DUT described in Fig. 10. Using -parameters for the DUT, is represented as follows:

(11) where (12) and

power available from source input energy

power delivered to load (10)

In other words, this equation is an insertion loss of a spiral inductor and the equation does not include a return loss. Once delivers a unique an operating frequency is defined, can be obtained both value for the DUT. Values of from network analyzer measurements and from equivalent-circuit-model-based simulations. Fig. 10 shows the definition for external circuit conditions for the DUT. In microwave integrated circuits, spiral inductors are often used as impedance-matching elements between a source and a transistor, as described in Fig. 11. In this case, at an input port

(13) Equation (7)’s denominator

can be written as

(14)

3182

Fig. 13.

Fig. 14.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Port-2 side measured

Port-1 side-measured

Q factor derived from conventional method 1. Fig. 15.

Port-2 side-measured

Q factor derived from novel method.

Fig. 16.

Port-1 side-simulated

Q factor derived from conventional method 1.

Q factor derived from novel method.

when both the input and output impedance of a inductor are matched, it gives

From (8) and (9), (7)’s numerator can also be written as (15) (16) From (12), (13), (15), and (16),

can be rewritten as

Equation (14) is then

The inductor’s factor can then be formulated as (17), shown at the bottom of the following page. An additional case, the load , i.e., and reflection coefficient corresponds to , is written [2]. IV. RESULTS AND DISCUSSION -parameters of the WLP spiral inductors have been measured using a Cascade Microtech on-wafer prober [ACP-40 ground–signal–ground (GSG)] and an Agilent 8722D vector network analyzer in a frequency range from 50 MHz to 10 GHz.

AOKI AND HONJO:

Fig. 17.

-FACTOR DEFINITION AND EVALUATION FOR SPIRAL INDUCTORS

Port-2 side-simulated

Q factor derived from conventional method 1.

Fig. 19.

3183

Port-2 side-simulated

Q factor derived from novel method.

Fig. 20. Comparison of measured conventional method 1. Fig. 18.

Port-1 side-simulated

Q factors derived from novel method and

Q factor derived from novel method.

The linewidth is 20 m, the space between lines is 10 m, and the internal diameter of inductors is 100 m. The turns are from 2.5 to 8.5. -parameters for “open” pad patterns without any inductor patterns described in Figs. 4 and 5 were also measured and were used to remove parasitic elements caused by the pad from measured -parameters. This pad deembedding was performed by subtracting -parameters for “open” pad pattern from those for the WLP spiral inductors. Figs. 12 and 13 show measured factors evaluated by using conventional method 1. values from port 1. Port 2 was Fig. 12 shows measured short circuited. Fig. 11 shows measured values from port 2.

These figures clearly indicate that the measured values from port 2 have higher values than port 1. The peak factor from port 2 is approximately two times larger than the peak factor from port 1. In both cases, resonance frequencies decrease as the number of turns and the length increase. Figs. 14 and 15 show measured factors evaluated by using a novel method. Fig. 14 shows measured from port 1 and Fig. 15 show measured from port 2. These figures indicate that the difference in values between ports 1 and 2 decreases. A peak value for the measured from port 2 is approximately 1.15 times larger than that for port 1. In this definition, the energy loss has the same value both ports 1 and 2, and then the difference depends on only magnetic and electric energy. Parasitic losses are not

(17)

3184

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

underestimated. The effect caused by the current should be noticed. A change in the load impedance makes a change in the current. The magnetic energy of the spiral inductor is then also changed. The effects of the number of turns tend to be similar to conventional method 1. A viewpoint of resonance frequencies, both novel method and conventional method 1, give almost the same frequencies. The same kind of comparison was made by simulation. The moment method electromagnetic simulation (Microwave Office, AWR, El Segundo, CA) was carried out. Figs. 16–19 show simulation results. Measured and simulated results are in good agreement. Fig. 20 shows measured factors derived both from the proposed novel method and from conventional method 1 for a spiral inductor with 2.5 turns. The -factor value differences between the measurement from port 1 and the measurement from port 2 decrease in the novel definition.

Q

[5] Y. Aoki, Y. Kami, and K. Honjo, “Low-loss high- spiral inductor for wafer level CSP using Cu interconnect technology with polyimide isolation layer,” J. Jpn. Inst. Electron. Packag., vol. 7, no. 3, pp. 247–254, 2004. [6] Y. K. Koutsoyannopoulos and Y. Papananos, “Systematic analysis and modeling of integrated inductors and transformers in RF IC design,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 47, no. 8, pp. 699–713, Aug. 2000. [7] K. K. O, “Estimation methods for quality factors of inductors fabricated in silicon integrated circuit process technologies,” IEEE J. Solid-State Circuits, vol. 33, no. 8, pp. 1249–1252, Aug. 1998. [8] A. M. Niknejad and R. G. Meyer, “Analysis, design, and optimization of spiral inductors and transformers for Si RF IC’s,” IEEE J. Solid-State Circuits, vol. 33, no. 10, pp. 1470–1481, Oct. 1998. [9] K. B. Ashby, I. A. Koullias, W. C. Finley, J. J. Bastek, and S. Moinian, “High inductors for wireless applications in a complementary silicon bipolar process,” IEEE J. Solid-State Circuits, vol. 31, no. 1, pp. 4–8, Jan. 1996. [10] C. P. Yue and S. S. Wong, “On-chip spiral inductors with patterned ground shields for Si-based RF IC’s,” IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 743–752, May 1998.

Q

V. CONCLUSION The features and issues of conventional -factor definitions of the spiral inductor have been clarified. The conventional definitions have underestimated the loss that caused by the short-circuited load condition. This causes a large difference of values depending on measurement ports. In a novel method, the complex conjugate impedance-matching condition is retained both at the input and output ports of the inductor. The maximum has been introduced to evalavailable power gain uate the time-average energy loss. This condition has provided a unique insertion loss of passive devices. Thus, the difference of the factor depends only on the difference of magnetic and electric energy. Thus, the difference of the value is reduced. Loss contribution from all parasitic circuit elements can be evaluated appropriately. The proposed method can be applied not only to WLP spiral inductors, but also to the other passive components such as chip inductors and capacitors. REFERENCES [1] T. Wakabayashi, O. Kuwabara, L. Wu, and Y. Sagami, “Advanced wafer level CSP packaging using new liquid bismaleimide polymers,” in Proc. Pan Pacific Microelectronics Symp., 2001, pp. 119–127. [2] T. S. Horng, K. C. Peng, J. K. Jau, and Y. S. Tsai, “ -parameter formulation of quality factor for a spiral inductor in generalized two-port configuration,” in IEEE MTT-S Int. Microwave Symp. Dig., 2003, pp. 255–258. [3] , “ -parameter formulation of quality factor for a spiral inductor in generalized two-port configuration,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 11, pp. 2197–2202, Nov. 2003. [4] Y. Aoki and K. Honjo, “A novel -factor definition for microwave passive elements,” in IEEE 7th Components, Packaging, Manufacturing, Technology Very Large Scale Integration Workshop Dig., Dec. 2004, pp. 71–74.

S

S

Q

Yutaka Aoki received the B.E. degree from Chiba University, Chiba, Japan, in 1991, and the M.E. degree from the University of Electro-Communications, Tokyo Japan, in 2003. In 1991, he joined the Research and Development Center, CASIO Computer Company Ltd., Tokyo, Japan. Since 1998, he has been involved in research and development of the wafer-level chip-size package.

Kazuhiko Honjo (M’82–SM’88–F’97) received the B.E. degree from the University of Electro-Communications, Tokyo, Japan, in 1974, and the M.E. and D.E. degrees from the Tokyo Institute of technology, Tokyo, Japan, in 1976 and 1983, respectively. From 1976 to 2001, he was with the NEC Corporation, Kawasaki, Japan. In 2001, he joined the University of Electro-Communications, Tokyo, Japan, as Professor with the Information and Communication Engineering Department. He has been involved in the research and development of device technology for GaAs field-effect transistors (FETs), HBTs, and their microwave and digital circuit applications, filters, and antennas for ultra-wideband (UWB). Prof. Honjo was the recipient of the 1983 and 1988 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Microwave Prize, the 1999 Institute of Electrical, Information and Communication Engineers (IEICE) Electronics Award, and the 1980 IEICE Young Engineer Award. From 1988 to 1992 and 1999 to 2003, he served as a Technical Program Committee (TPC) member for the IEEE MTT-S Microwave and Millimeter-Wave Monolithic Circuit Symposium and the IEEE MTT-S International Microwave Symposium (IMS). From 1998 to 2003, he had was an elected IEEE MTT-S Administrative Committee (AdCom) member. He served as chair of the IEEE MTT-S Transnational Committee for five years.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

3185

Simple Model for the Mode-Splitting Effect in Whispering-Gallery-Mode Resonators Pierre-Yves Bourgeois and Vincent Giordano

Abstract—In this paper, we present a model describing the modesplitting effect in a whispering-gallery (WG)-mode resonator. The WG mode is represented by an equivalent transmission-line ring resonator in which a localized perturbation is introduced. This perturbation induces a coupling between the two counter propagating waves, which, in turn, lifts the degeneracy of the WG modes. This simple model explains almost all the line shapes experimentally observed. It shows in a straightforward way how the coupling on the two twin modes are affected by changing the coupling probe and the perturbation angular positions. In that sense, it is useful to make the resonator adjustment easier. Moreover, the same approach can be used to simulate any microstrip ring resonator with localized perturbation. Index Terms—Microwave oscillator, ring resonator, ultra-stable oscillator, whispering-gallery (WG) mode.

I. INTRODUCTION

M

ODE degeneracy is common to any cylindrical ring resonator structure. Any geometrical imperfection affecting the resonator cylindrical symmetry lifts this degeneracy and each resonance splits in two modes. This problem is particularly prejudicial to high- whispering-gallery (WG)-mode sapphire resonators. Indeed, at cryogenic temperature, these factors in excess of 10 and microwave resonators present a resonance doublet is observed for every WG mode [1]. In a high-quality sapphire resonator, the mode splitting ranges from a few hertz to a few kilohertz changing from one mode to another. If the distance between the two twin modes is of the order of their bandwidth, their line shapes are distorted, which can affect the effective factor. Moreover, the coupling factors of the two modes obtained at low temperature are hardly predictable. As even a 10-kHz splitting is not observable at room temperature, it is impossible to favor only one mode by adjusting the coupling before cooling. The coupling factors depend on the angular position of the coupling probes and of the dominant perturbation causing the mode splitting. Since the latter is not known, the experimentalist is confronted to a three varying angular parameters problem, which can be hardly solved with several iterations. Although based on very basic assumptions, the model presented in this paper correctly explains the mode splitting and the line shapes experimentally observed. Manuscript received February 18, 2005; revised June 9, 2005. The authors are with the Institute Franche-Comté Electronique, Mécanique, Thermique et Optique–Sciences et Technologies, Unité Mixte de Recherche, Centre National de la Recherche Scientifique, Université de Franche Comté, 25044 Besançon France, France (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855145

Fig. 1. WG-mode resonator seen as a dielectric waveguide ring resonator.

It should be noted that one elegant solution to solve the problem of mode splitting has been proposed in [2]. A localized dielectric perturbation was added to the sapphire resonator. By rotating this perturbation with respect to the coupling structures, it is possible to provide controlled coupling to only mode. Our model provides another representation of this mode selection principle. Eventually this model can be applied to microstrip ring resonators, which are commonly used to stabilize microwave oscillators, design filters [3], measure dielectric constant of matesuperconducting films [4], [5]. rials, or to characterize highII. MODE-SPLITTING EFFECT A. Model for the WG-Mode Resonator A microwave high- WG-mode resonator is composed by a sapphire rod machined in a low-defect Al O single crystal. of 30–50 mm and a thickness of A resonator diameter 15–30 mm are typical for -band operation. The -axis of the crystal is parallel to rod axis within less than 1 . Quasi-TE or quasi-TM can be excited in the rod depending on the coupling structure. The subscripts , , and refer, respectively, to the azimuthal, radial, and axial variation of the electromagnetic-field components. The useful modes and . In this case, the are characterized by mode energy density is confined between the cylindrical dielecand the inner caustic surface tric-air boundary (see Fig. 1). Elsewhere the waves are evanescent and the factor is essentially limited by the sapphire dielectric loss. The sapphire rod is generally placed in the center of a metallic cavity, which has a low influence on the frequency and on the factor of the high azimuthal order WG modes. In a standing-wave WG-mode resonator, two counter-propagating waves are allowed to travel in the sapphire rod as guided in a bent waveguide forming a ring. The height of this waveguide , which depends on the caustic is , whereas its width is radius and then on the considered WG mode. This waveguide ring can be linked to an equivalent transmission-line ring (TLR) of the (see Fig. 2). The length and characteristic impedance

0018-9480/$20.00 © 2005 IEEE

3186

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 2. WG-mode resonator seen as a propagation line ring resonator.

WGH

Fig. 4. mode doublet for a 50-mm-diameter 30-mm-thick sapphire resonator at 4.2 K. This plot represents the magnitude of the resonator coefficient around 11 425 GHz.

S

Fig. 3.

Equation (1) has to be modified to take into account the coupling between the two counter-propagating waves. The resonance condition is now given by

Perturbation on the propagation line.

transmission line depends on the WG mode we consider. If necessary, these parameters could be determined from the waveguide model of the WG resonator [6]. To describe the waves in the resonator, the azimuthal angle is the relevant parameter. The plane corresponds to the location of the resonator coupling element. In this plane, two counter-propagating waves are generated with the same amplitude and the same phase. Using the classical scattered waves , formalism, we define in any plane the incident and the reflected waves , . At resonance, the phase shift accumulated by the waves during one revolution along . Indeed, the incident waves the ring should be equal to should verify (1) In a perfect sapphire crystal, the two counter-propagating waves and are completely independent. The position of the nodes of the stationary wave pattern is only set . Along the by the resonator coupling structure located at ring, the voltage is

(4) The resolution of these coupled equations is straightforward. The equation system (4) has a nontrivial solution only if . A resonance then occurs for two values of the phase shift . Assuming a small perturbation, i.e., , the phase shift , where . The can be approximated by two resonant modes are then given by

.

(5)

If is the -mode frequency for the unperturbed due to the presresonator mode, the value of mode splitting ence of a small perturbation is given by (6) The degeneracy is lifted by the perturbation and two resocan be observed. The frenances separated in frequency by quencies of the two twin modes are Mode

(2)

B. Ring Resonator With a Localized Perturbation A discontinuity in the propagation line such as a localized change in the waveguide dimensions or in the dielectric conshunting the line stant can be represented by a reactance (see Fig. 3). A wave approaching the discontinuity from either side is then partially reflected and partially transmitted. The two counter-propagating waves are now coupled. , the normalized reactance value of , the Taking transmission coefficient and the reflexion coefficient of the perturbation plane are given by (3)

Mode A typical mode doublet for a 50-mm-diameter 30-mm-thick sapphire resonator at 4.2 K is presented in Fig. 4. The -mode doublet is characterized by a frequency GHz and a mode splitting kHz. The factor for the two twin modes are of the order of 450 10 . is sufficient to induce A perturbation such as the 24-kHz mode splitting. The modulus of the corresponding , which reflexion coefficient is is a very low value. The voltage at the discontinuity can easily be calculated. For the first solution for which the resonator phase shift remains , there is a voltage node in the plan unchanged, i.e., of the perturbation. Conversely for the second mode, i.e., , there is a voltage antinode at the discontinuity. In the

BOURGEOIS AND GIORDANO: SIMPLE MODEL FOR MODE-SPLITTING EFFECT IN WG-MODE RESONATORS

Fig. 5.

3187

Modelization of the resonator coupling.

perturbed resonator, the position of the standing-wave pattern is no longer determined by the coupling system.

III. FULL RESONATOR MODEL

Fig. 6. Equivalent flow graph of the one port WG-mode resonator. As an example, the path P linking the input wave a to the circulating wave a is depicted via the bold line. The loop L represents the recycling of the counter clockwise waves in the resonator.

A. Resonator Coupling and Losses To go further in the description of the WG resonator, we have to take into account the energy losses in the resonator itself and in the external circuit and then to define unloaded and loaded factors. Assuming the losses are homogeneous distributed in the sapphire rod, the wave attenuation for one revolution is noted . After one revolution, a wave will be then attenuated by a factor . The resonator coupling is generally ensured by small magnetic loops or probes. In the coupling plane, two counterand are generated in the sapphire rod propagating waves [see Fig. 5(a)]. To be consistent with the TLR description of the WG resonator, we replace the actual coupling structure by an equivalent adjacent transmission line fed by a 180 hybrid coupler [see Fig. 5(b)]. The scattering parameters describing the coupling between the adjacent line and resonator are deduced from the theory of the directional couplers. The different waves in the coupling plane verify the following equation [7]:

Fig. 7. Full TLR model for the WG-mode resonator.

the rod. By applying Mason’s rule [8], any wave can be de. For example, the termined as a function of the input wave to may be written as transmission function from The parameter is the voltage coupling coefficient. It depends on the geometry and distance separating the dielectric rod and coupling line. It is now straightforward to represent the resonator and its coupling structure by the signal flow graph given in Fig. 6. In this flow graph, the two independent paths correspond to the two counter-propagating waves traveling independently in

(7) to . This path is where defines the only path linking depicted in bold as shown in the Fig. 6. is the signal loop describing the recycling of the clockwise traveling waves.

3188

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 8. Signal flow graph for the full TLR model of the WG-mode resonator. As an example, the bold line represents the path P and the dashed line depicts the = 0), the signal paths emerging from a (dotted lines) are not taken into account in loop L . As we assumed a perfectly matched load at the output port (a the calculation.

By taking the absolute value squared and calculating the half power points, we derived the loaded factor

(10c) (10d)

(8) which is consistent with the traveling-wave model presented in [9]. By assuming a low-loss resonator, i.e., is small, the exfactors have pressions given both the unloaded and loaded been derived as follows:

(10e) Moreover, recycling of waves occur in three different loops defined by (11a)

(9)

(11b) (11c) The coupling coefficient to the resonator is then

. By applying Mason’s rules, the resonator transmission coefficient is calculated as follows:

B. Two-Port Resonator With a Localized Perturbation In high-stability oscillator applications, the WG-mode resonator is generally configured as a two-port resonator implemented in the positive feedback loop of a microwave amplifier. Setting as previously the input coupling probe in the plane , we note as the angular position of the output coupling probe and as the position of the localized perturbation. The two-port resonator is schematized as shown in Fig. 7. The equivalent signal flow graph is given in Fig. 8. In order to simplify the discussion, we assumed that the impedances connected at the resonator input and output ports are . perfectly matched, and then To derive from this flow graph the resonator transmission co, we have to search for all the different efficient to the output one . The folpaths linking the input wave lowing five independent paths can be identified: (10a) (10b)

(12) This coefficient can be computed as a function of the phase shift and for different values of the parameters , , , and . IV. EXPERIMENTAL VERIFICATION To test the validity of our model, we conducted experimental mode measurements at room temperature on the of a resonator constituted of a cylindrical sapphire crystal of 50-mm diameter and 20-mm height. This resonator was placed in the center of a copper cavity of 100-mm diameter and 40-mm height. Two coaxial probes parallel to the cylindrical axis are anchored in each cavity lid to perform the resonator coupling. For the unperturbed resonator, this mode is characterized by a frequency of 4.866 GHz and an unloaded factor of 290.000. As the actual nonhomogeneities of the crystal are not sufficient to give an observable mode splitting at room temperature, we force

BOURGEOIS AND GIORDANO: SIMPLE MODEL FOR MODE-SPLITTING EFFECT IN WG-MODE RESONATORS

Fig. 9. Experimental setup. The two angles adjusted.

3189

9 and  can be independently

a perturbation by gluing a small aluminum pie-shaped piece on the sapphire rod, as depicted in Fig. 9. The sapphire crystal and output probe can be rotated. A dial bearing an angular mark every 5 has been attached to external face of the cavity lid. A pointer attached to the piece maintaining the sapphire allows the measure of . In this section, the angle are given in degrees ( ) to be consistent with the experimental values. We roughly set the two probes diametrically opposed. Actually, a careful measurement of their relative position gave . With the dielectric perturbation in place, the measured frequency separation between the two twin modes is kHz. The bandwidth of the two twin modes is 35 kHz, which corresponds to a loaded factor of 140 000. The resonator cou. The unloaded pling coefficients have been set to factor is then 280 000, which do not differ notably from the factor of the unperturbed resonator. By applying (6) and (9), we deduced the set of TLR model parameters

GHz

These parameters have been used to compute the scattering coefficients from (12) setting . The comparison between the experimental and theoretical transmission coefficient modules are given in Fig. 10. As previously stated, the position of the nodes and antinodes for each mode are determined by the position of the perturbation plane, i.e., angle . The antinodes planes for each mode are represented in Fig. 11 for three positions of the perturbation plane. , the two antennas assumed to be diametrically When opposed are in the antinode plane of the mode . In this case, we should only observe one resonance centered on the frequency . When the perturbation plane is rotated, antennas couple the two twin modes. The exact coupling coefficients for , the two antennas these modes depend on . When couple only the perturbed mode at .

Fig. 10. Comparison between experimental and theoretical S . assuming

9 = 183

coefficients

Fig. 11. Rotation of the perturbation plane. The two antennas are assumed to be diametrically opposed: . Dashed lines: antinode planes for the W GH mode at  . Solid lines: antinode planes for the W GH mode  . at 

9 = 180

+

That is exactly what we observed when was varied from 20 to 50 . We note that for 20 , only one mode is strongly coupled. This mode corresponds to the mode, which is at the same frequency as the mode of the unperturbed resonator. When is increased, the coupling for this mode decreases, whereas the mode appears. Eventually, when 36 , the second mode only remains observable. This behavior is well represented by the simulation. For the different values, the calculated relative amplitudes of the two twin modes agree very well with the experimental data. V. CONCLUSION A simple model for the mode-splitting effect in a WG-mode resonator has been presented. The dielectric WG-mode resonator is represented by an equivalent TLR resonator where a single perturbation is located. This perturbation is responsible for the coupling of the two counter propagating waves

3190

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

allowed to propagate in the ring. This coupling modifies the resonance condition and then each resonator mode splits into a doublet. In our model, the resonator coupling structures have been represented by two adjacent transmission lines. The full resonator model has been analyzed with the flow-graph method to express the two-port scattering parameters as a function of the resonator geometry. A dedicated experiment has been realized to verify the theoretical prediction. Measured and calculated scattering parameters agree very well, demonstrating the validity of our assumptions. The parameters of the model are simply deduced from experimental measurements of the factor. By comparing the experimental and frequency and theoretical scattering parameters, it is then possible to deduce the angular position of the main perturbation. The resonator can then be rotated to adjust the coupling on one mode of the doublet. REFERENCES [1] P. Bourgeois, Y. Kersalé, N. Bazin, M. Chaubet, and V. Giordano, “A cryogenic open-cavity sapphire reference oscillator with low spurious mode density,” IEEE Trans. Ultrason., Ferroelectr., Freq. Control, vol. 51, no. 10, pp. 1232–1239, Oct. 2004. [2] D. Siantago, G. Dick, and A. Prata, “Mode control of cryogenic whispering-gallery mode sapphire dielectric-ring resonator,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 1, pp. 52–55, Jan. 1994. [3] I. Wolff, “Microstrip bandpass filter using degenerate modes of a microstrip ring resonator,” Electron. Lett., vol. 8, no. 15, pp. 302–303, 1972. [4] J. Bray and L. Roy, “Microwave characterization of a microstrip line using a two-port ring resonator with an improved lumped-element model,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1540–1547, May 2003. [5] F. C. S. Wu, C. Yeh, and T. Tseng, “Double resonant behavior of microstrip ring resonator in Tl-based high superconducting films,” Appl. Phys. Lett., vol. 65, pp. 3281–3283, Dec. 1994. [6] E. Marcatili, “Dielectric rectangular waveguide and directional coupler for integrated optics,” Bell Syst. Tech. J., vol. 48, no. 7, pp. 2071–2102, 1969.

T

[7] D. Cros and P. Guillon, “Whispering gallery dielectric resonator for -band devices,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 11, pp. 1667–1674, Nov. 1990. [8] C. Gentili, “Amplificateurs et oscillateurs micro-ondes,” in Collection Technologies, ser. Electron. Paris, France: Masson, 1984. [9] M. Tobar, E. Ivanov, P. Blondy, D. Cros, and P. Guillon, “High- whispering gallery traveling wave resonators for oscillator frequency stabilization,” IEEE Trans. Ultrason., Ferroelectr., Freq. Control, vol. 47, no. 2, pp. 421–426, Mar. 2000.

W

Q

Pierre-Yves Bourgeois was born in Besançon, France, on February 29, 1976. He received the Master degree in physical sciences from the University of Franche-Comté, Besançon, France, in 2001, and is currently working toward the D-Phil. degree at the Laboratoire de Physique et Métrologie des Oscillateurs (LPMO), Centre National de la Recherche Scientifique (CNRS), Besançon, France. He is currently with the Time and Frequency Team, LPMO, CNRS, where he studyies measurements of low-noise and high spectral-purity cryogenic oscillators.

Vincent Giordano was born in Besançon, France, on February 20, 1962. He received the Engineer degree (five-year degree) in mechanics from the Ecole Supérieure de Mécanique et des Microtechniques, Besançon, France, in 1984, and the Ph.D. degree in physical sciences from Paris XI University, Orsay, France, in 1987. From 1984 to 1993, he was a Researcher on the permanent staff of the Laboratoire de l’Horloge Atomique, Orsay, France, where he was involved with laser diode optically pumped cesium beam frequency standard. In 1993, he joined the Laboratoire de Physique et Métrologie des Oscillateurs (LPMO), Besançon, France, where he is the Head of the Microwave Metrology Team. His current main area of interest is the study of high spectral-purity microwave oscillators and the high-sensibility phase-noise measurement systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

3191

Effects of the Ground on Power-Line Communications Wu Qiong Luo, Soon Yim Tan, Member, IEEE, and Boon Tiong Tan

Abstract—In this paper, a radiated-emission model of broad-band power-line communications PLCs) in the frequency range from 1 to 30 MHz is presented. The model is based on the full-wave approach with the formulations of the extracted Maxwell equations. The transmission characteristic of the power line is obtained with the boundary conditions. The effects of the ground on the transmission characteristic and radiation are emphasized. Experiments were made to check the validity and accuracy of our model. It shows that the model is useful in predicting the effect of the ground on the radiation of straight PLCs. Index Terms—Effect of the ground, emitted radiation, powerline communication (PLC).

I. INTRODUCTION

P

OWER-LINE communication (PLC) is an important technique used extensively on electric power lines for communication and telemetry. Although PLC is intended to be wire bound, a certain impact on wireless services seems inevitable because power-distribution networks are electromagnetically open. The PLC signal transmission in the frequency range from 1 to 30 MHz increases the potential interference with a variety of the well-established communication systems operating in the specified frequency band including broadcasting, amateur radio, mobile communications, distress frequencies, space research, and radio astronomy and military communications. For the outdoor power-line systems, the interaction with the ground creates mutual impedance. This does not only affect the input impedance of the power line, but also increases loss. This interaction for the overhead multiconductor lines is different from the interaction for the underground lines. There has been a lot of measurement research done in the PLC radiated-emission field. The radiated emission of a residential building [1], radiated emission in the middle of a room [2], and radiated emission versus the distance of the bare overhead conductors [3] have been presented. There has been much research done [4], [5] to simulate dissipative straight transmission lines above a lossy ground. This paper presents the radiated-emission model of outdoor PLC lines based on the full-wave approach proposed by Wait [4], [6] and D’Amore and Sarto [5]. The model was verified for use in predicting the radiated emission from PLC in [10]. In order to decrease the computation cost, approximations are made according to the reality of the PLC wires. In the model,

Fig. 1. Geometrical configuration of the overhead power-distribution line.

Manuscript received January 18, 2005; revised June 9, 2005. W. Q. Luo and S. Y. Tan are with the Communication Laboratory 2, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (e-mail: [email protected]; [email protected]). B. T. Tan is with the Venture Support Unit, National University of Singapore, Singapore (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855144

A. Overhead PLC Lines

the effect of the ground on the common-mode current and the radiated emission is investigated both for overhead PLC lines and underground lines. Experiments to measure the radiated emission from overhead PLC lines were taken to evaluate the proposed model. II. THEORY AND FORMULATION For current carrying wires, it is convenient to employ the Hertz potentials to express the electromagnetic field. Thus, the electric- and magnetic-field vectors and are (1) (2) where is the microwave propagation constant in air, and and are the electric and magnetic Hertz potentials, respectively. In the regions outside the source, both and satisfy the Laplace equations (3) (4) In our model, both air and ground are considered to be infinite. Employing the boundary conditions at the interface of the air and ground, the Hertz vectors are determined for lossy ground, which has relative permittivity , permeability , and conductivity [7].

The configuration of the overhead PLC lines is shown in Fig. 1. The multiconductor has parallel wires with the same high from the ground, and radius. The th conductor is distant from the origin of the , , and coordinate system. , , and are the permittivity, conductivity, and permeability

0018-9480/$20.00 © 2005 IEEE

3192

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

of the ground, and are the permittivity and permeability of the air, respectively. Assume the current propagation has the form for the th conductor where is the propagation constant and is the angular frequency. The Hertz potentials at the position ( , , ) are the cumulation of the Hertz potentials from , the Hertz pothe source wires. For the upper area where tentials are expressed as [5]

(8) , where is the wavenumber where and are related to the effect of the ground. of the ground functions as well. The boundary conditions at the air–ground interface are , , applied to obtain the unknown functions. , and are expressed with , , , and [5]. The series impedance is obtained with the definition

(5) (9)

(6)

The series ground impedance is included in the series impedance . The series ground impedance can be obtained applying the boundary conditions at the interface of the air and ground, i.e., (10a) (10b)

in which

is the current of the th wire, and , where is the wavenumber of the air and is a free parameter. In (5), the term

For the -conductor PLC system, the series impedance is an matrix, where the th coefficient is given by

is the primary fields of the wires and

(11) is considered as the fields reflected from the lossy ground for the and are related to electrical Hertz potentials. the functions of the effect of the ground, which will be derived later. , the Hertz potentials are For the lower area where

where is the modified Bessel function of order zero. In (11), the series ground impedance is

(12)

(7)

For the PLC, has the value in the region of 0.0210–0.6286 is when the applied frequency changes from 1 to 30 MHz, to for poor ground, from to for average ground, and to for good ground according to the conductivity and permittivity for the three kinds

LUO et al.: EFFECTS OF GROUND ON PLCs

of ground [8]. The condition can be rewritten as

For most overhead PLC wires, when the distance between the wires, and proximated as [4]

3193

is satisfied, thus,

(13) is much larger than , (13) can be ap-

Fig. 2. Geometrical configuration of the underground power-distribution line.

in which the ground admittance is included. After making the approximation used to obtain (14), the admittance can be written as (18) (14)

Thus, the series impedance of the outdoor PLC system can be expressed as

The propagation constant and characteristic impedance can be derived as follows: (19) From the transmission-line theory, the voltage and current are related with the chain parameter matrix (20)

(15) Considering the wire-to-ground voltage expressed by the Hertz potentials, the shunt admittance can be obtained with the following equation:

In (20), the voltages and current are both vectors for multiconductor systems, and , , , and in the chain parameter matrix are all matrices (21a) (21b) (21c) (21d)

(16) The terms of

can be expressed as

The complex matrix is the similarity transformation [7]. The radiated emission in the air can then be calculated when the current distribution is known with (1), (2), (5), and (6). B. Underground PLC Lines

(17)

If we change the relative position of the two half-mediums, is ground, and the lower medium i.e., the upper medium is air, as shown in Fig. 2, the PLC wires are in the ground area. Applying the same approach as when PLC wires are in air, we can derive the Hertz potentials as shown in (22)–(25) at the

3194

bottom of this page, with the new form of , and [5] as follows:

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

,

,

approximation can be obtained after transformation:

(26a) (26b)

(28) (26c)

The admittance (16),

is expressed according to the definition

(26d)

According to (13) and (14), which is modified for the underground condition according to Fig. 2, the series impedance and the impedance due to the ground–air interface can be derived as

(29)

(27)

and , the characteristic impedance and propagaWith tion constant of the power line are derived corresponding to the boundary condition of electric and magnetic field at the dielectric–conductor and dielectric–ground interfaces. Considering the physical configuration of the mains power line, the common-mode current along the conductors is important to the radiated emission from the PLCs. The common-mode current is defined as

Under this condition, the equation is no longer is also satisfied, the following satisfied. Since

(22)

(23)

(24)

(25)

LUO et al.: EFFECTS OF GROUND ON PLCs

Fig. 3.

Per-unit-length impedance of PLC with 0.1 m above the ground.

Fig. 4. Per-unit-length ground impedance of PLC with 0.1 m above the ground.

where is the number of the conductors. With the current distribution, the radiated emission can be obtained. The current distribution along the wires can be calculated with (20) with the propagation constant and characteristic impedance known with (19). After that, the radiated emission in air can be calculated [5] with (1), (2), (24), and (25).

III. MODEL AND MEASUREMENT RESULTS The model can be applied to calculate the transmission characteristic of PLC wires. In order to emphasize the effect of the ground, the height of the PLC wires to the ground was set to be 0.1 m for overhead lines and 0.1 m below the ground for underground lines. The PLC cable used in our experiment contains three PLC lines, although the model is suitable for any number of straight parallel power lines. The series impedance and admittance are calculated with the physical parameters of the three-core power-line BS6500 mm . The ground impedance is calculated to investigate the effect of the ground on the PLC wires. The frequency spectra of real part of the series and ground impedances are shown in Figs. 3 and 4. Three ground classifications were made according to their electrical characteristics. They are: 1) good; 2) average; and 3) poor ground. The relative permittivity of each kind of ground is 25, 15, and 4 for good, average and poor ground, respectively. The conductivity is 0.02, 0.005, and 0.001 mSm/m accordingly [8]. Comparing the three types of ground, the relative permittivity of the poor ground is much smaller than the relative permittivities of the good ground and average grounds. On the other hand, the conductivity of

3195

Fig. 5.

Per-unit-length impedance of PLC with 0.1 m underground.

the good ground is much more than those of the average and poor grounds, but the difference between the per-unit-length impedance of the average and good grounds is small. It is noted that the series impedance has closer relationship to the permittivity than to the conductivity. From Figs. 3 and 4, it can be seen that the ground impedance is the dominating part of the series impedance. Fig. 5 shows the series impedance when the PLC wires are 0.1 m below the ground for the three different types of ground. Compare Fig. 5 with Fig. 3, the ground types have more effect when the PLC wires are underground. Since the ground conductivity is better than the air, the series impedance is much smaller than when the PLC wires are in the air. Considering the radiated emission, the far-field radiation is more important than the near field because of the radiation cumulation in far field. The majority contribution of the radiated emissions in the far field for PLC wires is the common-mode current when the distance between the wires are much smaller than the distance from the source wires to the observation position [9]. Since the radiated emissions at the far field created by a differential mode current subtract each other while the fields created by common mode current add, it is necessary to study the common-mode current. Comparisons of the effects from three types of ground to the common-mode current when the PLC wires are 0.1 m above ground and underground are shown in Fig. 6(a) and (b). When the PLC wires are above ground, the effect of the ground does not have much effect on them; this is also shown in Fig. 4. When the PLC wires are underground, the electromagnetic waves are transmitted between the PLC wires and the ground, and the wavelength is changed because of the ground permittivity. Furthermore, the average absolute value difference of the common-mode currents between the good and average ground is approximately 2 dB, and 3 dB for the good and poor grounds. This means that when the PLC wires are underground, the ground characteristics will effect the far-field radiation more than when the PLC wires are above ground. When the height of the power line from the ground changed from the 0.0 to 0.4 m, the model predicted the change of the current with an error, which is less than 3 dB out of a change of 45 dB. From Figs. 7 and 8, it can be noted that the effect from the ground becomes insignificant when the mains cable is 0.4 m or more above the ground. The common-mode current of the power line then becomes less sensitive to changes in

3196

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

(a)

Fig. 8. Common-mode current of measurement and model when PLC wires are on the conducting ground.

(b) Fig. 6. (a) Common-mode current of PLC for three types of ground with 0.1 m above the ground. (b) Common-mode current of PLC for three types of ground with 0.1 m underground.

Fig. 9. Magnetic field of PLC with 0.1 m above the ground and underground for three types of ground.

Fig. 7. Common-mode current of measurement and model when PLC wires are on the concrete ground.

height. Fig. 9 shows the radiated emissions for three types of ground for both above-ground and underground PLC wires. Due to the ground absorbability, the electromagnetic-field strength is approximately 20 dB smaller in average when the PLC wires are underground compared to the radiated emission when the PLC wires are above ground. It is interesting that when the PLC wires are above ground, the radiated emission is quite large for good ground, while the common mode current does not show the same behavior in Fig. 6(a). This can be explained with (9),

increases when and increase. Thus, when where the magnitude of the common mode current is almost the same, the electromagnetic field is determined by the reflection related functions. Finally, experiments were performed to evaluate the suggested model. The experiments were taken on the floor of the School of Electrical and Electronic Engineering Wing, Block S2.2, as well as on the South Spine, SS2 Roof where there was a conducting ground plane, on the campus of the Nanyang Technological University, Singapore. The length of the three-core power cable (BS6500) is 20 m. A sinusoidal signal of 30 MHz was injected into the live and neutral wires of the mains cable with the earth wire unconnected. A load of 50 was connected between the live and neutral wires at the other end. To measure the common mode current, a clamp-on RF current probe (ESH2–Z1) was used with a spectrum analyzer. The loop antenna (6509) with diameter of 12 in (30 cm) was used to measure the radiated emission. The common-mode

LUO et al.: EFFECTS OF GROUND ON PLCs

3197

decreases when the height of the power line increases from 0.0 to 0.8 m above the ground. By comparing Figs. 7 and 8, it can be seen that more current is leaked into the ground plane when the PLC wires are on the conducting ground plane than on the concrete ground. This leads to less radiated emission when the PLC wires are on the conducting ground than on the concrete ground. From the results, comparison between the model and the experiments shows that the model can provide good predictions of the radiated emission from the PLC.

IV. CONCLUSIONS AND RECOMMENDATIONS

Fig. 10. Magnetic field of measurement and model when PLC wires are on the concrete ground.

In this paper, radiated-emission models for above-ground and underground PLC wires were provided to predict the effect of the ground to the electromagnetic radiated emission from the carrier channels of the PLCs. The models are based on the fullwave approach proposed by Wait and D’Amore and Sarto. Approximations are made according to the PLC conditions. The effects of the different types of ground on the characteristics of the PLC wires were studied. From the model result, it was obvious that the ground characteristics have more effect on the PLC impedance when the PLC wires are underground than when they are above the ground. This effect of the ground affects the transmission characteristics. The radiated emissions are much larger when the PLC wires are above the ground than when they are underground. Hence, more attention should be paid to overhead wires when considering electromagnetic interference (EMI) to other communication systems in the far field. The comparison between the experiment and model results shows that the model can provide good EMI predictions for PLCs.

REFERENCES

Fig. 11. Magnetic field of measurement and model when PLC wires are on the conducting ground.

currents along the conductors of the three-core power line on the concrete ground with different heights from the ground were measured. In order to compare the experimental results in the case, the PLC wires were on the concrete ground with our model results, and an average ground was assumed. Hence, the relative permittivity and conductivity of the ground were taken as 15 and 0.005 S/m, respectively. The experimental results from the good conducting ground plane were also compared with our model results. In the experiment, the heights of the power line from the ground were set to 0.0, 0.4, and 0.8 m. The radiated emissions for different frequencies from 15 to 30 MHz from the power line at the distance of 10 m were also measured. The results of the experiment and the model are shown in Figs. 10 and 11. In these figures, the difference between the experiment and the model is approximately 6%. As shown in these figures, the model predicts that the radiation

[1] M. Gebhardt, “Characteristic of the magnetic field emitted by a residential building supplied with PLC,” in Proc. 5th Int. Power-line Communications and Its Applications Symp., 2001, pp. 265–269. [2] E. Marthe, F. Rachidi, M. Ianoz, and P. Zweiacker, “Indoor radiated emission associated with power line communication systems,” in IEEE Int. Electromagentic Compatibility Symp., pp. 517–520. [3] D. Chaffanjon, G. Duval, M. Meunier, and A. Pacaud, “Differential and common mode propagation in PLC low voltage networks,” IEEE Trans. Power Del., vol. 14, no. 2, pp. 327–334, Apr. 1999. [4] J. R. Wait, “Theory of wave propagation along a thin wire parallel to an interface,” Radio Sci., vol. 7, no. 6, pp. 675–679, Jun. 1972. [5] M. D’Amore and M. S. Sarto, “Simulation models of a dissipative transmission line above a lossy ground for a wide-frequency range. II. Multiconductor configuration,” IEEE Trans. Electromagn. Compat., vol. 38, no. 2, pp. 139–149, May 1996. [6] J. R. Wait, Eletromagnetic Waves in Stratified Media. Piscataway, NJ: IEEE Press, 1996, pp. 21–48. [7] , Electromagnetic Wave Theory. New York: Harper & Row, 1985, pp. 225–255. [8] N. DeMinco, “Medium frequency propagation prediction techniques and antenna modelling for intelligent transportation systems (ITS) broadcast applications,” Nat. Telecommun. Inform. Administration, Aug. 1999. [9] C. R. Paul, “A comparison of the contributions of common-mode and differential-mode currents in radiated emissions,” IEEE Trans. Electromagn. Compat., vol. 31, no. 2, pp. 189–193, May 1989. [10] W. Q. Luo, S. Y. Tan, and B. T. Tan, “Radiated emission model for broad-band power line communications,” presented at the Asia–Pacific Microwave Conf., New Delhi, India, 2004.

3198

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Wu Qiong Luo received the B.S. degree in electronics engineering from the Huazhong University of Science and Technology, Wuhan, China, in 2002, and is currently working toward the Ph.D. degree in electrical and electronic engineering at Nanyang Technological University, Singapore. Her current research interest is radiated-emissionform PLCs.

Soon Yim Tan (M’98) received the B.Eng. degree (with first class honors) in electrical engineering from the University of Malaya, Kuala Lumpur, Malaya, in 1991, and the Ph.D. degree from the Nanyang Technological University, Singapore, in 1996. He is currently an Associate Professor with the School of Electrical and Electronic Engineering, Nanyang Technological University. His research interests are electromagnetic scattering, modeling and measurements of radiated emission from printed circuit boards, propagation models and measurements for mobile communication systems, and PLCs.

Boon Tiong Tan received the Ph.D. degree from the University of Cambridge, Cambridge, U.K., in 1987 He currently heads the Venture Support Unit, National University of Singapore, Singapore. Until 1996, he taught at the National University of Singapore. He then joined Singapore Telecom to head its technology development. In 2000, he joined Mediacorp, where he was involved in its new media business.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

3199

Deembedding of Lossy Foster Networks Darko Kajfez, Life Senior Member, IEEE

Abstract—Data-processing procedures are described, which should be useful for measurement of the radiation factors of small antennas such as microstrip patch antennas or dielectric-resonator antennas. Typical values of radiation factors are quite low, of the order of ten or even smaller. Two equivalent Foster-type networks are proposed, modified for the presence of losses: one for the single resonance behavior, and another for the dual resonance behavior. The individual network elements are determined by optimization. A least squares procedure is described that can provide a good estimate for the starting values of the optimization. Index Terms—Dielectric-resonator antennas, Foster equivalent networks, low- -factor measurement, microstrip antennas, resonators.

I. INTRODUCTION

M

ICROWAVE resonators used in filters and oscillators factor usually have high values of the unloaded (typically of the order of 10 to 10 ). Traditional methods of measuring such high- values assume that the device is measured in the narrow band of frequencies, typically less than 1% [1]–[3]. On the other hand, microwave and RF antennas such as microstrip antennas or dielectric-resonator antennas are the low- devices. Typical values of the radiation factor are usually lower than 100, sometimes even lower than ten. The lower the radiation factor, the wider the operation bandwidth of such an antenna element. It is desirable to measure those low- factors that characterize the wide-band antennas. Typical measurement must be performed over the relative bandwidths of 10% or more. For such moderately wide bandwidths, some of the assumptions used in measuring the high- factors are no longer valid, and one must develop alternative techniques in order to accurately determine the elements in the equivalent network of a low- device. The equivalent network for a single-resonance low- antenna is shown in Fig. 1(a), and for a dual-resonance low- antenna in Fig. 1(b). These networks can be thought of as modifications of the general Foster equivalent network [4], [5, p. 86]. While the original Foster equivalent network contains only reand , , should active elements, the resistances be added in order to account for power loss [6]. The resistance accounts for the losses in the coupling and matching mechanism. The other three resistances represent the power dissipated by the radiation, as well as the inherent power loss in each of the resonators. The transmission line of the length and chartakes care of the physical size of the acteristic impedance

Manuscript received February 25, 2005; revised April 26, 2005. The author is with the Department of Electrical Engineering, The University of Mississippi, University, MS 38677 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855143

Fig. 1. (a) Single-resonance equivalent network. (b) Dual-resonance equivalent network.

coaxial connector, and possibly a microstrip line, located between the calibration reference position [port 1 in Fig. 1(a) and (b)] and the physical input of the antenna (port 2). The transmission line is a distributed-type network and it cannot be easily replaced by equivalent lumped elements. For example, a subminiature A (SMA) coaxial receptacle itself represents approximately one-half wavelength-long transmission line at 10 GHz. The aim of this paper is to determine all the elements in the equivalent network by using the information contained in the input reflection coefficient , measured by the network analyzer over a certain range of frequencies. Section II describes the solution that utilizes the optimization technique. Sections III and IV describe one-step solutions to the lumped part of the equivalent network. The procedures are based on the least squares solution of an overdetermined system of linear equations. Suggestions for practical applications are discussed in Section V.

II. OPTIMIZATION APPROACH The input reflection coefficient is measured at several hundred points over the frequency range of interest. In the network . If some reasonable estianalyzer terminology, is called mates of the individual values of network elements are known,

0018-9480/$20.00 © 2005 IEEE

3200

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

can also be computed by elementary network analysis. The difference between the computed value and the measured value , evaluated over the entire range, is the overall rms error (1) where is the total number of measured points. The above quantity may be used as the objective function of the optimization procedure. For the network shown in Fig. 1(a), there are seven network elements. Their normalized values will be used as optimization variables, denoted to as follows: Fig. 2.

Best fit circle for 20% range around center frequency. TABLE I NETWORK ELEMENT VALUES, FIG. 1(a)

(2) is the center frequency of the measured The frequency frequency range. The length and dielectric constant of the line specify the time delay , where is the velocity of light in and are referenced to center frevacuum. The values quency . The optimization example described below utilizes the data file for a dielectric-resonator antenna measured in the frequency range of 8–13 GHz [7]. With seven optimization variables for a single-resonance network, and ten variables for a dual-resonance network, there is a possibility that the optimization search finds a local minimum that does not adequately describe the physical situation. Therefore, it is important to start the optimization from a reasonably good guess for the element values. For this example, that has been achieved by starting with a narrower frequency range of only 20% around the center frequency to find the best fit circle for this narrower range, as shown in Fig. 2. From this circle approximation, one has computed the estimates of the element values with the fractional linear transformation method described in [3]. Since that method of computing the factor was developed for high- networks, the accuracy of those estimates is limited. Nevertheless, in this particular case, the method has found a reasonably good starting point, and the optimum was afterwards reached in only ten iterations. The initial and final values of network elements are listed in Table I. The input reflection coefficient computed from the optimized network values is shown in Fig. 3 by “ ” symbols. It can be seen that the points agree well with the measured data, which are indicated by “o” symbols. The minimized objective func, which means that the effective error is tion is

Fig. 3. : measured data.

+: computed from equivalent network.

approximately 0.8% of the Smith chart radius. Although the total number of measured points was 801, the data were thinned to only 50 points in order to shorten the optimization time. The same optimization procedure could be performed by some of the commercially available microwave network analysis softwares, such as the Advanced Design System (ADS)1 or Genesis.2 The method of guessing the initial values from which to start the optimization still needs improvement, especially for the dual-resonance equivalent network from Fig. 1(b) that contains 1EEsof

Div., Agilent Technol., Palo Alto, CA. Corporation, Norcross, GA.

2Eagleware

KAJFEZ: DEEMBEDDING OF LOSSY FOSTER NETWORKS

3201

ten network elements. As will be shown in Section III, it is possible to provide excellent estimates by matrix methods so that the optimization procedure can begin from a reliable starting point.

, one obtains the above For each measurement pair of equations. It is recognized that this constitutes a linear equations for seven unknowns – as follows: system of (11)

III. LEAST SQUARES APPROACH, SINGLE RESONANCE It will be demonstrated that the lumped elements of the equivalent network can also be determined quite accurately without any optimization procedure. The solution is based on a least squares procedure for an overdetermined system of linear equations. Next, the computational details will be explained for the single resonant network shown in Fig. 1(a). The normalized impedance looking into port 2 can be expressed as follows:

and are partitioned so that their The column vectors upper rows are related to (9), and the lower rows are related to (10). Explicitly,

(3)

The reflection coefficient at port 2 is then (4) Normalized frequency variable

is defined as (5)

It is numerically convenient to define “loaded” normalized . When brought to a common denomiimpedance nator, this function becomes

(12) Matrix

will be defined as follows: (13)

(6) The system (11) can be expressed as Coefficients – are all real numbers that are expressed in terms of network elements as follows:

(14) is a column vector consisting of elements – . The where overdetermined system is next solved in the least squares sense as folby multiplying both sides of (14) with a transpose of lows:

.. . (7)

In that way, one obtains the 7

7 matrix

The loaded impedance is next divided into its real and imaginary parts (8)

(15)

(16) and the seven-element vector (17)

From (6) and (8), it follows that (9) (10)

so that the unknown coefficients are found from (18)

3202

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Using relations from (7), it is now possible to compute the network element values in terms of coefficients – as follows:

(19) , If the transmission line in Fig. 1(a) had a length of zero the least squares procedure described above could replace the optimization procedure, thus providing the element values in one single inversion of a 7 7 real, symmetric matrix. However, when the length is finite, one must first transform the measured reflection coefficient from ports 1 to 2 as follows: (20) where the phase shift

is a linear function of frequency (21)

The loaded impedance is then evaluated by (22) and the least squares procedure is applied as described above. Unfortunately, the time delay needed for this transformation is one of the unknown network elements. When is larger than 0.1 wavelengths, the time delay can significantly alter the element values obtained by the least squares procedure. The actual value of can be roughly estimated from the physical dimensions of the connector and/or the transmission line leading to port 2, but the precise value must be obtained by spanning the probable range of in small increments, computing the corresponding least squares solutions for the equivalent network and observing which length gives the best fit with the measured data. For the measured data file from Section II, the time delay was divided in 200 increments between 0–100 ps. For each value, the least squares solution is computed, and the corresponding is computed from (6). The reflection coefficient is then computed from (20)–(22) and the rms error between the computed and measured data is plotted on a logarithmic scale, as shown in Fig. 4. It can be seen that a distinct minimum occurs at ps. The element values that correspond to this length are given in the last column of Table I. A close agreement with optimized values is obtained for all elements, except the series resistance , which comes out to be a small, but negative value. For a passive antenna, this result is wrong and is probably caused by random and systematic errors in the measured data. The overall

Fig. 4. Logarithmic plot of 10

versus t for the single-resonance network.

inerror of the least squares procedure is stead of the value 0.008 205 obtained in Section II by the optimization approach. With such a small difference in the objective function, one cannot reasonably expect to obtain a more accurate value of by refining the search for . Nevertheless, the least squares procedure has resulted in a reliable initial set of values for all elements in the equivalent network. These values can be used as a start for the optimization procedure. On a present-day personal computer, the described least squares procedure, which involves an inversion of a 7 7 real matrix, even when repeated 200 times in searching for the best time delay, takes less than 1 s. Thus, it is relatively simple to be implemented. There is, however, a numerical inconsistency in computing seven coefficients – for an equivalent network with only six lumped elements. There exists some hidden interdependence between the seven coefficients, which has not been fully taken into account in the described least squares procedure. For instance, it is possible to obtain negative values for some elements. For this reason, the least squares results should only be used as a reasonable first guess of the equivalent network, which must be subsequently improved by optimization. IV. LEAST SQUARES APPROACH, DUAL RESONANCE The equivalent network in Fig. 1(b) is appropriate for the antennas that are made to operate over an increased bandwidth by utilizing dual resonance. The examples of such a design are stacked microstrip patches [8] or stacked dielectric-resonator antennas [9]. Typically, the amplitude of the reflection coefficient displays a double minimum as a function of frequency. When the difference between the two resonant frequencies is small, the problem cannot be treated as two separate single resonators, but it must instead be treated as a dual resonator. Suppose that both resonators have the same radiation factor, . According to [10], a strong interaction becomes apparent when

(23)

KAJFEZ: DEEMBEDDING OF LOSSY FOSTER NETWORKS

3203

When the above inequality is satisfied, the impedance locus displays a cusp or a loop, and the data processing must take into account such a dual-resonator behavior. The normalized impedance for the lumped-element part of the equivalent network (to the right of port 2) is

TABLE II NETWORK ELEMENT VALUES, FIG. 1(b)

(24)

Using the normalized frequency variable impedance becomes

, the loaded

(25) In an analogous manner, as in Section III, the impedance is divided into its real and imaginary parts, and the coefficients – are found by the least squares procedure. However, the individual coefficients are such complicated expressions in , , , etc.) that terms of the network elements ( , a direct evaluation of the network elements, as in the single resonance case, is not practical. A more convenient approach is to decompose a rational function into partial fractions as follows. First, normalized frequency variable is replaced by a complex (normalized) frequency variable . After multiplying both the numerator and denominator of (25) by , the loaded impedance becomes a rational function as follows:

(26) Coefficients – have all been evaluated by the least occur at zeros squares procedure. The poles of function of the denominator. For a polynomial of the fifth order, there will be two pairs of complex conjugate poles: and , and , and the obvious fifth one at the origin . A partial fraction decomposition of (26) is

(27) As the power of the numerator in (25) is higher than the power and can be obtained by of the denominator, constants long division. The poles should be evaluated numerically. Finally, the residues may be obtained by comparing the behavior of (27) with (26) as approaches each of the poles. Instead of performing these operations by hand, one can use computer routines that perform this decomposition and deliver constants – , – , and and such as [11, p. 194].

The computation of network element values proceeds as follows. The three elements that can be determined by inspection are (28) The next two elements are the (unloaded) resonant frequencies of the two resonators. They are proportional to the absolute and values of poles (29) The multiplier is needed because during the computation, the frequency was normalized to the center frequency. The (unloaded) factors are [12, Sec. 4.1] (30) Finally, the normalized resistances of the two parallel resonant circuits are evaluated as follows: (31) To validate the described procedure, the equivalent network in Fig. 1(b) will be considered, having the starting element values such as given in Table II. For computational convenience, the and are referenced to the frequency 10 GHz. The values input reflection coefficient of this network is next computed for the frequency range of 8–12 GHz, and these data are used to . In the same way as in Section simulate the measured data III, the least squares procedure is repeatedly performed for various assumed transmission-line time delays between 0–100 ps. is computed and For each time delay , the difference plotted in Fig. 5. A distinct minimum is found at the correct value of 25 ps. The recovered network element values closely agree with the ideal values, as can be seen in Table II and Fig. 5. points The Smith chart in Fig. 6 shows the “measured” points ( symbols). The agree(circles) and the computed ment is seen to be perfect. In this example, only 50 points have

3204

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 5. Logarithmic plot of 10

Fig. 8. Dual-resonance example with noise. versus t for the dual-resonance network.

It is seen that the largest errors occur in the series elements , , and . The corresponding Smith chart for the data with noise is shown in Fig. 8. For these simulated data, the noise is assumed to be much larger than the one typically observed with a properly calibrated network analyzer. Nevertheless, the least squares approach proves to be numerically stable, providing useful results. V. APPLICATIONS A. Combining the Least Squares and Optimization Procedures

Fig. 6.

Dual-resonance example with noise.

Fig. 7. Logarithmic plot of 10 input data.

versus t when the noise is added to the

been used to avoid crowding of the illustration. A typical measurement with the network analyzer would contain 201 or more points. Next, 2% random noise was added to the simulated reflection coefficient data. Fig. 7 shows that now the search for the correct transmission line length indicates a less pronounced minimum. instead of 1.4 10 for The minimum value is the case without noise. The element values obtained from the noisy data are also listed in Table II.

By observing the behavior of the input reflection coefficient as a function of frequency, it is relatively easy to decide whether the device-under-test exhibits a single or dual resonance. One can then decide whether a single- or a dual-resonance least squares procedure should be used for determining the first estimate of the element values in the equivalent network. As it was demonstrated by the examples in Sections III and IV, these values will probably be very close to the best-fit values. The optimization is then performed using these values as a starting point. If the least squares procedure resulted in a negative element value, that value should be set to zero at the start of the optimization. The optimized results for the element values should be prohibited from becoming negative. Optimization programs usually have an option to leave certain variables fixed, while others are being varied. It is reasonable to expect that, in Fig. 1(a), the elements that store the energy, like , , and , are interdependent with the value of , whereas the elements that dissipate the power like , , and are not. Therefore, one can fix the values of the latter three elements while the former ones are optimized and afterwards keep the former elements fixed while the latter three are optimized. B. Reflection-Type

-Factor Measurement

Typical simplification implied in the measurement of tors larger than 100 is

fac-

(32) Due to this simplification, traditional measurement methods do not provide accurate answers when applied to low- circuits,

KAJFEZ: DEEMBEDDING OF LOSSY FOSTER NETWORKS

3205

which is the reason why the least squares and optimization procedures must be used to increase the accuracy, but, is it possible to improve the accuracy of the high- measurements by applying the low- methods described here? The answer to this question can be affirmative, especially when either the transmission-line time delay or the series resistance become significant. For instance, consider a network in Fig. 1(a), when the transmission line is ten wavelengths long. For the center frequency of 1 GHz, the time delay is 10 ns. The other network elements ; 2) ; 3) ; 4) ; are: 1) . Fifty points are computed for a simulated data and 5) file without any noise. Applying the least squares procedure to these data, and spanning the time delay 9–11 ns by 201 points, the recovered value of the time delay is 10.0 ns and the corre. The traditional -factor sponding unloaded is recovery procedure, using the same data, results in [3, p. 147]. Thus, by finding the correct time delay of the transmission line, the least squares procedure was capable of reducing the uncertainty of the -factor measurement by several orders of magnitude. C. Radiation Efficiency Measurements For small antennas, a convenient method of measuring the radiation efficiency is to determine the unloaded factors when the antenna is radiating and again later when it is covered by a conductive cap [13]. The radiation efficiency at the resonant frequency is then computed from the following [14]: (33) Typical values of the radiation factor are quite low so that the traditional methods of determining the unloaded are not very useful. On the other hand, the least squares procedure is well suited for determining the low- factors. Furthermore, it was demonstrated in [7] that by using an optimization procedure such as in Section II, the radiation efficiency can even be displayed as a function of frequency. The least squares procedure may again be advantageous in providing the starting point of the optimization by which the entire equivalent network is determined. ACKNOWLEDGMENT The author acknowledges research assistant I. Eshrah, Department of Electrical Engineering, University of Mississippi,

University, who helped perform an independent verification of optimization results by using the ADS software . REFERENCES [1] E. L. Ginzton, Microwave Measurements. New York: McGraw-Hill, 1957. [2] M. Sucher, “Measurement of ,” in Handbook of Microwave Measurements, M. Sucher and J. Fox, Eds. New York: Wiley, 1963, vol. 2. [3] D. Kajfez, Q Factor. Oxford, MS: Vector Forum, 1994. [4] R. M. Foster, “A reactance theorem,” Bell Syst. Tech. J., vol. 3, pp. 259–267, Apr. 1924. [5] A. Budak, Passive and Active Network Analysis and Synthesis. Boston, MA: Houghton Mifflin, 1974. [6] R. Beringer, “Resonant cavities as microwave circuit elements,” in Principles of Microwave Circuits, C. G. Montgomery, R. H. Dicke, and E. M. Purcell, Eds. New York: Dover, 1965. [7] D. Kajfez and A. A. Kishk, “Radiation efficiency of dielectric resonator antenna based on Foster-type equivalent circuit,” in Proc. Antenna Measurement Techniques Association (AMTA) Symp., Atlanta, GA, Oct. 17–22, 2004, pp. 106–111. [8] K. F. Lee, W. Chen, and R. Q. Lee, “Probe-fed microstrip antennas,” in Advances in Microstrip and Printed Antennas. New York: Wiley, 1997, ch. 1. [9] A. A. Kishk, X. Zhang, A. W. Glisson, and D. Kajfez, “Numerical analysis of stacked dielectric resonator antennas excited by a coaxial probe for wide-band applications,” IEEE Trans. Antennas Propag., vol. 51, no. 8, pp. 1996–2006, Aug. 2003. [10] D. Kajfez, “Dual resonance,” Proc. Inst. Elect. Eng., pt. H, vol. 135, no. 2, pp. 141–143, Apr. 1988. [11] D. Hanselman and B. Littlefield, Mastering Matlab 5. Upper Saddle River, NJ: Prentice-Hall, 1998. [12] R. Schauman and M. E. Van Valkenburg, Design of Analog Filters. New York: Oxford Univ. Press, 2001. [13] H. A. Wheeler, “The radian sphere around a small antenna,” Proc. IRE, vol. 47, pp. 1325–1331, 1959. [14] R. K. Mongia, A. Ittipibon, and M. Cuhaci, “Measurement of radiation efficiency of dielectric resonator antennas,” IEEE Microw. Guided Wave Lett., vol. 4, no. 3, pp. 80–82, Mar. 2002.

Q

Darko Kajfez (SM’67–LS’98) received the Dipl.Ing. degree in electrical engineering from the University of Ljubljana, Ljubljana, Slovenia, in 1953, and the Ph.D. degree from the University of California at Berkeley, in 1967. He is an Emeritus Professor of Electrical Engineering with the University of Mississippi. He coedited Dielectric Resonators (Norwood, MA: Artech House, 1986; Oxford, MS: Vector Forum, 1990; Tucker, GA: Noble, 1998), authored the three-volume graduate textbook Notes on Microwave Circuits (Oxford, MS: Vector Forum, 1984, 1986, 1988), and the monograph Q Factor (Oxford, MS: Vector Forum, 1994). His research interests include RF and microwave measurement and analysis.

3206

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

A Novel Fully Integrated Transmitter Front-End With High Power-Added Efficiency Hyungrak Kim, Ick-Jae Yoon, and Young Joong Yoon, Member, IEEE

Abstract—A novel fully integrated transmitter front-end with a simple structure is proposed to obtain both high power-added efficiency (PAE) and a compact RF-front structure. To have all these characteristics, a novel antenna operating as a radiator, a harmonic tuning circuit, and an output matching network of the power amplifier is proposed. Therefore, the direct integration of the output of the power amplifier and antenna can be achieved without any impedance transformers. From the measured results and fabrication, it is shown that the proposed transmitter front-end provides high PAE of 67.5% and compact and integrated RF-front structure by the size reduction of 43% compared with the conventional class-F active antenna for high PAE. Index Terms—Direct integration, fully integrated transmitter front-end, harmonic tuning, power-added efficiency (PAE), power amplifier.

I. INTRODUCTION

I

N WIRELESS communication systems, the importance of the power-added efficiency (PAE) of the power amplifier has been emphasized since the next-generation advanced wireless communication systems require low power consumption, and the largest part of dc power is practically consumed by the power amplifier. To maximize its PAE, many approaches on the power amplifier itself have been carried out in the past [1]–[4]. This power amplifier with high PAE is discretely connected with an antenna, as shown in Fig. 1(a). Therefore, these structures cause the increase of size, complex structure, and difficulty of design of compact RF front-ends due to no integration with antennas. To improve these disadvantages, the active integrated antenna (AIA) shown in Fig. 1(b) was recently proposed [5]–[8]. The AIA is defined as active circuits associated with antennas or antennas integrated with active components, which provides good PAE and compact RF front-ends. The general AIA is composed of the power amplifier, output matching network of the power amplifier, and antenna with a harmonic tuning characteristic. In the AIA structure, the antenna operates as both a radiator and tuning network to reactively tune the harmonics at the output of the amplifier. Therefore, it is a simpler structure than the conventional class-F high PAE active antenna shown in Fig. 1(a). However, the additional output matching network of the power amplifier is always needed for impedance matching with an antenna, which causes the increase of size and insertion loss and the decrease of PAE for the AIA system. Therefore, a study on

Manuscript received February 28, 2005; revised May 6, 2005. The authors are with the Department of Electrical and Electronic Engineering, Yonsei University, Seoul 120-749, Korea (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855142

Fig. 1. Configurations of: (a) a conventional class-F active antenna for high PAE, (b) an AIA, and (c) a novel fully integrated transmitter front-end.

the direct impedance matching between the power amplifier and antenna is required. To obtain the maximum PAE of the AIA, the designs of harmonic-tuned antennas with reactively terminated harmonics have been presented [5]–[12]. In these approaches, shorting pin, dual-feed microstrip and slot antennas, microstrip antennas and filters based on photonic bandgap (PBG), and a circular sector microstrip antenna were introduced. However, these techniques provided ripple and insertion loss around the harmonic frequencies and also needed long feed networks to match impedance with the power amplifier or add bandstop function. These characteristics cause the reduction of PAE and increase of size in RF front-ends. To improve these problems, the modified slot antennas including conductor lines connected with a ground plane inside the rectangular slot radiator were proposed [13]. In this paper, a novel fully integrated transmitter front-end using the direct integration between the power amplifier and antenna is proposed to obtain high PAE, low system loss, and a compact RF-front structure. The configuration of the proposed novel transmitter front-end is shown in Fig. 1(c). Also, for the

0018-9480/$20.00 © 2005 IEEE

KIM et al.: NOVEL FULLY INTEGRATED TRANSMITTER FRONT-END WITH HIGH PAE

Fig. 2.

DC characteristics. (a) V

versus I

and (b) V

versus I

3207

of MWT-871HP power GaAs FET.

direct integration, the highly functional antenna operating as a radiator, harmonic tuning networks, and the output matching network of the power amplifier is proposed. II. DESIGN OF THE PROPOSED NOVEL FULLY INTEGRATED TRANSMITTER FRONT-END A. Design of the Power Amplifier for High PAE Basically, a class-F power amplifier with B biasing is used in this study, which provides ideally output efficiency of 100% [4]. For the class-F power amplifier, the output network is lossless, and no harmonic power can be generated by the power transistor since harmonic tuning networks suppress the harmonic power transmitting to the load. Since the antenna part in this study operates as a radiator, harmonic tuning networks, and the output matching network of the power amplifier, the design of the power amplifier is just considered to determine the bias condition. The selected power transistor is a Microwave Technology MWT-871HP power GaAs field-effect transistor (FET), which is modeled within the Advanced Design System (ADS). For the maximum PAE of the power amplifier, the drain voltage is bi, ased to 4.5 V with the drain current of approximately 9% as shown in Fig. 2. The simulation of the power amplifier is carried out by using the ADS. B. Highly Functional Antenna Design for High PAE In the initial design, a slot-type antenna is considered as the most suitable antenna for this study since it has a low-profile characteristic, and also the input impedance of the antenna can be easily controlled by the modification of the slot shape and open stub length. In [13], it was presented that the higher harmonics including the second and third harmonics are effectively suppressed with the characteristic of no ripple and very low insertion loss, and also the input impedances at the second and third harmonic frequencies could be freely tuned, which is suitable for the integration with class-B power amplifiers. However, this antenna cannot be fully integrated with RF active circuits providing the highest PAE, such as class-F power amplifiers. In this study, the full integration between the class-F power amplifier and an antenna is carried out. In the class-F power-amplifier

design, the harmonic tuning networks with the characteristics of short and open input impedances at the even and odd harmonic frequencies, respectively, are required [4]. Therefore, to integrate directly with the class-F power amplifier, the antenna must have the characteristic of the harmonic tuning network of the class-F power amplifier. The minimization of ripple and insertion loss around the second and third harmonic frequencies of the antenna should also be considered to decrease PAE and gain of the system. To satisfy all these points, the rectangular slot-type harmonictuned antenna [13] is modified. The antenna is designed on the substrate with 0.5-oz copper, 20-mil substrate height, and a dielectric constant of 2.5. The top- and side-view lumped-element equivalent circuit model for the slot radiator part and total equivalent circuit of the proposed rectangular slot-type harmonic-tuned antenna used in this study are presented in Fig. 3(a)–(d), respectively. This rectangular slot-type harmonic-tuned antenna is basically designed to have a wide-bandstop characteristic at the harmonic bands including the second and third harmonic frequencies. To obtain these characteristic, a double spur line connected with a ground plane is inserted inside the rectangular slot-type radiator, as shown in Fig. 3(c). The double spur line consists of two single spur lines. When the conductor line with length and gap in a single spur-line part are modeled as and capacitance , respectively, the an inductance equivalent circuit of the double spur line can be expressed as a , as shown in Fig. 3(d), and then shunt-series resonator the half factor of the single spur line is obtained [14]. This means the double spur line provides two times the wide-bandstop characteristic than the single one. For this reason, the rectangular slot-type harmonic-tuned antenna has the wide-bandstop characteristic at the harmonic bands including the second and third harmonic frequencies. The structure of the proposed antenna is based on the conventional rectangular slot antenna, which can be modeled by composition of two parallel shorted-slot lines, and the equivalent circuit of the rectangular slot antenna including feed line was presented in [15] and [16]. In the case of the proposed rectangular slot-type harmonic-tuned antenna shown in Fig. 3(d),

3208

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 3. (a) Top- and (b) side-view (c) lumped-element equivalent-circuit model for the slot radiator part. (d) Total equivalent circuit of the proposed rectangular slot-type harmonic-tuned antenna.

the new impedance of the slot radiator at the input of the transformer can be written as follows: (1) where the value is the turn number. As shown in Fig. 3, the proposed rectangular slot-type harmonic-tuned antenna is composed of the conventional rectangular slot antenna and a double spur line in the rectangular slot connected with a ground plane to achieve wide-band harand gap bemonic suppression. The conductor line tween the conductor line and ground plane can be modeled as with a wide-bandstop chara shunt-series resonator acteristic over the second and third harmonic frequencies, and its equivalent circuit can be inserted inside the slot radiator, as shown in Fig. 3(d). Therefore, the new input impedance of the can be expressed as slot radiator (2)

and are the conductance and susceptance of the where slot radiator given by [15], respectively. of the open-ended microstrip line The input impedance can also be written as (3) and are the conductance and susceptance of the where open-ended microstrip line given by [15], respectively. Using the input impedance formula, the input impedance of the microstrip open stub can be obtained as follows: (4) where and is the characteristic impedance and length of the microstrip line, respectively. Therefore, new total input of the rectangular slot-type harmonic-tuned animpedance tenna can be expressed as follows: (5) The next steps are harmonic tuning for open impedance at the third harmonic frequency and the intended mismatching of the

KIM et al.: NOVEL FULLY INTEGRATED TRANSMITTER FRONT-END WITH HIGH PAE

Fig. 4.

3209

Simulated: (a) real and (b) imaginary values of input impedances for L in Fig. 3(a).

Fig. 5. (a) Load–pull simulation for the maximum PAE of the power amplifier. (b) Simulated input impedances (Z frequency for the variation of L .

input impedance at the fundamental resonant frequency of antenna part for the direct integration with the power amplifier. To achieve these characteristics in the antenna part, we change the of feed line and open stub length of the rectlength angular slot-type harmonic-tuned antenna shown in Fig. 3(a). Fig. 4 shows the variations of the input impedance of the antenna as the variations of . The variations of the real value of from 3.9 to 5.9 mm are shown in the input impedance for of 0.95 mm, of 5 mm, of 0.3 mm, and Fig. 4(a) with of 0.2 mm, where , , and are the length of the conductor line connected with a ground plane in the slot radiator, the width of the conductor line in the slot radiator, and the width between the conductor line connected with a ground plane in the slot radiator and ground plane, respectively. Real values of the input impedances at the fundamental and second harmonic frechanges. The important point quencies are hardly changed as is the variations of the values at the third harmonic frequency. The initial value of Fig. 4(a) at the third harmonic frequency

) of the antenna at the fundamental

is 3.9 mm. In the case with of 4.9 mm, is 2.7 when the value approaches to 330 , and it is then decreased again when is longer than 4.9 mm. This characteristic is due to the increased electrical length as the feed-line length is increased. The best simulated result with the highest real value of the input of 4.9 mm. impedance at the third harmonic frequency is Therefore, the best important design factor in real values of the input impedance is the value at the third harmonic frequency. also has influence on the imaginary value The variation of of the input impedance, as shown in Fig. 4(b). Therefore, imaginary values at the second and third harmonic frequencies should be considered together, which shows the tradeoff of the design for the direct integration with the class-F power amplifier. At the third harmonic frequency, the initial value of Fig. 4(b) is is 3.9 mm. In the case with of 4.9 mm, the 80 when value approaches to 800 , and it is then gradually moved to is longer than 4.9 mm. However, the increase of zero when also causes the growth of the imaginary value at the second

3210

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 6. Simulated PAE and P for the variations of: (a) L , (b) L , (c) W , and (d) W in Fig. 3(a).

harmonic frequency, which means it is gradually different from the characteristic of perfect short input impedances at the second harmonic frequency. Therefore, the tradeoff in the design to satisfy the characteristic of short and open input impedances at the second and third harmonic frequencies is needed. In this study, of 4.9 mm with imaginary values of 8.5 and 800 at the second and third harmonic frequencies, respectively, is selected. Fig. 5(a) shows the load–pull simulation to obtain the maximum PAE of the power amplifier at the fundamental frequency. The simulated maximum PAE is 64%, and the value of the ) for the maximum PAE is . Therepoint ( fore, to achieve the direct integration for the maximum PAE between the power amplifier and antenna, the intended mismatching of the input impedance of the antenna at the fundamental frequency is needed. To easily control this characterof 4.9 mm, istic, we change , shown in Fig. 3(a), with of 5 mm, of 0.3 mm, and of 0.2 mm. Fig. 5(b) shows at the the variation of the simulated input impedances fundamental frequency for . The initial value of Fig. 5(b) when is 1.15 mm. As gradually deis

creases, the input impedance point at the fundamental frequency point, as shown in Fig. 5(a). In the is moved to near the of 0.95 mm, the value closely approaches . At case with is obtained. When that time, the value of is shorter than 0.95 mm, the value of input impedance of the . Therefore, the opantenna is gradually mismatched from is 0.95 mm. timized value of Fig. 6 shows the simulated PAE and transmitting power for the variations of , , , and at 5.5 GHz. Other mm, optimized parameters are as follows; mm, and mm. from 0.75 The variations of the simulated PAE and for to 1.15 mm are shown in Fig. 6(a) with of 5.0 mm, of 0.3 mm, and of 0.2 mm. The simulated PAE is rapidly changes, which is due to the changed from 43% to 65% as , as shown in Fig. 5(b). However, for the case variation of with the simulated , the variation within 1 dBm is observed. is 0.75 mm, the initial PAE and is 43% and When of 0.95 mm, PAE 24.5 dBm, respectively. In the case with approach to 65% and 25.7 dBm, respectively. The PAE and

KIM et al.: NOVEL FULLY INTEGRATED TRANSMITTER FRONT-END WITH HIGH PAE

Fig. 7.

Simulated Z

3211

Fig. 8. Measured P versus P of the proposed transmitter front-end and conventional class-F active antenna for high PAE. of the proposed transmitter front-end.

is then decreased again, but is hardly changed. Therefore, of 0.95 mm is the best simulated result. for from 4.8 The variations of the simulated PAE and of 0.95 mm, of to 5.2 mm are shown in Fig. 6(b) with of 0.2 mm. For the variation of , it is ob0.3 mm, and are changed within 0.8% served that the simulated PAE and and 0.5 dBm, respectively. This means that the variation of almost never has influence on the variation of . In the case of 5 mm, the best simulated PAE with of 25.7 dBm with is obtained. from 0.1 The variations of the simulated PAE and for of 0.95 mm, of to 0.5 mm are shown in Fig. 6(c) with of 0.2 mm. In the case with mm, it 5.0 mm, and is found that the simulated PAE and are gradually increased gradually increases. However, they rapidly decrease for as mm. The best simulated PAE with of 25.7 dBm is of 0.3 mm. obtained in the case with The variations of the simulated PAE and for from 0 of 0.95 mm, of to 0.4 mm are shown in Fig. 6(d) with of 0.3 mm. The simulated PAE and are 5.0 mm, and from 0.1 to 0.3 mm, the simvery rapidly changed. For ulated PAE and are changed from 40% to 65% and from from 0.1 24.2 to 25.7 dBm, respectively. For the outside of are obto 0.3 mm, more rapid variations of the PAE and is the most sensitive parameter in served. From this result, the fabrication of the proposed transmitter front-end. When is 0.2 mm, the best simulated PAE and are obtained. C. Direct Integration of the Power Amplifier and Antenna The final step for a novel fully integrated transmitter front-end is the direct integration of the power amplifier and the proposed of the proposed transantenna. Fig. 7 shows the simulated mitter front-end shown in Fig. 1(c). The simulated impedances at the fundamental, second, and third harmonic frequencies are , , and , respectively. Here, is to the impedance point at the fundamental frequency of achieve conjugate matching with the selected power amplifier

(MWT-871HP power GaAs FET) biased in the given condition. The simulated impedances at the second and third harmonic frequencies nearly approach to short and open impedances, respectively, which means the proposed antenna provides the role of harmonic tuning networks of the class-F power amplifier. III. EXPERIMENTAL RESULTS AND DISCUSSION The experiment setup of the proposed transmitter front-end is different from that of a conventional power amplifier since the amplifier and antenna are fully integrated as one RF circuit. Therefore, the measurement should be calibrated by using the Friis transmission equation [17]. versus Fig. 8 shows the measured transmitting power input power of the proposed transmitter front-end and conventional class-F active antenna for high PAE. Both of two curves are linearly increased and then saturated at of around 27 dBm. This means that power amplifiers used in the proposed transmitter front-end and conventional class-F active antenna for high PAE have nearly the same bias condition. From this point, the accurate comparison of the performances between two structures can be carried out. of the proposed Fig. 9 shows the measured PAE versus transmitter front-end and conventional class-F active antenna for high PAE, respectively. The PAE is measured at the broadside direction of the antenna, and the fundamental frequency of each antenna is 5.5 GHz. The maximum measured PAE of the and of 18 and proposed transmitter front-end is 67.5% at 27.23 dBm, respectively, which is approximately 3.5% higher than that of the conventional class-F active antenna for high PAE . The reason is due to the decrease of insertion at the same loss by the direct integration of power amplifier and antenna. In of 18 dBm is 0.2 dB. Fig. 8, the difference of two curves at This is the insertion loss of the output coupled-line dc block of the conventional class-F active antenna for high PAE. The measured insertion loss of the fabricated output coupled-line dc block itself is also 0.21 dB, and it agrees well with the insertion of 18 dBm shown in Fig. 8. Therefore, it is reasonable loss at that the maximum measured PAE of the proposed transmitter

3212

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 9. Measured PAE versus P of the proposed transmitter front-end and conventional class-F active antenna for high PAE.

Fig. 11. Measured gain versus frequency of the highly functional antenna in the proposed transmitter front-end.

Fig. 10. Measured PAE and P versus frequency of the proposed transmitter front-end.

front-end is 3.5% higher than that of the conventional class-F of 18 dBm. active antenna for high PAE at Fig. 10 shows the measured PAE and versus frequency of the proposed transmitter front-end. This measurement is carried of 18 dBm. The measured bandwidths of PAE higher out at than 50% and with variation within 3 dBm for the peak are 440 MHz (from 5.18 to 5.62 GHz) and 550 MHz (from 5.13 to 5.68 GHz), respectively. At 5.5 GHz, the best measured results of 27.23 dBm) are obtained. (PAE of 67.5% and Fig. 11 shows the measured gain versus frequency of the highly functional antenna in the proposed transmitter front-end. The measured gain of the proposed highly functional antenna is changed within 2.23 dBi at the band from 5.1 to 5.8 GHz. The proposed transmitter front-end provides not only high PAE and compact RF-front structure, but also suppressed harmonics. Fig. 12 shows the measured - and -plane radiation patterns of the proposed transmitter front-end at the fundamental, second, and third harmonic frequencies, respectively. of This measurement is carried out at the condition (i.e.,

Fig. 12. Measured radiation patterns at the fundamental and harmonic frequencies (5.5, 11, and 16.5 GHz) of the proposed transmitter front-end.

18 dBm and 5.5 GHz) with maximum PAE. For the normalized peak power of the fundamental frequency, the second and third harmonic radiations of the proposed transmitter front-end are less than 40 and 50 dB in all directions, respectively. This means the highly functional antenna in the proposed transmitter front-end has excellent harmonic suppression characteristic without any harmonic tuning circuits. Fig. 13(a) and (b) shows the top and bottom views of the fabricated novel transmitter front-end and conventional class-F active antenna for high PAE, respectively. The proposed transmitter front-end is shorter than the conventional class-F active antenna for high PAE. Therefore, the proposed active antenna has the compact structure by size reduction and direct integration between the power amplifier and antenna. The configuration of bias lines is also changed, which means bias lines of the proposed transmitter front-end must be bent since the conventional bias lines of conventional class-F active antenna for

KIM et al.: NOVEL FULLY INTEGRATED TRANSMITTER FRONT-END WITH HIGH PAE

Fig. 13. figure).

3213

(a) Top and (b) bottom views of the fabricated proposed transmitter front-end (upper figure) and conventional class-F active antenna for high PAE (lower

high PAE shown in Fig. 13(a) cause the additional size increase and limitation of the space for the direct integration between the power amplifier and proposed harmonic-tuned slot radiator. Also, when the proposed transmitter front-end is arrayed, it can be expected from the size reduction and direct integration characteristic of the proposed transmitter front-end that advantages, such as the suppression of undesired spurious radiation of antenna, low system loss, and compact structure of transmitter front-ends are obtained. IV. CONCLUSION In this paper, a novel fully integrated transmitter front-end to obtain both high PAE and a compact RF-front structure has been proposed and demonstrated. To achieve these characteristics, the direct integration approach between the power amplifier and an antenna is studied through direct impedance matching by changing the length of the open stub and feed line of the modified slot antenna with a conductor line connected with ground plane inside the slot radiator. From the measured results and fabrication, high PAE of 67.5% and the compact RF-front structure by a size reduction of 43% compared with the conventional class-F active antenna for high PAE have been obtained, which shows that the validation of the proposed fully integrated transmitter front-end is verified. REFERENCES [1] D. M. Snider, “A theoretical analysis and experimental confirmation of the optimally loaded and overdriven RF power amplifier,” IEEE Trans. Electron Devices, vol. ED-14, no. 12, pp. 851–857, Dec. 1967.

[2] B. Ingruber, W. Pritzl, D. Smely, M. Wachutka, and D. Magerl, “High-efficiency harmonic-control amplifier,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 6, pp. 857–862, Jun. 1998. [3] S. Toyoda, “High efficiency single and push–pull power amplifiers,” in IEEE MTT-S Int. Microwave Symp., vol. 1, Jun. 1993, pp. 277–280. [4] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 1999. [5] V. Radisic, Y. Qian, and T. Itoh, “Novel architecture for high-efficiency amplifiers for wireless applications,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1901–1909, Nov. 1998. [6] V. Radisic, S. T. Chew, Y. Qian, and T. Itoh, “High efficiency power amplifier integrated with antenna,” IEEE Microw. Guided Wave Lett., no. 2, pp. 39–41, Feb. 1997. [7] W. R. Deal, V. Radisic, Y. Qian, and T. Itoh, “Integrated-antenna push–pull power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1418–1425, Aug. 1999. [8] V. Radisic, Y. Qian, and T. Itoh, “Broadband power amplifier integrated with slot antenna and novel harmonic tuning structure,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Jun. 1998, pp. 1895–1898. [9] P. S. Hui and A. Alphones, “Microstrip patch antenna with annular ring PBG,” in Proc. Asia–Pacific Microwave Conf., Dec. 2000, pp. 1347–1351. [10] Y. Horii and M. Tsutsumi, “Harmonic control by photonic bandgap on microstrip patch antenna,” IEEE Microw. Guided Wave Lett., vol. 9, no. 1, pp. 13–15, Jan. 1999. , “Wide band operation of a harmonically controlled EBG mi[11] crostrip patch antenna,” in IEEE AP-S Int. Symp., vol. 3, Jun. 2002, pp. 768–771. [12] V. Radisic, Y. Qian, and T. Itoh, “Class F power amplifier integrated with circular sector microstrip antenna,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 1997, pp. 687–690. [13] H. Kim, K. S. Hwang, K. Chang, and Y. J. Yoon, “Novel slot antennas for harmonic suppression,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 6, pp. 286–288, Jun. 2004. [14] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [15] H. G. Akhavan and D. Mirshekar-Syahkal, “A simple technique for evaluation of input impedance of microstrip-fed slot antennas,” in Proc. 9th Int. IEEE AP-S Conf., vol. 1, Apr. 1995, pp. 265–268.

3214

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

[16]

, “Approximate model for microstrip fed slot antennas,” Electron. Lett., vol. 30, pp. 1902–1903, Nov. 1994. [17] C. A. Balanis, Antenna Theory: Analysis and Design, 2nd ed. New York: Wiley, 1997.

Hyungrak Kim received the B.S. degree in information and communication engineering from Soonchunhyang University, Asan, Korea, in 2000, the M.S. degree in electrical and electronic engineering from Yonsei University, Seoul, Korea, in 2002, and is currently working toward the Ph.D. degree at Yonsei University. Since 2000, he has been a Research Assistant with Yonsei University. His research interests are active antennas, ultra-wideband RF front-end systems, and RF circuits.

Ick-Jae Yoon received the B.S. degree in electrical and electronic engineering from Yonsei University, Seoul, Korea, in 2003, and is currently working toward the M.S. degree at Yonsei University. His research interests are active antennas, ultra-wideband RF systems, and RF circuits.

Young Joong Yoon (M’93) received the B.S. and M.S. degrees in electronic engineering from Yonsei University, Seoul, Korea, in 1981 and 1986, respectively, and the Ph.D. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 1991. From 1992 to 1993, he was a Senior Researcher with the Electronics and Telecommunications Research Institute (ETRI), Daejeon, Korea. In 1993, he joined the faculty of Yonsei University, where he is currently a Professor with the Department of Electrical and Electronics Engineering. His research interests are antennas, RF devices, and radio propagations.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

3215

Modeling the Capacitive Nonlinearity in Thin-Film BST Varactors David R. Chase, Member, IEEE, Lee-Yin Chen, Member, IEEE, and Robert A. York, Senior Member, IEEE

Abstract—A simple closed-form expression for the dielectric nonlinearity in thin-film high-permittivity barium strontium titanate (BST) devices is obtained from a third-order power-series expansion for the field-polarization relation. The expression is parameterized in terms of easily measurable quantities of zero-field capacitance and tuning ratio, and compares favorably with data on several representative BST compositions and device sizes. The temperature dependence of the capacitors is treated using a simple linear temperature coefficient in the zero-field capacitance that also compares favorably with experimental data on BST capacitors. The influence of interfacial (“dead” layer), fringing, and parasitic shunt capacitance on the experimental – curves is discussed. The results are potentially useful for circuit and electromagnetic simulation. Index Terms—Ferroelectrics, integrated passives, nonlinear dielectrics, varactors.

I. INTRODUCTION

H

IGH-PERMITTIVITY thin-film dielectrics may exhibit a strong field dependence in the dielectric constant that can be exploited for voltage-variable capacitors in RF circuits. Thin-film barium strontium titanate (BST) and bizmuth zinc niobate (BZN) are examples of materials that have been investigated for RF applications [1]–[7]. For device and circuit design, it is desirable to have a simple analytic expression for the capacitance–voltage nonlinearity. This paper derives a convenient closed-form expression for the field or voltage dependence of BST devices in the paraelectric regime as a function of the geometry, film thickness, and temperature. The expression is parameterized in terms of the easily measurable quantities of zero-field capacitance, and tuning ratio at a given voltage, most notably the “2 : 1 voltage” (or field). This expression is shown to compare favorably against measured data. We also discuss the effects of the so-called “dead” layer, fringing capacitance, and other parasitics on the measured – curves, along with the temperature dependence of capacitance.

Fig. 1.

Tunability curve and definitions for max and min capacitance.

II. IDEAL PARAELECTRIC NONLINEARITY Varactors made from high-permittivity materials should have symmetrical small-signal – characteristics, as shown . in Fig. 1. The peak capacitance at zero applied field is As the applied dc field increases, the small-signal capacitance decreases monotonically. At some voltage the ; we define the tunability as the capacitance is reduced to ratio of maximum-to-minimum capacitance at this voltage as follows: (1) The tunability thus defined is dependent on the choice of . In this analysis can be chosen arbitrarily, but it is shown later is an obvious choice. that the “2 : 1” voltage Vendik and Zubko [8] have presented a field- and temperature-dependent permittivity model for ferroelectrics based on a detailed consideration of the underlying physics. As a starting point toward a simpler empirical model we assume a power-series expansion for the field-polarization relation of the form [9], [10] (2)

Manuscript received March 3, 2005; revised May 4, 2005. This work was supported by the Army Research Office under the Multifunctional Adaptive Radar and Radio Sensors Multiuniversity Research Initiative Project DAAD19-01-1-0496, and by the Defense Advanced Research Projects Agency under the Center for Nanoscience Innovation for Defense Grant DMEA90-02-2-0215. D. R. Chase is with Vareda Inc., Goleta, CA 93117 USA (e-mail: [email protected]). L.-Y. Chen is with Agile Materials and Technologies Inc., Goleta, CA 93117 USA (e-mail: [email protected]). R. A. York is with the Electrical and Computer Engineering Department, University of California at Santa Barbara, Santa Barbara, CA 93106 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855141

is the inverse of the zero-bias permittivity (temwhere describes the nonlinearity of the perature dependent) and material. In the context of ferroelectric films, (2) is called the Landau–Devonshire–Ginzburg (LDG) model. For an ideal capacitor (no interfacial layers or space charge) we can assume that the -field and flux density are uniform throughout the film, and relate to the external applied voltage and charge through

0018-9480/$20.00 © 2005 IEEE

(3)

3216

where is the capacitor thickness and forms (2) into

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

is the area. This trans-

Inserting this into (8) and rearranging gives (14)

(4) Note the similarity to the hyperbolic identity

The device capacitance is defined by

(15)

(5) Comparing terms in (14) and (15) gives

from which the zero-field capacitance is found as (6)

(16)

and, hence, the apparent permittivity at zero field is just and temperature dependent. It is helpful to introduce the normalized variables

Equation (13) and (16) can now be combined to give the rerelationship quired charge–voltage and, hence, (17)

(7) where so that the governing equation becomes (8) where is just an empirical constant that we will relate to the tunability parameter . Using (8), the normalized capacitance is

Replacing the normalized variables with physically meaningful quantities gives the desired end result (18)

(9) where is an implicit function of voltage through (8). If we define the normalized charge at as , then (8) and (9) require that

This is the central result of this paper. Note we have introduced a new variable that is defined as

(10)

(19)

These can be solved simultaneously to give (11) As shown in [10], (9) can be made an explicit function of voltage by inverting (8) to give

, an easily This is the “2 : 1” voltage at which measured quantity. Experimentally there are only two paramecurve: and . Once we ters that define the ideal for a given device, (19) can also be used to determine know the voltage required to achieve a desired tunability. For completeness we note that the original LDG expansion (2) has now been successfully inverted as (20)

(12)

where is the field at which the permittivity changes is the zero-bias peris reduced by a factor of 2, and mittivity. This is a potentially useful result for electromagnetic (EM) simulators.

where

This result is exact, but cumbersome. A simpler equivalent can be obtained using the substitution (13)

III. ASYMPTOTIC APPROXIMATIONS Before comparing the analytic result with the experiment it is worthwhile to explore the behavior of (18) at the extremes of

CHASE et al.: MODELING CAPACITIVE NONLINEARITY IN THIN-FILM BST VARACTORS

Fig. 2.

3217

Comparison of exact and approximate C (V ) relations.

applied field. The asymptotic behavior of (18) in the low-field is then limit for

(21)

Fig. 3. Comparison of the theoretical C (V ) relation (18) with measured data on a high-tunability sputtered BST device.

(18) in place of the voltage model the data in Fig. 3.

V. SMALL CAPACITORS AND FRINGING EFFECTS

, the asymptotic be-

At the high-field extreme where havior is given by for

(22)

An empirical expression that gives a reasonably good match to these low- and high-field asymptotes is given by (23)

This expression is similar to that used in [6], and is plotted in Fig. 2 with the exact theoretical – curve (18), along with the asymptotic behavior in (21) and (22). IV. COMPARISON WITH LARGE-CAPACITOR DATA The ideal curve (18) compares favorably with experimental data, as shown in Fig. 3. For this comparison, a relatively large-area device (2000 m ) was chosen to minimize the peripheral parasitics discussed later. The BST is a high-tunability sputtered Ba Sr TiO composition, 140-nm thick, with Pt electrodes. The BST growth conditions and device processing are described in more detail in [11]. This data was measured on an RF probe station with 50- m-pitch APC40 ground–signal–ground (GSG) probes using an Agilent 4294 impedance analyzer. The CV curves were taken at 1 MHz with a 200-mV ac signal amplitude. Certain growth or processing conditions can result in space–charge buildup near one of the electrodes. This can also happen after long-term exposure to high fields as a result of the migration of charged defects such as oxygen vacancies. In each case, the space charge produces a built-in field, which shifts the curve to a new voltage . Although this peak of the is typically an indicator of a poor quality or damaged film, the into effect can be modeled if desired by inserting

. No peak shift was required to

Dielectric varactors have a high capacitance density, up to 100 times that of conventional integrated capacitors using SiO or SiN dielectrics. The typical electrode areas are, therefore, much smaller by comparison, and the periphery-to-area ratios are much higher for a given total capacitance. Experimentally, we observe that smaller capacitors have a reduced tunability compared with larger devices on the same wafer. This appears to be well modeled by a nontunable “fringing” capacitance in parallel with the tunable device. As the device size is reduced, this contribution represents an increasing fraction of the overall capacitance, and the tuning curves are observed to level off prematurely. Our data is consistent with a fringing capacitance of the form (24) so that (25)

where is the device periphery, and is a constant with the dimensions of capacitance that appears to be independent of field or material thickness . Fig. 4 shows the tuning curves for several small-area devices and a comparison to the theoretical model with and without the fringing correction. The devices were made using sputtered 30/70 BST with Pt electrodes. In contrast to Fig. 3, this data was extracted from broad-band on-wafer RF data measured from 50 MHz to 20 GHz on an Agilent E8364A PNA-series network analyzer. The device capacitance was determined by first deembedding the probe pad parasitics, and fitting the resulting data to an equivalent circuit model to remove the effects of residual series inductance. The dashed curves in Fig. 4 were generated V, a value determined experimentally from (18) using from larger area devices on the same wafer. The solid curves

3218

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 5. Interfacial capacitance in thin-film varactors contributes a nontunable “dead layer” that can reduce the overall tunability of the device.

Fig. 4. Comparison of the theoretical C (V ) relation with measured RF data on small-area devices. The dashed line is the ideal model (18) using V = 13 V. The solid line is (25) with the fringing correction added. Note the data resolution of 0.01 pF apparent in the measurement for the 3 3 device.

2

were generated from (25) using fF and a measured film nm. The data is shown on a log scale in thickness of capacitance for clarity. Note that the thickness dependence proposed in (24) is somewhat different than a conventional fringing model (e.g., [16]) in which should scale almost linearly with and, hence, a fringing capacitance that is roughly independent of thickness. Although the data in Fig. 4 is at a fixed film thickness, thicknessdependent data is presented later (Section VI) that is also consistent with the thickness dependence of (24). Furthermore, numerical modeling on idealized structures suggests that should should minimally impact the be field dependent and, hence, tunability, but the data does not support this conclusion. It should be noted that the small-area devices of Fig. 4 required a dielectric crossover to form the electrical contact to the top electrode and this introduces a parallel capacitance that adds to ; care was taken to minimize this contribution through device design, estimated to be 5 fF for the devices described here. Also, at very high fields the leakage currents in thin-film capacitors can be substantial and cause an apparent increase in capacitance density because the injected charge lowers the internal field; care was taken to insure that this was not a factor in these measurements.

materials, the bulk and interfacial capacitance densities may be comparable in value, particularly for very thin materials that are desired for low control voltages. Experimental data suggests that the interfacial capacitance is not tunable and hence is sometimes referred to as a “dead” layer, in which case the composite effect would be modeled by a fixedvalue capacitance in series with the bulk nonlinear material [9]. The applied voltage is dropped partly across the dead layer and partly across the bulk layer. With reference to Fig. 5, the two interfacial dead layers are collectively described by a linear charge–voltage relationship (26) and the interfacial capacitance density can be determined from a series of devices of varying film thickness [9], and this also yields an estimate for the bulk zero-bias permittivity . The bulk is described by the nonlinear relationship (4) (27) where

(28) The total voltage across the device is then (29) This relationship can be put in the form of (8) if we define (30)

VI. INFLUENCE OF THE INTERFACIAL CAPACITANCE Permittivity values extracted from measurements on thin-film capacitors can show a strong thickness dependence. This has previously been explained by the presence of a nontunable interfacial capacitance [9], [10]. There is no general agreement yet on the exact origin of this capacitance, but two possible candidates are, which are: 1) an effective interfacial capacitance due to field penetration into the electrodes [12] or 2) an interfacial capacitance associated with near-surface charge traps [13]. These effects should also be present in any thin-film capacitor, but for low-permittivity materials they would ordinarily have a negligible impact because the interfacial capacitance is so large, typically on the order of 30–60 fF m . For high-permittivity

and (31) Thus we expect the same functional form for the relationship as the ideal case (18), but with thickness-dependent paramand . eters For device optimization, it is helpful to make the thickness dependence more explicit, especially with regards to the tradeoffs between control voltage and power handling or linearity. Once the interfacial capacitance density is determined, we need only measure the maximum capacitance and 2 : 1 voltage at some

CHASE et al.: MODELING CAPACITIVE NONLINEARITY IN THIN-FILM BST VARACTORS

3219

Fig. 7. Predicted tunability versus thickness for a constant applied field using the parameters described in Fig. 6. The dots are measurements. Fig. 6. Measured data on devices of varying film thickness and comparison with the thickness-dependent model using the parameters shown.

nominal material thickness . Using (30) and (31), the general thickness-dependent tuning parameters become (32)

and (33) is then uniquely determined for any film thickness by , and . the specification of , Fig. 6 shows the data for three devices of identical electrode area, processed from three different thicknesses of low-barium BST with Pt electrodes. These films were part of a more extensive thickness series that was used to determine an interfacial capacitance density of 32 fF m and a bulk permittivity of . The data was extracted from broad-band RF data taken on a network analyzer, as described earlier. Using these parameters, and using the 575-nm material as the reference, the theoretical curves for each device were generated from (18), (32), and (33). A peripheral contribution was added according fF. Excellent agreement is observed using to (24) using the dead-layer model for the thickness dependence. In practice, the long-term reliability of dielectric varactors (and thin-film capacitors in general) has been shown to depend (see [14] and critically on the maximum bias field [15] for an example). Fig. 7 shows the tunability at a fixed field versus film thickness, using (32) and (33), along with data from Fig. 6.

Fig. 8. Measured data on devices of varying film thickness and comparison with the thickness-dependent model using the parameters shown.

films are reasonably well modeled by a linear temperature coefficient for the low-field permittivity such that (34) where is the temperature coefficient of capacitance (TCC) at zero bias, usually specified in ppm C. Typical numbers for BST thin films range from 500 to 1000 ppm C, somewhat better than an X7R capacitor material. Inserting (34) into (28) leaves us with a complete model that describes the thickness, size, and temperature dependence of the device. Fig. 8 shows the calculated temperature-dependent curves for a BST film similar to that in Fig. 6, along with measured data, showing good agreement with the simple model. Note that the zero-bias case always has the strongest temperature dependence, whereas the biased device typically shows a very small TCC. VIII. CONCLUSION

VII. TEMPERATURE DEPENDENCE The temperature dependence of BST thin films has been formally addressed in [9], [17], and [18]. Stress in the films due to a thermal-expansion mismatch with the substrate tends to greatly reduce the temperature sensitivity of the permittivity as compared with bulk materials. An applied field reduces the sensitivity further. The thermal sensitivity is thickness dependent due to the combination of stress and the dead-layer effect. Over the range of temperatures normally encountered in practical applications (from 40 C to 100 C), experiments show that thin

We have derived a simple closed-form analytical expression for the relation in thin-film dielectric varactors, and presented a complete model describing the observed thickness, size, and temperature dependence for such devices. The model compares favorably with measurements. As the electrode area decreases, departures from the ideal are observed as peripheral parasitics become important. The peripheral contribution to capacitance needs to be better understood. Future research will also focus on understanding the large-signal implications of the nonlinearity for understanding harmonic distortion and

3220

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

intermodulation distortion (IMD) in circuits using dielectrics varactors. ACKNOWLEDGMENT The authors wish to thank Ms. N. Pervez, University of California at Santa Barbara (UCSB), for contributing the large-area 50/50 BST device data. The authors also thank M. Fink and R. Forse, both with Agile Materials and Technologies, Goleta, CA, for the – measurements of 30/70 BST capacitors, and Dr. C. Elsass and Dr. T. Taylor, also with Agile Materials and Technologies, for the thickness-series data. REFERENCES [1] R. A. York, A. Nagra, E. Erker, T. Taylor, P. Periaswamy, J. Speck, S. Streiffer, and O. Auciello, “Microwave integrated circuits using thin-film BST,” in Proc. 12th Int. Applications of Ferroelectrics Symp., vol. 1, Jul. 2000, pp. 195–200. [2] A. Tombak, J.-P. Maria, F. T. Ayguavives, J. Zhang, G. T. Stauf, A. I. Kingon, and A. Mortazawi, “Tunable barium strontium titanate thin film capacitors for RF and microwave applications,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 1, pp. 3–5, Jan. 2002. [3] B. Acikel, T. R. Taylor, P. J. Hansen, J. S. Speck, and R. A. York, “A new high performance phase shifter using Ba Sr - TiO thin films,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 7, pp. 237–239, Jul. 2002. [4] A. Tombak, J.-P. Maria, F. T. Ayguavives, J. Zhang, G. T. Stauf, A. I. Kingon, and A. Mortazawi, “Voltage-controlled RF filters employing thin-film barium–strontium–titanate tunable capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 462–467, Feb. 2003. [5] A. Vorobiev, P. Rundqvist, K. Khamchane, and S. Gevorgian, “Silicon substrate integrated high -factor parallel-plate ferroelectric varactors for microwave/millimeterwave applications,” Appl. Phys. Lett., vol. 83, pp. 3144–3144, 2003. [6] L.-Y. Chen, R. Forse, D. Chase, and R. York, “Analog tunable matching network using integrated thin-film BST capacitors,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Jun. 2004, pp. 261–264. [7] J. Lu and S. Stemmer, “Low-loss, tunable bismuth zinc niobate films deposited by RF magnetron sputtering,” Appl. Phys. Lett., vol. 83, pp. 2411–2411, 2003. [8] O. G. Vendik and S. P. Zubko, “Modeling the dielectric response of incipient ferroelectrics,” J. Appl. Phys., vol. 82, pp. 4475–4483, Nov. 1987. [9] C. Basceri, “The dielectric response as a function of temperature and film thickness of fiber-textured (Ba,Sr)TiO thin films grown by chemical vapor deposition,” J. Appl. Phys., vol. 82, pp. 2497–2504, Sep. 1987. [10] J. D. Baniecki et al., “Hydrogen induced tunnel emission in pt/(Ba Sr - )Ti O /pt thin film capacitors,” J. Appl. Phys., vol. 89, no. 5, pp. 2873–2885, Mar. 2001. [11] N. K. Pervez, P. J. Hansen, and R. A. York, “High tunability barium strontium titanate thin films for RF circuit applications,” Appl. Phys. Lett., vol. 85, pp. 4451–4451, 2004. [12] C. T. Black and J. J. Welser, “Electric-Field penetration into metals: Consequences for high-dielectric-constant capacitors,” IEEE Trans. Electron Devices, vol. 46, no. 4, pp. 776–780, Apr. 1999. [13] H. Rohdin, N. Moll, A. M. Bratkovsky, and C.-Y. Su, “Dispersion and tunneling analysis of the interfacial gate resistance in Schottky barriers,” Phys. Rev. B, Condens. Matter, vol. 59, pp. 13 102–13 113, May 1999. [14] T. Horikawa, T. Kawahara, M. Yamamuka, and K. Ono, “Degradation in (Ba,Sr)TiO thin films under DC and dynamic stress conditions,” in 35th Annu. Proc. IEEE Int. Reliability Physics Symp., 1997, pp. 82–89. [15] M. S. Tsai, S. C. Sun, and T. Y. Tseng, “Effect of oxygen to argon ratio on properties of (Ba,Sr)TiO thin films prepared by radio-frequency magnetron sputtering,” J. Appl. Phys., vol. 82, pp. 3482–3487, Oct. 1997.

Q

[16] R. Plonsey and R. E. Collin, Principles and Applications of Electromagnetic Fields. New York: McGraw-Hill, 1961, pp. 162–162. [17] S. K. Streiffer et al., “Ferroelectricity in thin films: The dielectric O thin films grown by response of fiber-textured (Ba Sr - )Ti chemical vapor deposition,” J. Appl. Phys., vol. 86, pp. 4565–4575, Oct. 1999. [18] T. R. Taylor, P. J. Hansen, B. Acikel, N. Pervez, R. A. York, S. K. Streiffer, and J. S. Speck, “Impact of thermal strain on the dielectric constant of sputtered barium strontium titanate thin films,” Appl. Phys. Lett., vol. 80, pp. 1978–1978, 2002.

David R. Chase (S’80–M’84) received the B.S. (with highest honors) and M.S. degrees in electrical engineering from the University of California at Santa Barbara (UCSB), in 1984 and 1988, respectively. He is currently President of Vareda Engineering Inc., Goleta, CA, where he and his group are involved with the research and development and productization of advanced communications and controller products for government and commercial customers. Prior to Vareda Engineering Inc., he held senior positions with Agile Materials and Technologies Inc., Superconductor Technologies Inc., and Network Equipment Technologies, where he and his groups developed wireless and wire-line communications systems, subsystems, and components. His current research interests are the development of nonlinear adaptive signal processing techniques for wireless applications.

Lee-Yin (Vicki) Chen (M’01) received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1997, and the M.S. and Ph.D. degrees in electrical and computer engineering from the University of California at Santa Barbara (UCSB), in 1999 and 2003, respectively. She is currently with Agile Materials and Technologies Inc., Goleta, CA, where she is involved in the research and development effort and the RF and microwave circuit design for various applications. Her research has included microwave power-amplifier design and high-efficiency spatial power-combining techniques.

Robert A. York (S’85–M’89–SM’99) received the B.S. degree in electrical engineering from the University of New Hampshire, Durham, in 1987, and the M.S. and Ph.D. degrees in electrical engineering from Cornell University, Ithaca, NY, in 1989 and 1991, respectively. He is currently a Professor of electrical and computer engineering with the University of California at Santa Barbara (UCSB), where his group is currently involved with the design and fabrication of novel microwave and millimeter-wave circuits, high-power microwave and millimeter-wave amplifiers using spatial combining and wide-bandgap semiconductor devices, and application of ferroelectric materials to microwave and millimeter-wave circuits and systems. Dr. York was the recipient of the 1993 Army Research Office Young Investigator Award and the 1996 Office of Naval Research Young Investigator Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

3221

Using the Branch-Line Directional Coupler in the Design of Microwave Bandpass Filters Roberto Gómez-García, Student Member, IEEE, José I. Alonso, Member, IEEE, and Daniel Amor-Martín, Member, IEEE

Abstract—This paper addresses the application of the branchline directional coupler to the design of microwave bandpass filters. The basic idea consists of using the branch-line coupler as a transversal filtering section by loading the coupled ports of the coupler with suitable transmission-line segments ending in an open circuit and taking the isolated port as the output node. Thus, under the signal interference philosophy involved in classic transversal filter schemes, bandpass transfer functions with perceptible stopbands and sharp cutoff slopes are derived. Furthermore, the main characteristics of the synthesized filtering response, such as the bandwidth or the position of the out-of-band power transmission zeros, can be easily controlled by means of the design parameters of the transversal section. Hence, a large variety of bandpass filtering profiles different from those offered by classical filter schemes can be realized. Finally, the experimental usefulness of the transversal filtering section based on the branch-line coupler is proven with the design and construction in microstrip technology of two microwave bandpass filter prototypes at 5 GHz. Index Terms—Branch-line directional coupler, microstrip, microwave bandpass filter, transmission line, transmission zero, transversal filtering section.

I. INTRODUCTION

V

ERY sophisticated filter solutions are required in the development of high-performance RF subsystems for modern wireless and high-speed data communication applications [1]. In the design of passive filters, the major issue is the realization of low insertion-loss and high-selectivity filtering responses to accomplish appropriate band selections by efficiently rejecting spurious signals and out-of-band noise [2]. Regarding active filters, some other important factors, such as linearity, noise performance, and power transmission gain, must also be considered [3]. Over the last few years, one of the most followed choices in research into novel microwave filter topologies is based on the use of circuits providing more than one input-to-output signal propagation path. Microwave transversal filters are a good exponent of this trend, appearing from the extrapolation to the analog domain of the theoretical concepts involved in classic deManuscript received March 3, 2005; revised May 11, 2005. This work was supported in part by the National Board of Scientific and Technology Research under Project TIC2002-04569-C02-01 and Project TIC2002-02657, and in part by the Spanish Ministry of Education and Culture under a doctoral scholarship. R. Gómez-García and J. I. Alonso are with the Grupo de Microondas y Radar, Departamento de Señales, Sistemas y Radiocomunicaciones, Universidad Politécnica de Madrid, 28040 Madrid, Spain (e-mail: [email protected]; [email protected]). D. Amor-Martín is with INDRA Sistemas S.A., 28850 Torrejón de Ardoz, Madrid, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855140

sign techniques of digital filters [4]. In transversal structures, the input signal to be filtered is split into a multiplicity of subcomponents propagating through the different feedforward signal paths that make up the overall filter. Thus, the filtering action comes about through the combination of these signal subcomponents once they have been processed. By forcing a passband constructive interference and out-of-band signal energy cancellations to produce power transmission zeros, high-selective filtering responses with sharp cutoff slopes can be derived. Furthermore, since only feedforward techniques and not feedback principles are used in microwave transversal filters, instability problems caused by the presence of active devices to carry out active filtering functions are avoided [5]. Traditionally, the main drawback to overcoming in microwave transversal filter design has been the large number of transversal branches needed to synthesize high-order transfer functions, usually leading to circuits with excessive physical dimensions. In the most basic transversal structures, which are made up of constant amplitude-weight and time-delay blocks and where interactions between signals is the only available medium to define the bandpass filtering response, this impediment has become unaffordable [6]. Lately, the inclusion of frequency-dependent processing blocks in the filter branches or even the use of more advanced feedfordward architectures emerging as generalizations of the transversal arrangement have allowed the size constraint in high-selectivity situations to be partially circumvented, but at the expense of increasing the design complexity [7], [8]. The introduction of monolithic-microwave integrated-circuit (MMIC) technology has also been important to demonstrate transversal filtering concepts in small circuits for low-pass, high-pass, bandpass, and stopband applications with the tunability as an added feature [9], [10]. A new alternative to designing microwave bandpass filters using signal-interference sections based on the branch-line directional coupler is presented in this paper [11]. The idea is to use the branch-line coupler as a transversal filtering section by connecting the coupled ports to opened load stubs. Thus, taking the isolated port of the coupler as the output node, two input-tooutput signal paths are generated so that bandpass transfer functions can be obtained through the transversal combination of the signal components derived from the input signal and traveling through these propagation paths. The main advantage of the proposed transversal filtering topology with respect to the existing ones is its capability to achieve high-selectivity performances by producing appropriate amplitude and phase relationships between the transversal signals to be combined. This is experimentally proven in this study

0018-9480/$20.00 © 2005 IEEE

3222

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Under the previous conditions, the following matrix system of equations relating the incident and reflected power waves refin the four ports of the coupler erenced to the impedance and can be established:

(1)

Fig. 1. Detail of the transversal filtering section based on the branch-line directional coupler.

with the construction and characterization of two microstrip filter prototypes at 5 GHz. Other relevant features to be remarked upon are the simplicity of the design process and the flexibility to adjust the main characteristics of the transversal section transfer function by acting on its design parameters.

where are the scattering parameters of the 3-dB branch-line coupler [12], and is the imaginary unity. Note that only four different scattering parameters for characterizing the branch-line coupler are needed as a result of being a reciprocal lossless network with two planes of symmetry. Furthermore, the following relationships derived from the termination conditions of the directional coupler operating as a transversal filtering section have been used: (2)

II. TRANSVERSAL FILTERING SECTION BASED ON THE BRANCH-LINE DIRECTIONAL COUPLER The detail of the transversal filtering section based on the branch-line directional coupler is given in Fig. 1. As shown, the transversal section is made up of a typical branch-line coupler whose coupled ports are loaded with transmission-line segments ending in an open circuit. The output node of the transversal filtering section is just the isolated port of the branch-line coupler. The characteristic impedances of the transmission lines making and . The electrical lengths up the coupler are denoted as and characteristic impedances of the load stubs are referred to , , respectively. as , , and The operating principle involved in the proposed filtering section consists of obtaining the overall frequency-selective transfer function from the feedforward combination of the signal components derived from the input signal, and propagating through the different paths of the branch-line coupler in the transversal configuration. The basic idea is to generate power transmission zeros out of the intended filter passband through destructive signal interactions, preserving a constructive interference at the center frequency. Thus, sharp-rejection bandpass filtering responses with perceptible stopbands can be derived. The theoretical analysis of the branch-line coupler in the transversal configuration is described later. The aim is to establish some design rules to obtain sharp-rejection bandpass filtering responses by means of a suitable selection of the values for the design parameters of the transversal section. A. Design of the Load Transmission-Line Segments A branch-line directional coupler designed for a 3-dB coupling factor is considered as an initial approach, i.e., and , where is the reference impedance. and of the load transThe characteristic impedances mission line segments are assumed to be equal to . The input generator and output load connected to the ports 1 and 4 of the coupler are matched to the impedance .

From solving (1), the following analytical expressions for and of the the reflection and transmission coefficients transversal filtering section are obtained:

(3) The bandpass filtering response of the transversal section is strongly influenced by the action of the transmission-line segments loading the directional coupler. The main task of these load stubs is to generate appropriate amplitude and phase relationships between the signal components to be combined. and Therefore, the suitable design of the electrical lengths becomes a key issue to achieving high-selective filtering responses. The following considerations are established to synthesize a symmetrical bandpass transfer function with a maximum power transmission at a specified center frequency . 1) The power transmission maximum condition is fulfilled by forcing a constructive interference at . Thus, the passband of the transversal section transfer function is not destroyed by the signal interaction. As the result of the passiveness and lossless property satisfied by the

GÓMEZ-GARCÍA et al.: USING BRANCH-LINE DIRECTIONAL COUPLER IN DESIGN OF MICROWAVE BANDPASS FILTERS

3223

transversal filtering section (i.e., , ), the aforementioned condition is achieved by imposing (4) assuming that the 3-dB branch-line coupler is designed for a perfect power division at , i.e.,

(5)

where is the scattering matrix of the 3-dB branch-line coupler. Equation (4) results in (a)

(6) is considered without a loss of generality. where 2) The symmetry condition of the transversal filtering secis tion response in relation to the center frequency obtained from imposing the appropriate relationship between the electrical lengths of the load transmission-line segments. As is well known, the following properties are satisfied by the scattering parameters of the 3-dB branchline coupler designed at :

(7) and denote, respectively, the absolute value where and the phase of a complex number. From the definitions given in (3), it is deduced that the properties (7) will also be assured for the reflection and transmission coefficients of the transversal filtering section only if the following relation is imposed on the electrical lengths of the load stubs:

(8) Taking into account the linear frequency dependence of the electrical length of a transmission-line segment as , the previous condition leads to (9)

From (6) and (9), the following relations are obtained:

(10) Thus, by using the above expressions to design the load stubs, symmetrical bandpass frequency-selective responses are derived from the transversal filtering section.

(b)

p

Fig. 2. Dependence of the power transmission response of the transversal filtering section on the electrical lengths of the load stubs (Z = Z = 2, Z = Z = Z = Z ). (a) m = 1. (b) m = 2.

The effect of the electrical lengths and on the power transmission response of the transversal filtering section is analyzed in Fig. 2. As shown, narrower bandwidths and sharper passband-to-stopband transitions are obtained when are selected. This is caused higher values for the index by increasing the frequency variation velocity of the phase difference generated between the feedforward signals to be combined since a faster phase-difference rotation implies a signal interaction going from a passband constructive interference to a stopband suppression in a narrower frequency span. Nevertheless, signal-amplitude relationships are also important in the proposed transversal scheme to obtain filtering responses exhibiting a good out-of-band rejection performance. Thus, the best transfer function regarding close-to-passband selectivity and and stopband rejection is achieved for ( , ) as the result of generating out-of-band power transmission zeros through a mutual cancellation between signal components with optimum amplitude and phase characteristics.

3224

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

B. Control of Bandwidth and Power Transmission Zeros The passband behavior and the out-of-band performance of the transversal filtering section are strongly dependent on the characteristic impedance design parameters. Thereby, these parameters can be used for adjusting the main characteristics of the resulting filtering response such as the bandwidth, the location of the out-of-band power transmission zeros, or the attenuation levels in the stopbands. Here, the following considerations are taken into account. 1) The transversal filtering section behaves as a characteristic impedance and quarter-wavelength-long transmisfor , sion-line segment at the center frequency ( , ). Therefore, the is forced to accomplish the power transrelation mission maximum requisite at . 2) The symmetry condition of the transversal filtering secis assured by satisfying tion response in relation to (10), not depending on the characteristic-impedance design parameters. Thus, the design parameters to be used for controlling the , and . transversal filtering section performance are , The dependence of the transversal section transfer function on and ). these parameters has been researched (case The main results are described below. and of the load • The characteristic impedances stubs are useful to adjust the bandwidth of the transversal section filtering response. This is proven in Fig. 3(a) for . As shown, a narrower bandthe design parameter value is selected. Furwidth is obtained when a higher thermore, although both the attenuation levels and spectral width of the stopbands are slightly reduced with the decrease in the bandwidth, the general shape of the out-ofband filtering response is preserved. corresponding to • The characteristic impedance the branch-line coupler is appropriate to control the out-of-band performance of the transversal filtering section. This is demonstrated in Fig. 3(b). As observed, both the spectral width and power rejection levels of the stopvalue as bands are varied considerably by acting on the the result of modifying the position of power transmission nulls. Moreover, stopband control is achieved without distorting the filter passband. The out-of-band power transmission zeros are essential to obtain filtering responses exhibiting a sharp filter-flank steepness and high attenuation levels in the stopbands. Therefore, the selection of the values for the transversal section design parameters must always be directed to the generation of the power transmission nulls. The generation of out-of-band power transmission zeros depending on the characteristic impedance design parameters has been researched. Specifically, the curves corresponding to the 3-dB relative bandwidth dB and the relative spectral separation between the adjacent transmission zeros of the

(a)

(b)

1

Fig. 3. Control of the transversal filtering section performance. dB denotes refers to the relative spectral separation the 3-dB relative bandwidth. between adjacent transmission zeros. (a) Influence of the characteristic impedance Z on the power transmission response of the transversal filtering ,n ,Z Z = ,Z Z Z ). Bandwidth section (m control. (b) Influence of the characteristic impedance Z on the power transmission response of the transversal filtering section (m ,n , Z Z Z ). Out-of-band performance control. Z

1

=1

=

=

=2

=

=

p2

=

=

=1

=2

transversal section transfer function as a function of the param( , , ) are detailed eters , in Fig. 4. These curves have been obtained numerically, being value range in which the power transdrawn only for the value range to mission nulls are produced. As shown, the value is chosen. Note also be used is reduced when a lower from Fig. 4 that there is an infinite number of solutions for the parameters and satisfying a fixed bandwidth specification for the transversal filtering section. As a design guideline, bandpass transfer functions with higher out-of-band attenuation and values are selected. levels are obtained when lower This is done at the expense of increasing the difference between , i.e., decreasing the sharpness of the passdB and band-to-stopband filter transition.

GÓMEZ-GARCÍA et al.: USING BRANCH-LINE DIRECTIONAL COUPLER IN DESIGN OF MICROWAVE BANDPASS FILTERS

1

Fig. 4. Dependence of the 3-dB relative bandwidth dB (continuous line) and the relative spectral separation between the adjacent transmission zeros (dashed line) of the transversal filtering section on the design parameters Z , Z (m , n , Z Z Z ).

1

=1 =2

=

=

III. EXPERIMENTAL RESULTS The usefulness of the transversal filtering section based on the branch-line directional coupler in microwave bandpass filter design is experimentally validated here. Specifically, the design, construction in microstrip technology, and characterization of both a passive and an active microwave filter prototype at 5 GHz using the proposed transversal filtering section is described below. A. Microwave Passive Filter With Sharp-Rejection Stopbands The design of a microwave passive bandpass filter with sharp-rejection stopbands has been approached. This kind of filter is especially suitable for the input duplexer of transceiver subsystems directed at full-duplex communication applications, where hard isolation levels between the adjacent channels corresponding to the transmitter and receiver modules are required. The overall filter has been derived empirically, but using the design rules provided in Section II, starting from the cascade connection of two identical transversal filtering sections based on the branch-line coupler. Thus, without coupling gaps between the transversal sections and the input/output lines, the filter insertion losses are minimized by avoiding any radiation and inter-stage mismatching losses. Consequently, the filter noise performance is also improved. The initial specifications to be met are a 5-GHz center frequency, a 3-dB relative bandwidth equal to 10%, and a power transmission rejection level higher than 40 dB in the bands allocated at 3.3–4.2 and 5.8–6.7 GHz. Note that if the two transversal filtering sections are directly cascaded, then the power transmission parameter of the overall filter is (11) and are the reflection and transmission scatwhere tering parameters of the transversal section. Obviously, the re-

3225

Fig. 5. Simulated power transmission response of the ideal designed passive filter.

is not satisfied in all the specified frequency sult is not always met. Thererange since the condition fore, the overall filter should be optimized as a unit using the design parameters of the transversal filtering section, the transmission-line segment cascading the sections, and the filter input line as degrees of freedom. The commercial simulator HP-EEsof Libra has been used in the design and optimization process of the filter. For both the transversal filtering sections, the obtained values for the characteristic impedances of the transmission-line segments making and , where up the coupler are is the reference impedance. The load stubs are designed as 50( , ) line segments with electrical lengths and at 5 GHz. The filter input line and the line cascading the transversal sections are implemented as quarter center-wavelength-long line segments with 25- and 100- characteristic impedance, respectively. The simulated power transmission response of the ideal designed overall filter is shown in Fig. 5. The attenuation mask to be satisfied is also provided. As shown, a highly selective filtering response with sharp-rejection stopbands is achieved avoiding the use of cross-couplings. The designed ideal filter has been constructed in microstrip technology. The parameters of the selected Cu-clad microstrip , dielectric substrate are a relative dielectric constant m, and metal thickness m. The thickness dimensions of the lines making up the filter have been computed making use of the line calculator LineCalc. A photograph of the developed microstrip filter prototype is given in Fig. 6. When the circuit size is a critical issue, further reductions in the filter surface area can be achieved by using fractal-type geometrical arrangements for the couplers and the load stubs [13] or other miniaturization techniques [14]–[16]. The measured and simulated power reflection and transmission responses of the constructed filter prototype are shown in Fig. 7(a). The results corresponding to the single transversal filtering section are given in Fig. 7(b). These measurements have

3226

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 8. Block diagram of the proposed high-selective microwave active bandpass filter.

Fig. 6. Constructed microstrip passive bandpass filter prototype with sharp-rejection stopbands at 5 GHz.

been obtained by making use of an HP-8510C network analyzer. The increase in selectivity obtained from adding a second transversal stage must be highlighted. The main characteristics of the overall filter measured response are described below. The filter passband is exactly centered at 5 GHz, having a 3-dB relative bandwidth equal to 10.71%. The power transmission losses at the center frequency are 1.63 dB. The stopbands, situated at both sides of the filter passband, exhibit a power rejection level higher than 40 dB throughout 3.3–4.2 and 5.67–7.03 GHz. Note also that larger stopband widths can be achieved by adding to the designed filter a directly cascaded ultra-wide-band bandstop planar filtering cell, as described in [17]–[19]. Thus, by locating the rejected bands of the wide-band bandstop cell in the frequency ranges to be suppressed, an overall filtering response demonstrating an improved out-of-band power rejection performance and preserving both the in-band and close-topassband characteristics of the transversal section-based filter is obtained. B. High-Selective Microwave Active Bandpass Filter

Fig. 7. Simulated and measured power reflection and transmission responses of the constructed passive filter prototype and the single transversal filtering section. (a) Overall passive filter. (b) Transversal filtering section.

The branch-line directional coupler has been applied to the design of a high-selective microwave active bandpass filter as a second practical example. The proposed active filter structure is shaped by the cascade connection of a transversal filtering section based on the branch-line coupler, an active isolation stage, and a passive filter (Fig. 8). The passive filter is designed as a low-order approximation to the overall transfer function to be synthesized. The transversal filtering section is used to increase the passive filter selectivity through the generation of multiple out-of-band power transmission zeros. Thus, the profile of the total filtering response is obtained from the combination of the passive filter and transversal section transfer functions. The active isolation stage is included for providing both a good matching between the passive blocks and power transmission gain in the filter passband. A microstrip active bandpass filter circuit has been designed and constructed. The fixed specifications are a 5-GHz center frequency, a 3-dB relative bandwidth equal to 17.5%, and a power transmission rejection level greater than 35 dB at frequencies whose separation from 5 GHz is more than 1 GHz. The ideal filter design has been carried out using a generalization of the design technique described in [20] for two-branch channelized active bandpass filters, whose transfer function is

GÓMEZ-GARCÍA et al.: USING BRANCH-LINE DIRECTIONAL COUPLER IN DESIGN OF MICROWAVE BANDPASS FILTERS

3227

Fig. 9. Simulated normalized power transmission response of the ideal designed active filter.

Fig. 10. Constructed high-selective microstrip active bandpass filter prototype at 5 GHz.

also achieved from the combination of a low-order response corresponding to the passive filters embodied in the filter branches and an interference term caused by the action of the delay sections in each channel. In the current case, using the transfer function of the transversal filtering section designed in the previous example as the interference term, the values for the passive filter design parameters allowing a maximum flatness performance in the overall filter response to be obtained are directly computed: a second-order Chebyshev response with 20.3% relative bandwidth at 1.26-dB ripple. The simulated normalized power transmission response of the designed ideal filter is shown in Fig. 9. The attenuation mask to be fulfilled is also depicted. As shown, a highly selective inverse Chebyshev-type filtering response is synthesized. Furthermore, since the prefixed set of specifications would be satisfied by a conventional maximally flat passive filter with a minimum order of six, the increase in selectivity obtained from cascading the transversal section must be emphasized. A photograph of the constructed microwave active filter prototype in microstrip technology is shown in Fig. 10 (parameters of the substrate were given in Section III-A). As observed, the

Fig. 11. Simulated and measured power reflection and transmission responses of the constructed active filter prototype and the active-isolation-stage passive-filter set. (a) Overall active filter. (b) Active-isolation-stage passive-filter set.

passive filter has been implemented in a coupled-line configuration [21]. The active isolation stage has been designed using two amplifier sections with low input-to-output power transmission (NBB-300 GaAs MMIC amplifiers, RF Micro-Devices, Greensboro, NC) and -type resistive attenuators matched to 50 . The simulated and measured power reflection and transmission responses of the constructed microstrip active filter prototype are compared in Fig. 11. The characterization of the set made up of the active isolation stage and the passive filter is also included. The main parameters of the overall filter measured power transmission response are a center frequency equal to 4.91 GHz, a 17.8% 3-dB relative bandwidth, and a power rejection level higher than 40.4 dB within the specified rejected bands. The power transmission gain at the center frequency is 5 dB. The main features of the proposed active-filter topology must be remarked. By only interacting two signal components in the branch-line coupler stage, an overall selectivity performance

3228

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

comparable to that of more complex active-filter topologies has been demonstrated [4], [7], [8]. This is done with an obvious reduction in the number of elements needed to achieve similar results in other filtering architectures and, hence, with a circuit-size advantage. Moreover, the main drawback of past research dealing with active-filter design techniques regarding the lack of analytical procedures to synthesize the intended filtering response directly from the initial specifications is also overcome here. IV. CONCLUSIONS The design of microwave bandpass filters using novel transversal filtering sections has been approached in this paper. The proposed transversal filtering section is made up of a classic branch-line coupler with the coupled ports connected to appropriate opened load stubs just to generate two input-to-output signal paths. Thus, using signal-interference techniques, bandpass transfer functions with perceptible stopbands can be obtained from the feedforward combination in the isolated port of the coupler of the different signal components derived from the input signal and traveling through these signal paths. Furthermore, design guidelines to control the bandwidth and the out-of-band performance of the transversal filtering section response have been provided. Finally, to prove the experimental viability of the proposed transversal filtering section in microwave bandpass filter design, two microwave filter prototypes at 5 GHz have been manufactured in microstrip technology and characterized. These are a microwave passive filter with sharp-rejection stopbands, and a highly selective microwave active filter. The resulting agreement between the measurements and simulations has been fairly close. Hence, the suitability of this kind of filters based on signal-interference techniques to carry out highly selective filtering functions with circuit size and complexity advantages over more conventional filter solutions has been demonstrated. Future research is the generalization of the proposed filter topology to lumped-element hybrids for their use in other technologies such as MMICs, and the research on novel high-performance transversal signal-interference filtering sections with special emphasis on ultra-wide-band applications. ACKNOWLEDGMENT The authors thank J. Mellado and J. M. Montero, both of the Grupo de Microondas y Radar, Departamento de Señales, Sistemas y Radiocomunicaciones, Universidad Politécnica de Madrid, Madrid, Spain, for helping in the manufacturing process of the filter prototypes. The authors would also like to thank the anonymous reviewers for their valuable suggestions concerning the final form of this paper. REFERENCES [1] T. S. Rapaport, Wireless Communications: Principles and Practice. Englewood Cliffs, NJ: Prentice-Hall, 2001. [2] I. C. Hunter, L. Billonnet, B. Jarry, and P. Guillon, “Microwave filters—Applications and technology,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 794–805, Mar. 2002.

[3] L. Billonnet, B. Jarry, S. E. Sussman-Fort, E. Rius, G. Tanné, C. Person, and S. Toutain, “Recent advances in microwave active filter design. Part I and II,” Int. J. RF Microwave Computer-Aided Eng., pp. 159–189, Mar. 2002. [4] C. Rauscher, “Microwave active filters based on transversal and recursive principles,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 12, pp. 1350–1360, Dec. 1985. [5] L. Billonnet, B. Jarry, and P. Guillon, “Stability diagnosis of microwave recursive filters using the NFD methodology,” in IEEE MTT-S Int. Microwave Symp. Dig., May 1995, pp. 1419–1422. [6] C. W. Jutzi, “Microwave bandwidth active transversal filter concepts with MESFET’s,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 9, pp. 760–767, Sep. 1971. [7] M. J. Schindler, “A novel MMIC active filter with lumped and transversal elements,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 2148–2153, Dec. 1989. [8] C. Rauscher, “Microwave channelized active filters—A new modular approach to achieving compactness and high selectivity,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 1, pp. 122–132, Jan. 1996. [9] B. Albert, L. Billonnet, and B. Jarry, “Novel design approach for transversal notch filter using recursive principles,” in IEEE MTT-S Int. Microwave Symp. Dig., Jul. 2004, pp. 1987–1990. [10] R. Gómez-García, C. Briso-Rodríguez, M. Mahfoudi, and J. I. Alonso, “MMIC tunable transversal bandpass active filter at 9–12 GHz,” in Proc. 11th Eur. Gallium Arsenide and Other Compound Semiconductors Application Symp., Oct. 2003, pp. 149–152. [11] L. C. Chao, “ -way branch line directional couplers,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 74, Jun. 1974, pp. 93–96. [12] R. E. Collin, Foundations for Microwave Engineering, 2nd ed, ser. Electromagn. Wave Theory. New York: IEEE Press, 2001. [13] H. Ghali and T. A. Moselhy, “Miniaturized fractal rat-race, branch-line, and coupled-line hybrids,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2513–2520, Nov. 2004. [14] M.-L. Chuang, “Miniaturized ring coupler of arbitrary reduced size,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 1, pp. 16–18, Jan. 2005. [15] K. O. Sun, S. J. Ho, C. Yen, and D. van der Weide, “A compact branchline coupler using discontinuous microstrip lines,” IEEE Microw. Wirelss Compon. Lett., vol. 15, no. 8, pp. 519–520, Aug. 2005. [16] S. S. Liao, P. T. Sun, N. C. Chin, and J. T. Peng, “A novel compact-size branch-line coupler,” IEEE Microw. Wirelss Compon. Lett., vol. 15, no. 9, Sep. 2005, to be published. [17] A. Görür and C. Karpuz, “Uniplanar compact bandstop filter,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 3, pp. 114–116, Mar. 2003. [18] L. H. Hsieh and K. Chang, “Compact, low insertion loss, sharp-rejection and wide-band microstrip bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1241–1246, Apr. 2003. [19] R. Gómez-García and J. I. Alonso, “Design of sharp-rejection and lowloss wide-band planar filters using signal-interference techniques,” IEEE Microw. Wirelss Compon. Lett., vol. 15, no. 8, pp. 530–532, Aug. 2005. [20] R. Gómez-García, J. I. Alonso, and C. Briso-Rodríguez, “On the design of high-linear and low-noise two-branch channelized active bandpass filters,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 50, no. 10, pp. 695–704, Oct. 2003. [21] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980.

N

Roberto Gómez-García (S’02) was born in Madrid, Spain, in 1977. He received the Ingeniero de Telecomunicación degree from the Universidad Politécnica de Madrid (UPM), Madrid, Spain, in 2001, and is currently working toward the Ph.D. degree at UPM. His Ingeniero de Telecomunicación thesis concerned the design of microwave channelized active filters. His doctoral dissertation concerns the analysis and design of novel tunable and active microwave filter topologies. Since October 2000, he has been with the Grupo de Microondas y Radar, Departamento de Señales, Sistemas y Radiocomunicaciones (SSR), UPM. His research activities are in the area of high-frequency circuit design for communication and radar systems.

GÓMEZ-GARCÍA et al.: USING BRANCH-LINE DIRECTIONAL COUPLER IN DESIGN OF MICROWAVE BANDPASS FILTERS

José I. Alonso (M’04) was born in Villacañas (Toledo), Spain. He received the Ingeniero de Telecomunicación and Ph.D. degrees from the Universidad Politécnica de Madrid, Madrid, Spain, in 1982 and 1989, respectively. From 1982 to 1985, he was a Microwave Design Engineer with Telettra España S.A. (now Alcatel Standard S.A.). In 1985, he joined the Departamento de Señales, Sistemas y Radiocomunicaciones, Escuela Técnica Superior de Ingenieros de Telecomunicación, Universidad Politécnica de Madrid, where he is currently a Full Professor. He has taught courses in microwave circuits design, electrical networks and filter theory, test and measurements of microwave circuits, and laboratories related to analog and digital communication systems. He has developed his research with the Grupo de Microondas y Radar in the areas of the analysis and simulation of high-speed/high-frequency integrated circuits and their interconnections, the computer-aided design and measurements of hybrid and GaAs monolithic microwave integrated circuits (MMICs) and their applications in the development and implementation of mobile, satellite, optical-fiber communication, and adaptive antenna systems. He is also involved in the development of circuits and subsystems for the local multipoint distribution system (LMDS) and wireless local-area networks (WLANs).

3229

Daniel Amor-Martín (M’04) was born in Madrid, Spain, in 1980. He received the Ingeniero de Telecomunicación degree from the Universidad Politécnica de Madrid (UPM), Madrid, Spain, in 2003, and is currently working toward the Ph.D. degree at UPM. Since November 2003, he has been with INDRA Sistemas S.A., Madrid, Spain, where he is involved in the fields of microstrip filter design and heterolithicmicrowave integrated circuit (HMIC) assemblies. His research interests are in the area of high-frequency circuit design for communication and radar systems and robotics.

3230

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Quasi-Lumped Suspended Stripline Filters and Diplexers Wolfgang Menzel, Fellow, IEEE, and Atallah Balalem

Abstract—This paper demonstrates a general concept and different types of filters and a diplexer realized in suspended stripline using quasi-lumped elements. Very small low-pass, bandpass, and high-pass filters with typically low loss are designed, fabricated, and tested; even transmission zeroes can easily be included into the design by additional coupling structures. Finally, a low-pass and high-pass filter are combined to form a diplexer of very small size. Index Terms—Bandpass filters, diplexers, filters, high-pass filters, low-pass filters, lumped-element circuits, stripline filters. Fig. 1. (a) Ideal and (b) practical cross section of SSL as used in these investigations.

I. INTRODUCTION

T

HE SUSPENDED stripline (SSL) has proven to be an excellent transmission-line system to realize different types of filters [1]–[4]. Compared to a microstrip or coplanar line, its larger cross section results in lower current densities on the metallization and lower electric-field strength in the dielectric and, therefore, reduced losses. Typically, however, metal losses dominate in these types of planar lines. Furthermore, no radiation occurs due to the shielding (mount) of the SSL. As a much higher portion of the electromagnetic field extends in air, dispersion is low as well. The necessary mount for the SSL, on the other hand, requires an increased fabrication effort. Most of the filters realized in this technique up to now are mainly based on transmission-line structures like stubs and quarter- and half-wavelength resonators. Without additional effort, filter elements can be realized on both sides of the substrate [2]–[6]; even multisubstrate arrangements have been proposed [7]. Due to the larger cross section with a considerable amount of electromagnetic field in air, the effective dielectric constant of the SSL is rather low, and transmission-line elements get quite large. Therefore, SSL filters typically are not as small as highly integrated microwave and millimeter-wave front-ends require today. First approaches toward smaller filters using quasilumped elements have been made for low-pass filters based on very short very low-impedance lines for the capacitances and very short high-impedance lines for the inductances [4], [5], leading, at the same time, to very broad stopband performance due to the semilumped nature of their elements. In this paper, a general approach for the realization of SSL lumped-element filters is described, and these principles are apManuscript received March 20, 2005; revised May 25, 2005. W. Menzel is with Microwave Techniques, University of Ulm, D-89069 Ulm, Germany (e-mail: [email protected]). A. Balalem is with Microwave and Communication Engineering, University of Magdeburg, D-39016 Magdeburg, Germany. Digital Object Identifier 10.1109/TMTT.2005.855139

plied to the design of different bandpass and high-pass filters and diplexers. In addition, these techniques allow the implementation of additional coupling elements to achieve quasi-elliptic responses. In Section II, the principle setup of the SSL structure as used in this study is explained, and an overview about the involved filter elements is given. The general design procedure for such filters is explained in Section III. Following this, some typical examples are given for SSL low-pass, bandpass, and high-pass filters, followed by a diplexer consisting of a low- and high-pass filter. II. GENERAL TRANSMISSION LINE AND FILTER STRUCTURES A. SSL The general structure of an SSL consists of a thin substrate suspended in the center of a metal channel (Fig. 1). The channel as used throughout this study is 5-mm wide, and 2 mm of air are provided above and below the substrate (RT Duroid, substrate thickness 0.254 mm, dielectric constant 2.22). For simulation purposes, the channel has a rectangular cross section [seeFig. 1(a)]; in the experimental setup, the channel has small groves at the sides to hold the substrate [see Fig. 1(b)]. With these small mount dimensions, filters can easily be realized in the 3–15-GHz frequency range. Due to the small cross section, effects of metal waveguide modes occur in this configuration above 20 GHz only. For filters at lower or higher frequencies, the structures as demonstrated here can be scaled in size. As long as there is no metallization structure connected to the side of the mount, the dimensions are such that there is a negligible influence of the groves [8]. In case there is a shunt connection within a filter circuit, however, there is some influence on the circuit performance. The surface current on the metallization connected to the mount continues on the inner surface of the mount; on one side, the current has to flow around the grove, leading to some extra inductance.

0018-9480/$20.00 © 2005 IEEE

MENZEL AND BALALEM: QUASI-LUMPED SSL FILTERS AND DIPLEXERS

Fig. 2.

3231

Shunt SSL reactances. (a) Capacitance. (b) Inductance.

The general transmission-line properties of the ideal SSL are calculated using a spectral-domain method [9], the detailed filter structures finally are computed and optimized using a commercial simulator.1 All filters presented below have 50- ports, resulting in SSL interconnect lines of 3.8-mm width. The filters as described in Sections IV–VI are placed in a mount of 30-mm length. Input and output lines are soldered to subminiature A (SMA) connectors. All experimental results are given with respect to the coaxial ports including the losses of transmission-line lengths between filter and ports and the transitions from the SSL to the coaxial measurement system. For comparison, a homogeneous SSL in this mount results in an insertion loss of approximately 0.1 dB at low frequencies and 0.3 dB at 20 GHz, respectively.

Fig. 3. Series reactances in SSL. (a) Inductance. (b) Capacitance formed by overlapping strips on opposite substrate sides. (c) Interdigital capacitance. (d) Capacitance formed by additional patch on backside of the substrate.

higher frequencies, however, their full-wave performance needs to be considered including electromagnetic coupling between the different elements.

B. Filter Elements Lumped-element filters require both series and shunt inductors and capacitors and their combination to form either series or parallel resonators. The SSL in the small mount as used here, together with the use of metal structures on both sides of the substrate, gives the ideal medium to realize all these elements. The following figures show a section of the SSL substrate with the basic layout of major SSL lumped elements. Connecting ports are on the left- and right-hand sides, respectively. Metal structures touching the front and back side edges are connected to the mount (ground). A section of wide transmission line already provides capacitance versus ground; this can be increased by adding a ground metallization below the strip [see Fig. 2(a)]. A thin metal strip connected to the mount forms a shunt inductance; its inductive value can be increased and controlled by an inset in the main strip [see Fig. 2(b)]. A series inductance can easily be formed by a section of thin strip between wider connecting lines [see Fig. 3(a)]. Series capacitances can be realized in different ways—in the form of end coupling, overlapping of strips on the top and bottom layers of the substrate [see Fig. 3(b)], interdigital structures [see Fig. 3(c)], or by improving end coupling on one side of the substrate by an additional patch on the opposite side [see Fig. 3(d)]. As long as these structures are small compared to wavelength, their behavior calculated by full-wave methods can be approximated by equivalent lumped elements. This allows a first rough design of the required filter elements. For higher precision and at 1SONNET,

ver. 9, Sonnet Software Inc., North Syracuse, NY.

III. GENERAL FILTER DESIGN The basic design procedure for all filters presented below is the same; its details are outlined in the following. Together with the description of the individual filters, specific design considerations are mentioned if necessary. The filter elements, as described in Section II-B, form a filter building kit from which, at least in principle, different types of filters can be realized based on standard filter design. In addition, further elements can be added to improve the filter response, e.g., to achieve quasi-elliptic responses. Filter design starts with a classical lumped-element equivalent circuit, e.g., according to [10]. These elements then have to be realized in the form of SSL structures, as depicted in Figs. 2 and 3. To this end, design charts are pre-calculated for different dimensions of the SSL elements or combinations of these using a full-wave simulator . As an example, Fig. 4 shows values of the series capacitance of single- and double-sided end coupling for 50- lines (3.8-mm wide). Another example is a shunt resonator, as can be used for bandpass filters [see Fig. 5(a)]. The patch type metallization forms a capacitance and the thin strip forms an inductance against ground. For the simulation of the element values, the ground connection of the narrow strip is opened, and the imaginary part of the input impedance into the structure is calculated. Comparing resonance frequency (the imaginary part is zero) and the derivative of the imaginary part with respect to frequency to the corresponding values of the equivalent circuit [see Fig. 5(b)], its elements can be determined [11]. The inductance formed by

3232

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 6. Equivalent circuit and SSL layout of a five-resonator bandpass filter. (Grey elements: feeding lines on bottom side of the substrate.) TABLE I EQUIVALENT ELEMENT VALUES OF THE FILTER ACCORDING TO FIG. 6 (TOP) Fig. 4. Approximate capacitive values of end coupling (solid line: single layer coupling, dashed line: coupling between strips on opposite sides of the substrate). Negative gapwidth indicates overlapping of the strips.

TABLE II GEOMETRICAL DIMENSIONS OF THE FILTER ACCORDING TO FIG. 6 (BOTTOM). THE NUMBERS IN BRACKETS GIVE THE FINAL DIMENSIONS AFTER OPTIMIZATION

Fig. 5. (a) Layout of a shunt resonator. (b) Equivalent circuit of resonator (the ground connection of the inductance is opened to calculate the impedance at this interface). (c) Inductance versus inset depth. Patch width is d = 2:6 mm.

the thin strip to ground is plotted as a function of inset depths in Fig. 5(c). The capacitance of the patch is nearly independent of the inset depth of the inductive strip and can be adjusted by its width . In a similar way, all equivalent-circuit elements are obtained approximately by their respective SSL structure, and the overall geometry of the initial filter structure is determined. This procedure is demonstrated at the example of a five-resonator bandpass filter, as shown in Fig. 6 (see [11] as well). To some extent, the filter layout resembles an interdigital filter with heavily loaded stubs; its design, however, is based on a classical lumped-element circuit. Center frequency, bandwidth, and

ripple were chosen to 8.2 GHz, 0.55 GHz, and 0.1 dB, respectively. The equivalent circuit can be derived according to standard filter design methods [10], in this case, selecting a constant shunt capacitance value of 0.241 pF according to patch widths of 2.6 mm. The respective SSL element dimensions can then be read using the diagrams in Figs. 4 and 5. For this filter, the input lines and resonators are placed on opposite sides of the substrate. Equivalent element values and geometrical dimensions are listed in Tables I and II. The resulting SSL filter circuit is simulated based on its geometry, but typically, the results are different from the desired performance, as the starting geometry represents only a rough approximation of the desired elements. With increasing frequency, the lumped-element approach is no longer a sufficient description of the real structures, and electric and magnetic coupling between the different physical elements have not been taken into account. For the example filter, the initial simulation results are shown in Fig. 7. As experiences with such filters show, coupling gaps and inset depths are determined too low, resulting in an increased bandwidth, a higher center frequency, and incorrect resonances, especially of the outer resonators. Thus, an optimization process has to be applied. In general, the optimization routines of commercial software might be used; these, however, take a very long computation time and often lead to suboptimal results due to local minima of the involved cost function. Therefore, a manual optimization is performed based on more sophisticated criteria like the behavior of each return-loss pole, position of return-loss maxima in the passband, etc. The procedure may be separated into two or three

MENZEL AND BALALEM: QUASI-LUMPED SSL FILTERS AND DIPLEXERS

3233

Fig. 9. Equivalent circuit of a low-pass filter with additional capacitive coupling parallel to the inductive elements.

Fig. 7.

Simulated results of the initial bandpass filter geometry.

Fig. 8. Simulated and experimental results of a five-resonator bandpass filter. (Dotted lines: full-wave simulation. Solid lines: experiment.)

successive steps with different computational accuracy, leading to a considerable reduction of optimization time. Recently, a space-mapping approach was made using the lumped-element circuit for the coarse model and the geometrical structure for the fine model [12], together with a special optimizer applied to the coarse model to find global optima for the involved circuits. The optimized geometry of the example filter is included in brackets in Table II; element dimensions had to be adjusted by a few tenths of a millimeter. Simulated and measured results of the filter finally are plotted in Fig. 8. Passband insertion loss is 2.1 dB. This increased loss is mainly due to the narrow inductive strips with deep insets. Simulations were done for single resonators assuming lossy metal; values of down to 150 were found for thin inductive strips and a deep inset, while this value increases up to 800 or 1000 for a wide strip and a short inset. For the same filter characteristics, however, the design procedure allows the selection of larger capacitances (larger patch width) and lower inductances with wider strips and shorter insets, thus insertion loss can be reduced significantly [11]. Due to the lumped-element character of the filter, a very wide stopband also results. Simulation and experiment agree well, except for an 80-MHz shift in center frequency due to the additional inductances provided by the groves in the mount.

Fig. 10. Top and bottom layout and transmission performance of a low-pass filter with a threefold capacitive coupling. (Dashed lines: full-wave simulation. Solid lines: experiment.)

IV. LOW-PASS FILTER The realization of low-pass filters consisting of short sections of very low impedance [see Fig. 2(a)] and very high impedance [see Fig. 3(a)] was demonstrated earlier [5]. As the inductive elements are very short, the metallization can be brought close together, and additional patches on the backside of the substrate can increase the respective capacitive coupling [see Fig. 3(d)]. This leads to additional coupling in parallel to the inductances (see [13, Figs. 9 and 10]). With a proper design of the elements, the resulting parallel resonators act like the required inductance at the corner frequency of the filter, but provide transmission zeroes at their resonance frequency. With different values for the respective elements in Fig. 9, three different transmission zeroes can be realized. Fig. 10 shows top and bottom metallization, as well as simulated and experimental results of such a filter with three transmission zeroes. The length of the filter itself amounts to approximately only 14 mm. Corner frequency is 4.2 GHz, and insertion loss is lower than 0.3 dB below 4 GHz including excess transmission-line length and the two transitions to the coaxial line. A stopband attenuation of better than 65 dB is achieved.

3234

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 11. Basic structure and layout of a four-resonator filter with transmission zeroes. (Black: top side of substrate. Grey: bottom side of the substrate.)

Fig. 13. Equivalent circuit and realization of a respective SSL bandpass filter with top (hatched) and bottom side (dotted) layout.

Fig. 14. Top and bottom side layouts of a five-resonator bandpass filter with additional transmission zeroes.

B. Bandpass Filters With Series and Parallel Resonators

Fig. 12. Simulated and experimental return and insertion loss of the four-resonator filter. (Dotted lines: full-wave simulation. Solid lines: experiments.)

Theory and experiment show an excellent agreement down to 80 dB. V. BANDPASS FILTERS A. Bandpass Filters With Coupled Parallel Resonators A first bandpass filter with coupled resonators has already been demonstrated as a design example in Section III. If neighboring inductive strips are oriented to the same side, additional inductive coupling occurs, which can be modeled with a equivalent circuit; this finally results in an inductance parallel to the respective coupling capacitor [14]. As with the low-pass filters, this can be exploited to generate additional transmission zeroes. Depending on the distance between the inductive strips, transmission zeroes can be realized both below and above the filter passband. The principle structure of a four-resonator filter with such additional magnetic coupling is shown in Fig. 11. Two resonators each are on opposite sides of the substrate, and due to multiple magnetic coupling, three transmission zeroes occur, as demonstrated in Fig. 12. Center frequency of the filter is 8.5 GHz, bandwidth amounts to 1.3 GHz, and passband insertion loss is 0.7 dB. The filter length (without connecting lines) is only 12 mm.

Using the different elements, as introduced in Section II-B, even bandpass filters according to the classical ladder structure can be realized, consisting of series and parallel resonators [see Fig. 13 (top)]. A possible layout structure is shown in Fig. 13 below the equivalent circuit. The parallel resonators have the same SSL structure as those in the previous section, and the series resonators are composed of a narrow strip and a patch coupling to the parallel resonators. While the bandpass filters as shown before are most suited for narrow or medium bandwidth, the arrangements as presented here lend themselves to wide-band filters, as the element values for the series resonators would be too large for narrow bandwidth. According to the large bandwidth, filter slopes—at least at the upper band edge—get quite flat. To compensate for this, transmission zeroes may be generated by adding capacitive coupling in parallel to the inductors of the series resonators. This can be done easily by adding small metal sections to the connecting lines coupling to the capacitive patches, as shown in the layout of Fig. 14. Except for these elements, the remaining circuit is nearly unchanged. As a result, the filter performance, as given in Fig. 15, is achieved. The filter has a bandwidth of 6.8 GHz around 10 GHz. Filter length (without connecting lines) is 10.5 mm. Passband insertion loss amounts to 0.6 dB 0.1 dB. The peaks in insertion loss at 19 GHz are due to some mount resonance and higher order mode interaction. VI. HIGH-PASS FILTER If the resonator structures of a filter, as shown in Fig. 6 (bottom), are arranged alternatively on different substrate

MENZEL AND BALALEM: QUASI-LUMPED SSL FILTERS AND DIPLEXERS

Fig. 15. Transmission characteristics of a five-resonator bandpass filter with additional transmission zeroes according to Fig. 14. (Dashed line: full-wave simulation. Solid line: experiment.)

Fig. 16.

3235

Fig. 17. Transmission characteristics of an SSL high-pass filter according to Fig. 16. (Dashed line: full-wave simulation. Solid line: experiment.)

Top (left) and bottom side (right) layouts of an SSL high-pass filter.

sides and brought together very close—the resonators typically overlap—high-pass filters result. Coupling between the resonator elements is made very strong in this way, and the capacitance of the patches against ground partly is shielded so that shunt inductances and series capacitances dominate. With proper design, high-pass filters with a very wide passband can be realized in this way. A typical layout of such a high-pass filter is given in Fig. 16. The corner frequency is 7.2 GHz, and high-pass performance with only a few tenths of a decibel of insertion loss is achieved up to more than 20 GHz (Fig. 17). The experimental return loss is somewhat higher than calculated; this is mostly due to an increasing return loss of the transitions to the coaxial measurement system. VII. DIPLEXER Based on the above reported results, the design of diplexers has also been investigated. In detail, the combination of low- and high-pass filters was considered (Fig. 18). With pure SSL filter structures, however, the T-junction to the common port is quite large due to the increased cross-sectional size of the SSL. This leads to a phase progression, which, at least for diplexers with broad-band filters, prevents good results. As a consequence, the involved T-junction was realized in the microstrip, and a SSL-to-microstrip transition was included into the design of the involved filters. For the low-pass filter, one of the outer inductive strips connects directly to microstrip. For the high-pass filter, one of the outer capacitive couplings is done by a patch connected to a microstrip line. To this end, the involved filters were redesigned. Only very short microstrip sections are involved so

Fig. 18. Top and bottom side layouts of an SSL diplexer consisting of a low-pass (left-hand side) and a high-pass filter (right-hand side).

that the major advantage of low loss is maintained. The microstrip T-junction with linewidths of approximately 0.7 mm is quite small and includes only small phase shifts. The common port resulting in this way can easily be included in the commercial simulator maintaining a rectangular shielding box. In practice, however, the mount includes a T-shaped channel; thus, simulation and experimental setup do not completely match. After joining the two filters via the T-junction, some redesign of the filter elements close to the junction was done to compensate for the effects of the T-junction and the influence of the respective other filter in order to get the desired diplexer results. In Fig. 18, the layout for both substrate sides of such a diplexer is shown, and a photograph of the diplexer is given in Fig. 19. The two transitions to microstrip and the microstrip T-junction can be seen clearly. Major simulated and experimental scattering parameters are plotted in Figs. 20 and 21. Low- and high-pass corner frequencies are 4.5 and 7.8 GHz, respectively. At 6.5 GHz, the two filters interact in such a way that there is a transmission zero of the low-pass filter insertion loss. The diplexer works fine up to 18 GHz, above this frequency, the return loss of the high-pass filter increases. Some discrepancies between simulation and experiment, especially for the return loss at the high-pass side, are due to the differences in simulated and realized structure. While the simulation is based on a common port at the edge of the computation

3236

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

box, a separate channel with the microstrip line is used for the hardware diplexer.

VIII. CONCLUSION

Fig. 19. SSL diplexer (compared to Fig. 18, left- and right-hand sides are interchanged as the layout mask was used face down).

The SSL is well known as a low-loss medium for the realization of microwave filters. In this paper, a consequent extension to quasi-lumped element filters has been demonstrated. These elements provide capacitive coupling between strips on the same or the opposite side of the substrate, as well as toward ground, and both series and shunt inductive coupling by narrow lines. Even resonant couplings can be included, leading to transmission zeroes improving the stopband behavior of the filters. Basic reactance filter configurations are taken as starting point for the design, and full-wave calculation and optimization is used to account for the finite geometrical extension of the involved elements and for additional electromagnetic coupling, especially at higher frequencies. The simulation is done in a simple rectangular box, leading to acceptable computational efforts and excellent results compared to measurements. In the case of the diplexer, where the SSL cross section gets too large, an extension is made to include smaller microstrip structures to realize a small common port area.

REFERENCES

Fig. 20. Simulated and experimental return loss of the low- and high-pass input ports of the diplexer. (Dashed line: full-wave simulation. Solid line: experiment.)

Fig. 21. Simulated and experimental insertion loss of low- and high-pass ports to the common port of the diplexer. (Dashed line: full-wave simulation. Solid line: experiment.)

[1] J. D. Rhodes, “Suspended substrates provide alternatives to coax,” Microwave Syst. News, vol. 9, pp. 134–143, Aug. 1979. [2] J. D. Rhodes and J. E. Dean, “MIC broad-band filters and contiguous diplexers,” in 9th Eur. Microwave Conf. Dig., 1979, pp. 407–411. [3] C. I. Mobbs and J. D. Rhodes, “A generalized Chebyshev suspended substrate stripline bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 5, pp. 397–402, May 1983. [4] W. Schwab, F. Bögelsack, and W. Menzel, “Multilayer suspended stripline and coplanar line filters,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 7, pp. 1403–1407, Jul. 1994. [5] W. Menzel, “Broadband filter circuits using an extended suspended substrate transmission line configuration,” in 22nd Eur. Microwave Conf., Helsinki, Finland, 1992, pp. 459–463. [6] W. Menzel and F. Bögelsack, “Folded stubs for compact suspended stripline circuits,” in IEEE MTT-S Int. Microwave Symp. Dig., Atlanta, GA, Jun. 1993, pp. 593–596. [7] E. Yamashita, M. Nakajima, and K. Atsuki, “Analysis method for generalized suspended striplines,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 12, pp. 1457–1463, Dec. 1986. [8] E. Yamashita, B. Y. Wang, and K. Atsuki, “Effects of side-wall grooves on transmission characteristics of suspended strip lines,” in IEEE MTT-S Int. Microw. Symp. Dig., 1985, pp. 145–148. [9] W. Schwab and W. Menzel, “On the design of planar microwave components using multilayer structures,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 1, pp. 67–72, Jan. 1992. [10] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [11] W. Menzel, “A novel miniature suspended stripline filter,” in Eur. Microwave Conf., Munich, Germany, Oct. 2003, pp. 1047–1050. [12] H. Bilzer, F. Frank, and W. Menzel, “A space mapping method allowing models with different parameter rank and physical meanings for coarse and fine model,” in IEEE MTT-S Int. Microwave Symp. Dig., Long Beach, CA, 2005. Session WEPD-1. [13] W. Menzel and A. Balalem, “Compact suspended stripline quasi-elliptic low-pass filters,” in German Microwave Conf., Ulm, Germany, Apr. 2005, pp. 61–64. [14] W. Menzel and M. Berry, “Quasi-lumped suspended stripline filters with adjustable transmission zeroes,” in IEEE MTT-S Int. Microwave Symp. Dig., Fort Worth, TX, 2004, pp. 1601–1604.

MENZEL AND BALALEM: QUASI-LUMPED SSL FILTERS AND DIPLEXERS

Wolfgang Menzel (M’89–SM’90–F’01) received the Dipl.-Ing. degree in electrical engineering from the Technical University of Aachen, Aachen, Germany, in 1974, and the Dr.-Ing. degree from the University of Duisburg, Duisburg, Germany, in 1977. From 1979 to 1989, he was with the Millimeter-Wave Department, AEG, Ulm, Germany [now the European Aerospace, Defense, and Space Systems (EADS)]. From 1980 to 1985, he was Head of the Laboratory for Integrated Millimeter-Wave Circuits. From 1985 to 1989, he was Head of the entire Millimeter-Wave Department. During that time, his areas of interest included planar integrated circuits (mainly on the basis of fine-line techniques), planar antennas, and systems in the millimeter-wave frequency range. In 1989, he became a Full Professor with the Department of Microwave Techniques, University of Ulm, Ulm, Germany. His current areas of interest are multilayer planar circuits, waveguide filters and components, antennas, millimeter-wave and microwave interconnects and packaging, and millimeter-wave application and system aspects. Dr. Menzel was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2003–2005). From 1997 to 1999, he was a Distinguished Microwave Lecturer for Microwave/Millimeter Wave Packaging. From 1997 to 2001, he chaired the German IEEE Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Chapter. He was the recipient of the 2002 European Microwave Prize.

3237

Atallah Balalem received the B.Sc. degree in physics (with a minor in electronics) from An-Najah National University, Nablus, Palestine, in 2000, and the M.Sc. degree in communications technology from University of Ulm, Ulm, Germany, in 2005. His Master’s thesis concerned the design of very compact SSL filters and diplexers. Since June 2005, he has been with Microwave and Communication Engineering, University of Magdeburg, Magdeburg, Germany.

3238

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

An Analytical Small-Signal Model for Submicrometer n+-i-n+ Traveling-Wave Photodetectors Guido Torrese, Associate Member, IEEE, Isabelle Huynen, Member, IEEE, and André Vander Vorst, Life Fellow, IEEE

Abstract—A fully analytical small-signal model for investigating the frequency response of submicrometer n+ -i-n+ traveling-wave photodetectors is derived. In order to properly account for nonstationary nonlocal phenomena characterizing submicrometer devices, the simple diffusive description of the carrier drift flux in a local driving field discussed by Torrese et al. in 2002 has been replaced by the hydrodynamic approach. The influence of the bias voltage on the transit time, as well as the bandwidth limitation due to the electrical wave reflections at both input and output ends of the detector are discussed. Index Terms—Bandwidth, n+ -i-n+ photodiode, semiconductor device, traveling-wave photodetector (TWPD).

I. INTRODUCTION

T

HE DEMAND for optical communication networks operating at bit-rates above 40 Gb/s has required the development of high-speed high-efficiency photodetectors. In order to move beyond the traditional limits of vertically illuminated photodiodes (VPDs), traveling-wave photodetectors (TWPDs) have been proposed [2]–[4]. Although TWPDs can overcome the RC bandwidth limitation by matching the optical group velocity to the phase velocity of the electrical signal [5], the design of the intrinsic region is limited by the geometry of the transmission line. By distributing the time constant and absorbing the electrical signal reflections at the input and output ends of the structure, edge-coupled TWPDs allow, at least in principle, to maximize the bandwidth without sacrificing the internal quantum efficiency. In practice, when increasing the device absorption length, the bandwidth degrades seriously because of losses and dispersion of the microwave signal. As discussed in [1], even small impedance mismatching can induce strong reflections compromising the TWPD performance. Chiu et al. reported a record bandwidth of 560 GHz by using a low-temperature grown (LTG) GaAs p-i-n diode [6]. Unfortunately, its quantum efficiency is only 8%. Since the LTG device response is dominated by the carrier-trapping rather than by the carrier-transit time, high-speed TWPDs can be fabricated. In order to reduce losses and increase the bandwidth, metal–semiconductor–metal (MSM) TWPDs have been

demonstrated [7]–[9]. Although MSM TWPDs exhibit better performance in terms of bandwidth in comparison with p-i-n TWPDs, they are still characterized by a net quantum efficiency (including coupling losses) similar to that of p-i-n TWPDs [7]. In order to increase the responsivity, a large bias voltage can be applied. However, as the electric field becomes extremely high, the semiconductor material breakdown voltage will eventually be reached. Moreover, at high electric field, the band-to-band tunneling mechanism [10] limits the photodiode response as a significant current begins to flow through the junction. In this paper, we discuss n -i-n TWPDs. As pointed out by Chiu et al. [11], these exhibit an internal quantum efficiency about one order larger than that of an equivalent p-i-n based TWPD. As a matter of fact, since the Fermi level of LTG-GaAs diodes is close to the midgap, only a small reverse-bias voltage is required to overcome the potential barrier across the junction. However, the approach presented in this paper applies to n-i-n photodiodes independently of the low-temperature (LT) process utilized to grow the GaAs layer. LT grown GaAs photodiodes can attend a record bandwidth of 560 GHz in short wavelength regimes only. Their performances are degraded in the long-wavelength regime because long-wavelength absorption coefficient of LT-GaAs is much smaller than the interband absorption coefficient [12]. This paper is organized as follows. In Section II, we present the equations for investigating the behavior of TWPDs. We formally derive an expression for the current density based on the isothermal hydrodynamic model. The latter allows to overcome the limitations of the drift–diffusion (DD)-based model discussed in [1]. The kinetic description of the carrier transport is obtained by coupling Poisson’s equation to the first two moments of the Boltzmann transport equation (BTE). The current density is utilized to obtain a RF transmission-line model depending on the loads at the input and output ends of the device. In Section III, we discuss the optical design, while Section IV deals with the numerical results obtained by applying the model.

II. TWPD MODEL Manuscript received March 21, 2005; revised May 17, 2005. This work was supported by the National Fund of Scientific Research, Belgium, and by the European Communities under the Network of Excellence FP5-IST-2001-32786 Nefertiti. G. Torrese is with the Service d’Electromagnétisme et de Télécommunications, Faculté Polytechnique de Mons, B-7000 Mons, Belgium (e-mail: [email protected], [email protected]). I. Huynen and A. Vander Vorst are with the Microwave Laboratory, Université Catholique de Louvain, B-1348 Louvain-la-Neuve, Belgium (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855137

A. Hydrodynamic Small-Signal Model To simulate the behavior of submicrometer n -i-n TWPDs, we solve the transport equations and Poisson’s equation. As discussed in [1], we divide the photodetector into differential secand we determine for each section the total tions of length current density. The DD model utilized in [1] assumes that the current changes slowly on the scale of the momentum relaxation

0018-9480/$20.00 © 2005 IEEE

TORRESE et al.: ANALYTICAL SMALL-SIGNAL MODEL FOR SUBMICROMETER n -i-n

time. In addition, the carrier mobility and the diffusion coefficient are uniquely determined by the local electric field. As devices shrink, the DD equations can no longer provide an adequate description of the device operation. In order to capture nonlocal effects missed by the DD model, a one-dimensional isothermal hydrodynamic model is considered. The isothermal approximation is consistent with the fact that, within the intrinsic region, under a moderate reverse bias, phonon scattering does not rise the electron temperature significantly. By imposing isothermality of the one-dimensional conducting fluid and assuming a negligible phonon scattering within the intrinsic region, the electron dynamics can be described by the following equations [13], [14]:

TWPDs

3239

rates, respectively. As we consider a side illumination with uniform distribution in the -plane, the generation term is - and -independent. From now on, we assume . As discussed in [1], the dc generation rate is responsible for the nonuniform behavior of the equivalent transmission-line model. When a low optical power is considered, the admittance per unit length is approximately constant versus the position. On the other hand, the driven term in the wave equation is independent of the dc gen, no significant eration rate. Consequently, when neglecting error is introduced. When decoupling (1)–(3) into a set of dc and ac equations, the steady-state electron concentration and velocity are (5) (6)

(1) respectively, while the perturbational variables have to satisfy the ac equations (2)

(7)

(3) where is the electron density, is the electron veis the electric field, is the electron effeclocity, is the momentum relaxtive mass, is the electron charge, ation time, is the permittivity, is the donor concentration, is the generation rate. Due to the n -i-n strucand ture, there is a relatively high number of electrons in comparison with the number of holes. In n-i-n diodes considered in this paper, the i-region is a low-doped n-region. Thus, the structure is a unipolar semiconductor device in which charge transport is fully described by utilizing the hydrodynamic equations, derived by taking moments of the BTE. Consequently, the transport mechanism can be determined in terms of a single carrier species. Equations (1)–(3) are, respectively, carrier continuity, momentum, and Poisson’s equations. They are known to be numerically difficult to solve. In order to determine an analytical solution, a perturbational approach [15] is used. A closed-form expression for the current density is obtained by considering a small-signal perturbation to the general nonlinear transport problem, while uniform conditions for the dc quantities are assumed. Due to the large difference in the carrier concentration between intrinsic and high-doped layers, the band-bending occurs in the depletion region. Consequently, the potential drop within the n layers is negligible and the quasi-neutral regions can be excluded from consideration. The self-consistent smallsignal problem can be solved once the optical generation rate is specified. Assuming no back-reflection at the end of structure, we have

(8)

(9) where the subscripts 0 and indicate the unperturbed (dc) and perturbed (ac) variables, respectively. Once the electron concentration and velocity are known, dc and ac current densities are, respectively, (10) and (11) By integrating (11) with respect to the -variable between 0 and , and multiplying by , the ac current can be written as (12) where is the thickness of the intrinsic region, is the width is the ac voltage resulting from the of the photodetector, and with respect to the integration of the ac electric field variable. The admittance per unit length is defined as follows: (13)

(4) where the optical absorption coefficient and propagation coare defined according to [16], is the RF angular efficient frequency, while and represent the dc and ac generation

It can be written as

(14)

3240

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

The admittance per unit length given by (14) takes into account the finite conductivity of the intrinsic region through the finite nonzero donor concentration. On the other hand, for perfect intrinsic materials, it reduces to a simple capacitance. In order to determine a unique solution for (7)–(9), appropriate boundary conditions (BCs) have to be specified. If BCs are restricted to the edges of the intrinsic region, three conditions are required for solving the three first-order ac equations. However, when integrating (11) with respect to the variable, it is possible to define the ac voltage . It appears to be an independent variable for the traveling-wave equation discussed in Section II-B so that only two BCs are needed to determine the traveling-wave current given by (12). We assume (15) By utilizing the above BCs, the

term can be written as (16)

– given in the Appendix. The term with coefficients represents the distributed current source due to the photogeneration process. It should be noted that when no light is applied, is zero so that the ac current is only due to the displacement mechanism. B. Transmission-Line Model As discussed in [1], the electric-field component in the -direction satisfies the time–harmonic two-curl Maxwell’s equation (17) where is the permeability. The TWPD behavior can be completely determined once the current density is known. Integrating (17) in the -direction yields (18) is the mean current per unit length photogenerated where is the impedance per unit length at the position, while m given by (19) The solution of (18) can be easily obtained when the following BCs at the two ends of the TWPD are specified:

III. OPTICAL DESIGN Based on the model described in Section II, the following conclusions can be drawn. • A wider waveguide and thinner absorption region result in a larger impedance mismatching (with respect to the general instrument impedance of 50 ), which, in turn, is responsible for a reduction of the TWPD bandwidth. On the other hand, when decreasing the waveguide width, the optical coupling efficiency will also decrease, compromising the quantum efficiency of the device. • When considering perfectly impedance-matched devices, the depletion region thickness should be minimized in order to maximize the bandwidth. Unfortunately, the coupling efficiency will be strongly reduced because thin absorbing layers correspond to small modal absorption lengths. As a rule of thumb, the intrinsic region should be at least thicker than 200 nm to avoid small confinement factors. • The design of the waveguide structure determines the optical group velocity. Since the intrinsic region is common to both the optical waveguide and RF transmission line, the velocity matching is possible only if electrodes are accurately designed. Typical TWPDs have low microwave impedances (25–30 ), large frequency-dependent microwave losses, and small slowwave factors (between 5–7). As the size of the optical waveguide is increased to maximize the coupling efficiency, a thicker intrinsic region should be designed in order to avoid a velocity mismatch. However, the carrier transit time will increase, degrading the bandwidth performance. The total photodetector efficiency is mainly limited by the coupling efficiency into the waveguide. To increase the latter, the TWPD width should be larger than 2 m. When all photogenerated carrier are collected to the electrodes, the total quantum efficiency is (21) is the fiber to waveguide coupling efficiency, is the where reflectance at the air–semiconductor interface, and is the optical confinement factor. Even if long TWPDs can be designed , they suffer from large propagation so that losses, which, in turn, limit the bandwidth. In order to optimize the bandwidth quantum-efficiency product of n -i-n TWPDs, we ran extensive simulations based on the model described in Section II to determine the bandwidth, while (21) has been used to compute the quantum efficiency. The optical confinement factor has been calculated as

(20)

(22)

and are the admittance of the ending loads at the where input and output of the device, respectively. By coupling the hydrodynamic transport model to the transmission-line model, it is now possible to account for the interaction between the traveling-wave field and carrier.

where the transverse electric field in the waveguide has been obtained by using the commercial software FEMLAB. Fig. 1 shows the electrical field profile of the fundamental quasi-TE optical mode. Due to the small thickness of the active region, the amount of power coupled in higher order modes has

and

TORRESE et al.: ANALYTICAL SMALL-SIGNAL MODEL FOR SUBMICROMETER n -i-n

Fig. 1. Cross section of the n -i-n TWPD discussed in this paper. Geometrical parameters are: thickness of intrinsic region d = 0:33 m and photodetector width w = 2 m. The effective refractive index is n = 3:1797 + | 5:9436 1 10 . TABLE I MATERIAL GROWTH STRUCTURE

been neglected. The fiber-to-waveguide coupling efficiency has then been computed by using the overlap integral method [17]. Details of the material structure and geometrical dimensions are given in Table I. In order to have a good optical confinement at a wavelength of 1.55 m, the In content of the waveguide core is equal to 0.62, while an As mole fraction of 0.83 has been chosen to match the InGaAsP to InP. IV. RESULTS Once the device geometry is selected, the model previously described can be used to predict the photodetector performance. For all practical cases, the doping concentration of the intrinsicregion ranges from 10 cm to 10 cm . In principle, the waveguide core should be made by high resistive materials in order to avoid semiconductor losses associated with the transverse current flow. Fig. 2 shows the output current frequency response for three different values of the doping concentration. A larger doping concentration corresponds to a smaller output current. Due to the transverse current flow, the admittance per unit length stops to behave like a simple capacitance. As a matter of fact, the photodetector differential conductance (corresponding to the real part of ) can no longer be neglected. This is particularly true at low frequency. As the frequency increases, the

TWPDs

3241

Fig. 2. Output current of input open-ended TWPD calculated using the model of this paper for three different values of the intrinsic-region = 1 1 10 cm , N = 1 1 10 cm , doping concentration: N N = 1 1 10 cm , and calculated for N = 0 using Huynen’s model [16]. Geometrical parameters are w = 2 m, d = 0:33 m, L = 1 mm. Electrical and optical parameters are V = 10 V, R = 10 =m, n = 3:1797,  = 1:55 m, P = 0:001 nW. The output end of the device has been matched to the characteristic impedance corresponding to the N = 1 1 10 cm doped TWPD. The inset shows same simulations over reduced bandwidth (10 MHz-1 GHz). Current values are normalized with respect to a reference current of 1 A.

penetration of the electromagnetic waves into the material decreases. A smaller penetration depth corresponds to a higher resistivity, which, in turn, implies an almost constant value of the characteristic impedance versus the modulation frequency. For frequencies larger than 10 GHz, the influence of the doping concentration is negligible. Results obtained with our model have been compared to those obtained by using Huynen’s model [16]. The photodetector has been left open at the input end , while the output end has been matched to the characteristic impedance of the 0.33- m-thick TWPD. Although Huynen’s model does not account for the influence of the doping concentration, Fig. 2 shows a good agreement between results obtained with the two models. Fig. 3 illustrates the influence on the bandwidth of the intrinsic-region thickness . The frequency response corresponding to the matched output-end device with m (solid line) is compared to the response of TWPDs with a thicker intrinsic region (dashed and dotted–dashed lines). The simulation has been carried out taking into account the modal absorption coefficient . For the 0.33- m intrinsic-region-thick photodetector, the confinement factor is very small and cm . When thickening the two InP regions, the modal absorption coefficient increases, while the real part of the effective refractive index is only slightly modified. For the 0.5- m intrinsic-region-thick photodetector, cm , while for the 1.0- m intrinsic-region-thick photodetector, cm . Since the characteristic impedance depends on the geometry of the active area, the condition is satisfied only for the - m-thick

3242

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

APPENDIX The

coefficients are defined as (23) (24) (25) (26) (27) (28) (29) (30) (31)

Fig. 3. Output current of input open-ended TWPD for three different values of the intrinsic-region thickness: d = 0:33 m, 0.50 m, 1 m. Geometrical parameters are w = 2 m, L = 1 mm. Electrical and optical parameters are V = 10 V, R = 10 =m, N = 1 1 10 , n = 3:1797,  = 1:55 m, P = 0:001 nW. The output end of the device has been matched to the characteristic impedance corresponding to the 0.33-m-thick TWPD. Current values are normalized with respect to a reference current of 1 A.

TWPD. For a 1- m intrinsic-region thickness, the output current exhibits an oscillatory behavior for frequencies exceeding 10 GHz. The latter is due to the electrical mismatch at the end of the line. When comparing the output current frequency response of the photodetectors with a 0.5- and 0.33- m intrinsic region, quite surprisingly, we remark that the 3–dB bandwidth of the thinner photodiode appears slightly smaller than that of the thicker one. Since the photodetector with a 0.5- m intrinsic region is not electrically matched, the output current tends to oscillate. However, the amplitude of this oscillation is quite small. As a matter of fact, the characteristic impedance of the 0.5- and 0.33- m intrinsic-region photodetectors are similar. Moreover, a quite large value of the series resistance tends to reduce the oscillation. This mechanism is similar to that exploited when designing a trans-impedance amplifier in order to extend the bandwidth [18]. When the gain stage has a relative high transconductance, it is possible to improve the frequency response by introducing a phase-lag into the closed-loop gain of the trans-impedance amplifier. The finite amount of transit-time delay causes a peak in the overall amplifier response.

V. CONCLUSIONS This paper has presented a fully analytical TWPD model based on the hydrodynamic transport approach. It allows to overcome the limitation of DD-based models so that accurate simulations of TWPDs with a submicrometer intrinsic region are possible. In order to optimize the bandwidth quantum-efficiency product of an InAlAs/InGaAs n -i-n photodetector, we ran extensive simulations by adjusting the absorption coefficient, the geometry of the intrinsic region, the input and output load impedances, and the external bias voltage.

REFERENCES [1] G. Torrese, I. Huynen, M. Serres, D. Gallagher, M. Banham, and A. Vander Vorst, “An analytical small-signal bias-dependent nonuniform model for p-i-n traveling-wave photodetectors,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2553–2557, Nov. 2002. [2] K. Giboney, R. Nagarajan, T. Reynolds, S. Allen, R. Mirin, and M. Rodwell, “Traveling-wave photodetectors with 172-GHz bandwidth-efficiency product,” IEEE Photon. Technol. Lett., vol. 7, no. 4, pp. 412–414, Apr. 1995. [3] K. S. Giboney, M. J. W. Rodwell, and J. E. Bowers, “Traveling-wave photodetectors theory,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1310–1319, Aug. 1997. [4] V. M. Hietala, G. A. Vawter, T. M. Brennan, and B. E. Hammons, “Traveling-wave photodetectors for high-power large-bandwidth applications,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2291–2298, Sep. 1995. [5] K. S. Giboney, M. J. W. Rodwell, and J. E. Bowers, “Traveling-wave photodetectors,” IEEE Photon. Technol. Lett., vol. 4, no. 12, pp. 1363–1365, Dec. 1992. [6] Y. J. Chiu, S. B. Fleischer, and J. E. Bowers, “High-speed low-temperature-grown GaAs p-i-n traveling-wave photodetector,” IEEE Photon. Technol. Lett., vol. 10, no. 7, pp. 1012–1014, Jul. 1998. [7] S. Y. Chou and M. Y. Liu, “Nanoscale terahertz metal–semiconductor–metal photodetectors,” IEEE J. Quantum Electron., vol. 28, no. 10, pp. 2358–2368, Oct. 1992. [8] E. H. Bottcher and D. Bimberg, “Millimeter wave distributed metal–semiconductor–metal photodetectors,” Appl. Phys. Lett., vol. 66, pp. 3648–3650, May 1995. [9] J.-W. Shi, K.-G. Gan, Y.-J. Chiu, Y.-H. Chen, C.-K. Sun, Y.-J. Yang, and J. E. Bowers, “Metal semiconductor metal traveling-wave photodetectors,” IEEE Photon. Technol. Lett., vol. 16, no. 6, pp. 623–625, Jun. 2001. [10] S. R. Forrest, M. Didomenico, R. G. Smith, and H. J. Stocker, “Evidence for tunneling in reverse biased III–V photodetector diodes,” Appl. Phys. Lett., vol. 36, pp. 580–582, Jan. 1980. [11] Y.-J. Chiu, V. Kamam, S. Z. Zhang, J. E. Bowers, and U. K. Mishra, “A novel 1.54 m n-i-n photodetectors based on low-temperature grown GaAs,” in IEEE Lasers and Electro-Optics Soc., Dec. 1998, pp. 155–156. [12] H. S. Loka, S. D. Benjamin, and P. W. E. Smith, “Refractive index and absorption changes in low-temperature-grown GaAs,” Opt. Commun., vol. 155, pp. 206–212, Oct. 1998. [13] K. Blotekjaer, “Transport equations for electrons in two valley semiconductors,” IEEE Trans. Electron Devices, vol. ED-17, no. 1, pp. 38–47, Jan. 1970. [14] M. Lundstrom, Fundamentals of Carrier Transport, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 2000, pp. 222–223. [15] S. E. Laux, “Techniques for small-signal analysis of semiconductor devices,” IEEE Trans. Electron Devices, vol. ED-32, no. 10, pp. 2028–2037, Oct. 1985.

TORRESE et al.: ANALYTICAL SMALL-SIGNAL MODEL FOR SUBMICROMETER n -i-n

[16] I. Huynen, A. Salamone, and M. Serres, “A traveling-wave model for optimizing the bandwidth of p-i-n photodetectors in silicon-on-insulator technology,” IEEE J. Sel. Topics Quantum Electron., vol. 4, no. 11, pp. 953–963, Nov.–Dec. 1998. [17] K. Kato, S. Hata, K. Kawano, J. Yoshida, and A. Kozen, “A high-efficiency 50 GHz InGaAs multimode waveguide photodetector,” IEEE J. Quantum Electron., vol. 28, no. 12, pp. 2728–2735, Dec. 1992. [18] M. Govindarajan and S. R. Forrest, “Transit-time broad-banding of very high bandwidth monolithic p-i-n/HBT optical receivers,” IEEE Photon. Technol. Lett., vol. 4, no. 9, pp. 1015–1017, Sep. 1992.

Guido Torrese (S’00–A’01) was born in Genova, Italy, on September 21, 1971. He received the Electronic Engineering degree from the Università degli Studi di Genova, Genova, Italy, in 1997, and the Ph.D. degree in applied sciences from the Université Catholique de Louvain (UCL), Louvain-la-Neuve, Belgium, in 2002. Since 1997 he has been with the Microwave Laboratory (EMIC), UCL. From 2002 to 2004, he was with the Integrated Active Photonics Laboratory (IAP), Ottawa University, Ottawa, ON, Canada, where he was involved with theoretical and experimental investigation of dynamic pulse propagation in photonic crystals, design of tunable lasers, and integrated active photonic devices. He is currently with the Service d’Electromagnétisme et de Télécommunications, Faculté Polytechnique de Mons, Mons, Belgium, where he is involved with the design and characterization of coarse wave division multiplexing/dense wave division multiplexing (CWDM/DWDM) platforms.

TWPDs

3243

Isabelle Huynen (S’90–A’95–M’96) was born in Brussels, Belgium, in 1965. She received the Electrical Engineer degree and Ph.D. degree in applied sciences from the Université Catholique de Louvain (UCL), Louvain-la-Neuve, Belgium, in 1989 and 1994, respectively. In 1989, she joined the Microwave Laboratory, UCL, where she is currently a Research Associate of the National Fund for Scientific Research (FNRS), Belgium, and a Part-Time Associate Professor. Her main research deals with electromagnetic theory and measurement techniques applied to materials, devices, and circuits at microwave, millimeter-wave, and optical wavelengths. She has particular interest in the development of microwave and millimeter-wave devices based on nanoscaled materials and topologies in view of synthesizing wide-band hybrid and integrated opto-electronic circuits for telecommunications applications. Dr. Huynen is a member of the Belgian Society of Telecommunication and Electronic Engineers (SITEL) and the Royal Society of Belgian Electricians (SRBE/KVBE).

André Vander Vorst (M’64–SM’68–F’86–LF’01) was born in Brussels, Belgium, in 1935. He received the Electrical and Mechanical Engineer degrees and Ph.D. degree in applied sciences from the Université Catholique de Louvain (UCL), Louvain-la-Neuve, Belgium, in 1958 and 1965, respectively, and the M.Sc. degree in electrical engineering from the Massachusetts Institute of Technology (MIT), Cambridge, in 1965. He has been associated with the UCL as an Assistant (1958), Assistant Professor (1962), Associate Professor (1968), Professor (1972), and Professor Emeritus (2001). From 1964 to 1966, he was in the U.S. first with MIT, then at Stanford University, both in radio-astronomy. In 1966, he founded the Microwave Laboratory, UCL. He has been Head of the Electrical Engineering Department (1970–1972), Dean Engineering (1972–1975), Vice-President Academic Council (1973–1975), President Open School in Economic and Social Politics (1973–1987), UCL. He has taught in four Belgian universities. He has authored or coauthored six books, several chapters, and a variety of scientific and technical papers. Dr. Vander Vorst has been a member of various committees on communications, microwaves, and education, active in IEEE Region 8 and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), and European Microwave Conferences. He is a founder member of a number of associations, including EuMA, and a cofounder of Microwave Circuits and Systems, MiC6. He is a member of Academia Europaea and The Electromagnetics Academy. He was the recipient of the Sitel Prize (1986), the Meritorious Service Award of the IEEE MTT-S (1994), the IEEE Third Millennium Medal (2000), and the Microwave Career Award (2004) presented by the IEEE MTT-S “For a Career of Leadership, Meritorious Achievement, Creativity and Outstanding Contributions in the Field of Microwave Theory and Techniques.”

3244

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

A Microstrip Three-Port and Four-Channel Multiplexer for WLAN and UWB Coexistence Ming-Iu Lai, Student Member, IEEE, and Shyh-Kang Jeng, Senior Member, IEEE

Abstract—This paper proposes a microstrip three-port four-channel multiplexer for wireless systems where wireless local area network (WLAN) and ultra-wideband (UWB) coexist. The proposed multiplexer has two channels, from 2.15 to 2.89 GHz and from 5.13 to 5.84 GHz, for WLAN and two channels, from 3.22 to 4.83 GHz and from 6.07 to 8.22 GHz, for UWB. The measured scattering parameters agree well with the simulations. To determine a proper circuit topology and overcome the interference problems between ports, the genetic algorithm (GA) technique is exploited. The GA search takes approximately 3 min on a personal computer with a 2.4-GHz microprocessor. Additionally, the analysis of the circuit behaviors, the problem on the size of the multiplexer, and the isolation issues between WLAN and UWB ports are discussed.

Fig. 1.

Allocated spectra for WLAN and UWB.

Fig. 2.

Two-antenna configuration for WLAN and UWB coexistence.

Index Terms—Genetic algorithm (GA), microstrip.

I. INTRODUCTION

A

FTER THE Federal Communications Commission (FCC) allocated the 3.1–10.6-GHz spectra for unlicensed use, the ultra-wideband (UWB) technology is emerging as a promising solution to a set of devices requiring data rates greater than 100 Mb/s for wireless personal-area network (WPAN) communications. In the near future, the notebooks, handheld, and consumer devices will be required to build the wireless local-area network (WLAN) and UWB radio transceivers in order to support various wireless functions. The spectra for these systems are plotted in Fig. 1. For the WLAN systems, it works on 2.4and 5.0-GHz bands, which are commonly used all over the world. For WPAN communications, there are two candidates for the UWB systems, multiband orthogonal frequency division multiplexing (MB-OFDM) and direct-sequence spread-spectrum (DS-SS) [1]. The MB-OFDM UWB system divides the overall spectrum into 13 bands and four groups where Groups B and D are reserved for future use. The DS-SS UWB system based on impulse radio technology divides the spectrum into low-band and high-band. They keep away from WLAN signals on 5.0-GHz band as much as possible to avoid the undesired interferences between the two systems. To setup a device consisting of both WLAN and UWB communication systems, a direct solution is based on a two-antenna configuration, as shown in Fig. 2. However, antennas may be Manuscript received April 7, 2005. This work was supported by the National Science Council, Taiwan, R.O.C., under Grant NSC 93-2213-E-002-091 and the Department of Industrial Technology, Ministry of Economic Affairs, R.O.C., under Technology Development Program of Academic 93-EC-17-A-05-S1-020. The authors are with the Graduate Institute of Communication Engineering and Department of Electrical Engineering, National Taiwan University, Taipei, 10617 Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.855136

too bulky, which is impractical for portable wireless facilities. Driven by the demand for the small-size and low-cost wireless facilities, a single-antenna configuration is a good choice for wireless systems where WLAN and UWB coexist, as shown in Fig. 3. The antennas are replaced by one UWB antenna covering both of the WLAN and UWB spectra and one three-port four-channel multiplexer with two channels for the WLAN and two channels for UWB. Numerous authors have reported various UWB antennas satisfying the desired bandwidth [3], [4] and, therefore, the three-port and four-channel multiplexer is a crucial key to the success of the single-antenna configuration. The design of such a multiplexer presents many tough challenges. Almost all of the traditional methods used for duplexer or multiplexer design [5]–[11] focused on the narrow-band case and that one port is only with one channel. An intuitive design is to accomplish four single-band bandpass filters or two dual-band bandpass filters and then connect filters together [12], [13], as shown in Fig. 4(a) and (b). For such an architecture,

0018-9480/$20.00 © 2005 IEEE

LAI AND JENG: MICROSTRIP THREE-PORT AND FOUR-CHANNEL MULTIPLEXER FOR WLAN AND UWB COEXISTENCE

Fig. 3.

3245

Single-antenna configuration for WLAN and UWB coexistence.

Fig. 4. Multiplexer architectures. (a) Using four single-band bandpass filters. (b) Using two dual-band bandpass filters. (c) Using a switch to connect two dual-band bandpass filter. (d) Using a power divider to connect two dual-band bandpass filter.

some unwanted interactions between the filters may cause serious degradation of passbands and stopbands. Another possible approach is to combine the filters using either a switch or power divider [14], as shown in Fig. 4(c) and (d). The solution with a switch is not cost effective because it requires an UWB switch and additional control circuitries. For the power-divider solution, each channel is subject to the additional 3-dB loss and the design of an UWB power divider presents other thorny problems. In this paper, the Fig. 4(b) architecture is chosen to design the desired multiplexer. The topology of the dual-band bandpass filters is similar to that of dual-behavior resonator (DBR) filters [15]–[17], which are filters with dual-band characteristics by controlling the harmonic response. To determine a proper circuit topology and overcome the interference problems between ports, the genetic algorithm (GA) technique [18]–[21] is used. More details are presented in Section II. In Section III, we implement a three-port and four-channel multiplexer with a microstrip line and present the simulated and measured frequency responses. Section IV presents discussions including the analysis of circuit behaviors, the size problem, and the isolation issues of the multiplexer. Finally, brief conclusions are given in Section IV.

Fig. 5. Representation scheme in the proposed algorithm. (a) A typical passive microstrip circuit. (b) Decomposition of the circuit in (a) into basic circuit elements.

propose a special representation scheme that is capable of describing all circuit information. As illustrated in Fig. 5, a multiplexer circuit consisting of many open- and short-circuited stubs can be decomposed into basic circuit elements. The data structure shown in Fig. 5(b) is then applied to describe a basic circuit element. It is composed of three parts. The first part is an integer representing the topology of a basic element. The second part is an integer representing the way of connection to the previous element. The third part is a set of real numbers describing the corresponding electrical parameters of the element. Table I lists the details of the basic circuit elements. A special gene called Empty is introduced, which enables the representation scheme to describe a circuit with an arbitrary number of basic circuit elements and circuit orders. In a chromosome with genes, the first genes are used to represent Network #1 and the others are for Network #2. For the convenience of connecting two netth genes as a works, we fix the topology of the th and thru-transmission line. With this representation scheme, a multiplexer can be represented as a chromosome (a set of structures). The design problem can be formulated as

II. DESIGN METHODOLOGY To enable a GA algorithm to search for not only electrical parameters, but also the circuit topology, first of all, we have to

(1)

3246

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

TABLE I DETAILS OF THE BASIC ELEMENTS FOR THE GA

where is the scattering matrix calculated by simple transis the solution. Function is the mission-line models and fitness function to be minimized, which is defined as

Fig. 6. Desired frequency response of the multiplexer.

(2) (3) , , , and are the scores for optiIn (2), represents the weighting at the th mization goals. In (3), sampling point, is the square of the difference between the magnitude of the calculated and the desired frequency responses is the number of sampling at the th sampling point, and points. Note that the magnitude of the frequency response is in decibel scale. The original fitness value of a chromosome is adjusted according to the fitness-sharing and fitness-scaling algorithms [19] in order to keep the diversity of chromosomes in a generation. The algorithm based on conventional GAs with one-point crossover and step-mutation operations [21] is then used to search for the chromosome whose frequency response meets the specifications of the desired multiplexer. III. IMPLEMENTATION Here, we design and implement a multiplexer for UWB and WLAN coexistence. The desired frequency response is described by piecewise-linear functions in Fig. 6, where the weighting of each linear region is also depicted. For a sampling point within the shading portions in this figure, the score of the point is set to zero if the evaluated value is smaller than the desired one. The multiplexer is desired to possess two passbands from 2.1 to 2.9 GHz and from 5.1 to 5.9 GHz for the WLAN at port 2 and two passbands from 3.1 to 4.9 GHz and from 6.1 to 8.2 GHz for the UWB at port 3 where the lower and higher bands are elaborately selected for Groups A and C in the MB-OFDM UWB system, respectively. Besides, the lower band at port 3 also covers the low-band of the DS-SS UWB system.

Fig. 7.

Convergence of the GA.

The substrate used is an RO4003 with thickness mm and relative dielectric constant . Some constraints are added such that the transmission-line length and impedance in a basic circuit element should be within a reasonable range for the given substrate and only step-, tee-, and cross-junctions are accepted during synthesis. The population size in a generation is 300 and a chromosome is composed of 24 genes. The probabilities of crossover and mutation operator are 0.6 and 0.3, respectively. Fig. 7 shows the convergence of the GA, where the average and minimal scores are without applying the fitness and sharing algorithms. After 300 generations evolution, the best chromosome consists of seven Empty elements, seven thru transmission lines, and ten stubs. The search takes approximately 3 min on a personal computer with a 2.4-GHz microprocessor. Next, the achieved electrical parameters are then converted to a physical circuit. Table II lists the electrical and physical parameters. The

LAI AND JENG: MICROSTRIP THREE-PORT AND FOUR-CHANNEL MULTIPLEXER FOR WLAN AND UWB COEXISTENCE

TABLE II ELECTRICAL AND PHYSICAL PARAMETERS OF THE MULTIPLEXER

3247

3 are better than 20 dB from dc to 2.03, 3.13 to 4.32, 5.24 to 5.53, and 6.76 to 10.0 GHz. The channel from 6.07 to 8.22 GHz is measured for a return loss better than 7.75 dB because the return loss is not very good between 6.0–7.0 GHz and the other channels are measured for a return loss better than 10 dB. In Fig. 9(c), there is a small discrepancy in the measured between 6.0–7.0 GHz. It results from the and simulated grounding via-holes of the short-circuited stubs in the proposed multiplexer. When realizing the multiplexer, we retreat the location of the via-hole a distance approximately the height of the substrate in order to compensate the parasitic inductance introduced by the via-hole. This treatment corrects the locations of transmission poles contributed by a short-circuited stub. However, because it is a simple, but not very precise compensation, . the treatment causes some influences on IV. DISSCUSSIONS A. Dual-Band Characteristic

Fig. 8. Proposed multiplexer.

frequency response of the multiplexer with junction discontinuities is simulated again by the commercial circuit simulator Advanced Design System (ADS).1 To count for the discontinuity effects, several physical parameters are slightly adjusted with the help of the commercial software. The size of the resultant multiplexer is 45 mm 26 mm and its photograph is shown in Fig. 8, where the long stubs are bent to reduce the overall width of the circuit. Fig. 9 presents the simulated and measured scatis measured, while ports 2 and tering parameters. Note that 3 are terminated in matched loads. It can be observed that the measured responses agree well with the simulated results. According to the measured results, the multiplexer has two channels from 2.15 to 2.89 GHz and from 5.13 to 5.84 GHz at port 2, two channels from 3.22 to 4.83 GHz and from 6.07 to 8.22 GHz at port 3, and the isolations between ports 2 and 1Advanced

Design System, Agilent Technol., Palo Alto, CA.

To understand the circuit behaviors of the synthesized multiplexer, we decompose it into two two-port circuits and discuss the behaviors, respectively. The equivalent circuit of the WLAN part is described in Fig. 10, where the circuit can be regarded as a second-order DBR filter with a matching network looking into near the input port. The input impedance the network can be represented as a large resistor in series with an inductor at 2.5 GHz and a lossy capacitor at 5.5 GHz. The DBR composed of elements 5–7 determines two transmission poles at 2.22 and 5.40 GHz and another DBR composed of elements 9 and 11 determines two transmission poles at 2.03 and 4.93 GHz. For the overall circuit, these poles shift to 2.79, 5.68, 2.28, and 5.20 GHz, respectively, making two poles inside the lower band and the other poles inside the higher band. Besides, we are also curious about the transmission zeros. Since each stub in a DBR filter brings its own transmission zeros depending on its resonant condition, the zeros can be easily found by letting , where is the input impedance looking into the openor short-circuited stub. As a result, we find that three zeros at 3.34, 8.60, and 9.32 GHz result from the elements 11, 9 and 5, respectively, and two zeros at 3.74 and 7.39 GHz stem from element 7. These poles and zeros make the DBR filter having the desired dual-band characteristic. Similarly, the equivalent circuit of the UWB part is presented can be represented as in Fig. 11. The input impedance a large resistor in series with a capacitor at 4.5 GHz, a lossy capacitor at 3.5 GHz, and a lossy inductor at 3.5, 4.0, 6.5, 7.0, and 7.5 GHz. Next, we identify that two zeros at 5.40 and 9.78 GHz result from element 15 and one zero at 8.80 GHz stems from element 19. For the transmission poles, the DBR composed of elements 14 and 15 determines two poles at 4.08 and 7.58 GHz and the DBR comprised of elements 21 and 24 brings one pole at 5.23 GHz. It is difficult to intuitively identify the other poles because the other stubs do not arrange as fundamental DBR structures [15]–[17]. According to Fig. 9(c), it is seen that there are two poles inside the lower passband at 3.32 and 4.38 GHz and two poles inside the higher passband at 6.84 and 7.96 GHz. Consequently, we can say that the filter performance of the UWB part approximates a second-order BDR filter.

3248

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 9. Simulated and measured frequency responses of the designed multiplexer in decibels. (a) Magnitude of S . (b) Magnitude of S of S and S . (d) Magnitude of S .

Fig. 10.

The

Equivalent circuit of port 2.

unloaded

quality factor defined by [22] of the microstrip matching stubs or microstrip resonators is hundreds on the RO4003 of and , substrate below 10 GHz. The except at 2.5 and 4.5 GHz, are shown in Figs. 10 and 11, are very low at 5.5 and 6.5 GHz. respectively. The

Fig. 11.

and S . (c) Magnitude

Equivalent circuit of port 3.

Therefore, in Fig. 9(b) and (c), the insertion losses around these frequencies are not very good. B. Isolation Issues Between WLAN and UWB Ports As several radio transceivers coexist in a device, many unwanted interferences such as antenna-coupling noise, coupling

LAI AND JENG: MICROSTRIP THREE-PORT AND FOUR-CHANNEL MULTIPLEXER FOR WLAN AND UWB COEXISTENCE

3249

, where is the height from a trace to the ground plane. The overall volume is 5 mm 5 mm 0.48 mm, which is excellent for portable electronic facilities. Since such a design involves various parasitic effects such as corners, junctions, via-holes, etc., it is necessary to slightly tune the circuit parameters with the help of the full-wave numerical analysis. Besides, fabrication tolerances should also be carefully considered in the LTCC design. V. CONCLUSIONS

Fig. 12.

Demonstration of the LTCC design.

noise on board, etc. should be considered. In a single-antenna configuration, there is no antenna-coupling noise. Assume that the circuit board can provide enough isolation between transceivers. Consequently, the main coupling path is through the multiplexer. In Fig. 9(d), the proposed multiplexer on average has an isolation of 10 dB from 2.0 to 3.0 GHz and from 5.0 to 6.0 GHz and an isolation of 20 dB from 3.0 to 5.0 GHz and from 6.0 to 10.0 GHz. The output powers of WLAN and MB-OFDM UWB transmitters are approximately 20 and 5 dBm [1], respectively. Assume that WLAN and UWB receivers can handle an input signal level smaller than 30 dBm. As a result, to make both receivers work well, the WLAN pre-selected filter requires an attenuation of 15 dB 5 10 30 from 3.0 to 5.0 GHz and 6.0 to 10.0 GHz and the UWB pre-selected filter requires an attenuation of 30 dB 20 20 30 from 2.0 to 3.0 GHz and 5.0 to 6.0 GHz. C. Problem on the Size of the Multiplexer The size of the proposed multiplexer is 45 mm 26 mm, seeming impractical for portable wireless facilities. However, size reduction can be accomplished by using a substrate with a higher dielectric constant such as an RO6010 with a 10.2 dielectric constant or a ceramic substrate. Furthermore, a miniature multiplexer could be implemented by folding the microstrip lines and using a laminated multilayer printed circuit board or a low-temperature co-fired ceramic (LTCC) process. We demonstrate a design example based on LTCC using SONNET software2 in Fig. 12, where a routing plan is plotted on the right-hand side of this figure. The LTCC used is comm posed of 12 layers, where each layer has a thickness . Metal 5 is designed and relative dielectric constant as a ground plane, Metals 11 and 12 are reserved for routing in order to avoid the effect of characteristic impedance detuning while a LTCC circuit is assembled on a circuit board, and the others are for transmission-line routing. To reduce adjacent coupling effects, the minimal spacing between traces is set to 2SONNET

v9.52, SONNET Software Inc., Syracuse, NY.

In this paper, we have designed a novel microstrip three-port and four-channel multiplexer for WLAN and UWB coexistence, which is useful for portable wireless applications. The measured responses agree well with the simulations. The analysis of circuit behaviors, the problem regarding the size of the proposed multiplexer, and the isolation issues between WLAN and UWB ports have also been discussed. Additionally, the proposed algorithm can be used to synthesize other multiplexers in various multifunctional transceiver systems. ACKNOWLEDGMENT The circuit was fabricated and measured at National Chiao Tung University, Hsinchu, Taiwan, R.O.C. The authors wish to express their gratitude to Prof. R.-B. Hwang, National Chiao Tung University, and Prof. E.-Y. Chang, National Chiao Tung University, for their generous supports in experiments. Moreover, this paper owes much to the thoughtful and helpful comments of Prof. J.-D. Tseng, National Chin-Yi Institute of Technology, Taiping City, Taiwan, R.O.C. REFERENCES [1] G. R. Aiello and G. D. Rogerson, “Ultra-wideband wireless systems,” IEEE Micro, vol. 4, no. 2, pp. 36–47, Jun. 2003. [2] B. Razavi, RF Microelectronics. Englewood Cliffs, NJ: Prentice-Hall, 1998, ch. 5. [3] T.-G. Ma and S.-K. Jeng, “Planar miniature tapered-slot-fed annular slot antennas for ultrawide-band radios,” IEEE Trans. Antennas Propag., vol. 53, no. 3, pp. 1194–1202, Mar. 2005. [4] A. K. Y. Lai, A. L. Sinopoli, and W. D. Burnside, “A novel antenna for ultra-wide-band applications,” IEEE Trans. Antennas Propag., vol. 40, no. 7, pp. 755–760, Jul. 1992. [5] L. M. George, Y. Leo, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. New York: McGraw-Hill, 1964, ch. 16. [6] G. L. Matthaei and E. G. Cristal, “Multiplexer channel-separation units using interdigital and parallel-coupled filters,” IEEE Trans. Microw. Theory Tech., vol. 13, no. 5, pp. 328–334, May 1965. [7] R. J. Wenzel, “Printed-circuit complementary filters for narrow bandwidth multiplexers,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 3, pp. 147–157, Mar. 1968. [8] M. A. Ismail, D. Smith, A. Panariello, Y. Wang, and M. Yu, “EM-based design of large-scale dielectric-resonator filters and multiplexers by space mapping,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 386–392, Jan. 2004. [9] A. Garcia-Lamperez, S. Llorente-Romano, M. Salazar-Palma, and T. K. Sarkar, “Efficient electromagnetic optimization of microwave filters and multiplexers using rational models,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 508–521, Feb. 2004. [10] S. Srisathit, S. Patisang, R. Phromoloungsri, S. Bunnjaweht, S. Kosulvit, and M. Chongcheawchamnan, “High isolation and compact size microstrip hairpin diplexer,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 101–103, Feb. 2005. [11] J.-W. Sheen, “LTCC-MLC duplexer for DCS,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1883–1890, Sep. 1999.

3250

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

[12] B. Strassner and K. Chang, “Wide-band low-loss high-isolation microstrip periodic-stub diplexer for multiple-frequency applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 3, pp. 1818–1820, Mar. 2001. [13] T.-Y. Yun, C. Wang, P. Zepeda, C. T. Rodenbeck, M. R. Coutant, M.-Y. Li , and K. Chang, “A 10- to 21-GHz low-cost, multifrequency, and fullduplex phased-array antenna system,” IEEE Trans. Antennas Propag., vol. 50, no. 5, pp. 641–650, May 2002. [14] R. Levy, R. V. Snyder, and G. Matthaei, “Design of microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 783–793, Apr. 2002. [15] C. Quendo, E. Rius, and C. Person, “Narrow bandpass filters using dualbehavior resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 734–743, Mar. 2003. , “Narrow bandpass filters using dual-behavior resonators based on [16] stepped-impedance stubs and different-length stubs,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1034–1044, Mar. 2004. [17] G. Prigent, E. Rius, F. Le Pennec, S. Le Maguer, C. Quendo, G. Six, and H. Happy, “Design of narrow-band DBR planar filters in Si–BCB technology for millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1045–1051, Mar. 2004. [18] D. E. Goldberg, Genetic Algorithms in Search Optimization & Machine Learning. Reading, MA: Addison-Wesley, 1989. [19] M. Gen and R. Cheng, Genetic Algorithms & Engineering Optimization. New York: Wiley, 2000, ch. 1–3. [20] Y. Ruhmat-Samii and E. Michielssen, Electromagnetic Optimization by Genetic Algorithms. New York: Wiley, 1999. [21] A. E. Eiben, R. Hinterding, and Z. Michalewicz, “Parameter control in evolutionary algorithm,” IEEE Trans. Evol. Comput., vol. 3, no. 2, pp. 124–141, Jul. 1999. [22] G. M. Rebeiz, RF MEMS Theory, Design, and Technology. New York: Wiley, 2003, ch. 11.

Ming-Iu Lai (S’04) was born in Kaohsiung, Taiwan, R.O.C., in 1976. He received the B.S.E.E. degree from National Taiwan University of Science and Technology, Taipei, Taiwan, R.O.C., in 1998, the M.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 2000, and is currently working toward the Ph.D. degree at National Taiwan University. From 2001 to 2002, he was with the ZyXEL Communication Corporation, Hsinchu, Taiwan, R.O.C., where he was involved with signal integrity (SI) and electromagnetic interference (EMI) analyses. From 2002 to 2004, he was with the SynComm Communication Corporation, Hsinchu, Taiwan, R.O.C., and then joined the Applied Electromagnetic Research Laboratory, Microelectronics and Information System Research Center, National Chiao Tung University, Hsinchu, Taiwan, R.O.C., where he was involved with the designs of beam-steering antennas and high-frequency flip-chip packages. His current research interest includes two-dimensional/three-dimensional (2-D/3-D) microwave circuits design automation, grid computing techniques, and GAs for electromagnetic optimization designs, and time-domain numerical electromagnetics.

Shyh-Kang Jeng (M’86–SM’98) received the B.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, R.O.C., in 1979 and 1983, respectively. In 1981, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. From 1985 to 1993, he was with the University of Illinois at Urbana-Champaign, as a Visiting Research Associate Professor and a Visiting Research Professor. In 1999, he was with the Center for Computer Research in Music and Acoustics, Stanford University, Stanford, CA, for six months. His research interest includes numerical electromagnetics, UWB wireless systems, music signal processing, music information retrieval, intelligent agent applications, and electromagnetic scattering analysis.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

3251

Comparison of Two Optimization Techniques for the Estimation of Complex Permittivities of Multilayered Structures Using Waveguide Measurements Michael E. Baginski, Senior Member, IEEE, Daniel L. Faircloth, Student Member, IEEE, and Manohar D. Deshpande, Senior Member, IEEE

Abstract—In this paper, two separate techniques, i.e., sequential quadratic programming (SQP) and a genetic algorithm (GA), were used to estimate the complex permittivity of each layer in a multilayer composite structure. The relative performance of the algorithms was characterized by applying each algorithm to one of three different error functions. Computer generated -parameter data sets were initially used in order to establish the achievable accuracy of each algorithm. Based on these data sets and -parameter measurements of single and multilayer samples obtained using a standard -band waveguide procedure, the GA was determined to be the more robust algorithm in terms of minimizing rms error of measured/generated and formulated -parameters. The GA was found to perform exceptionally well for all cases considered, whereas SQP, although a more computationally efficient method, was somewhat limited for two error function choices due to local minima trapping. Index Terms—Genetic algorithm (GA), multilayered substrate, permittivity extraction, sequential quadratic programming (SQP).

I. INTRODUCTION

M

ULTILAYER substrate materials are currently used for many practical applications that include microwave integrated circuits (MICs), monolithic microwave integrated circuits (MMICs) [1], radomes, spatial filters for antenna beam shaping [2], and frequency-selective surfaces (FSSs) [3]. By choosing the appropriate thicknesses and material parameters for the layers, it is possible to synthesize composite structures with novel electromagnetic properties otherwise not found in a single material [4]. Recently, attention has focused on nondestructive methods of determining the constitutive parameters of each individual layer of the substrate [5], [6]. There are a large number of methods for determining the permittivity or permeability of a single homogeneous sample or the effective “bulk” properties of a layered material. These methods include split-cylinder resonators, cavity resonators, split-post dielectric and magnetic resonators, whispering-gallery resonators, transmission-line and waveguide techniques, etc. [7]–[9]. For measurements of the complex

Manuscript received April 12, 2005; revised June 22, 2005. This work was supported by the National Aeronautics and Space Administration (NASA) Langley Research Center. M. E. Baginski and D. L. Faircloth are with the Department of Electrical and Computer Engineering, Auburn University, AL 36849 USA. M. D. Deshpande is with the NASA Langley Research Center, Hampton, VA 23681 USA. Digital Object Identifier 10.1109/TMTT.2005.855133

permittivity and permeability over broad frequency bands (e.g., - or -bands), transmission line or waveguide methods are generally preferred even though the achievable accuracy is reduced due to unavoidable measurement errors [4], [10]. Some recent methods used to accurately estimate the complex permittivity of individual layers of a multilayered or inhomogeneous structure are given by Sanadiki and Mostafavi [11], Zwick et al. [6], and Deshpande and Dudley [4]. Sanadiki and Mostafavi provide a method of solving the inverse scattering problem using a least squares error approach. This method is only tested against computer-generated data and may be sensitive to errors associated with measurements. Zwick et al. utilize a genetic algorithm (GA) to find the complex constitutive parameters for a multilayered sample by an evolutionary process. Their method requires measurements be obtained over a frequency range or as a function of incidence angle for a given frequency and does not require phase information for the transmission or reflection coefficients. Deshpande and Dudley’s algorithm employs sequential quadratic programming (SQP) and utilizes both magnitude and phase information of the measured -parameters, which, in turn, requires very accurate system calibration. In this paper, the performance of two optimization techniques, i.e., SQP and GA, are evaluated when applied to the multilayer complex permittivity extraction problem using -parameter measurements obtained from a loaded waveguide. Additionally, their sensitivity and performance relative to the choice of error function is investigated. Simulations are performed using computer-generated -parameters to quantify the performance of each algorithm under ideal conditions. In order to determine the robustness of these methods, the extracted permittivities determined by the algorithms are used to generate -parameter data sets for comparison to the measured -parameters. -parameter -band waveguide measurements were made using the following dielectric materials: Bakelite, ceramic, Garlock rubber, and nanomaterial. All of the materials are low-loss, nonmagnetic, and were found to have complex permittivities that remained nearly constant over the -band [4]. They were used to create planar single-layer samples of various thicknesses with all samples having -band waveguide cross-sectional dimensions. The multilayer dielectric structures were fabricated by placing single-layer samples adjacent to one another (see Fig. 1). All measurements were obtained using an HP-8510C vector network analyzer for frequencies

0018-9480/$20.00 © 2005 IEEE

3252

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

-parameters can be directly converted to The rameters using the following equations:

-pa-

Fig. 1. Rectangular waveguide loaded with n-layer sample.

(5) of 8.2–12.4 GHz ( -band). Additionally, the complex permittivity of each single-layer sample was determined using the Agilent 85071 Materials Measurement Software [12], [13] and compared to the values obtained from the algorithms.

where

is the empty waveguide impedance.

A. Error-Function Selection The error functions used in the investigation are of the following basic form:

II. FUNDAMENTAL THEORY mode propagates in Assuming that only the dominate the loaded waveguide (see Fig. 1), the formulation of the -parameters can be expressed in terms of each layer’s thickness and unknown permittivity using -parameters as follows [14], [15]:

(1) (2) and are the propagation constant and wave where impedance of the th layer, respectively, and given as

(6) where is the total number of frequency points, are the formulated -parameters (5) evaluated at frequency point , and are the measured -parameters at frequency point . Error functions can generally be grouped into two categories, which are: 1) error functions used to minimize differences in both phase and magnitude of the measured and formulated scattering parameters and 2) error functions that minimize only magnitude variations of the measured and formulated scattering parameters. It is beyond the scope of this research to contrast the performance of all possible variants of error function definitions used in previous research for permittivity extraction. For the purposes of this research, three error function definitions were used and found to accurately obtain the permittivity of single and multilayer structures shown in (7)–(9) at the bottom of this page, where

(3) (4) where is the appropriate (maximum) cross-sectional dimension of the waveguide.

(7)

(8)

(9)

BAGINSKI et al.: TWO OPTIMIZATION TECHNIQUES FOR ESTIMATION OF COMPLEX PERMITTIVITIES OF MULTILAYERED STRUCTURES

3253

Equation (7) includes both phase and magnitude information. It is a slightly modified form of Deshpande and Dudley’s error function [4] and is applicable to materials where the permittivity remains approximately constant over the measured frequency range. The inclusion of measurement information over the entire frequency range minimizes the effects of instrumentation error in the calculations. Equation (8) requires only magnitude information of the scattering parameters and is representative of the fitness (error) function used by Zwick et al. [6] and Queffelec and Gelin [8]. The third error function (9) is unique in that it uses only magnitude information, but includes terms accounting for dissipated power. It was initially believed that the inclusion of the power terms would increase the algorithms’ ability to accurately determine the imaginary part of the permittivity. It should be noted that (8) and (9) are calculated in decibels, which was found to decrease the time required to find the global minimum in the solution space [6]. B. Discussion of the Optimization Methods A significant amount of time was devoted to finding accurate, yet computationally efficient methods of minimizing the error functions (7)–(9). Some of the more robust optimization procedures considered were the Levenberg–Marquardt (LM) algorithm [16], SQP [17], simulated annealing [18], and GAs [19]. All of the algorithms were investigated for their ability to obtain the complex permittivity using computer generated -parameter data for multilayer structures. SQP and the GA provided the most accurate solutions for their respective classes of optimization methods and were, therefore, chosen for the study. 1) SQP: SQP is relatively easy to implement and is considered to be one of the most robust nonlinear programming (NP) methods available for optimization problems [20]. Following every iteration, the Hessian and Lagrangian operators are approximated and used to create a quadratic programming subproblem. The solution of the subproblem is the basis for the formation of the line search direction. Depending on the accuracy of the initial guess, SQP can exhibit a rapid second-order convergence toward a local minimum. Unfortunately, SQP, being a local optimization method, can suffer from local minima trapping even when upper and lower bounds are specified [20]. SQP has been discussed in detail by [17], [21]–[24]. 2) GA: The GA was originally based on the concept of natural selection and, therefore, much of the terminology is related to the field of evolutionary biology. GAs, like many other global optimization techniques (e.g., simulated annealing), overcome the problem of local minima trapping by introducing some element of randomness. For an in-depth discussion of GAs and their application to electrical engineering problems, the reader is referred to [19], [25], and [26]. The remainder of this section discusses the specific GA implemented in this research. The GA begins by generating a population of permittivity values randomly distributed throughout the solution space (see Fig. 2). Each member of the population, referred to as a chromosome, contains a complex permittivity value for every layer of the multilayer problem being considered. Every permittivity

Fig. 2. Flowchart illustrating the GA procedure.

value (gene) stored in a chromosome is encoded as a 16–bit binary string, thereby allowing traditional crossover and mutation schemes to be implemented. Upon generation of the initial population, the fitness of each chromosome is determined by evaluating the error function. The fitness value of the chromosome is defined as the value of the error function. After fitness evaluation, a new population (generation) is created. Construction of the new population begins by selecting a subset population representing the “best” chromosomes (i.e., those chromosomes having the lowest error function value). These “elite” chromosomes are inserted into the new population ensuring that these solutions will not be eliminated from the new generation. The crossover stage is the defining phase of the GA. First, two “parent” chromosomes are selected from the current generation using the binary tournament selection method [26]. Following selection of the parent chromosomes, the GA generates . If , the crossover a random number rate, the two parents are copied directly into the new population.

3254

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

Fig. 3. Crossover using binary string encoding.

Otherwise, a random position in the parents’ binary string of encoded information is selected (see Fig. 3). The first “child” is formed using the string of information to the left of the crossover point in the first parent and the information to the right of the crossover point in the second parent. The second child is formed using the remaining genetic information from each parent. This process of selection and crossover is repeated until the new population is completed. Using this concept, chromosomes with poor fitness that possibly possess “good” genetic information have the opportunity to pass their traits on to future generations. The next major phase of the GA is the mutation stage. For each child in the new population (elite children excluded), a is generated. If , the random number mutation rate, no change is made to the chromosome. However, , one or two random bits of the chromosome if ). This reduces the likelihood of local are transposed (i.e., minima trapping. After a significant number of generations, the GA converges to a population consisting of identical chromosomes representing the best obtained solution to the fitness function (with the exception of the occasional mutation). At this point, a traditional GA exits since simple mutation alone is a very inefficient way of searching for new genetic information that will more effectively minimize the error function. In this research, however, the GA checks for this redundancy in the population for each new generation. When this situation occurs, one duplicate copy of this redundant chromosome is kept as well as any mutations. The rest of the population slots are filled with random chromosomes in the same manner in which the initial population was generated and the algorithm is restarted. This technique was found to enhance the GA’s ability to find the global minimum. The GA will discontinue if a chromosome is found to have a fitness value below 10 or if the maximum number of generations has been reached. Depending on the number of layers being characterized, the maximum number of generations is allowed to vary. The specific details of this parameter’s selection will be discussed in Section IV. III. MEASUREMENT SETUP -band measurements of the -parameters for the loaded rectangular waveguide (cross-sectional dimensions 22.86 10.16 mm) were made using the experimental setup shown in Fig. 4. Errors due to the instrumental setup (coaxial adapters,

Fig. 4.

Waveguide measurement setup.

TABLE I COMPLEX PERMITTIVITIES AND THICKNESSES FOR THE ONE-, TWO-, AND THREE-LAYER COMPUTER-GENERATED DATA SETS

losses, etc.) are minimized using the standard calibration procedure suggested for the HP-8510C vector network analyzer [27], [28]. Calibration requires a series of standards for the procedure that allow the effects of all connectors and losses to be identified. Once this is completed, the measurements are taken without the need for further data processing. A brief description of the calibration procedure is given as a courtesy to the reader in the Appendix. IV. RESULTS A. Computer-Generated -Parameters Computer-generated -parameter data sets for one-, two-, and three-layer cases over -band frequencies were initially used to test the accuracy of each extraction scheme. The respective complex permittivities and thicknesses are shown in Table I (single-layer permittivity extraction used layer 1; twolayer extraction used layers 1 and 2; three-layer extraction used all layers ordered accordingly). The initial complex permittivity , while the search guess for all layers was set to and space for both algorithms was limited to .

BAGINSKI et al.: TWO OPTIMIZATION TECHNIQUES FOR ESTIMATION OF COMPLEX PERMITTIVITIES OF MULTILAYERED STRUCTURES

3255

TABLE II RESULTS USING IDEAL S -PARAMETERS

The SQP algorithm terminated for error function or direcor after 5000 iterations. The tional derivative values GA’s population size was set to a value of 100 and terminated after 200, 1000, and 5000 generations for the one-, two-, and three-layer cases, respectively. The GA utilized an 80% crossover rate and 10% mutation rate (these values are within the range of nominal values given by [26]). In Table II, the results for the one-, two-, and three-layer cases using each algorithm (single or multiobjective) and error function are shown. An “X” in the table indicates that the algorithm extracted incorrect complex permittivity values for the indicated sample (i.e., the algorithm became trapped in a local minimum). For the multiobjective cases, each term in the error functions (7)–(9) becomes an element of an error function vector. For instance, in a multiobjective format, (8) would then be given by

(10)

The vectorization of the error functions was expected to increase the sensitivity of the SQP algorithm. The results shown in Table I indicate that all methods performed extremely well for the single- and double-layer complex permittivity extraction. However, permittivity extraction for the three-layer sample was unsuccessful using SQP with error functions (8) and (9). This is due to local minimum trapping indicating a poor initial estimate (guess) of the complex permittivity. Alternatively, the GA was able to successfully extract complex permittivity values using all three error functions independent of the number of layers. It should also be noted that the error for both the real and imaginary parts of the complex permitfor the SQP using (7) and less than tivity is less than for the GA using any of (7)–(9) for the one-, two-, and three-layer cases. B. Single-Layer Measurements For measurements of single-layer materials, samples of Bakemm , ceramic mm , Garlock lite mm , and nanomaterial mm rubber

Fig. 5. Magnitude of S and S for single-layer Bakelite sample. The calculated S -parameters are generated using the GA with error function (9).

were used. -parameter data sets were generated using the extracted permittivity value from each algorithm and then compared to the measured -parameter values. Additionally, the Agilent 85071 Materials Measurement Software was used to extract the single-layer permittivities for comparison to the values returned by the SQP and GA algorithms. and for the meaFig. 5 shows a comparison of sured -parameters of the Bakelite sample and those generated from the extracted permittivities returned by the GA using error function (9). Fig. 6 shows similar results for the Garlock sample. The excellent agreement shown in Figs. 5 and 6 is also observed for all other materials’ -parameter comparisons using all algorithm/error function combinations. Tables III–VI show the results of the extracted complex permittivities for each material using all optimization algorithms and error functions. Since error functions (8) and (9) are calculated in decibels, a direct comparison of the error function values is not necessarily indicative of the accuracy of the solution. Rather, summations of the rms errors between the magnitudes and phases of the formulated and measured -parameters (see columns 6 and 7 in Tables III–VI) are better qualifiers of the accuracy of each solution as follows:

(11) The rms errors between the measured -parameters and those generated using the Agilent 85071 Materials Measurement Soft-

3256

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

TABLE V EXTRACTED PERMITTIVITY AND ERROR FOR GARLOCK RUBBER

Fig. 6. Magnitude of S and S for single-layer Garlock rubber sample. The calculated S -parameters are generated using the GA with error function (9).

TABLE VI EXTRACTED PERMITTIVITY AND ERROR FOR NANOMATERIAL

TABLE III EXTRACTED PERMITTIVITY AND ERROR FOR BAKELITE

TABLE IV EXTRACTED PERMITTIVITY AND ERROR FOR CERAMIC

ware are also listed for comparison. It can be concluded that all of the optimization techniques were effective in minimizing the rms errors of the -parameters (both phase and magnitude). However, the GA using either (8) or (9) was found to consistently produce the lowest magnitude error of the -parameters (column VI) and maintained a phase error no worse than the other extraction methods. C. Two-Layer Measurements The two-layer structures used for the -parameter measurements were Garlock rubber/Bakelite, Garlock rubber/ceramic, and Garlock rubber/nanomaterial with Garlock rubber used as the first layer for all cases. As discussed in Section IV-B, the three error functions (7)–(9) were minimized using SQP and the GA. No change was made to any parameter of the SQP

algorithm, whereas the GA was allowed 1000 generations before termination. The extracted permittivities were used to generate -parameters data sets for rms error computation and were also contrasted to the Agilent 85071 results of Section IV-B. It should be mentioned that the Agilent 85071 software can only determine the permittivity of single materials or provide bulk permittivity estimates for composite structures. Tables VII–IX show the extracted permittivities and -parameter rms errors for the three two-layer samples. Using two-layer -parameter data sets generated from the single-layer Agilent 85071 extracted permittivities, the rms error between these data sets and the measured -parameters was calculated and is also included in these tables. For the Garlock rubber/Bakelite sample, all algorithm/error function combinaand phase errors tions achieved rms magnitude errors – . The magnitude of the extracted permittivities for each layer showed excellent agreement with the extracted single-layer values. However, the imaginary part of the extracted permittivity for Garlock rubber showed an increased value when compared to the values given in Table V. For the Garlock rubber/ceramic and Garlock rubber/nanomaterial samples (see Tables VIII and IX), the SQP algorithm was only effective at estimating the complex permittivity values using error function (7). Using error functions (8) and (9), SQP was unable to correctly estimate each layer’s complex permittivity despite achieving a low magnitude rms error. It is apparent from the discrepancies in the estimated permittivity values and the large -parameter phase errors that this was a result of local minimum trapping. Unlike SQP, the GA was successful in extracting the complex permittivities using all error functions. The real and imaginary parts of the permittivities showed excellent agreement with the extracted single-layer values, and the

BAGINSKI et al.: TWO OPTIMIZATION TECHNIQUES FOR ESTIMATION OF COMPLEX PERMITTIVITIES OF MULTILAYERED STRUCTURES

3257

TABLE VII EXTRACTED PERMITTIVITY AND ERROR FOR GARLOCK/BAKELITE

TABLE VIII EXTRACTED PERMITTIVITY AND ERROR FOR GARLOCK/CERAMIC

TABLE IX EXTRACTED PERMITTIVITY AND ERROR FOR GARLOCK/NANOMATERIAL

TABLE X EXTRACTED PERMITTIVITY AND ERROR FOR NANOMATERIAL/GARLOCK/GARLOCK

GA achieved rms magnitude errors – .

and phase errors

D. Three-Layer Measurements The -parameters for a three-layer composite structure (nanomaterial/Garlock rubber/Garlock rubber) were measured. As was done previously, Table X shows the extracted permittivities and -parameter rms errors for the composite structure. SQP was effective in determining the complex permittivities of the sample for all but the multiobjective form of (8) and

(9). As in the previous sections, the GA successfully estimated the permittivities using all error functions. SQP and the GA and phase errors returned rms magnitude errors – . It was already determined that the algorithms and error functions would return complex permittivity values nearly indistinguishable from the actual values for all computer generated cases (SQP using (8) and (9) was excepted). Therefore, the possible reasons for the errors sited previously warrant an explanation. The authors believe that the most likely sources of error

3258

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 10, OCTOBER 2005

for the single-layer extractions stem from inaccuracies associated with instrumentation and sample thickness measurements. In addition to these errors, compound structures may suffer from the presence of small air gaps between the layers, as well as sample misalignment. Also, results obtained using (7) will be adversely affected by any uncertainty in the phase planes’ positions. V. CONCLUSIONS In this paper, the performance of complex permittivity extraction methods based on SQP and the GA was contrasted using -parameter measurements for one-, two-, and three-layer samples. Three different error function definitions were also used to quantify the performance of each algorithm in terms of the amount of -parameter information (magnitude only or magnitude and phase) available for the inversion process. Computer-generated -parameter data was initially used to determine the attainable accuracy of each algorithm/error function combination. The results of this portion of the study clearly indicated that the extracted permittivity from the single-layer and multilayer cases was nearly identical to that used to generate the data when the GA was used. This was also found to be true for SQP in all but two cases due to local minima trapping. This demonstrates that the GA is extremely accurate and would, therefore, be limited only by the precision of the -parameter measurements, whereas the performance of SQP would also likely be limited by the accuracy of the initial guess. The algorithm/error functions were used to extract the complex permittivity from single-layer -parameter measurements and it was evident that all of the optimization techniques were highly effective at minimizing the rms error(s) of the -parameters (both phase and magnitude). However, the GA using either (8) or (9) was found to consistently produce the lowest magnitude error of the -parameters, whereas (7) routinely yielded the lowest phase errors and only slightly larger magnitude errors. The likely reason for this result is that both magnitude and phase information are explicitly included in (7), and only magnitude information is included in (8) and (9). Therefore, if phase information is available from a given measurement setup, (7) would likely produce the most accurate material characterization. Nevertheless, if only measured -parameter magnitude information is available, accurate results may still be obtained using (8) and (9). When the same techniques were used for complex permittivity extraction from multilayer composite structures, the GA, for all cases considered, provided approximately the same level of accuracy as that observed for the single-layer cases. SQP, however, failed to obtain accurate results for several of the cases considered. In summary, the GA appeared to be the more robust algorithm in terms of its ability to always achieve a low -parameter rms error and accurately obtain each layer’s complex permittivity. APPENDIX RF MEASUREMENT CALIBRATION Instrumentation errors are determined as the difference between the measured and known responses of a set of standards.

Using the suggested thru-reflect-line (TRL) approach [28], the impedance mismatch at the coaxial adapters can be determined and factored out of the measurements. The calibration plane is established in the loaded waveguide, preferably at the interface of the sample. Therefore, impedance discontinuities created by the test sample in the waveguide are determined directly. Determination of the calibration plane is required for each sample. During the calibration procedure, a short circuit is connected at the end of the access waveguide at each port. For the calibration of input to port 1 and output at port 2, the network analyzer is connected with the two access waveguides. For the step “line,” a short length of waveguide is inserted between the access waveguides. After the calibration process, the sample holder containing the test sample is inserted between the access waveguides, and the waveguides are aligned. This procedure is necessary to establish the precise location of the reference planes for -parameter measurements and is repeated when the ports are reversed.

REFERENCES [1] K. Gupta and P. S. Hall, Analysis and Design of Integrated Circuit-Antenna Modules. New York: Wiley, 1999. [2] S. Chakravarty and R. Mittra, “Application of the micro-genetic algorithm to the design of spatial filters with frequency-selective surfaces embedded in dielectric media,” IEEE Trans. Electromagn. Compat., vol. 44, no. 2, pp. 338–346, May 2002. [3] S. Chakravarthy, R. Mittra, and N. Williams, “Application of the microgenetic algorithm to the design of spatial filters with frequency-selective surfaces embedded in dielectric media,” IEEE Trans. Antennas Propag., vol. 50, no. 3, pp. 284–296, Mar. 2002. [4] M. D. Deshpande and K. Dudley, “Estimation of complex permittivity of composite multilayer material at microwave frequency using waveguide measurements,” NASA Langley Res. Center, Hampton, VA, USA, NASA Tech. Memo. 212 398, 2003. [5] C.-W. Chang, K.-M. Chen, and J. Qian, “Nondestructive determination of electromagnetic parameters of dielectric materials at -band frequencies using a waveguide probe system,” IEEE Trans. Instrum. Meas., vol. 46, no. 10, pp. 1084–1092, Oct. 1997. [6] T. Zwick, J. Haala, and W. Wiesbeck, “A genetic algorithm for the evaluation of material parameters of compound multilayered structures,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1180–1187, Apr. 2002. [7] M. D. Janezic and J. Baker-Jarvis, “Full-wave analysis of a split-cylinder resonator for nondestructive permittivity measurements,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2014–2020, Oct. 1999. [8] P. Queffelec and P. Gelin, “New method for determining the permeability tensor of magnetized ferrites in a wide frequency range,” IEEE Trans. Instrum. Meas., vol. 48, no. 8, pp. 519–522, Aug. 2000. [9] C. J. Reddy, M. D. Deshpande, and G. A. Hanidu, “Learning tool for estimation of complex permittivity of dielectric material,” in Proc. Frontiers in Education Conf., Nov. 1996, pp. 1195–1196. [10] M. D. Janezic and J. A. Jargon, “Complex permittivity determination from propagation constant measurements,” IEEE Microw. Guided Wave Lett., vol. 9, no. 2, pp. 76–78, Feb. 1999. [11] B. A. Sanadiki and M. Mostafavi, “Inversion of inhomogeneous continuously varying dielectric profiles using open-ended waveguides,” IEEE Trans. Antennas Propag., vol. 39, no. 2, pp. 158–163, Feb. 1991. [12] “Agilent 85071E materials measurement software: Technical overview,” Agilent Technol., Palo Alto, CA, 2003. [13] “The measurement of both permittivity and permeability of solid materials”,” Hewlett-Packard Company, Palo Alto, CA, Product note on Hewlett-Packard 85071 materials measurement software. [14] D. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2003. [15] R. Ludwig and P. Bretchko, RF Circuit Design: Theory and Applications. Upper Saddle River, NJ: Prentice-Hall, 2000. [16] J. J. More, “The Levenberg–Marquardt algorithm: Implementation and theory,” in Numerical Analysis, G. A. Watson, Ed. Berlin, Germany: Springer-Verlag, 1977, vol. 630, Lecture Notes Math., pp. 105–116.

X

BAGINSKI et al.: TWO OPTIMIZATION TECHNIQUES FOR ESTIMATION OF COMPLEX PERMITTIVITIES OF MULTILAYERED STRUCTURES

[17] M. C. Biggs, “Constrained minimization using recursive quadratic programming,” in Toward Global Optimization, L. C. W. Dixon and G. P. Szergo, Eds. Amsterdam, The Netherlands, North-Holland, 1975, pp. 341–349. [18] N. Metropolis, A. Rosenbluth, M. Rosenbluth, A. Teller, and E. Teller, “Equation of state calculations by fast computing machines,” J. Chem. Phys., vol. 21, no. 6, pp. 1087–1092, Jun. 1953. [19] D. E. Goldberg, Genetic Algorithms in Search, Optimization, and Machine Learning. New York: Addison-Wesley, 1989. [20] K. Schittkowski, “NLQPL: A fortran-subroutine solving constrained nonlinear programming problems,” Ann. Oper. Res., vol. 5, pp. 485–500, 1985/1986. [21] R. Fletcher, Practical Methods of Optimization, 2nd ed. New York: Wile, 2000. [22] P. E. Gill, W. Murray, and M. H. Wright, Practical Optimization. San Diego, CA: Academic, 1981. [23] S. P. Han, “A globally convergent method for nonlinear programming,” J. Optim. Theory Appl., vol. 22, no. 3, pp. 297–309, Jul. 1977. [24] W. Hock and K. Schittowski, “A comparative performance evaluation of 27 nonlinear programming codes,” Computing, vol. 30, no. 4, pp. 335–354, Apr. 1983. [25] R. L. Haupt, “An introduction to genetic algorithms for electromagnetics,” IEEE Antennas Propag. Mag., vol. 37, no. 2, pp. 7–15, Apr. 1995. [26] J. M. Johnson and Y. Rahmat-Samii, “Genetic algorithms in engineering electromagnetics,” IEEE Antennas Propag. Mag., vol. 39, no. 4, pp. 7–21, Aug. 1997. [27] P. J. Matthews and J. J. Song, RF Impedance Measurement Calibration Argonne, IL, 1993, LS Note 223. [28] 8510C Network Analyzer System Operating and Programming Manual, Hewlett-Packard, Palo Alto, CA, 1999.

Michael E. Baginski (M’87–SM’95) was born in Erie, PA, on October 20, 1957. He received the B.S., M.S., and Ph.D. degrees from Pennsylvania State University, University Park, in 1980, 1984, and 1987, respectively, all in electrical engineering. He is currently an Associate Professor of Electrical Engineering, Auburn University, Auburn, AL, where he has resided since the completion of his doctorate degree. His research interests include analytic and numerical solutions to transient electromagnetic problems, transient heat flow and solid-state structural analysis using finite-element routines, and the analysis of the RF drying of textile materials, electromagnetic interference (EMI) and electromagnetic compatibility (EMC) characterization of MCMs and printed circuit boards (PCBs), simulation of rapid thermal expansion of metals under the action of large electric currents, S -parameter extraction routines, and synthetic aperture radar design and data processing routines. He is listed in Who’s Who in Science and Engineering and Who’s Who Among America’s Teachers. Dr. Baginski is a member of Eta Kappa Nu, Sigma Xi, the New York Academy of Sciences, and the IEEE Education and Electromagnetic Compatibility Societies.

3259

Daniel L. Faircloth (S’02) received the B.S. and M.S. degrees in electrical engineering from Auburn University, Auburn, AL, in 2002 and 2003, respectively, and is currently working toward the Ph.D. degree in electrical and computer engineering at Auburn University. His research includes finite-element analysis of transient and steady-state electromagnetic phenomena, optimization techniques applicable to electromagnetic design, inverse problems, synthetic aperture radar antenna design, and numerical modeling of landmine and unexploded ordnance (UXO) problems. Mr. Faircloth is a member of Eta Kappa Nu, Tau Beta Pi, and Phi Kappa Phi. He was the recipient of a NASA Graduate Student Researchers Program (GSRP) Fellowship.

Manohar D. Deshpande (M’02–SM’04) received the B.E. degree in electrical engineering from the Vishveshvarya Regional College of Engineering, Nagpur, India, in 1970, and the M.Tech. and Ph.D. degrees in microwave and radar engineering from the Indian Institute of Technology, Kharagpur, India, in 1972 and 1980, respectively. In 1975, he joined the faculty of the Indian Institute of Technology. From 1980 to 1982, he was a Post-Doctoral Fellow with George Washington University, Washington, DC, where he developed the integral-equation method to analyze microstrip patch antennas. As a Senior National Research Council (NRC) Resident Research Associate from 1987 to 1989 at the NASA Langley Research Center, Hampton, VA, he was involved with the analysis of finite printed circuit array antennas. Since 1989, he has been a Research Engineer with the NASA Langley Research Center, where he is involved in the development of the hybrid finite-element method and integral-equation approach to analyze electromagnetic scattering and radiation from three-dimensional complex objects.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Manuscripts for review should be submitted in pdf format as an email attachment to the Editor at [email protected]. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free preprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes. To comply with the IEEE copyright policy, authors are required to sign an IEEE Copyright Form before publication. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS.

Digital Object Identifier 10.1109/TMTT.2005.854526

EDITORIAL BOARD Editor: M. STEER Associate Editors:A. CANGELLARIS, A. CIDRONALI, M. DO, K. ITOH, S. MARSH, A. MORTAZAWI, Y. NIKAWA, J. PEDRO, Z. POPOVIC, S. RAMAN, V. RIZZOLI, D. WILLIAMS, R. WU, A. YAKOVLEV REVIEWERS M. Abdul-Gaffoor M. Abe R. Abou-Jaoude M. Abouzahra A. Abramowicz L. Accatino R. Achar D. Adam E. Adler M. Adlerstein K. Agarwal D. Ahn H.-R Ahn M. Aikawa C. Aitchison M. Akaike C. Akyel A. Akyurtlu B. Albinsson F. Alessandri A. Alexanian C. Algani W. Ali-Ahmad F. Alimenti B. Allen D. Allsopp D. Allstot R. Alm B. Alpert A. Alphones A. Altintas A. Alvarez-Melcom M. Alzona S. Amari L. Andersen B. Anderson Y. Ando O. Anegawa K.-S. Ang I. Angelov R. Anholt Y. Antar G. Antonini D. Antsos K. Anwar I. Aoki R. Aparicio K. Araki J. Archer P. Arcioni F. Arndt R. Arora U. Arz M. Asai P. Asbeck K. Ashby H. Ashok J. Atherton A. Atia I. Awai K. Aygun S. Ayuz Y. Baeyens T. Bagwell Z. Baharav I. Bahl D. Baillargeat S. Bajpai J. Baker-Jarvis E. Balboni S. Banba J. Bandler I. Bandurkin R. Bansal D. Barataud I. Barba F. Bardati I. Bardi S. Barker D. Barlage J. Barr D. Batchelor B. Bates H. Baudrand S. Beaussart R. Beck D. Becker K. Beilenhoff B. Beker V. Belitsky D. Belot H. Bell T. Benson M. Berroth G. Bertin S. Best W. Beyenne A. Beyer S. Bharj K. Bhasin P. Bhattacharya Q. Bi M. Bialkowski E. Biebl P. Bienstman R. Bierig R. Biernacki S. Bila L. Billonnet T. Bird B. Bishop G. Bit-Babik D. Blackham B. Blalock M. Blank P. Blondy P. Blount D. Boccoli B. Boeck F. Bögelsack L. Boglione R. Boix J. Booske N. Borges de Carvalho V. Boria V. Borich O. Boric-Lubecke E. Borie J. Bornemann R. Bosisio H. Boss S. Bousnina P. Bouysse M. Bozzi E. Bracken P. Bradley R. Bradley T. Brazil G. Brehm K. Breuer B. Bridges L. Briones T. Brookes S. Broschat E. Brown G. Brown R. Brown S. Brozovich S. Bruce

S. Bryan H. Bu D. Budimir T. Budka M. Bujatti C. Buntschuh J. Burghartz P. Burghignoli O. Buric-Lubecke D. Butler Q. Cai M. Calcatera C. Caloz E. Camargo R. Cameron N. Camilleri R. Camisa S. Cammer C. Campbell R. Campbell M. Campovecchio F. Canavero A. Cangellaris F. Capolino A. Cappy J.-L. Carbonero G. Carchon J. Carlin G. Carrer R. Carter F. Casas A. Cassinese J. Catala R. Caverly M. Celik M. Celuch-Marcysiak Z. Cendes B. Cetiner J. Cha N. Chaing H. Chaloupka M. Chamberlain C.-H. Chan C.-Y. Chang C. Chang F. Chang H.-C. Chang K. Chang H. Chapell W. Chappell W. Charczenko K. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu C.-C. Chen C.-H. Chen D. Chen H.-S. Chen J. Chen J.-I. Chen J. Chen K. Chen S. Chen W.-K. Chen Y.-J. Chen Y.-K. Chen Z. Chen K.-K. Cheng S. Cherepko W. Chew W.-C. Chew C.-Y. Chi Y.-C. Chiang T. Cho D. Choi J. Choi C.-K. Chou D. Choudhury Y. Chow C. Christopoulos S. Chung R. Cicchetti A. Cidronali T. Cisco J. Citerne D. Citrin R. Clarke J. Cloete E. Cohen L. Cohen A. Coleman R. Collin F. Colomb B. Colpitts G. Conciauro A. Connelly D. Consonni H. Contopanagos F. Cooray I. Corbella J. Costa E. Costamagna A. Costanzo C. Courtney J. Cowles I. Craddock G. Creech J. Crescenzi S. Cripps D. Cros T. Crowe M. Crya R. Culbertson C. Curry W. Curtice Z. Czyz S. D’Agostino C. Dalle G. Dambrine K. Dandekar A. Daryoush B. Das N. Das M. Davidovich M. Davidovitz B. Davis I. Davis L. Davis G. Dawe H. Dayal F. De Flaviis H. De Los Santos P. De Maagt D. De Zutter B. Deal A. Dec J. Deen J. Dees J. DeFalco D. Degroot C. Deibele J. Del Alamo A. Deleniv M. DeLisio S. Demir J. DeNatale E. Denlinger N. Deo

A. Deutsch Y. Deval T. Dhaene A. Diaz-Morcillo G. D’Inzeo C. Diskus B. Dixon T. Djordjevic M. A. Do J. Doane J. Dobrowolski W. Domino S. Dow C. Dozier P. Draxler R. Drayton A. Dreher F. Drewniak S. Dudorov S. Duffy L. Dunleavy V. Dunn J. Dunsmore A. Dutta D. Duvanaud A. Duzdar S. Dvorak L. Dworsky M. Dydyk L. Eastman J. Ebel R. Egri R. Ehlers T. Eibert H. Eisele B. Eisenstadt G. Eisenstein G. Eleftheriades I. Elfadel S. El-Ghazaly F. Ellinger T. Ellis B. Elsharawy R. Emrick N. Engheta B. Engst Y. Eo H. Eom N. Erickson J. Eriksson C. Ernst M. Eron L. Escotte M. Essaaidi J. Everard G. Ewell A. Ezzeddine M. Faber C. Fager D.-G. Fang N. Farhat M. Farina W. Fathelbab A. Fathy A. Fazal E. Fear R. Feinaugle M. Feldman P. Feldman A. Ferendeci C. Fernandes A. Fernandez A. Ferrero I. Fianovsky J. Fiedziuszko I. Filanovsky P. Filicori D. Filipovic A. Fliflet P. Focardi B. Fornberg K. Foster P. Foster G. Franceschetti A. Franchois M. Freire R. Freund A. Freundorfer F. Frezza R. Fujimoto V. Fusco G. Gabriel T. Gaier Z. Galani I. Galin D. Gamble B.-Q. Gao M. Garcia K. Gard R. Garver G. Gauthier B. Geller V. Gelnovatch P. Genderen G. Gentili N. Georgieva W. Geppert J. Gerber F. Gerecht F. German S. Gevorgian R. Geyer O. Ghandi F. Ghannouchi K. Gharaibeh G. Ghione D. Ghodgaonkar F. Giannini A. Gibson S. Gierkink J. Gilb B. Gilbert B.Gimeno E.Glass A. Glisson M. Goano E. Godshalk J. Goel M. Goldfarb C. Goldsmith P. Goldsmith M. Golio R. Gómez R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath R. Gordon P. Gould K. Goverdhanam J. Graffeuil L. Gragnani B. Grant G. Grau A. Grebennikov B. Green T. Gregorzyk I. Gresham E. Griffin

J. Griffith A. Griol G. Groskopf C. Grossman T. Grzegorczyk M. Guglielmi P. Guillon K.-H. Gundlach A. Gupta K. Gupta R. Gupta F. Gustrau R. Gutmann W. Gwarek R. Haas J. Hacker G. Haddad S. Hadjiloucas C. Hafner M. Hagmann S. Hagness H.-K. Hahn A. Hajimiri D. Halchin A. Hallac B. Hallford K. Halonen R. Ham K. Hamaguchi M. Hamid J.-H. Han A. Hanke V. Hanna V. Hansen G. Hanson Y. Hao L. Harle M. Harris L. Hartin H. Hartnagel J. Harvey H. Hasegawa K.-Y. Hashimoto K. Hashimoto J. Haslett G. Hau S. Hay H. Hayashi J. Hayashi L. Hayden B. Haydl S. He T. Heath J. Heaton I. Hecht G. Hegazi P. Heide E. Heilweil W. Heinrich G. Heiter M. Helier R. Henderson R. Henning D. Heo J. Herren K. Herrick N. Herscovici J. Hesler J. Heston M. Heutmaker C. Hicks R. Hicks A. Higgins M. Hikita D. Hill G. Hiller W. Hioe J. Hirokawa T. Hirvonen V. Ho W. Hoefer R. Hoffmann M. Hoft J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii D. Hornbuckle J. Horng J. Horton K. Hosoya R. Howald H. Howe J.-P. Hsu Q. Hu C.-C. Huang C. Huang F. Huang H.-C. Huang J. Huang P. Huang T.-W. Huang A. Huber D. Huebner H.-T. Hui A. Hung C. Hung H. Hung I. Hunter J. Hurrell M. Hussein B. Huyart I. Huynen H.-Y. Hwang J. Hwang K.-P. Hwang J. Hwu C. Icheln T. Idehara S. Iezekiel P. Ikonen K. Ikossi K. Inagaki A. Ishimaru T. Ishizaki Y. Ismail K. Itoh T. Itoh F. Ivanek A. Ivanov T. Ivanov C. Iversen D. Iverson D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob M. Jacob H. Jacobsson D. Jaeger N. Jaeger N. Jain R. Jakoby G. James R. Janaswamy

Digital Object Identifier 10.1109/TMTT.2005.858960

V. Jandhyala W. Jang R. Jansen J. Jargon B. Jarry P. Jarry A. Jelenski W. Jemison S.-K. Jeng M. Jensen E. Jerby G. Jerinic T. Jerse P. Jia D. Jiao J.-M. Jin J. Johansson R. Johnk W. Joines K. Jokela S. Jones U. Jordan L. Josefsson K. Joshin J. Joubert R. Kagiwada T. Kaho M. Kahrs D. Kajfez S. Kalenitchenko B. Kalinikos H. Kamitsuna R. Kamuoa M. Kanda S.-H. Kang P. Kangaslahtii B. Kapilevich K. Karkkainen M. Kärkkäinen A. Karpov R. Karumudi A. Kashif T. Kashiwa L. Katehi A. Katz R. Kaul S. Kawakami S. Kawasaki M. Kazimierczuk R. Keam S. Kee S. Kenney A. Kerr O. Kesler L. Kettunen M.-A. Khan J. Kiang O. Kilic H. Kim I. Kim J.-P. Kim W. Kim C. King R. King A. Kirilenko V. Kisel A. Kishk T. Kitamura T. Kitazawa M.-J. Kitlinski K. Kiziloglu R. Knerr R. Knöchel L. Knockaert K. Kobayashi Y. Kobayashi G. Kobidze P. Koert T. Kolding N. Kolias B. Kolner B. Kolundzija J. Komiak A. Komiyama G. Kompa B. Kopp B. Kormanyos K. Kornegay M. Koshiba T. Kosmanis J. Kot A. Kraszewski T. Krems J. Kretzschmar K. Krishnamurthy C. Krowne V. Krozer J. Krupka W. Kruppa H. Kubo C. Kudsia S. Kudszus E. Kuester Y. Kuga W. Kuhn T. Kuki M. Kumar J. Kuno J.-T. Kuo P.-W. Kuo H. Kurebayashi T. Kuri F. KurokI L. Kushner N. Kuster M. Kuzuhara Y.-W. Kwon I. Lager R. Lai J. Lamb P. Lampariello M. Lanagan M. Lancaster U. Langmann G. Lapin T. Larsen J. Larson L. Larson J. Laskar M. Laso A. Lauer J.-J. Laurin G. Lazzi F. Le Pennec J.-F. Lee J.-J. Lee J.-S. Lee K. Lee S.-G. Lee T. Lee K. Leong T.-E. Leong Y.-C. Leong R. Leoni M. Lerouge K.-W. Leung Y. Leviatan R. Levy L.-W. Li

Y.-M. Li L. Ligthart C.-L. Lin J. Lin G. Linde S. Lindenmeier A. Lindner C. Ling H. Ling D. Linkhart P. Linnér D. Lippens F. Little A. Litwin L. Liu Q.-H. Liu S.-I. Liu Y.-W. Liu O. Llopis S. Lloyd C. Lohmann J. Long U. Lott D. Lovelace K. Lu L.-H. Lu S. Lu W.-T. Lu V. Lubecke S. Lucyszyn R. Luebbers L. Lunardi S. Luo J. Luy C. Lyons G. Lyons Z. Ma S. Maas G. Macchiarella S. Maci T. Mader M. Madihian A. Madjar M. Magana T. Magath C. Mahle S. Mahmoud I. Maio M. Majewski M. Makimoto J. Malherbe J. Mallat R. Mallavarpu D. Malocha L. Maloratsky V. Manasson C. Mann H. Manohara R. Mansour S. March V. Mark F. Marliani R. Marques G. Marrocco S. Marsh J. Martens L. Martens J. Marti A. Martin E. Martinez A. Massa D. Masse K. Masterson A. Materka K. Matsunaga A. Matsushima R. Mattauch M. Mattes G. Matthaei P. Mayer W. Mayer J. Mazierska J. Mazur G. Mazzarella K. McCarthy P. McClay T. McKay J. McKinney R. McMillan R. McMorrow D. McPherson D. McQuiddy E. McShane F. Medina D. Meharry C. Meng H.-K. Meng W. Menzel F. Mesa R. Metaxas P. Mezzanotte K. Michalski E. Michielssen A. Mickelson V. Mikhnev R. Miles E. Miller M. Miller P. Miller R. Minasian J. Mink S. Mirabbasi J. Miranda D. Mirshekar T. Miura S. Miyahara H. Miyashita M. Miyazaki K. Mizuno S. Mizushina C. Mobbs M. Mohamed A. Mohammadian A. Mohan A. Mondal T. Monediere R. Mongia M. Mongiardo C. Monzon C. Moore J. Morente M. Morgan A. Morini J. Morsey A. Mortazawi H. Mosallaei J. Mosig A. Moulthrop G. Mourou A. Moussessian M. Mrozowski J.-E. Mueller T. Mueller J. Muldavin M. Muraguchi V. Nair K. Naishadham T. Nakagawa M. Nakatsugawa

M. Nakhla C. Naldi J. Nallatamby S. Nam T. Namiki G. Narayanan T. Narhi M. Nasir A. Natarajan J. Nath B. Nauwelaers J. Navarro J. Nebus D. Neikirk B. Nelson A. Neto E. Newman H. Newman M. Ney E. Ngoya C. Nguyen T. Nichols K. Niclas E. Niehenke S. Nightingale Y. Nikawa P. Nikitin A. Niknejad N. Nikolova K. Nikoskinen M. Nisenoff T. Nishikawa G. Niu S. Nogi T. Nojima T. Nomoto A. Nosich B. Notaros K. Noujeim D. Novak T. Nozokido G. Nusinovich E. Nyfors D. Oates J. Obregon J. O’Callahan M. Odyneic H. Ogawa K.-I. Ohata T. Ohira H. Okazaki V. Okhmatovski A. Oki M. Okoniewski G. Olbrich A. Oliner S. Oliver J. Olsson F. Olyslager A. Omar B.-L. Ooi A. Orlandi R. Orta S. Ortiz J. Osepchuk J. Ou W. Ou T. Oxley R. Paglione T. Palenius W. Palmer D.-S. Pan S.-K. Pan C. Panasik R. Panock C. Papanicolopoulos J. Papapolymerou S. Parisi D.-C. Park H. Park D. Parker T. Parker R. Parry D. Pasalic W. Pascher M. Pastorino S. Patel P. Pathak A. Pavio J. Pavio T. Pavio J. Pearce W. Pearson J. Pedro B. Pejcinovic S.-T. Peng R. Pengelly J. Pereda L. Perregrini M. Petelin A. Peterson D. Peterson O. Peverini U. Pfeiffer A.-V. Pham J. Phillips L. Pierantoni B. Piernas J. Pierro P. Pieters B. Pillans M. Pirola W. Platte A. Platzker C. Pobanz A. Podell R. Pogorzelski P. Poire R. Pollard G. Ponchak Z. Popovic M. Pospieszalski V. Postoyalko N. Pothecary D. Pozar S. Prasad D. Prather R. Pregla D. Prescott M. Prigent S. Pritchett Y. Prokopenko S. Prosvirnin J. Pulliainen L. Puranen D. Purdy J. Putz Y. Qian T. Quach D. Quak P. Queffelec R. Quere F. Raab V. Radisic L. Raffaelli M. Raffetto C. Railton O. Ramahi S. Raman

J. Randa R. Ranson T. Rappaport J.-P. Raskin P. Ratanadecho J. Rathmell C. Rauscher J. Rautio J. Rayas-Sánchez H. Reader G. Rebeiz B. Redman-White E. Reese R. Reid H.-M. Rein J. Reinert I. Rekanos R. Remis K. Remley L. Reynolds A. Reynoso-Hernandez E. Rezek A. Riddle E. Rius J. Rius B. Rizzi V. Rizzoli I. Robertson P. Roblin S. Rockwell A. Roden A. Rodriguez M. Rodwell H. Rogier A. Rong Y. Rong J. Roos D. Root N. Rorsman L. Roselli A. Rosen J. Rosenberg U. Rosenberg F. Rotella E. Rothwell L. Roy J. Roychowdury T. Rozzi J. Rubio R. Ruby A. Rudiakova M. Rudolph A. Ruehli P. Russer D. Rutledge A. Rydberg D. Rytting T. Saad C. Saavedra K. Sabet M. Sachidananda G. Sadowniczak A. Safavi-Naeini A. Safwat M. Sagawa M. Salazar M. Salazar-Palma A. Sanada M. Sanagi A. Sangster W. Sansen K. Sarabandi T. Sarkar C. Sarris P. Saunier S. Savov D. Schaubert I. Scherbatko G. Schettini F. Schettino M. Schetzen B. Schiek M. Schindler M. Schlechtweg E. Schmidhammer L. Schmidt D. Schmitt F. Schmückle F. Schnieder J. Schoukens D. Schreurs G. Schreyer W. Schroeder H. Schumacher J. Schutt-Aine F. Schwering W. Scott F. Sechi A. Seeds J. Sercu R. Settaluri J. Sevic O. Sevimli D. Shaeffer L. Shafai O. Shanaa Z. Shao M. Shapiro A. Sharma V. Shastin P. Shastry R. Shavit T. Shen T. Shibata A. Shibib H. Shigesawa Y.-C. Shih T. Shiozawa M. Shirokov W. Shiroma Y. Shoji N. Shuley M. Shur P. Siegel D. Sievenpiper B. Sigmon A. Sihvola C. Silva M. Silveira M. Silveirinha K. Silvonen W. Simbuerger R. Simons F. Sinnesbichler J. Sitch N. Skou Z. Skvor R. Sloan D. Smith G. Smith P. Smith C. Snowden R. Snyder R. So H. Sobol E. Sobolewski A. Sochava N. Sokal V. Sokolov

M. Solano K. Solbach M. Solomon B.-S. Song M. Sorolla Ayza R. Sorrentino C. Soukoulis N. Soveiko E. Sovero J. Sowers T. Sowlati R. Sparks S. Spiegel P. Staecker D. Staiculescu J. Stake D. Stancil P. Starski J. Staudinger P. Stauffer P. Steenson A. Stelzer J. Stenarson K. Stephan M. Stern C. Stevens S. Stitzer M. Stone B. Strassner P. Stuart M. Stubbs M. Stuchly R. Sturdivant A. Suarez N. Suematsu T. Suetsugu Y. Suh F. Sullivan C. Sun L. Sundstrom S. Sussman-Fort K. Suyama J. Svacina D. Swanson B. Szendrenyi A. Szu W. Tabbara A. Taflove G. Tait Y. Tajima Y. Takayama M. Taki Y. Takimoto S. Talisa K. Tan W.-C. Tang E. Taniguchi R. Tascone J. Taub J. Tauritz D. Teeter F. Teixeira M. Tentzeris S.-A. Teo K. Thakur H. Thal W. Thiel H.-W. Thim B. Thompson G. Thoren M. Thumm N. Tilston W. Tinga I. Tittonen G. Tkachenko M.-R. Tofighi T. Tokumitsu K. Tomiyasu P. Tommasino A. Toropainen M. Toupikov I. Toyoda C. Trask S. Tretyakov R. Trew A. Trifiletti C. Trueman P. Truffer A. Truitt C.-M. Tsai R. Tsai J. Tsalamengas L. Tsang H.-Q. Tserng J. Tsui M. Tsuji T. Tsujiguchi R. Tucker J. Tuovinen C.-K. Tzuang T. Ueda K. Uehara S. Ueno J. Uher A. Uhlir T. Ulrich Y. Umeda T. Uwano N. Uzunoglu R. Vahldieck M. Vaidyanathan P. Vainikainen M. Valtonen N. Van der Meijs D. Van der Weide P. Van Genderen E. Van Lil C. Van Niekerk M. Vanden Bossche G. Vandenbosch A. Vander Vorst D. Vanhoenacker-Janvie K. Varian L. Vegni G. Venanzoni I. Vendik S. Verdeyme V. Veremey R. Vernon J. Verspecht L. Verweyen H. Vickes A. Victor L. Vietzorreck A. Viitanen F. Villegas D. Vinayak C. Vittoria S. Vitusevich D. Viveiros E. Viveiros J. Volakis V. Volman J. Vuolevi K. Wagner K. Wakino P. Waldow A.-A. Walid T. Walid

D. Walker V. Walker P. Wallace J. Walsh C. Wan A. Wang B.-Z. Wang C. Wang E. Wang H. Wang J. Wang K.-C. Wang L. Wang T.-H. Wang W. Wang Y. Wang Z. Wang K. Warnick K. Washio T. Watanabe R. Waterhouse R. Waugh D. Webb J. Webb K. Webb R. Webster S. Wedge C.-J. Wei R. Weigel T. Weiland A. Weily S. Weinreb J. Weiss S. Weiss A. Weisshaar C. Weitzel K. Weller T. Weller C.-P. Wen W. Weng M. Wengler S. Wentworth C. Westgate C. Whelan J. Whelehan L. Whicker J. Whitaker P. White S. Whiteley K. Whites W. Wiesbeck G. Wilkins A. Wilkinson D. Williams B. Wilson J. Wiltse P. Winson K. Wong K.-L. Wong T. Wong J. Woo J. Wood G. Woods G. Wrixon B.-L. Wu H. Wu K.-L. Wu R.-B. Wu T. Wu Y.-S. Wu R. Wylde G. Xiao H. Xin H.-Z. Xu S.-J. Xu Y. Xu Q. Xue A. Yakovlev S. Yamamoto C.-H. Yang F. Yang H.-Y. Yang Y. Yang H. Yano H. Yao K. Yashiro S. Ye J. Yeo K. Yeo S.-P. Yeo S.-J. Yi W.-Y. Yin H. Ymeri S. Yngvesson T. Yoneyama C.-K. Yong H.-J. Yoo J.-G. Yook R. York N. Yoshida S. Yoshikado A. Young L. Young G. Yu M. Yu A. Zaghoul K. Zaki J. Zamanillo P. Zampardi J. Zapata J. Zehentner Q.-J. Zhang R. Zhang A. Zhao L. Zhao L. Zhu N.-H. Zhu Y.-S. Zhu Z. Zhu R. Zhukavin R. Ziolkowski H. Zirath A. Zolfaghari T. Zwick