IEEE MTT-V053-I08 (2005-08) [53, 08 ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 01492641......Page 1
020 - [email protected] 3
I. I NTRODUCTION......Page 4
A. Modal Basic Set......Page 5
C. Modal Evolutionary Equations......Page 6
A. Preliminaries to Calculations......Page 7
B. Numerical Results......Page 8
VI. M AIN R ESULTS AND C OMMENTS......Page 9
S. Aksoy and O. A. Tretyakov, The evolution equations in study o......Page 10
II. F ABRICATION OF T HROUGH -W AFER V IA -H OLES......Page 11
TABLE I E TCHING R ATE OF THE V IA -H OLES W ITH D IFFERENT D IM......Page 12
A. Deembedding Method......Page 13
B. TWI Vias Impedance......Page 14
Fig.€12. Measured: (a) inductance and (b) resistance of the 70-......Page 15
D. Modeling of the TWI Vias......Page 16
Fig.€18. Comparison between the: (a) real and (b) imaginary part......Page 17
G. I. Costache, M. W. Nemes, and E. M. Petriu, Finite element me......Page 18
III. S PACE OF S OLUTIONS C HARACTERIZATION......Page 20
B. Maximum Output Method......Page 21
V. R ESULTS AND C OMPARISONS......Page 22
C. Improvement Under UMTS Specifications......Page 23
Y.-C. Jeong, Y.-J. Song, I.-J. Oh, and C.-D. Kim, A novel adapti......Page 24
II. T RANSITION AND E XCITATION M ECHANISM......Page 26
Fig.€2. Propagation constant in a GCPW with lateral walls. The d......Page 27
IV. E QUIVALENT C IRCUIT......Page 28
Fig.€7. (a) Equivalent circuit taking into account both end-stub......Page 29
Fig.€10. Impedance values for the GCPW and SIRW. $S = 0.914$ mm,......Page 30
Fig.€14. Fabricated transition. Only a quarter of the structure......Page 31
M. J. Hill, R. W. Ziolkowski, and J. Papapolymerou, A high- $Q$......Page 32
R. N. Simons, Coplanar Waveguide Circuits, Components and System......Page 33
I. I NTRODUCTION......Page 34
A. Formulation of the Model......Page 35
Fig. 3. Magnetic walls are located at ${ y}={-}{ d}/2$ and ${ y}......Page 36
Fig.€5. Calculated attenuation per unit cell for EBG structure o......Page 37
B. Estimates of the Stopband Edges......Page 38
C. Alternate Method for Calculating Attenuation......Page 39
Fig.€9. Measured and calculated insertion loss for EBG structure......Page 40
TABLE III O VERALL B OARD D IMENSIONS AND P ORT L OCATIONS IN TH......Page 41
V. C ONCLUSION......Page 42
R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New......Page 43
I. Novak, Measuring milliohms and picohenries in power distribut......Page 44
I. I NTRODUCTION......Page 45
Fig. 2. Modulus of $S_{21}$ for the reference filter ( ) shown i......Page 46
Fig.€6. Effect of number $n$ of unit cells on the modulus of $S_......Page 47
1) Design: The design of an electronically tunable CPW filter ce......Page 48
TABLE II E FFECT OF S ERIES R ESISTANCE, S ERIES I NDUCTANCE, AN......Page 49
b) Large-Signal Analysis: Here, we report the measured filter di......Page 50
B. Simulated MEMS Tunable Bandpass Filter......Page 51
A. Tombak, J. P. Maria, F. T. Ayguavives, Z. Jin, G. T. Stauf, A......Page 52
L. Dussopt and G. M. Rebeiz, High- $Q$ millimeter-wave MEMS vara......Page 53
Fig.€1. Side view of a connection consisting of two rectangular......Page 54
Fig.€2. Model for the topography of nominally flat surfaces: one......Page 55
III. E LECTRICAL C ONTACT OF M ETALS S EPARATED BY A T HIN D IEL......Page 56
B. MM Contacts Case......Page 57
IV. W AVEGUIDE J UNCTION M ODEL: V OLTAGE D ROP C ALCULATION......Page 58
A. Mathematical Approach......Page 60
Fig.€6. Third-order PIM level as a function of the covering laye......Page 61
B. Physical Approach......Page 62
J. W. Boyhan, H. F. Lenzig, and C. Koduru, Satellite passive int......Page 63
C. G. Karagiannopoulos, P. D. Bourkas, C. T. Dervos, and C. A. K......Page 64
I. I NTRODUCTION......Page 65
Fig.€1. Cross section $S$ with boundary $c$ of a conducting cyli......Page 66
III. D IRICHLET C HARACTERIZATION......Page 67
A. Analytical Solution......Page 68
B. Discretized Form of the Solution......Page 69
V. D ETERMINATION OF R ESISTANCE AND I NDUCTANCE M ATRICES......Page 70
Fig.€5. Contour plot of the normalized absolute value of the ele......Page 72
B. Resistance and Inductance Matrices......Page 73
Fig. 10. Resistance in $m\Omega/{\hbox{m}}$ as a function of fre......Page 74
Fig.€13. Resistance matrix elements in $\Omega$ /m as a function......Page 75
VII. C ONCLUSION......Page 76
R. E. Collin, Field Theory of Guided Waves, 2nd ed. Piscataway,......Page 77
I. I NTRODUCTION......Page 78
A. Prototype LPF and Adoption of DGS......Page 79
B. Determination of the DGS for ${ L}_{3}$......Page 80
C. Realization of the Shunt Capacitance ${ C}_{{ k}2}$......Page 81
IV. P ERFORMANCE OF THE P ROPOSED F IVE -P OLE DGS-LPF......Page 82
A. Sweet, MIC & MMIC Amplifier and Oscillator Circuit Design . B......Page 83
Fig.€1. (a) Cross section of the bridge-connected (or bridged) N......Page 85
III. C OUPLER D ESIGN......Page 86
Fig.€4. Dispersion curves of the bridged NRD-guides as $c/a$ is......Page 87
Fig.€6. Configurations of the bridged NRD-guide coupler and the......Page 88
A. Bacha and K. Wu, LSE-mode balun for hybrid integration of NRD......Page 89
A. 2-D Finite-Element Model......Page 91
B. Generalized Non-Hermitian Lanczos Algorithm......Page 92
IV. F AST F REQUENCY S WEEP......Page 93
B. Anisotropic Image Waveguide......Page 94
D. Lossy Microstrip Line......Page 95
VI. C ONCLUSIONS......Page 96
W. T. Weeks, Calculation of coefficients of capacitance of multi......Page 97
II. T ECHNOLOGY......Page 98
Fig.€3. Simulated characteristics of the filters including paras......Page 99
Fig. 5. Characteristics of drain source resistance $({ R}_{\rm d......Page 100
Fig.€9. Mixer with compact chip size of 0.38 mm $\, \times\,$ 0.......Page 101
TABLE I M EASURED AND S IMULATED P ORT I SOLATION AT 5-dBm LO P......Page 102
N. Zamdmer, J. Kim, R. Trzcinski, J.-O. Plochart, S. Narasimha,......Page 103
M. R. Barber, Noise figure and conversion loss of the Schottky b......Page 104
B. Resonator Design......Page 105
Fig.€3. Circuit model and practical realization of a unit cell i......Page 106
A. Fabrication, Implementation, and Biasing......Page 107
Fig.€7. Measured: (a) insertion loss and (b) return of the tunab......Page 108
V. C ONCLUSION......Page 109
A. R. Brown and G. M. Rebeiz, A varactor-tuned RF filter, IEEE T......Page 110
A. Matching Impedance of a Nonreciprocal Three-Port......Page 111
B. Matching Impedance of the Stripline FCL Circulator......Page 112
Fig.€3. Smith chart plot of simulation results for $Z_1$ normali......Page 113
C. Realization of the Matching Network......Page 114
Fig.€11. Scattering parameter of the FCL circulator with a match......Page 115
B. Microstrip FCL Circulator With Matching Network......Page 116
Fig.€15. Measurement of the scattering matrix of the device when......Page 117
P. A. Pucel et al., Losses in microstrip, IEEE Trans. Microw. Th......Page 118
I. I NTRODUCTION......Page 119
Proof: Only the case of ${ h}_{ ij}$ -parameters will be discuss......Page 120
Theorem 2: Provided stability for a given pair of input and outp......Page 121
Case I1: ${ B}_{{ S}1} < 0$ .......Page 122
Fig. 6. (a) Frequency plot of the proposed stability factors ${......Page 123
F. Centurelli, G. Scotti, P. Tommasino, and A. Trifiletti, A syn......Page 124
A. Basic Operating Principle......Page 126
1) Implementation on the Top Conductor Plane: The first method i......Page 127
A. Implementation Transformer on the Ground Plane $({ n}=2)$......Page 128
TABLE IV S IMULATION R ESULTS OF T UNABLE I MPEDANCE T RANSFORME......Page 129
C. Final Structure of the Tunable Impedance Transformer......Page 130
Fig.€13. Experimental result for the tunable impedance transform......Page 131
G. Hiller, Predict PIN-diode switch distortion, Microwaves RF, p......Page 132
I. I NTRODUCTION......Page 133
TABLE I NIOS I NSTRUMENT S PECIFICATIONS......Page 134
TABLE II S TEREOSCOPIC AND T RUE D ISTANCES FOR M ANUALLY S ELEC......Page 135
Fig.€4. Effect of radiometer noise on the directional resolution......Page 136
B. Systematic Errors......Page 137
K. Rohlfs and T. L. Wilson, Tools of Radio Astronomy, Second com......Page 138
II. T HEORY......Page 139
III. S IMULATION R ESULTS......Page 140
V. C ONCLUSION......Page 141
A. Oppenheim and R. Schaffer, Discrete Time Signal Processing .......Page 142
II. D ESIGN AND A NALYSIS......Page 143
C. Effect of Coaxial Probes......Page 144
Fig.€3 Schematic of OMT at a single frequency band.......Page 145
Fig.€7 Photograph and drawing of the eight-port OMT with orthogo......Page 146
S. Hopfer, Design of ridged waveguides, IRE Trans. Microw. Theor......Page 147
II. M IRROR S YNTHESIS......Page 149
III. M ETHOD OF I RRADIANCE M OMENTS......Page 150
TABLE I I RRADIANCE M OMENTS $\bar {M}_{pq}$ AT P LANE I......Page 151
Fig.€8. Window pattern for the mode converter with mirrors 3 and......Page 152
S. N. Vlasov and V. I. Talanov, Wave Self-Focusing . Nizhny Novg......Page 153
A. Synthesis of Lumped Out-of-Phase Power Splitters......Page 155
TABLE I T RIGONOMETRIC F UNCTIONS FOR C OMPONENT V ALUES OF U NI......Page 156
A. Design......Page 157
Fig.€6. Measurements (symbols) and design simulations (lines) of......Page 158
I. H. Lin, C. Caloz, and T. Itoh, A branch line coupler with two......Page 159
A. Mode for the Dielectric Slab Rotman Lens......Page 161
Fig.€3. Geometry and design parameters of a Rotman lens.......Page 162
III. M EASUREMENTS OF THE D IELECTRIC S LAB R OTMAN L ENS......Page 163
Fig. 6. (a) Power fraction reflected from beam port 5 $(\vert {......Page 164
S. F. Peik and J. Heinstadt, Multiple beam microstrip array fed......Page 165
I. I NTRODUCTION......Page 167
III. M ANIFOLD D ESIGN......Page 168
Fig.€5. $H$ -plane T-junction and the connection geometry to the......Page 169
V. R ESULTS......Page 170
W. H. Press et al., Numerical Recipes in Fortran. The Art of Sci......Page 171
II. E XTRACTING D IODE M ODEL P ARAMETERS......Page 172
III. O NE -P ORT T UNNEL -D IODE M ODELING......Page 173
Fig.€7. Simulated and measured small-signal $S$ -parameter.......Page 174
TABLE II O PTIMIZED V OLTAGE -I NDEPENDENT P ARAMETERS OF F IG .......Page 175
V. C ONCLUSION......Page 176
J. T. Louhi and A. V. Räisänen, On the modeling and optimization......Page 177
II. O PERATION OF PA B ASED ON THE D OHERTY C ONCEPT......Page 178
III. I MPLEMENTATION OF THE L OAD -M ODULATION PA IN MMIC F ORM......Page 179
Fig.€5. MMIC chip and PCB board. (a) MMIC chip. (b) Test board m......Page 180
Fig.€7. RF power and linearity performances of the PA. (a) Gain......Page 181
Fig. 10. Gain and efficiency versus ${ P}_{\rm out}$ for AMPS mo......Page 182
Y.-J. Jeon et al., A highly efficient CDMA power amplifier based......Page 183
280 - 01492668......Page 184
290 - 01492669......Page 185
300 - 01492670......Page 186
310 - 01492671......Page 187
320 - 01492672......Page 188

Citation preview

AUGUST 2005

VOLUME 53

NUMBER 8

IETMAB

(ISSN 0018-9480)

PAPERS

Time-Domain Cavity Oscillations Supported by a Temporally Dispersive Dielectric . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Aksoy, M. Antyufeyeva, E. Basaran, A. A. Ergin, and O. A. Tretyakov Microwave Characterization and Modeling of High Aspect Ratio Through-Wafer Interconnect Vias in Silicon Substrates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . L. L. W. Leung and K. J. Chen An Adaptive Feedforward Amplifier Under “Maximum Output” Control Method for UMTS Downlink Transmitters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Legarda, J. Presa, E. Hernández, H. Solar, J. Mendizabal, and J. A. Peñaranda Analysis and Design of Current Probe Transition From Grounded Coplanar to Substrate Integrated Rectangular Waveguides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Deslandes and K. Wu Electromagnetic-Bandgap Layers for Broad-Band Suppression of TEM Modes in Power Planes . . . . . . . . . S. D. Rogers Hybrid Narrow-Band Tunable Bandpass Filter Based on Varactor Loaded Electromagnetic-Bandgap Coplanar Waveguides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E. Pistono, P. Ferrari, L. Duvillaret, J.-M. Duchamp, and R. G. Harrison Passive-Intermodulation Analysis Between Rough Rectangular Waveguide Flanges . . . . C. Vicente and H. L. Hartnagel Skin Effect Modeling Based on a Differential Surface Admittance Operator. . . . . . . . . . . D. De Zutter and L. Knockaert Design of Low-Pass Filters Using Defected Ground Structure . . . . J.-S. Lim, C.-S. Kim, D. Ahn, Y.-C. Jeong, and S. Nam Analysis and Design of Bridged NRD-Guide Coupler for Millimeter-Wave Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .D. Li, Y. Cassivi, P. Yang, and K. Wu Fast Waveguide Eigenanalysis by Wide-Band Finite-Element Model-Order Reduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S.-H. Lee, T.-Y. Huang, and R.-B. Wu 26.5–30-GHz Resistive Mixer in 90-nm VLSI SOI CMOS Technology With High Linearity for WLAN . . . . F. Ellinger A 12–18-GHz Three-Pole RF MEMS Tunable Filter . . . . . . . . . . . . . . . . . . . . . . . . . . . .K. Entesari and G. M. Rebeiz Ferrite Coupled-Line Circulator With Reduced Length . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .M. Cao and R. Pietig Necessary and Sufficient Conditions for the Stability of Microwave Amplifiers With Variable Termination Impedances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Olivieri, G. Scotti, P. Tommasino, and A. Trifiletti Tunable Impedance Transformer Using a Transmission Line With Variable Characteristic Impedance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .H. T. Jeong, J. E. Kim, I. S. Chang, and C. D. Kim Stereoscopic Passive Millimeter-Wave Imaging and Ranging . . . . . . . . . . . . . . . . . . . . . . . . . . T. Lüthi and C. Mätzler Statistical Analysis of WDM Photonic Microwave Filters With Random Errors . . . . . B. Vidal, J. L. Corral, and J. Martí Multifrequency Waveguide Orthomode Transducer . . . . . . . . . . . . . . . . S. B. Sharma, V. K. Singh, and S. Chakrabarty

2465 2472 2481 2487 2495 2506 2515 2526 2539 2546 2552 2559 2566 2572 2580 2587 2594 2600 2604

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Synthesis of Gyrotron Phase-Correcting Mirrors Using Irradiance Moments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .M. A. Shapiro, J. P. Anderson, and R. J. Temkin Lumped-Element Quadrature Power Splitters Using Mixed Right/Left-Handed Transmission Lines. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Kuylenstierna, S. E. Gunnarsson, and H. Zirath Dielectric Slab Rotman Lens for Microwave/Millimeter-Wave Applications. . . . . . . .J. Kim, C. S. Cho, and F. S. Barnes Full-Wave Design of -Plane Contiguous Manifold Output Multiplexers Using the Fictitious Reactive Load Concept. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. R. Montejo-Garai, J. A. Ruiz-Cruz, and J. M. Rebollar Large-Signal Diode Modeling—An Alternative Parameter-Extraction Technique . . . . . . . . . . . . . . Y. H. Liew and J. Joe A Handset Power Amplifier With High Efficiency at a Low Level Using Load-Modulation Technique . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .J. Nam, J.-H. Shin, and B. Kim Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2610 2616 2622 2628 2633 2639 2645

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society and will receive this TRANSACTIONS upon payment of the annual Society membership fee of $14.00 plus an annual subscription fee of $24.00. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only.

ADMINISTRATIVE COMMITTEE K. C. GUPTA, President M. P. DE LISO S. M. EL-GHAZALY M. HARRIS

T. ITOH

K. VARIAN, Vice President D. HARVEY J. HAUSNER L. KATEHI

A. MORTAZAWI, Secretary T. LEE D. LOVELACE J. MODELSKI

S. KAWASAKI J. S. KENNEY N. KOLIAS

Honorary Life Members A. A. OLINER K. TOMIYASU T. S. SAAD L. YOUNG

L. E. DAVIS W. GWAREK W. HEINRICH W. HOEFER

M. HARRIS, Treasurer

V. J. NAIR B. PERLMAN D. RUTLEDGE Distinguished Lecturers T. ITOH B. KIM J. LASKAR J. C. RAUTIO

K. VARIAN R. WEIGEL S. WETENKAMP

W. SHIROMA R. SNYDER R. SORRENTINO

D. RYTTING M. SHUR P. SIEGEL R. J. TREW

Past Presidents R. J. TREW (2004) F. SCHINDLER (2003) J. T. BARR IV (2002)

MTT-S Chapter Chairs Albuquerque: G. WOOD Atlanta: J. PAPAPOLYMEROU Austria: R. WEIGEL Baltimore: B. MCCARTHY Beijing: Y.-R. ZHONG Beijing, Nanjing: W.-X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Buenaventura: L. HAYS Buffalo: M. R. GILLETTE Bulgaria: F. FILIPOV Cedar Rapids/Central Iowa: D. JOHNSON Central New England/Boston: F. SULLIVAN Central & South Italy: R. TIBERIO Central No. Carolina: T. IVANOV Chicago: R. KOLLMAN Cleveland: G. PONCHAK Columbus: J.-F. LEE Croatia: J. BARTOLIC Czech/Slovakia: P. HAZDRA Dallas: P. WINSON Dayton: A. TERZOULI, JR. Denver: K. BOIS East Ukraine: A. KIRILENKO Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: S. O’BRIEN

Foothill: C. ANTONIAK France: O. PICON Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: K. MICHALSKI Hungary: T. BERCELI Huntsville: M. A. BARNES India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: H. JUI-PANG Kitchener-Waterloo: R. R. MANSOUR Long Island/New York: M. HANCZOR Los Angeles Council: T. LEE Malaysia: Z. AWANG Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: G. N. S. PRASANNA New South Wales: G. TOWN North Italy: G. GHIONE North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: M. OLAVSBRATEN Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: T. WU

Ottawa: J. E. ROY Philadelphia: J. B. McCORMACK Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Poland, Lithuania: I. NAIDIONOVA Portugal: V. FERENDES Princeton/Central Jersey: W. CURTICE / A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: F. POLO Romania: I. SIMA Russia, Jt. Nizhny: Y. BELOV Russia, Jt. Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: U. S. DHALIWAL San Fernando Valley: J. C. WEILER, JR Santa Clara Valley/San Francisco: M. SHAKOURI Seattle: T. RASCHKO Seoul Council: H.-Y. LEE Siberia, Jt. Novosibirsk: V. SHUVALOV Siberia, Tomsk: E. GOLOVIN Singapore: O. B. LEONG South Africa: J. JOUBERT South Australia: B. BATES

South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: E. C. FEAR Spain: L. DE HARO Springfield: S. C. REISING Sweden: J. F. JOHANSSON Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: V. VIVEK Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS / S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine West: M. I. ANDRIYCHUK Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Venezuela: A. N. BIANCHI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Eastern Northern Virginia: E. ADLER Winnipeg: S. NOGHANIAN Yugoslavia: A. MARINCIC

Associate Editors

Editor-In-Chief MICHAEL STEER North Carolina State Univ. Raleigh, NC 27695-7911 USA Phone: +1 919 515 5191 Fax: +1 919 513 1979 email: [email protected]

ANDREAS CANGELLARIS Univ. of Illinois, Urbana Champaign USA email: [email protected] AMIR MORTAZAWI Univ. of Michigan at Ann Arbor USA email: [email protected] YOSHIO NIKAWA Kokushikan Univ. Japan email: [email protected] M. GUPTA, Editor, IEEE Microwave Magazine

STEVEN MARSH JOSÉ PEDRO KENJI ITOH Univ. of Aveiro Mitsubishi Electric Corp. Midas Consulting Portugal Japan U.K. email: jcp.mtted.av.it.pt email: [email protected] email: [email protected] ZOYA POPOVIC RUEY-BEEI WU MANH ANH DO Univ. of Colorado at Boulder National Taiwan Univ. Nanyang Technological Univ. USA Taiwan, R.O.C. Singapore email: [email protected] email: [email protected] email: [email protected] DYLAN F. WILLIAMS ALESSANDRO CIDRONALI VITTORIO RIZZOLI Univ. of Florence Univ. of Bologna NIST Italy Italy USA email: [email protected] email: [email protected] email: [email protected] R. VAHLDIECK, Editor, IEEE Microwave and Wireless Component Letters T. LEE, Web Master

IEEE Offficers LEAH H. JAMIESON, Vice President, Publication Services and Products W. CLEON ANDERSON, President and CEO MARC T. APTER, Vice President, Regional Activities MICHAEL R. LIGHTNER, President-Elect DONALD N. HEIRMAN, President, IEEE Standards Association MOHAMED EL-HAWARY, Secretary JOHN R. VIG, Vice President, Technical Activities JOSEPH V. LILLIE, Treasurer GERARD A. ALPHONSE, President, IEEE-USA ARTHUR W. WINSTON, Past President MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

Executive Staff

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $69.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2005 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2005.855803

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

2465

Time-Domain Cavity Oscillations Supported by a Temporally Dispersive Dielectric Serkan Aksoy, Mariya Antyufeyeva, Erkul Basaran, A. Arif Ergin, and Oleg A. Tretyakov, Member, IEEE

Abstract—Forced time-domain oscillations in a cavity filled with a temporally dispersive polar dielectric are studied. The cavity is bounded by a singly connected closed perfect electric conductor surface of rather arbitrary shape. A given source pumps a signal of finite duration to the cavity. Hence, the principle of causality is involved in the formulation of the problem. The temporal cavity oscillations are obtained as a self-consistent solution to the system of Maxwell’s equations and Debye equation supplemented with appropriate initial conditions. Analytical solution is obtained by using the evolutionary approach to electromagnetics proposed and implemented recently. Temporal oscillations of the cavity modes are studied. Obtained results are compared with the finite-difference time-domain solutions. Index Terms—Causality principle, dielectrics, dispersion, evolutionary approach to electromagnetics (EAE), temporal oscillations, time domain.

I. INTRODUCTION

W

HEN A dielectric is immersed in an electromagnetic , the medium field with the electric strength vector becomes polarized. The field induces the polarization vector , which can be viewed macroscopically as an average volume density of dipole moments of the atoms (or molecules) requires per unit of time. Specification of the relationship solving Newton’s equation of motion [1], [2]. In the general case, the motion equation for is nonlinear. However, if the is small enough in magnitude and if the dielectric vector is homogeneous and time-invariant macroscopically, the motion equation can be linearized and rearranged as

Therefore, conventional constitutive relation for the electric flux can be rewritten as density (2) Inasmuch as the constitutive operator involves the time derivatives via (1), the medium is said to be temporally dispersive [1]. In the motion equation for so-called polar molecules,1 the prevails over the “inertial force” “frictional force” , and the latter may be neglected. Thus, instead of the linearized Newton equation (1), one can operate with its simple approximation known as Debye’s equation (3) where parameter is named as the relaxation time is the static dielectric susceptibility. The difand ferential equation (3) suggests to derive an integral form for the yielding operator (4) Equation (4) is equivalent to Debye’s equation (3). The latter has an algebraic solution as in two particular cases yields and 2) only, i.e.: 1) for a static field, , which yields for a time-harmonic field, (5)

(1) where the coefficients are constants. and are some material constants, which depend on the atomic (or molecular) structure of the medium, is the volume density of the polarized atoms (or molecules), is the free-space permittivity, and and are the unsigned charge and mass of electron, respectively. Position vector in plays the role of a parameter in (1). the argument of Linearity of motion equation (1) suggests to apply the substitution for eliminating from its right-hand side. Manuscript received September 29, 2004; revised February 8, 2005. S. Aksoy, E. Basaran, A. A. Ergin, and O. A. Tretyakov are with the Electronics Engineering Department, Gebze Institute of Technology, 41400 Gebze, Kocaeli, Turkey (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). M. Antyufeyeva is with the Theoretical Radio Physics Department, Kharkov National University, Kharkov 61077, Ukraine (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852784

In both of these cases, we have the algebraic constitutive rela, where . However, installing tion this algebraic form in Maxwell equations seems questionable for time-domain field studies. In this case, the relationship in the constitutive relation (2) should be treated in the operator sense: either in the form of the motion equation or the convolution operator, as in (4). The subject of our study is forced time-domain oscillations in a cavity filled with a temporally dispersive polar dielectric. A given source pumps a signal of finite duration in the cavity. Thus, the principle of causality is involved in the formulation of the problem given in Section II. The temporal cavity oscillations are obtained as a self-consistent solution to the system of Maxwell’s equations and Debye’s equation supplemented with appropriate initial conditions. Analytical solution is obtained 1H O, N , O , O , C, CO, SO , HCl, CH CN, etc. are polar molecules. It is known that human and animal tissues involve water in high doses. Therefore, the tissues should be interpreted as a polar dielectrics in biological studies when interacting with electromagnetic radiation.

0018-9480/$20.00 © 2005 IEEE

2466

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

by using the evolutionary approach to electromagnetics (EAE) proposed in the 1980s and recently implemented [5]–[8]. Main conceptual elements2 of the EAE are given in Section III. Explicit solutions for the temporal oscillations of the solenoidal cavity modes are presented in Section IV. Numerical examples calculated via those explicit formulas are exhibited in Section V. Direct finite-difference time-domain (FDTD) solution to the system of Maxwell’s equations using (4) is obtained as well and presented here for comparison with the analytical solution. The main results are listed and discussed in Section VI.

Thus, we have to solve a Cauchy problem posed by the set of equations (3) and (6)–(10). Solution to this problem should belong to a class of quadratically integrable complex valued vector functions of space and time, which we specify by the condition of a finite electromagnetic-field energy as (11) where gation, and the dot

, the star denotes complex conjudenotes the vector dot product.

II. FORMULATION OF THE PROBLEM bounded by a singly The cavity of interest is a volume connected closed surface with perfect electric conductivity physically and of rather arbitrary form geometrically. The cavity is filled with a homogeneous time-invariant (macroscopically) polar dielectric. Thus, we have to solve the system of Maxwell’s equations

(6)

III. CONCEPTUAL CONSTITUENTS OF THE EAE A. Modal Basic Set Let us introduce a space of six-component vectors solely depending on coordinates. Here and henceforth, denotes column. Let the of the vector three-component constituents satisfy the same boundary conditions as in (7), i.e., . Volumetric integration in (11) suggests to specify the space by an inner product as

with Debye’s equation (3) simultaneously under the boundary conditions over the surface as (7) where is the outward unit vector normal to . Dynamic equations (3) and (6) should be supplemented with the initial condias tions, which we choose at

(12) is a pair of arwhere bitrary vectors from this space. It is evident that (12) specifies a . Hilbert space Left-hand sides of (6) suggest to introduce a matrix differential procedure acting on those vectors as

(8) (13) In (6), given functions of impressed forces of electric kind and magnetic kind are supposed to be known within the volume and the time duration of the signal applied as for

where is the imaginary unit and is a 3 3 zero-valued maas an aggregate of trix. We can introduce also an operator and the boundary conditions that procedure

and

for

(9) and identify the otherwise they are zero. Subscripts sources (9) of the electric and magnetic kinds, respectively. Deand pendencies of the given current densities on the position vector describe a distribution of the sources within the cavity volume . Since the sources does not act be, the solution should satisfy the causality principle fore while

(10)

2The background of the EAE, accompanied by careful referencing the precursors, and designation of area of its possible applicability have been discussed in detail earlier in [6], as well as in [7] and [8]. These aspects are omitted here to avoid self-plagiarism. We perceive in the EAE a new way for development of the time-domain electromagnetics by analytical tools. One can find a draft of a program for movement in this direction in the final section of [6]. Factually, this paper is an implementation of one of the points from that program. In [6] and [7], temporal oscillations in a cavity loaded by a medium have been studied with using algebraic (static) constitutive relations. In this paper, we show how to apply the dynamic constitutive relations in theory of cavity oscillations.

for One

(14)

can

calculate two inner products as and show that their difference holds. is zero, i.e., the identity is Hermitian and the operator Therefore, the operator eigenvalue equation holds as , where ’s and ’s are the eigenvectors are the eigenvalues of corresponding to ’s. This operator equation is equivalent to a familiar boundary eigenvalue problem (15) where is an eigenvalue, as well as having an infinite power of degeneration. When , then and . The eigenvectors are named as solenoidal in that sense.

AKSOY et al.: TIME-DOMAIN CAVITY OSCILLATIONS SUPPORTED BY TEMPORALLY DISPERSIVE DIELECTRIC

A complete set of the normalized solenoidal eigenvectors can be specified via vector boundary eigenvalue problems for the Laplacian as follows:

vector basic set as

2467

can be expanded over the elements of the modal

(20) (16)

where the time-dependent scalar coefficients, which will be referred to as the modal amplitudes, are still unknown. Projecting the initial conditions (8) onto the modal basic set yields the initial conditions for the modal amplitudes from (20) as

(17) where and are the eigenvalues of located on the real axis symmetrically with respect to the . The eigenvectors and correspond to the point and , respectively. eigenvalues When the cavity is a short-circuited piece of a cylindrical waveguide (of arbitrary cross section), (16) specifies a set of TE—(with respect to the waveguide axis) solenoidal modes—and (17) specifies a set of TM—solenoidal modes. The eigenvalues and identify appropriate modes. Helmholtz equations placed in (16) and (17) show that the eigenvalues and have physical dimension (1/s). More accurate analysis brings about a conclusion that and coincide with the circular eigenfrequencies of the modes in the hollow cavity [5]–[7]. is also the eigenvalue of the operator The number with an infinite power of degeneracy. There are two sets of as eigenvectors corresponding to and , where is a three-component zerovalued vector. Inasmuch as , the and are called irrotational. Potentials eigenvectors and are normalized eigensolutions to the scalar boundary eigenvalue problems for the Laplacian

(18)

(21) C. Modal Evolutionary Equations Expansions (20) also have a sense of the projections of the time-domain fields onto the modal basic set. A problem for the time-dependent modal amplitudes from those expansions can be derived via projecting (6) and (3) onto the same basis elements. This procedure should result in a system of differential equations with a time derivative.3 Mathematical technique for this projection procedure was given in [5]. Projecting (3) and (6) furnishes a system of ordinary differential evolution equations. The system of equations for the amplitudes of the solenoidal modes has the following form:

(22) superscript denotes either or . where and are the projections of the current The force terms densities (9) onto the same basis elements

(19) where and are the eigenvalues, and denotes for the derivative normal to the surface . One can find the orthonormality conditions for these eigensolutions and additional mathematical details on the EAE in [5]–[7]. B. Modal Expansions for the Fields as the space of solution for the problem Let’ us choose and the polarization under study. The vectors

(23) 3Inasmuch as the modal basic set has been obtained with keeping @ in Maxwell’s equations. Mathematicians call the differential equations with time derivative as the evolution equations. Solution of an evolution equation shows how a process develops in time (i.e., evolves) from a given initial state to the state at a time of observation.

2468

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Factually, (22) present two systems of the evolutionary equashould be replaced with tions: in the first system, superscript and in the second, it should be replaced with . There is no coupling between these two systems of equations. Physically, it means that all the “primed” and “double-primed” modes from the expansion (20) evolve individually. The same observation , which identifies the also holds with regard to the subscript modes, i.e., every cavity mode evolves individually: no coupling between the modes exist because the medium is homogeneous macroscopically and there are no intermodal transformations. Modal amplitudes of the irrotational modes from (20) obey one more set of the evolution equations as

is the relaxation time [see (3)]. Problem where (27) has an explicit solution in quadratures as

(30) and is the Heaviside funcwhere is a matrix of the same order tion. The exponential is a matrix inverse to as , and (31) can be calculated by an analytical The matrix method of Lagrange interpolation4 as

(24) (32) (25) where

; the force terms are specified as

are the eigenvalues of the matrix , which where should be found out by solving the eigenvalue equation is the 3 3 unit matrix. Factors are some constant matrices specified as

(26)

Note in passing that all the forcing terms (23) and (26) are time dependent. Hence, the amplitudes of the solenoidal and irrotational modes both should be time dependent as the solutions of the evolutionary equations.

(33) The explicit solution (30) satisfies the causality principle. V. NUMERICAL EXAMPLES

IV. TEMPORAL AMPLITUDES OF THE SOLENOIDAL MODES It is convenient to introduce a dimensionless time as via dividing (22), (24), and (25) by the number , which has the dimension of (1/s). The system of (22) can be rearranged in a “vector” form, which gives [with the initial conditions (21)] a Cauchy problem (27) , vector , and its initial value where composed of the modal amplitudes as

A. Preliminaries to Calculations Let us put

in (9) and take (34)

is a free parameter, is the Heaviside function, and the is introduced to provide the physical dimension refactor quired for the force term. Substitution of (34) in (23) yields where the vector factor

are

(35) (28)

The constant matrix coefficient in (27) are specified as

and the vector force term

(29)

where . In order to simplify the discussion, let us concentrate on a single-mode case corresponding to a single eigenvalue, say, . Hence, without loss of generality, we will consider the case when for all , and 4One can find a bibliography on calculation of the matrix exponential (and the other functions with a matrix argument). [Online]. Available: http://math.fullerton.edu/mathews/n2003/matrixexponential/MatrixExponentialBib/Links/MatrixExponentialBib_lnk_2.html.

AKSOY et al.: TIME-DOMAIN CAVITY OSCILLATIONS SUPPORTED BY TEMPORALLY DISPERSIVE DIELECTRIC

2469

for all , but take so that the single “source vector“ in (29) should be read as (36) within , and zero otherwise. Herein, , the is a dimensionless frequency. free parameter We can start calculations using (30). Firstly, it is necessary to solve the characteristic equation of matrix (37) where is the static permittivity and . , the solution of this cubic equation yields one When real eigenvalue of (let it be ) and two complex conjugated ones , where . Solving (37) yields the eigenvalues needed.5 from the solution (30) can be presented in the Vector following form convenient for calculations:

Fig. 1. Normalized amplitude e as a function of the real time t for the empty cavity.

(38) where

are those constant matrices (33), and

(39) When

, the elements

are given by

(40) , , and . Inasmuch as is a free parameter, one . It defines a condition of resonance may chose it to yield as where

(41) and usually. Thus, is the product because of the hollow cavity and the of the circular eigenfrequency reducing factor ( always), which appears due to loading of the cavity by the polar dielectric. Thus, one can substitute (40) into (38), then substitute the obtained result into (30), and and [see (28)]. The start calculations for ongoing choice of the source amplitudes [specified in (34)–(37)] physically means that we operate with the simplest one-modal decomposition for the solenoidal part of the field (20) as

(42)

p 0

5When the parameter  is large enough in magnitude, then the element Q is negligible in the matrix Q; it yields  = 1=; a =  =2; b = 1 a . There are many cases when numerical solutions to (37) are close to the eigenvalues calculated by these simple formulas.

Fig. 2. Normalized amplitude e (solid) versus h (dots) as functions of the real time t for the empty cavity.

Range of validity for this procedure is restricted by the linearization of the motion equation adopted in Section I. B. Numerical Results Firstly, the calculations are performed for an empty cavity chosen as a cube of size length 5 10 m. Resonant oscillations in the empty cavity are excited by a sinusoidal signal during the s. The analysis is carried interval mode. The eigenfrequency of this mode for out for the the cavity is equal to 0.42397505683 10 Hz. Fig. 1 shows as a function the normalized electric-field modal amplitude of time in the empty cavity for resonance case. Fig. 2 shows the and both for comparison in a shorter modal amplitudes time interval to make the picture distinguishable. The calculations are performed for the same cavity filled with s, a polar dielectric with parameters which correspond to water [4].

2470

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 3. Normalized amplitude e as a function of the real time t for the filled cavity.

Fig. 5. Normalized amplitude p as a function of the real time t for the filled cavity.

to presence of all the possible cavity modes in the FDTD simulation while, here, the EAE assumes a single-mode approximation (42). VI. MAIN RESULTS AND COMMENTS

Fig. 4. Normalized amplitude h as a function of the real time t for the filled cavity.

Resonant oscillations in the filled cavity are excited by a sinusoidal signal during the time interval s. Resonant frequency of the filled cavity for the mode is found by (41) as equal to 0.4181152573 10 Hz. The field calculations of the filled cavity are performed analytically with (30); the results are presented in Figs. 3–5 denoted as solid lines. Later the similar calculations are repeated by the FDTD method with the same parameters, the results of which are presented by the dotted lines in Figs. 3 and 4 for comparison and verification. The details of the FDTD method used for dispersive material can be found in [3] and [4]. Specifically, the cavity is modeled with a Yee grid of 1000 cells (ten cells per linear dimension), and s. The total number the time step size is taken as 8.66 10 of time steps used is chosen as 576. It is seen that the results of both methods are in excellent agreement. There is a slight deviation between the results at the time instants when the source is switched on and off. This is due

In this paper, a new problem on time-domain electromagnetics has been formulated and solved analytically and numerically. The distinctive peculiarities in the formulation of the problem are as follows. • Compatible system of Maxwell’s equations and Debye’s equation (a truncated version of the motion equation for the polarization vector ) are chosen for the analysis of the time-domain oscillations in a cavity filled with a polar temporally dispersive dielectric. This system is supplemented with the initial conditions. • Maxwell’s equations involve some given functions of impressed forces, which excite the cavity with signals of finite duration. Therefore, the principle of causality is involved in the formulation of the problem as well. The problem has been solved by using the EAE, which is aimed to cover a wide class of new problems in time-domain electromagnetics. Distinctive features of the EAE read as follows. • A modal basis (a complete set of spatial vector functions that physically correspond to the solenoidal and irrotational cavity modes) is obtained with keeping the time derivatives in the Maxwell’s equations. • The electromagnetic-field vectors are presented as the projections upon the modal basis. The resulting expressions for the fields appear as decompositions in terms of cavity modes with time-dependent modal amplitudes. • Maxwell’s equations and Debye’s equation have been projected upon the mentioned modal basis as well. This procedure yields a system of evolutionary (i.e., with time derivatives) ordinary differential equations for the modal amplitudes supplemented by appropriate initial conditions (a Cauchy problem).

AKSOY et al.: TIME-DOMAIN CAVITY OSCILLATIONS SUPPORTED BY TEMPORALLY DISPERSIVE DIELECTRIC

• The evolution equations exhibit that all the cavity modes evolve individually under the influence of the applied signal. It is noteworthy that the modal amplitudes of the irrotational modes vary in time as well. However, the time–harmonic field concept interprets the irrotational modes as some static fields. • The evolution equations have been solved analytically. Hence, the explicit solutions for the temporal oscillations in the cavity filled with the temporally dispersive polar dielectric have been obtained. The comparison of these results with the FDTD solution shows excellent agreement.

2471

Erkul Basaran was born on September 28, 1977, in Konya, Turkey. He received the B.S. degree in electrical and electronics engineering from Selcuk University, Kocaeli, Turkey, in 2000, the M.S. degree in electronics engineering from the Gebze Institute of Technology (G.I.T.), Gebze, Kocaeli, Turkey, in 2002, and is currently working toward the Ph.D. degree at G.I.T. He is currently a Research Assistant with the Electronics Engineering Department, G.I.T. He is interested in the numerical analysis and simulation of electromagnetic-wave phenomena.

REFERENCES [1] E. J. Rothwell and M. J. Cloud, Electromagnetics. New York: CRC, 2001. [2] H. F. Harmuth, Electromagnetic Transients Not Explained by Maxwell’s Equations, Essays on the Formal Aspects of Electromagnetic Theory, A. Lakhtakia, Ed. Singapore: World Sci., 1993. [3] K. S. Kunz and R. Luebbers, The Finite Difference Time Domain Method for Electromagnetics. Boca Raton, FL: CRC, 1993. [4] R. Luebbers, F. P. Hunsberger, K. S. Kunz, R. B. Standler, and M. Schneider, “A frequency-dependent finite-difference time-domain for dispersive materials,” IEEE Trans. Electromagn. Comput., vol. 32, no. 3, pp. 222–227, Aug. 1990. [5] O. A. Tretyakov, “Essentials of nonstationary and nonlinear electromagnetic field theory,” in Analytical and Numerical Methods in Electromagnetic Wave Theory, M. Hashimoto, M. Idemen, and O. A. Tretyakov, Eds. Tokyo, Japan: Sci. House, 1993, ch. 3. [6] S. Aksoy and O. A. Tretyakov, “The evolution equations in study of the cavity oscillations excited by a digital signal,” IEEE Trans. Antennas Propag., vol. 52, no. 1, pp. 263–270, Jan. 2004. , “Study of a time variant cavity system,” J. Electromagn. Waves [7] Applicat., vol. 16, no. 11, pp. 1535–1553, 2002. [8] , “Evolution equation for study of digital signals in waveguides,” J. Electromagn. Waves Applicat., vol. 17, no. 12, pp. 1665–1682, 2003.

Serkan Aksoy was born on May 22, 1974, in Bolu, Turkey. He received the B.S. degree in electronics and communication engineering from Istanbul Technical University, Istanbul, Turkey, in 1996, and the M.S. and Ph.D. degrees in electronics engineering from the Gebze Institute of Technology (G.I.T.), Gebze, Kocaeli, Turkey, in 1999 and 2003, respectively. He has been with the Electronics Engineering, Department, G.I.T., and a Part-Time Researcher involved in various projects of The Scientific and Technical Research Council of Turkey (TUBITAK). His scientific interest is analytical time-domain analysis in cavities and waveguides.

Mariya Antyufeyeva was born in Kharkov, Ukraine, in 1977. She received the M.S. degree in radio physics from Kharkov National University, Kharkov, Ukraine, in 1999, and is currently working toward the Ph.D. degree in theoretical radio physics at Kharkov National University. Since 1999, she has been with the Theoretical Radio Physics Department, Kharkov National University. Her current research interests are analytical solutions of electromagnetic time-domain problems by the EAE and temporal oscillations in cavities filled with nonstationary and dispersive media.

A. Arif Ergin was born in Ankara, Turkey, in 1970. He received the B.S. degree in electrical and electronics engineering from the Middle East Technical University, Ankara, Turkey, in 1992, and the M.S. and Ph.D. degrees in electrical and computer engineering from the University of Illinois at Urbana-Champaign, in 1995 and 2000, respectively. Until mid-1993, he was with ASELSAN Military Electronics Inc. Since 2000, he has been with the Electronics Engineering Department, Gebze Institute of Technology (G.I.T.), Gebze, Kocaeli, Turkey. He has also assumed part-time positions on various projects with the Marmara Research Center of The Scientific and Technical Research Council of Turkey (TUBITAK). He is interested in the numerical analysis and simulation of electromagnetic-wave phenomena. Dr. Ergin is a member of Phi Kappa Phi.

Oleg A. Tretyakov (M’92) was born on February 4, 1938, in Artyomovsky, Ukraine. He received the M.S., Candidate of Science degree (Ph.D. equivalent) in radio physics, and Doctor of Science degree in physics and mathematics from the National University of Kharkov, Kharkov, Ukraine, in 1960, 1964, and 1973, respectively. He is currently a Visiting Professor Doctor with the Gebze Institute of Technology (G.I.T.), Gebze, Kocaeli, Turkey. From 1993 to 2002, he was Co-Editor of the International Monograph Series on Advanced Electromagnetics (Tokyo, Japan: Science House Company Ltd., Tokyo, Japan). He is Vice-Editor-in-Chief of Radio Physics and Radio Astronomy (Kharkov, Ukraine). He is a member of the Editorial Board of Electromagnetics. His research areas are plane-wave and wave beam diffraction, wave scattering from rough surfaces and wave propagation in random media, linear and regular nonlinear phenomena, and dynamic chaos in microwave oscillators and amplifiers, time-domain electromagnetics. Dr. Tretyakov has served on numerous Technical Program Committees and Steering Committees of international conferences (2001 URSI International Symposium on Electromagnetic Theory, Mathematical Methods in Electromagnetic Theory, etc.). He is chairman of Commission B (Fields and Waves) of the National URSI Committee of the Ukraine. He was the recipient of the Soros Professor Certificate and Grant presented by the International Soros Science Eduation Program (ISSEP) Foundation in 1995.

2472

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Microwave Characterization and Modeling of High Aspect Ratio Through-Wafer Interconnect Vias in Silicon Substrates Lydia Lap Wai Leung, Student Member, IEEE, and Kevin J. Chen, Member, IEEE

Abstract—In this paper, we present the detailed fabrication process, high-frequency characterization, and modeling of through-wafer copper-filled vias ranging from 50- to 70- m-in diameter on 400- m-thick silicon substrates. The high aspect ratio via-holes were fabricated by carefully optimizing the inductively coupled plasma deep reactive ion etching process. The high aspect ratio via-holes are completely filled with copper using a bottom-up electroplating approach. The fabricated vias were characterized using different resonating structures based on which the inductance and resistance of the filled via-holes are extracted. For a single 70- m via, the inductance and resistance are measured to be 254 pH and 0.1 , respectively. In addition, the effect of the physical arrangement and distribution in multiple-via configurations on the resulting inductance is also evaluated with double straightly aligned quadruple and diagonally aligned quadruple vias. Physical mechanisms of the dependence was depicted by electromagnetic simulation. An equivalent-circuit model is proposed and model parameters are extracted to provide good agreement.



Index Terms—Microelectromechanical systems (MEMS), RF packaging, silicon substrate, through-wafer interconnects (TWIs), via-holes.

I. INTRODUCTION

A

S THE demand for high-performance low-cost RF/microwave systems increases, there is a strong interest in pursuing low-cost interconnect and packaging solutions that can deliver low resistance, low inductance, and low capacitance. Through-wafer interconnect (TWI) vias have recently attracted a great deal of interest owing to their high density and versatile applications. Small-size TWI vias, which can be closely packed, are needed for high density, reliable packaging, and testing of current and future systems including RF microelectromechanical systems (MEMS) [1]. From the high-frequency circuit point-of-view, the TWI vias can be used to replace bond wires that add parasitics to the overall circuitry operating at RF. The wire bonding process is not a batch process and counts for a significant portion of the back-end production cost. The development of the TWI technology has picked up speed lately with the advancement of the deep dry etching techniques for silicon substrates [2]. Via-holes with an Manuscript received May 28, 2004; revised February 7, 2005. This work was supported in part by the Institute of Integrated Micro-Systems and by the Research Grant Council of Hong Kong Government under Grant HKUST I2MS01/02.EG05 and Grant HKUST6173/02E. The authors are with the Department of Electrical and Electronic Engineering, Hong Kong University of Science and Technology, Hong Kong (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852782

aspect ratio as high as 50 have been demonstrated on silicon substrates. The most challenging task in TWI fabrication is to fill up the high aspect ratio via-holes with void-free highly conductive materials. Recent reports on TWI via-holes include attempts to fill the via-holes partially by a metal coating layer [3] or fill the via-holes by polysilicon [4], [5], both of which deliver moderate electrical conductivity. Another recent study attempted to fill the via-holes with Au–Sn solder using a molten metal suction method [6]. By thinning down the silicon substrate, before or after the etching of the high aspect ratio via-holes, the difficulty in metal filling of the vias can be eased [7]–[9] and vias filled with copper with an aspect ratio of 14 has been achieved on a 100- m-thick wafer [8]. Moreover, sacrificial wafers have to be used to facilitate the electroplating process [7], [10]. In this paper, we report the first successful demonstration of copper-filled via-holes in a 400- m-thick (standard for CMOS technology) silicon substrate using bottom-up electroplating [11]. Our motivation of fabricating TWI via-holes in a thick silicon substrate are twofold. First, thicker substrate favors certain microwave components, e.g., patch antennas for wider bandwidth and microstrip lines for wider linewidth that produces lower resistance. The vias here are used to provide signal feeding or shorting paths. Second, wafer thinning is normally the final step before wafer dicing and the thinned wafers are normally too fragile to be allowed back to the standard fabrication line. A thicker wafer allows additional processes after the via-hole formation. Furthermore, via-holes may be blocked by the particles generated during the thinning process and, hence, the overall yield of the process will be lowered. TWI via-holes with a diameter ranging from 50 to 70 m filled with copper were fabricated. Different resonant structures are designed to characterize the resistance and inductance of the vias at microwave frequencies. The effect of the physical arrangement and distribution of multiple vias on the shorting efficiency is discussed. Moreover, an equivalent-circuit model, which can simply be incorporated into circuit simulation, is presented for the TWI vias. II. FABRICATION OF THROUGH-WAFER VIA-HOLES The complete fabrication process of the TWI vias is shown in Fig. 1. The formation of TWI via-holes requires the complete removal of silicon in the via-hole region. This can be achieved by the inductively coupled plasma deep reactive ion etching (ICP DRIE). A 3- m low-temperature oxide (LTO) layer was formed

0018-9480/$20.00 © 2005 IEEE

LEUNG AND CHEN: MICROWAVE CHARACTERIZATION AND MODELING OF HIGH ASPECT RATIO TWI VIAS

Fig. 2.

2473

Testing structures. (a) Via-hole array. (b) Simple short. (c) T-resonator.

Fig. 3. SEM image of the 50-m-diameter via-holes through a 400-m-thick silicon substrate after 300-min ICP etching. TABLE I ETCHING RATE OF THE VIA-HOLES WITH DIFFERENT DIMENSION Fig. 1.

Fabrication process of the TWI vias.

on the front side of 4-in-high resistivity (3000 cm) silicon wafers with thickness of 400 m as the etching mask for ICP DRIE. To avoid the etching of the chuck that was exposed in the through holes, a thin layer of oxide was deposited on the backside of the wafers for protection and a dummy wafer was placed between the processed wafer and chuck. The thin oxide can also be utilized to alleviate the notching effect at the end of the etch-through process. The ICP process comprises alternative etching SF and passivation C F steps. The LTO has a good selectivity of 1 : 300 with the silicon during the etching process. Via-holes with diameters of 40, 50, 60, and 70 m have successfully been etched through on the 400- m-thick wafer by carefully controlling and performing the alternative processes for 300 min. For the purpose of examining the etching and electroplating process, via-hole arrays with different diameters were built as one of the testing structures, as shown in Fig. 2(a). Fig. 3 shows the scanning electron microscope (SEM) image of the cross section of the 50- m via-holes array that has been etched through the wafer. It should be noted that the “notches” were formed at the bottom of the larger via-holes where the etching rate was faster. Table I summarizes the etching rate for via-holes with different diameter size. The larger the exposed area, the faster the etch rate. When via-holes with different diameters are etched at the same time, the larger holes are etched through first and continuation of the etching process introduces the “notches” at the bottom of the via-holes. Hence, it is suggested that via-holes with the same diameter size are designed for the same etching run to minimize the notching effect at the

bottom of the larger via-holes. After the ICP etching, the oxide at the backside of the wafer was removed using buffer oxide etch (BOE) to ensure complete opening of the via-holes. To avoid the diffusion of copper into the silicon substrate, a thin layer of PECVD silicon nitride, which has good conformity, was deposited. Before filling the via-holes by electroplating, the backside of the wafer was sputtered with a thin layer of TiW (300 ) and copper (3000 ) seed. During the early stage of the electroplating process, the copper electroplating started from the backside of the wafer. The bottom of the via-holes was blocked by the electroplated copper, after which the holes got filled up from the bottom to the top. The side and top views of the half-filled 50- m via-holes array are shown in Fig. 4(a) and (b), respectively. Agitation is needed to ensure uniform plating and to replenish the high aspect ratio via-holes with Cu ions. A dc current density of 15 mA/cm was used throughout the process. Fig. 5 shows an SEM image of the completely filled 70- m via-holes after 3 h of electroplating. The image clearly shows that there is no void inside the via-holes and the copper inside the via-holes was uniformly formed. To characterize the impedance of the vias at microwave frequencies, testing structures incorporating transmission lines and vias shown in Fig. 2(b) and (c) were designed and fabricated. The microstrip transmission lines using thick copper were built

2474

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 4. (a) Side and (b) top views of the 50-m via-holes with the bottom blocked and the holes half filled.

Fig. 7. Structures used for the deembedding. (a) Open pad. (b) Simple short with a 50- m testing via. (a)

(b)

Fig. 5. SEM image of the 70-m via array fully filled with copper after 3-h electroplating. (a) Top view. (b) Side view.

Fig. 8. Via arrangement under test. (a) Single via. (b) Double vias. (c) Quadruple vias (diagonally). (d) Quadruple vias (straightly).

sputtered on the backside was deposited on the front side and was patterned using thick photoresist (12 m). Deep slots with the shape of the microstrip lines were formed on the thick photoresist. In these slots [12], 4- m copper was deposited in a self-aligned fashion using electroplating, and its thickness can be controlled by the electroplating time. Finally, the photoresist and TiW/Cu seed layers were removed by chemical stripping and etching. III. MICROWAVE CHARACTERIZATION OF THE TWI VIAS Microstrip lines with a linewidth of 343 m were fabricated. On-wafer -parameters were measured from 100 MHz to 20 GHz using an Agilent 8722ES network analyzer and Cascade microwave ground–signal–ground (GSG) probes. A. Deembedding Method

Fig. 6. (a) Illustration of the current path from the testing via to the ground. (b) Equivalent circuit model of the simple short structure before deembedding.

on the front side of the wafer. A planarization step must be done on the front side of the wafer to remove any metal overfills of the via-holes, which adversely affect the photolithography patterning. A TiW/Cu seed layer with the same thickness as that

The signal path and corresponding equivalent-circuit model of the simple short structure used for the characterization of the through-wafer via over a wide frequency range are shown in Fig. 6(a) and (b) respectively. The signal-to-ground path consists of the probing pad, the vias under test, the ground plane, and the vias on the pads that connect the ground plane to the ground pads. In order to accurately extract the impedance of the through-wafer vias under testing, the coupling capacitance between the signal and ground pads ( ) and the parasitics of

LEUNG AND CHEN: MICROWAVE CHARACTERIZATION AND MODELING OF HIGH ASPECT RATIO TWI VIAS

2475

TABLE II SUMMARY

OF THE MEASURED INDUCTANCE AND RESISTANCE OF THE VIAS WITH DIFFERENT DIAMETERS AT 2 GHz

Fig. 10. Measured resistance of the one-port test structure with: (a) one via and (b) four vias straightly aligned on the 400-m HRS with different diameter.

testing via, which is the same as that in the ground pads, is used. The parasitics of the pad via is estimated as . A single 50- m via is used to provide a signal return path from the ground plane (backside of the wafer) to the ground pads for all the testing structures in this study. Hence, the complete deembedding procedures are as follows. • Open-pad deembedding Fig. 9. Measured inductance of the one-port test structure with: (a) one via and (b) four vias straightly aligned on the 400-m high-resistivity silicon with different diameter.

the through-wafer vias in the ground pads ( ) must be removed. The coupling capacitance ( ) can be deembedded by subtracting the -parameters of an open pad, as shown in Fig. 7(a), from the measured admittance , where is the measured -parameter of the testing is the measured -parameter of the open structure and pad. To estimate the parasitic impedance of the ground pad vias, a simple short structure, as shown in Fig. 7(b) with a 50- m

• Ground pad vias deembedding

B. TWI Vias Impedance The vias impedance was characterized [13] using the simple shorts and half-wavelength T-resonator structures, as shown in Fig. 2(b) and (c), respectively. In the simple short configuraare taken as the tion, the real and imaginary parts of

2476

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 11. Simulated charge density in the vias at resonant frequency of the T-resonator. (a) Single via. (b) Double vias. (c) Quadruple vias (diagonally). (d) Quadruple vias (straightly).

resistance and reactance of the vias, respectively, after deembedding. The configuration shown in Fig. 2(c) occupies a larger chip area, but delivers higher accuracy, and is used to investigate the effect of via arrangement, as shown in Fig. 8. Single via and closely coupled multiple (double and quadruple) vias were fabricated and tested. The measured resistance and inductance of the single via and quadruple vias at 2 GHz are summarized in Table II. The frequency dependences of the inductance and resistance from 0.5 to 20 GHz are shown in Figs. 9 and 10, respectively. As the diameter becomes larger, both the inductance and resistance are reduced. Furthermore, the inductance and resistance of the large vias are less dependent on the frequency, as the parasitic effect is relatively smaller. The inductance and resistance of the quadruple vias are reduced compared to the single via, but not as much as four times smaller. This is a direct result of mutual coupling and the proximity effect, which is further proven by examining the charge density at the vias at the resonant frequency of the T-resonator. The charge distribution in the vias with different configurations was calculated using the full-wave simulation tool Sonnet based on the method of moments (MoM) and is plotted in Fig. 11. It can be clearly observed that at the coupling sides of the vias, the charge density is the minimum as a result of the proximity effect. In addition, at high frequencies, the current is concentrated along the sidewalls of the vias due to skin effect. Hence, the resistance of the vias increases with frequency up to 20 GHz, after which the resistance starts decreasing as the substrate coupling effect starts to offer additional current paths. C. Effect of Vias Distribution Normally, multiple parallel vias are required to provide low-resistance interconnects. However, they are different from dc and low-frequency cases, where the total resistance is simply scaled by the number of vias. The microwave frequency

Fig. 12. Measured: (a) inductance and (b) resistance of the 70-m vias with different arrangement.

characteristics of multiple parallel vias strongly depend on the physical distribution or arrangement of these vias [14]. The measured inductance and resistance of the 70- m vias with arrangement, as shown in Fig. 8, are compared in Fig. 12(a) and (b), respectively. It is obvious that, as the number of vias increases, the resultant inductance and resistance decrease. Also, the inductance of the straightly aligned quadruple vias is smaller than that of the diagonally aligned quadruple vias. This is due to the nonuniform current distribution in the microstrip-via transition. The current is concentrated near the leading edge of the vias. As a result, the two leading vias in the diagonally aligned quadruple configuration contribute most to the current conduction. The straightly aligned quadruple configuration favors the efficient usage of the four vias and exhibits the smallest resistance and inductance. The full-wave simulation tool Sonnet is used to evaluate the current distribution in different vias. It can be recognized from Fig. 13 that the more the number of vias along the edge, the smaller the amount of current flows along the shorting edge, which is a good indicator of the shorting efficiency. Also, the

LEUNG AND CHEN: MICROWAVE CHARACTERIZATION AND MODELING OF HIGH ASPECT RATIO TWI VIAS

2477

Fig. 13. Simulated current distribution along the shorting edge of the T-resonator at resonant frequency. (a) Single via. (b) Double vias. (c) Quadruple vias (diagonally). (d) Quadruple vias (straightly) (dashed circle in (c) and (d) are added to outline the boundaries of the via-holes for clarity).

current density at the shorting edges of the straightly aligned quadruple vias is the minimum among the four configurations shown in Fig. 8. It can be concluded that arranging the vias in a straight line perpendicular to the current flow is the most effective way of shorting RF/microwave signals to the ground, which coincides with the results that we observed in the resonant frequency of the T-resonator. Moreover, the two leading vias in the diagonally aligned quadruple configuration contribute most to the current conduction. The measured input impedance of the simple short structures is compared with the simulation results from the full-wave simulator Sonnet, as shown in Fig. 14. The real part of the measured input impedance is much higher than the simulated one at high frequency. This is due to the fact that, at high frequency ( 5 GHz), the skin depth becomes less than 1 m. However, the mesh used in the simulation is larger than 1 m in order to save memory and time. Hence, the increase in resistance cannot be captured at very high frequency. Also, the effect of the semiconducting Si substrate at high frequency is not fully reflected in electromagnetic (EM) simulation. The imaginary part of the measured input impedance agrees well with the simulated one up to 10 GHz, as the imaginary part is less dependent on the skin effect and substrate conductivity. The T-resonator structure shown in Fig. 2(c) was used to provide accurate characterization on the distribution effect of the vias. In this structure, both ends of the half-wavelength transmission lines are connected to the ground through TWI vias. A short-circuited resonator will resonate when the electrical length . The resonant frequency is the freof each microstrip arm is quency at which the magnitude of the reflection coefficient is minimized. If vias are used to short the resonator, the via inwill lengthen the apparent length of the resonator ductance [13] and can be estimated as by

Fig. 14.

Comparison of the simulated and measured input impedance of the simple short structure (solid line: model, symbol: measurement).

Z

where is the characteristics impedance of the line (50 ) and is the propagation velocity. The vertical microstrip shown in Fig. 2(c) serves as the signal feeding line and the signal is coupled to the resonator arms through the capacitive gap at the midpoint of the horizontal microstrip. The resonant frequency of a perfectly shorted T-resonator is 10 GHz. The simulated and measured return loss of the T-resonator with a different via shorting arrangement are shown in Figs. 15 and 16. There is a good agreement between the simulated and measured resonate frequency, which depends on the parasitic inductance introduced by the TWI vias. In the presence of the inductance of the vias, the resonant frequency of the structure is lowered. It can be observed that the straightly aligned quadruple configuration exhibits the lowest inductance and introduces the smallest shift in resonant frequency, whereas the single via provides the highest inductance and largest frequency shift. D. Modeling of the TWI Vias As frequency increases, the parasitic effect introduced by the TWI vias becomes more prominent and the effect must

2478

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

TABLE III EXTRACTED LUMPED ELEMENT FOR THE TWI VIAS

Fig. 15. Simulated return loss of the 10-GHz T-resonator with different via arrangement as a function of frequency from EM simulator IE3D.

Fig. 16. Measured return loss of the 10-GHz T-resonator with different via arrangement as a function of frequency.

Fig. 17. Equivalent-circuit model for the TWI via.

be taken into consideration during the design process. While the full-wave EM simulations can give guidelines on field distribution, it is still a challenging task to incorporate them to circuit simulations due to long computing time, which is a stringent requirement for the setup of boundary conditions. As a result, a compact equivalent-circuit model, which can be easily incorporated into circuit simulation, as shown in Fig. 17, has been derived for the TWI vias. The TWI via is and modeled by the frequency-dependent inductance (representing the the frequency-dependent resistance (representing the finite conductivity of the metal) and magnetic coupling).

Fig. 18. Comparison between the: (a) real and (b) imaginary parts of the 70-m TWI vias deduced from the measurement and equivalent-circuit model (solid line: model, symbol: measurement).

Due to skin effect, the current penetration into the conductors varies with frequency. When the frequency is high enough such that the skin depth is smaller than the radius of the circular via-holes, the current starts to distribute unevenly and becomes crowded at the surface of the conductor. Hence, the resistance increases with frequency and is approximated as [15]

where

is the resistance of the via(s) at 500 MHz.

LEUNG AND CHEN: MICROWAVE CHARACTERIZATION AND MODELING OF HIGH ASPECT RATIO TWI VIAS

The inductance decreases with frequency [16], [17] as a result of skin effect and is approximated as

where is the inductance at 500 MHz and and are . obtained by fitting the measured m As frequency increases, the current induced in the substrate , which, in increases with frequency and is modeled by turn, decreases with frequency and is modeled as

where is obtained by fitting the measured . All extracted parameters are summarized in Table III. A good agreement between both the real and imaginary parts deduced from the measurement results and the equivalent-circuit model is shown in Fig. 18. IV. CONCLUSION In this paper, we have demonstrated the use of ICP etching and copper electroplating technology to achieve high aspect ratio TWI via-holes on a silicon wafer with standard CMOS substrate thickness. A method for wide-band microwave characterization of the TWIs is developed. A 70- m through-wafer has via with inductance of 254 pH and resistance of 0.1 been achieved. In addition, the effect of via arrangement has been investigated. Low-parasitic shorting can be obtained by placing multiple vias along the edge of the microstrip. Owing to the small size and low parasitics, the vias can be widely used for high-density packaging for MEMS device testing and RF applications. In consideration of the parasitic effect of the TWI vias at higher frequency, an equivalent-circuit model, which can be simply incorporated into common circuit simulation, has been presented.

2479

[6] S. Yamamoto, K. Itoi, T. Suemasu, and T. Takizawa, “Si through-hole interconnections filled with Au–Sn solder by molten metal suction method,” in Proc. IEEE 16th Int. Microelectromechanical Systems Conf., Kyoto, Japan, Jan. 2003, pp. 642–645. [7] N. T. Nguyen, E. Boellaard, N. P. Pham, V. G. Kutchokov, G. Craciun, and P. M. Sarro, “Through-wafer copper electroplating for three-dimensional interconnects,” J. Micromech. Microeng., vol. 12, pp. 395–399, Jun. 2002. [8] J. H. Wu, J. A. del Alamo, and K. A. Jenkins, “A high aspect-ratio silicon substrate-via technology and applications: Through-wafer interconnects for power and ground and faraday cages for SOC isolation,” in Int. Electronic Devices Meeting Tech. Dig., San Francisco, CA, Dec. 2000, pp. 477–480. [9] L. Wang, A. Nichelatti, H. Schellevis, C. de Boer, C. Visser, T. N. Nguyen, and P. M. Sarro, “High aspect ratio through-wafer interconnections for 3-D microsystems,” in Proc. IEEE 16th Int. Microelectromechanical Systems Conf., Kyoto, Japan, Jan. 2003, pp. 634–637. [10] R. Uscola and M. Tutt, “Determining the inductance of a through-substrate via using multiple on-wafer test approaches,” in Proc. Int. Microelectronic Test Structures Conf., Hyogo, Japan, Mar. 2001, pp. 147–151. [11] L. L. W. Leung and K. J. Chen, “Microwave characterization of high aspect ratio through-wafer interconnect vias in silicon substrates,” in IEEE MTT-S Int. Microwave Symp. Dig., Fort Worth, TX, 2004, pp. 1197–1200. [12] L. L. W. Leung, W. C. Hon, and K. J. Chen, “Low-loss coplanar waveguides interconnects on low-resistivity silicon substrate,” IEEE Trans. Compon., Packag., Manuf. Technol., vol. 27, no. 3, pp. 507–512, Sep. 2004. [13] C. S. Premachandran, R. Nagarajan, C. Yu, Z. Xiolin, and C. S. Choong, “A novel electrically conductive wafer through hole filled vias interconnect for 3-D MEMS packaging,” in Proc. 53th Electronic Components Technology Conf., New Orleans, LA, 2003, pp. 627–630. [14] D. G. Swanson and W. J. R. Hoefer, Microwave Circuit Modeling Using Electromagnetic Field Simulation, 1st ed. Boston, MA: Artech House, 2003. [15] M. E. Goldfarb and R. A. Pucel, “Modeling via-hole grounds in microstrip,” IEEE Microw. Guided Wave Lett., vol. 1, no. 6, pp. 135–137, Jun. 1991. [16] A. R. Djordjevic, T. K. Sarkar, and S. M. Rao, “Analysis of finite conductivity cylindrical conductors excited by axially independent TM electromagnetic field,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 10, pp. 960–966, Oct. 1985. [17] G. I. Costache, M. W. Nemes, and E. M. Petriu, “Finite element method analysis of the influence of the skin effect, proximity, and eddy currents on the internal magnetic field and impedance of a cylindrical conductor of arbitrary cross section,” in Proc. Can. Electrical and Computer Engineering Conf., Quebec, QC, Canada, Sep. 1995, pp. 253–256.

REFERENCES [1] R. Ramesham and R. Ghaffarian, “Challenges in interconnect and packaging of microelectromechanical systems (MEMS),” in Proc. 50th Electronic Components Technology Conf., Piscataway, NJ, May 2000, pp. 666–675. [2] S. J. Ok, C. Kim, and D. Baldwin, “High density, high aspect-ratio through-wafer electrical interconnects vias for MEMS packaging,” IEEE Trans. Compon., Packag., Manuf. Technol. B, vol. 26, no. 3, pp. 302–309, Aug. 2003. [3] K. M. Strohm, P. Nuechter, C. N. Rheinfelder, and R. Guehl, “Via-hole technology for microstrip transmission lines and passive elements on high resistivity silicon,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, 1999, pp. 581–584. [4] C. H. Cheng, A. S. Ergun, and B. T. Khuri-Yakub, “Electrical throughwafer interconnects with sub-picofarad parasitic capacitance,” in Microelectromechanical Systems Conf., Interlaken, Switzerland, Aug. 2001, pp. 18–21. [5] E. M. Chow, V. C. Chandrasekaran, A. Partridge, T. Nishida, M. Sheplak, C. F. Quate, and T. W. Kenny, “Process compatible polysilicon-based electrical through-wafer interconnects in silicon substrate,” J. Microelectromech. Syst., vol. 11, no. 6, pp. 631–640, Dec. 2002.

Lydia Lap Wai Leung (S’01) received the B.Eng. (with first-class honor), M.Phil., and Ph.D. degrees in electrical and electronic engineering from the Hong Kong University of Science and Technology (HKUST), Hong Kong, in 1998, 2000, and 2005, respectively. From 2000 to 2001, she was a Project Engineer with a digital audio research and development company, where she was engaged in protocol design and implementation of a 2.4-GHz wireless audio broadcasting system. She is currently a Research Associate with the Wireless Communication Laboratory, Department of Electrical and Electronic Engineering, HKUST, where she is involved with the realization of on-chip metamaterials and the investigation of the response of biomaterials to microwaves. Her research interests include design, fabrication, characterization and modeling of on-chip and board-level microwave/RF components, realization of high-performance and novel on-chip passives and metamaterials using the state-of-the-art micromachining technology, RF packaging and MEMS technologies.

2480

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Kevin J. Chen (M’96) received the B.S. degree in electronics from Peking University, Beijing, China, in 1988, and the Ph.D. degree from the University of Maryland at College Park, in 1993. From January 1994 to December 1995, he was a Research Fellow with National Telephone and Telegraph (NTT) LSI Laboratories, Atsugi, Japan, where he was engaged in the research and development of functional quantum effect devices and heterojunction field-effect transistors (HFETs). In particular, he developed the device technology for monolithic integration of resonant tunneling diodes and HFETs [metal–semiconductor fieldeffect transistor (MISFET) and high electron-mobility transistor (HEMT)] on both GaAs and InP substrates for applications in ultrahigh-speed signal processing and communication systems. He also developed the Pt-based buried gate technology that is widely used in enhancement-mode HEMT devices. From 1996 to 1998, he was an Assistant Professor with the Department of Electronic Engineering, City University of Hong Kong, where he performed research on high-speed device and circuit simulations. In 1999, he joined the Wireless Semiconductor Division, Agilent Technologies Inc. (formerly the Hewlett-Packard Company), Santa Clara, CA, where he was involved with enhancement-mode pseudomorphic high electron-mobility transistor (pHEMT) RF power amplifiers used in dual-band global system for mobile communications (GSM)/digital cellular system (DCS) wireless handsets. His research with Agilent Technologies Inc. has covered RF characterization and modeling of microwave transistors, RF integrated circuits (ICs), and package design. In November 2000, he joined the Department of Electrical and Electronic Engineering, Hong Kong University of Science and Technology, Hong Kong. His research interests include fabrication, characterization, and modeling techniques of novel RF/microwave devices, RF/microwave power amplifiers, RF packaging technologies and MEMS.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

2481

An Adaptive Feedforward Amplifier Under “Maximum Output” Control Method for UMTS Downlink Transmitters Jon Legarda, Jorge Presa, Erik Hernández, Héctor Solar, Jaizki Mendizabal, and José A. Peñaranda

Abstract—An adaptive feedforward amplifier is implemented for a Universal Mobile Telecommunication System (UMTS) downlink channel (2110–2170 MHz). An exhaustive characterization of the space of solution has corroborated the feedforward theoretical behavior. As a result, an alternative adjustment method is proposed, called “maximum output,” which entails maximizing a specific designed objective function. Besides this, an adaptive control system, based on distortion signal minimization architecture, has been fabricated in order to apply the designed method. The overall system performance achieves significant improvements such as 16.7 dB on the third-order intermodulation product, measured with a 5-MHz separated two-tone signal, 15 dB on the adjacent channel leakage ratio level and 2.7 dB on the output power level, both of them obtained with UMTS test model 1 (64 channels). The maximum output control method allows fulfilling any standard linearity specification while power efficiency is maximized so the desired tradeoff between linearity and efficiency is achieved. Index Terms—Adaptable control system, feedforward amplifier, output improvement, power minimization, Universal Mobile Telecommunication System (UMTS), wide-band code division multiple access (WCDMA).

I. INTRODUCTION

T

HE spread-spectrum technology used in the Universal Mobile Telecommunication System (UMTS) communication standard allows, among other benefits, high spectral efficiency. However, this fact means high amplitude variations in the RF signals, thus, for high linear power amplifiers, are required in UMTS transmitters. The power amplifier is the basic component in any wireless transceiver so its efficiency directly defines the overall transmission power efficiency. As the power amplifier operates near the saturation region, efficiency is increased, but linearity is degraded so a tradeoff between efficiency and linearity must be made. Usually, linearization techniques are the best solution in order to improve the linearity of power amplifiers. There are many of them that have been widely reported [1] from which feedforward is the most suitable for wide-band applications with high distortion constraints [2]. The feedforward technique tries to minimize the distortion introduced by the power amplifier without taking into considering

Fig. 1. Fabricated feedforward linearization architecture. Two fixed delays, variable attenuators, and phase shifters are used for the correct system adjustment and an extra directional coupler provides an error signal sample.

the fact that most of the linearity specifications of communication standards are fixed. This fact entails any alternative adjustment procedures in order to maximize the transmitter system efficiency and fulfill the linearity constraints at the same time. In this paper, a feedforward amplifier implementation is shown and an alternative control method, called “maximum output,” has been developed. As a consequence of the proposed method requirements, an adaptive control system, based on distortion signal minimization, has been implemented. The maximum output method achieves the long-awaited tradeoff between linearity and efficiency, and some results are presented in order to validate it. II. ADAPTIVE FEEDFORWARD AMPLIFIER An adaptive feedforward amplifier has been fabricated for UMTS downlink (2110–2170 MHz). The implemented linearization architecture is shown in Fig. 1. Two fixed-delay elements, two variable attenuators, and two phase shifters have been used for the group delay, amplitude, and phase adjustments, respectively. First of all, fixed-delay values have been calculated in order to level off the group delays of signal and distortion cancellation loops branches [3], [4]. Secondly, amplitude and phase adjustments have been calculated, maximizing both loops cancellation levels. III. SPACE OF SOLUTIONS CHARACTERIZATION

Manuscript received June 1, 2004; revised January 24, 2005. This work was supported in part by IKUSI Angel Iglesias S.A. and by the Basque Country Government under the Amplifier Linearization for Universal Mobile Telecommunication System Mobile Communication Standard Repeaters Project. The authors are with the Radio Frequency Integrated Circuits Group, Department of Electronics and Communications, Centro de Estudios e Investigaciones Técnicas (CEIT) and Technological Campus, University of Navarra (TECNUN), 20018 San Sebastian, Spain. Digital Object Identifier 10.1109/TMTT.2005.852780

An exhaustive analytical analysis shows how the feedforward amplifier adjustments are able to influence main and distortion output signals [5]. The theoretical conclusions are verified with the fabricated prototype thanks to the characterization of the space of solution. Data acquisition has been carried out with Agilent Technologies’ VeePro software. Both loops’ phase shifts and attenuation voltages have been swept in an alternate way

0018-9480/$20.00 © 2005 IEEE

2482

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 2. Output ACLR level according to: (top) signal and (bottom) distortion cancellation loop adjustment parameters. The ACLR variation range is between 14–22 dB, respectively. Attenuation and phase-shift values represent the voltage fraction used in data acquisition.

and, at the same time, output main and distortion signals have been measured. All values are stored in four dimension matrixes so that the signals behaviors could be analyzed with the MATLAB software. This procedure has been done for different input signal levels, from 3 to 8 dBm in 1-dB steps. The UMTS signal that has been used in the characterization is test model 1 with 64 channels [6]. Attenuation and phase-shift values, shown below, represent the voltage fraction used in data acquisition. A. Feedforward Amplifier Behavior The influence of signal (loop 1) and distortion (loop 2) cancellation loops adjustment parameters on output adjacent channel leakage ratio (ACLR) and main signal levels are shown in Figs. 2 and 3, respectively. The conclusions deduced from the whole characterization process are as follows. • The feedforward standard adjustment technique, known as distortion “maximum cancellation,” is based on maximizing the output ACLR level. During the adjustment process, the signal cancellation loop adjustment parameters minimize the error signal, and the distortion cancel-

Fig. 3. Output main signal level according to: (top) signal and (bottom) distortion cancellation loop adjustment parameters. The output signal power variation is between 5–4 dB, respectively. Attenuation and phase-shift values represent the voltage fraction used in data acquisition.

lation loop adjustment parameters maximize the distortion cancellation, therefore, maximizing the output ACLR level. • However, an alternative adjusting method could maximize the efficiency (output main signal level), while the linearity specification (output ACLR level) fulfills the constraint established by the standard. B. Maximum Output Method The maximum output method consists of maximizing the output main signal level provided that the UMTS linearity specification is fulfilled [6]. It uses both loop adjustments alternately, which allows the feedforward amplifier to adapt to any standard specification (in this case, 45 dB), although it involves higher control complexity. Figs. 4 and 5 show how maximum cancellation and maximum output techniques affect both the output ACLR and main signal levels, respectively.

LEGARDA et al.: ADAPTIVE FEEDFORWARD AMPLIFIER UNDER “MAXIMUM OUTPUT” CONTROL METHOD

2483

Fig. 4. Feedforward amplifier output ACLR level under two different adjustment techniques according to the input power level. The maximum cancellation method shows the typical feedforward amplifier behavior, whereas the maximum output method allows the fulfillment of the linearity constraint of any communication standard. In this case, the UMTS linearity specification is given by the ACLR 45-dB level.

Fig. 6. Feedforward and control system block diagram. Two different receivers are used, one for each cancellation loop. Both of them are controlled by the same local oscillator, which is controlled by the microcontroller. Two analog-to-digital converters are used in down conversion and four digital-to-analog converters are used in the adjustment voltages.

Fig. 5. Feedforward amplifier output main signal level under two different adjustment techniques according to the input power level. The maximum cancellation method shows the typical power amplifier behavior, whereas the maximum output method maximizes the system output level provided that the UMTS ACLR specification is fulfilled. Once the cancellation level exceeds the 45-dB level, output power is limited by this constraint.

IV. CONTROL SYSTEM The maximum output method requires an adaptive control system, which maximizes the output main signal level while maintaining the ACLR specification since main and distortion signals must be measured accurately. There are three possible control architectures reported, i.e.: 1) pilot signal cancellation [7]–[10]; 2) signals correlation [11]–[15]; and 3) distortion signal minimization [16]–[20]. The last one has been selected owing to the fact that it allows precise signal measurements. The fabricated control system architecture is shown in Fig. 6. This architecture consists of two RF receivers, digital-to-analog converters, and analog-to-digital converters. All of them are controlled by a microcontroller and communicated by I C protocol [21]. The maximum output method is based on maximizing an varies deobjective function designed specifically, where pending on input power dBm

dB

dB

(1)

K

Fig. 7. Maximum output objective function ( = 10) according to distortion cancellation loop adjustment parameter. The maximum gives the operating point: 45-dB ACLR level and maximum output main signal power. Attenuation and phase-shift values represent the voltage fraction used in data acquisition.

The objective function does not have a unique maximum (Fig. 7) so standard heuristic methods, like hill climbing, must be used carefully. V. RESULTS AND COMPARISONS A feedforward amplifier has been analyzed under UMTS standard specifications. An alternative control method has been designed, and maximum output and a specific control system have been fabricated based on distortion signal minimization. Now some results are presented and suitable comparisons are made using both two-tone and wide-band code-division multiple-access (WCDMA) signals.

2484

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 9. Feedforward ACLR level in comparison with ERA-5SM for the same output level once the maximum cancellation method is applied. The distance between both curves indicates the improvement on the ACLR level.

Fig. 8. (top) ERA-5SM and (bottom) feedforward amplifier third-order , respectively. The feedforward intermodulation-distortion distance amplifier has increased by 33.5 dB, which involves a 16.7-dB parameter. improvement on the

IP

1IM

(1IM)

A. Third-Order Intermodulation Product (

) Improvement

A two-tone signal is used, settled 2142.5 and 5 MHz apart. is shown, as recommended in [22]. However, in Fig. 8, only The feedforward amplifier has increased the third-order interby 33.5 dB for the same modulation-distortion distance output power level, which implies, according to (2), a 16.7-dB parameter improvement on the (2)

Fig. 10. (top) ERA-5SM and (bottom) feedforward amplifier output signals, respectively, once maximum cancellation method is applied. A 15-dB improvement on the ACLR level is achieved.

B. Improvement With WCDMA Signals

C. Improvement Under UMTS Specifications

High crest factors of WCDMA signals, which are between 8–18 dB, reduce the cancellation levels achieved with the two-tone signals due to the fact that the power amplifier operates near the saturation region [5]. The WCDMA signal used is the test model 1-64DTCH-defined by the UMTS standard. The center frequency is 2142.5 MHz, channel bandwidth is 3.84 MHz, adjacent channels are at 5 MHz, ACLR is measured in the 3.84-MHz bandwidth, and peak/average is 10 dB for 0.01% probability on the complimentary cumulative distribution function (CCDF). If the feedforward amplifier is adjusted by the maximum cancellation method, as is usually done, then it seems to be the best option in order to obtain maximum ACLR improvement. However, as has been shown before, it is not able to guarantee any standard linearity specification. In Fig. 9, the ACLR level is presented according to the output power level. The distance between both curves represents the improvement on the ACLR level. The maximum ACLR improvement is 15 dB, as shown in Fig. 10, for the same output level.

The maximum cancellation method provides interesting results in comparison with the state-of-the-art [23], [24] on ACLR improvement. However, the feedforward amplifier must work under strict linearity specifications and variable operating conditions. Undesired imbalances have to be compensated in order to obtain the long-awaited tradeoff between linearity and efficiency. In this case, the feedforward amplifier is adjusted by the maximum output method and the ACLR limit is set at 45 dB. In Fig. 11, the output main signal power is shown according to the ACLR level. In this case, both amplifiers operate under UMTS standard specifications, as they work in the deep saturation region. The improvement on output power is 2.7 dB, while guaranteeing a 45-dB ACLR level, thus, the efficiency is 1.8 times higher. In contrast with this, the total power consumption has increased due to the error amplifier and control system Efficiency

output power input power input power

(3)

LEGARDA et al.: ADAPTIVE FEEDFORWARD AMPLIFIER UNDER “MAXIMUM OUTPUT” CONTROL METHOD

Fig. 11. Feedforward output power level in comparison with ERA-5SM for the same ACLR level once the maximum output method is applied. Distance between both curves indicates the improvement on output power.

For example, any commercial UMTS power amplifier with an efficiency of 7% (i.e., MHPA21010), after linearization and controlled by the maximum output control method, will guarantee the 45-dB ACLR level, increasing the efficiency up to 12%. VI. SUMMARY AND CONCLUSIONS A feedforward amplifier has been fabricated for UMTS transmitters. After an exhaustive characterization, reported analytical conclusions have been verified and an alternative adjustment method, the called maximum output, has been proposed. The presented method requires an adaptable control system, which has been implemented based on distortion signal minimization. Interesting improvements have been obtained like 16.7 dB in the parameter, 15 dB in the ACLR level, and 2.7 dB in output power. The maximum output control method also allows the feedforward amplifier to fulfill any standard linearity specification while maximizing its efficiency. In this case, the UMTS standard defines the minimum ACLR as 45 dB so the adaptable control guarantees this specification while improving efficiency 1.8 times. REFERENCES [1] P. B. Kenington, High-Linearity RF Amplifier Design. Norwood, MA: Artech House, 2000. [2] J. K. Cavers, “Adaptation behavior of a feedforward amplifier linearizer,” IEEE Trans. Veh. Technol., vol. 44, no. 1, pp. 31–40, Feb. 1995. [3] J. Presa, J. Legarda, H. Solar, J. Meléndez, A. Muñoz, and A. GarcíaAlonso, “An adaptive feedforward power amplifier for UMTS transmitters,” presented at the 15th IEEE Int. Personal, Indoor and Mobile Radio Communications Symp., Barcelona, Spain, Sep. 2004. [4] K. J. Parsons and P. B. Kenington, “Effect of delay mismatch on a feedforward amplifier,” in Proc. Circuits Devices Systems, vol. 141, Apr. 1994, pp. 140–144. [5] A. H. Coskun and S. Demir, “A mathematical characterization and analysis of a feedforward circuit for CDMA applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 767–777, Mar. 2003. [6] Base Station (BS) Conformance Testing (FDD), UMTS Standard TS 25.141, Release 6, V6.4.0, Dec. 2003. [7] R. Myer, “Automatic reduction of intermodulation products in high linear amplifier,” U.S. Patent 4 580 105, Apr. 1, 1986. [8] K. Peter, “Feedforward amplifier,” U.S. Patent 6 429 738, Aug. 6, 2002. [9] S. Narahashi, T. Nojima, M. Maeta, and K. Murota, “Feed-forward amplifier,” U.S. Patent 5 166 634, Nov. 24, 1992. [10] N. Shoichi, N. Toshio, and S. Yasunori, “Feedforward amplifier,” Eur. Patent Applicat. EP1 014 564, Jun. 28, 2000. [11] J. K. Cavers, “Adaptive feedforward linearizer for RF power amplifiers,” U.S. Patent 5 489 875, Feb. 6, 1996.

2485

[12] R. M. Bauman, “Adaptive feedforward system,” U.S. Patent 4 389 18, Jun. 21, 1983. [13] R. H. Chapman and W. J. Turney, “Feedforward distortion cancellation circuit,” U.S. Patent 5 051 704, Sept. 24, 1991. [14] P. B. Kenington, M. A. Beach, A. Bateman, and J. P. McGeehan, “Apparatus and method for reducing distortion in amplification,” U.S. Patent 5 157 345, Oct. 20, 1992. [15] T. E. Olver, “Adaptive feedforward cancellation technique that is effective in reducing amplifier harmonic distortion products as well as intermodulation distortion products,” U.S. Patent 4 560 945, Dec. 24, 1985. [16] H. Kenichi, I. Yukio, N. Junichi, S. Yuji, S. Haruyasu, and N. Masatoshi, “Feedforward amplifier,” Eur. Patent Applicat. EP 1126596, 2001-08-22. [17] R. E. Myer, “Feedforward linear amplifier,” U.S. Patent 4 885 551, Dec. 5, 1989. [18] W. H. Lieu, “Linear amplifier with automatic adjustment of feedforward loop gain and phase,” U.S. Patent 5 023 565, Jun. 11, 1991. [19] M. G. Oberman and J. F. Long, “Feedforward distortion minimization circuit,” U.S. Patent 5 077 532, Dec. 31, 1991. [20] K. S. Yoo, S. G. Kang, J. I. Choi, and J. S. Chae, “Optimal control method for adaptive feedforward linear amplifier,” U.S. Patent 6 232 837, May 15, 2001. [21] I C Bus Specification, Philips Semiconductor version 2.1, Jan. 2000. [22] “Optimizing dynamic range for distortion measurements,” Agilent Technol., Palo Alto, CA, Product Note 5980-3079EN, Nov. 2000. [23] J. W. Huh, I. S. Chang, and C. D. Kim, “Spectrum monitored adaptive feedforward linearization,” Microwave J., vol. 44, no. 9, Sep. 2001. [24] Y.-C. Jeong, Y.-J. Song, I.-J. Oh, and C.-D. Kim, “A novel adaptive Feedforward amplifier using an analog controller,” Microwave J., vol. 46, no. 4, Apr. 2003.

Jon Legarda was born in Eibar, Spain, in 1977. He received the M.S. degree in electrical and electronic engineering and Ph.D. degree in engineering from the Escuela Superior de Ingenieros (ESI), University of Navarra, San Sebastian, Spain, in 2001 and 2004, respectively. He is currently involved with power-amplifier linearization with the Centro de Estudios e Investigaciones Técnicas de Gipuzkoa (CEIT), San Sebastian, Spain.

Jorge Presa was born in Miranda de Ebro, Spain, in 1974. He received the Electronic Engineering degree from Valladolid University, Valladolid, Spain, in 1998, and the Ph.D. degree from the Centro de Estudios e Investigaciones Técnicas de Gipuzkoa (CEIT), San Sebastian, Spain in 2003. He was involved with railway electronics for highspeed trains with Patentes Talgo. In 2000, he joined the CEIT as a Researcher. He is currently involved with RF design for wireless communication systems and is focused on power-amplifier linearization.

Erik Hernández was born in San Sebastian, Spain, in 1976. He received the B.S. and M.S. degrees in electronic engineering from the Escuela Superior de Ingenieros (ESI), Navarra University, San Sebastian, Spain, in 1999, and the Ph.D. degree in monolithic voltage controlled oscillators for RF applications from the University of Navarra, San Sebastian, Spain, in 2002. In 1999, he joined the RF Integrated Circuit Design Group, Technological Campus, University of Navarra (TECNUN), San Sebastian, Spain. In 2002, he joined the Centro de Estudios e Investigaciones Técnicas de Gipuzkoa (CEIT), San Sebastian, Spain, where he is currently an Associated Researcher. He is currently involved with RD identification (RFID) proximity systems and their applications. His main interests also include the design and characterization of passive components and circuits in standard low-cost technologies.

2486

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Héctor Solar was born in Portugalete, Spain. He received the Telecommunications Engineering degree from the University of Pais Vasco, Bilbao, Spain, in 2002, and is currently working toward the Ph.D. degree at the University of Navarra, San Sebastian, Spain. He then joined the Centro de Estudios e Investigaciones Técnicas de Gipuzkoa (CEIT), San Sebastian, Spain, where he is currently an Associate Researcher. His research interests include RF integrated circuits for wireless communication systems with particular attention to the design of power amplifiers in standard low-cost technologies.

Jaizki Mendizabal was born in Zarautz, Spain. He received the B.S. and M.S. degrees in electrical engineering from the University of Navarra, San Sebastian, Spain, both in 2000, and is currently working toward the Ph.D. degree at the Centro de Estudios e Investigaciones Técnicas de Gipuzkoa (CEIT), San Sebastian, Spain. In 2000, he then joined the Fraunhofer Institut für Integrierte Schaltungen, Erlangen, Germany, where he was an RF Integrated Circuits Designer. His research interests include monolithic RF design focusing on global navigation satellite systems (GNSSs), global positioning systems (GPSs), and GALILEO.

José A. Peñaranda was born in Benicarló, Spain, in 1965. He received the Telecommunication Engineering degree from the Universidad Politécnica of Madrid, Madrid, Spain, in 1991, and the Doctorate in Engineering from the University of Navarra, San Sebastian, Spain, in 1997. He began his professional career with various information technology companies such as Indra and IBM. Since 1997, he has been with the Centro de Estudios e Investigaciones Técnicas de Gipuzkoa (CEIT), San Sebastian, Spain, where he is involved with projects of applied research for industry. These projects have led to some papers, patents, and a spin-off in the field of image processing. During 2003, he was an adviser of technological politics for the Science and Technology Ministry of Spain. He currently combines his research activity with the teaching of radio communication with the School of Engineering, University of Navarra.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

2487

Analysis and Design of Current Probe Transition From Grounded Coplanar to Substrate Integrated Rectangular Waveguides Dominic Deslandes, Student Member, IEEE, and Ke Wu, Fellow, IEEE

Abstract—The transition between a grounded coplanar waveguide (GCPW) and a substrate integrated rectangular waveguide (SIRW) is investigated in this paper. The proposed scheme makes use of a current probe to transfer power between the two dissimilar transmission lines. A computer-aided-design-oriented analytical model is developed in order to optimize the geometrical dimensions of the transition. By using the GCPW instead of the microstrip line to interface the SIRW, substrate thickness can be increased without incurring a penalty due to transmission components. loss. Therefore, it is possible to achieve higher Experiments at 28 GHz show that an effective bandwidth of 10% can easily be obtained. The insertion loss is less than 0.73 dB over the bandwidth of interest. Index Terms—Coplanar waveguides (CPWs), modeling, substrate integrated rectangular waveguides (SIRWs), transition.

I. INTRODUCTION

R

ECENTLY, a new technique has been proposed for high-density integration of microwave and millimeter-wave systems [1]. In this technique, image guides, nonradiative dielectric waveguides, slab waveguides, or rectangular waveguides are synthesized inside a dielectric substrate using rows of dielectric or metal holes. This approach is cost effective given the fact that waveguides and planar circuits are manufactured on the same substrate at the same time. One such substrate integrated circuit that has garnered much attention over the last few years is the substrate integrated rectangular waveguide (SIRW) or substrate integrated waveguide, also known as the post-wall waveguide or laminated waveguide. The idea to integrate a rectangular waveguide inside a dielectric substrate with two rows of metal posts is not new and was first proposed, to the authors’ knowledge, in [2]. Obviously, the transitions between the planar and synthesized “nonplanar” structures are the key circuit elements in order to ensure circuit and system integration. Several transitions for the SIRW were presented over the last few years, such as transformers to rectangular waveguides [3], [4]. However, this topology does not make it possible to fully exploit the main advantage of the SIRW: its compatibility with planar circuits. Uniplanar transitions from microstrip lines to SIRWs Manuscript received June 10, 2004; revised December 16, 2004 and January 21, 2005. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada. The authors are with the Poly-Grames Research Center, Département de Génie Électrique, École Polytechnique de Montréal, Montréal, QC, Canada H3V 1A2 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852778

are simple and broad-band [5]–[7], but they are incompatible with low-loss SIRWs. Indeed, the substrate thickness must be increased in order to reduce the conductor loss in an SIRW. By doing so, it becomes impossible to obtain 50- microstrip lines (if required) and radiation problems may occur. Multilayer microstrip-line transitions may be used to overcome this problem [8], [9]. In this case, the mechanical assembly required constitutes a disadvantage and it increases both the circuit sensitivity and production cost. The coplanar waveguide (CPW) is compatible with thick substrates seeing as how its electrical properties are almost independent of the dielectric thickness. It thus offers the possibility to minimize the conductor loss in an SIRW and to obtain the required impedance for the planar transmission lines. Uniplanar CPW transitions were presented in [10] and [11]. They make use of a voltage probe, which, in turn, makes them prone to radiation. In fact, the whole transition is similar to a one-wavelength center-fed slot antenna [12]. This antenna radiates in the mode while also radiating outsubstrate, thus exciting the side the structure. A diagram of a grounded coplanar waveguide (GCPW) transition using a current probe was presented in [13]. No analyses or explanations appear in this patent and only a rough sketch is included. Moreover, the results presented are not convincing, considering the 15-dB return loss. Thus, a thorough study of this structure is proven necessary in order to evaluate its performance. This paper presents an analysis of the current probe transition between a GCPW and an SIRW. The transition mechanism is explained in Section II. Section III investigates the mode conversion that occurs in a GCPW. In Section IV, a simple analytical model is proposed. The design steps are detailed through an example in Section V and the experimental results are shown in Section VI. II. TRANSITION AND EXCITATION MECHANISM The GCPW-to-SIRW current probe transition is shown in Fig. 1(a). The input port is a GCPW, identified by the number 1 . The characteristic impedance and propagation constant of and .A this transmission line are denoted by leaky wave may appear in the form of parallel-plate modes in this structure. The addition of a row of metallic posts on each side of the GCPW, as indicated by the number 2 , is the usual way to suppress these unwanted modes. The metallic posts must be strategically positioned near the GCPW to avoid resonance in the operating bandwidth [14].

0018-9480/$20.00 © 2005 IEEE

2488

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 2. Propagation constant in a GCPW with lateral walls. The dominant and first high-order modes are shown with the infinite quasi-static GCPW and the TE mode in a rectangular waveguide obtained by closing the slots. S = 0:914 mm, W = 0:127 mm, D = 1:930 mm, B = 0:762 mm, and " = 2:94.

Fig. 1. (a) GCPW-to-SIRW current probe transition with all the different parts identified. (b) Coupling mechanism: the current in the probe creates a magnetic field that generates the TE mode.

The coupling between the GCPW and SIRW is achieved with the help of a metallic post, designated by the number 3 . Fig. 1(b) illustrates this coupling mechanism. The current flowing in the GCPW goes through the coupling post, thus magnetic creating a magnetic field. The latter matches the field inside the SIRW. In this context, the coupling post can be seen as an extension of the GCPW. An open circuit should then terminate the GCPW immediately following the coupling post. However, the GCPW open circuit is reactive. A series transmission line must be inserted between the open circuit and coupling post in order to compensate for this parasitic effect. This open-circuited stub is identified by the number 4 . The SIRW is delimited by two rows of metallic posts, indicated by the number 5 . Under certain conditions, these rows exhibit the behavior of two electric walls and the SIRW can then be modeled by a conventional rectangular waveguide. These conditions will be further discussed in Section IV. The characteristic impedance and propagation constant for this waveguide and , respectively. are denoted by A short circuit realized with an -plane waveguide step terminates the SIRW, as shown by the number 6 . The electrical length of the short-circuited stub, which is measured between the coupling post and short circuit, must be a quarter-wavelength in order to present an infinite impedance at the reference plane of the coupling post. III. MODE CONVERSION IN THE GCPW The metallic posts inserted to suppress the parallel-plate leaky modes create electrical walls on either side of the GCPW.

It is a known fact that mode conversions occur in a GCPW with sidewalls [15]. Fig. 2 shows the dispersion diagram of such a configuration for the following dimensions: • mm. • mm. mm. • mm. • . • Only the dominant and first high-order modes are shown. All other high-order modes are omitted to avoid overloading the figure. The quasi-static value for the infinite GCPW with no sidewalls and the dispersion of the mode in a rectangular waveguide with the same dimensions are also shown in Fig. 2. For frequencies below 19 GHz, only the dominant mode is propagating. Its propagation constant is similar to the one of a GCPW without sidewalls. Moreover, the electromagnetic-field distribution is in conformity with the field of a coplanar mode. At 19 GHz, the first high-order mode starts to propagate. This mode presents a cutoff frequency, dispersion diagram, and field mode in a rectangular distribution similar to that of a waveguide. Between 20–40 GHz, the mode conversion takes place. In this frequency band, the two modes under consideration are unrecognizable from their electromagnetic-field distribution. At 30 GHz, these two modes present almost the same field distribution and propagation constant. Above 40 GHz, the properties of the dominant mode are similar to those of a mode and the first high order mode is comparable to a GCPW with no sidewalls. It is important to note that a mode conversion can affect the transition in two different ways. First, the input port should only supports a single mode. The distance between the two rows of posts used to suppress the leaky modes must be selected properly to obtain single-mode propagation at the frequency of interest. Since the first high order mode has a cutoff frequency mode in a rectangular waveguide, the folsimilar to a lowing condition must be observed: (1)

DESLANDES AND WU: ANALYSIS AND DESIGN OF CURRENT PROBE TRANSITION FROM GCPWs TO SIRWs

Fig. 3.

2489

Discontinuity between single- and dual-mode sections.

Fig. 5. Propagation constant of the structure shown in Fig. 3. (1) in in the dual-mode region obtained from the eigenvalue solution. (2) the single-mode region. (3) The quasi-static value for the infinite GCPW. S = 0:914 mm, W = 0:127 mm, D = 0:686 mm, A = 5:028 mm, B = 0:762 mm, and " = 2:94.

following equality may be defined: the condition

. However,

(2)

Fig. 4. Frequency response of the structure shown in Fig. 3 obtained through single-mode region excitation. S = 0:914 mm, W = 0:127 mm, D = 0:686 mm, A = 5:028 mm, B = 0:762 mm, and " = 2:94.

where is the maximum frequency of operation. Secondly, the distance between the two rows of posts increases when the GCPW crosses the SIRW, as is shown in Fig. 3. In this case, the propagation of the first high-order mode cannot be avoided due to the fact that the SIRW is dimensioned in such a way mode. The dominant and first high-order as to support a modes are excited at this discontinuity. The frequency response of the structure, as illustrated in Fig. 3, is shown in Fig. 4 for the following dimensions: • • • • • •

mm. mm. mm. mm. mm. .

At 40 GHz, the transmission is nearly equal for both the dominant and first high-order mode. The dual-mode region may be represented by an equivalent single-mode transmission line with the following characterisand . The propagation constant is evaluated by tics: solving a classic eigenvalue problem with a numerical calibration, as presented in [16]. The result is shown in Fig. 5. Included in this figure are also the propagation constant in the single-mode section and the quasi-static value for the GCPW is for all intents and purwithout sidewalls. The value of poses identical to the propagation constant in the single-mode region. The maximum difference is 1.3% at 60 GHz. Thus, the

must be satisfied in order to obtain this equivalence [17]. A quasi-TEM approximation for the equivalent transmission line is employed to extract the impedance calculated from the return-loss value. In Fig. 4, the return loss is inferior to 35 dB over the analyzed bandwidth. Hence, the difference between and is smaller than 3.6%. The value of can thus be considered a valid approximation for and we have . As a consequence, the dual-mode region is simply represented by a transmission line with the same characteristics as the single-mode section. IV. EQUIVALENT CIRCUIT In order to extract the equivalent circuit, the transition is separated into four parts. These parts are illustrated in Fig. 6 with their respective equivalent circuits. The first part is an inductive post centered in an SIRW. When the leakage is small, the SIRW may be modeled by a rectangular waveguide. This condition stands when the distance between two adjacent posts is less than twice their diameter [18]. In addition, the post diameter must be small compared to the guided wavelength. The propagation constant in the SIRW, i.e., , is also computed through the solution of a classic eigenvalue problem with a numerical calibration. The equivalent rectangular waveguide width is calculated from the propagation constant in the SIRW. can be defined in various The waveguide impedance ways. The voltage–current definition was used to analyze the similar waveguide-to-coaxial transition [19]. However, we found that the power–current definition yields a more accurate result for our analytical model. The power–current definition is indeed a coherent choice seeing as how the coupling mechanism is a current probe and the power is continuous across the transition. The equivalent circuit for an inductive post in a rectangular waveguide is a T-network with two capacitances

2490

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 6. Equivalent circuits for each part of the transition. (a) Inductive post centered in an SIRW. (b) Inductive post centered in a GCPW. (c) SIRW short circuit realized with an H -plane discontinuity. (d) GCPW open circuit.

and one inductance. The analytical values for this circuit can be found in the literature [20, pp. 257–263]. The second part is an inductive post in the middle of a GCPW. Mode conversion may take place in this structure. However, it was pointed out in Section III that the resulting propagation can be formulated by an equivalent single-mode transmission line. and are The transmission-line characteristics calculated using the analytical equations presented in [21]. The equivalent circuit is also a T-network with two capacitances and one inductance. The inductance value is identical to the one in the first part. The similarity between the circuits shown in Fig. 6(a) and (b) has been utilized to find the value of . We is proportional to formulated the hypothesis that the value of the GCPW center strip width. This hypothesis will be validated is thus simply given by in Section V. The value of (3) The third part is an SIRW short circuit realized with an -plane step. The equivalent circuit for this structure is an inductance [20, p. 168]. Finally, the last part is a GCPW open circuit for which the equivalent circuit is a capacitance [22, p. 238]. Combining all four parts results in the equivalent circuit presented in Fig. 7(a). is influenced by the position and the diThe value of ameter of the coupling post. Therefore, the analytical equation developed thus far is not accurate enough in this specific case and can only be used to find an initial estimate. However, the combined with the transmission line and capacity the capacity must behave like an open circuit.

Fig. 7. (a) Equivalent circuit taking into account both end-stub effects. (b) Equivalent circuit considering the GCPW open-circuited stub with C as a perfect open circuit and the SIRW short-circuited stub as a perfect quarter-wavelength short circuit. (c) The equivalent circuit used to compute the maximum achievable bandwidth with L = 0; C = ; and C = .

1

1

The value of is modified by the GCPW. The analytical equation presented in [20] is also inaccurate in this case, but provides a good initial estimate. Nevertheless, the inductance combined with the transmission line and capacity must behave like a perfect quarter-wavelength short-circuited stub. The two preceding observations bring us to the equivalent is equal circuit that is presented in Fig. 7(b). The stub length to a quarter-wavelength at the transition central frequency . The input impedance defined in Fig. 7(b) is readily given by (4) with

and

DESLANDES AND WU: ANALYSIS AND DESIGN OF CURRENT PROBE TRANSITION FROM GCPWs TO SIRWs

Fig. 8. Realizable impedance values for the GCPW and SIRW as a function of the permittivity. The SIRW impedance is calculated at the midband waveguide frequency f =f = 1:5.

2491

Fig. 9. Return-loss performance of the transition structures defined in Table I and calculated with (6). TABLE I VALUES TO CALCULATE S

V. DESIGN STEPS AND A NUMERICAL EXAMPLE Here, the design steps that were followed are described through a practical example with a working frequency of 28 GHz. The most important criterion when it comes to selecting a substrate is its ability to yield the same impedance value for both the SIRW and GCPW. The GCPW must respect the form factor (5) in order to avoid the propagation of the microstrip line mode [22, p. 98]. The GCPW impedance values that can be attained are also related to the limitations imposed by the fabrication process. In our printed circuit board (PCB) process, the minimum slot width and linewidth are 0.127 mm. The realizable GCPW impedance area is defined by a combination of the fabrication process limitations and (5). The resulting area is shown in Fig. 8. The rectangular waveguide impedance for different is also shown. We observe that it is imwaveguide ratios possible to match a transition when the waveguide ratio is of a value greater than 0.4. Furthermore, waveguides with a ratio lower than 0.15 cannot be interconnected to a GCPW. Fig. 8 is valid only for the manufacturing tolerances previously defined. was selected for A thick substrate of 0.762 mm with this design example. The impedance variation in a rectangular waveguide decreases with frequency. Thus, the transition bandwidth is related to the waveguide width. The optimum transition bandwidth is given by

(6) This equation is derived from (4), taking into consideration that all reactive elements introduced by the coupling post are negliand ). The resulting equivgible ( alent circuit is shown in Fig. 7(c). The maximum achievable bandwidth for two different waveguides has been computed to

Fig. 10. Impedance values for the GCPW and SIRW. S = 0:914 mm, W = 0:127 mm, D = 0:686 mm, A = 5:028 mm, B = 0:762 mm, and " = 2:94.

illustrate the effect of the waveguide width on the reflection coefficient. Results are shown in Fig. 9. The first rectangular waveguide corresponds to a WR34 and the second to a WR28. All for both transitions values required for the calculation of are given in Table I. As can be seen in Fig. 9, the maximum bandwidth is 4.23 GHz, or 15.1%, for the WR34 and 2.88 GHz, or 10.3%, for the WR28. For the remainder of this numerical example, the WR34 will be used due to its wider bandwidth. The GCPW is dimensioned in such a way as to obtain a 52- impedance mm, mm, and mm. with The impedance over the frequency is shown in Fig. 10 for both the GCPW and SIRW.

2492

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 11. Input reflection calculated from (4) for different post diameters. S = 0:914 mm, W = 0:127 mm, D = 0:686 mm, A = 5:028 mm, B = 0:762 mm, and " = 2:94.

Fig. 13. Comparison between the return loss calculated with (4) and a finite-element method (FEM) simulation. S = 0:914 mm, W = 0:127 mm, D = 0:686 mm, A = 5:028 mm, B = 0:762 mm, " = 2:94, and d = 0:4 mm.

Fig. 12. Flowchart for the design process.

At the transition center frequency, the SIRW quarter-wavelength short-circuited stub behaves like an open circuit. At this frequency, the equivalent circuit is brought down to the in series with the three reactances waveguide impedance and . When the coupling post diameter is chosen properly, the three reactances satisfy the condition of resonance so that (7) at this speCombine (7) to the fact that cific frequency, the input coefficient reflection is found to be zero; thus, the transition is adapted. In this numerical example, the optimum post diameter is 0.432 mm. However, not all post diame-

Fig. 14. Fabricated transition. Only a quarter of the structure is shown, which is symmetrical in both x and y . The diameter of each post is 0.775 mm, except for the coupling post, whose diameter is 0.4 mm.

ters are feasible because of the fabrication process. Therefore, the optimum post diameter may not be available to us and a design tradeoff should be made. Fig. 11 illustrates the return loss evaluated with the help of (4) for the transition with the optimum post diameter, as well as the closest practical values. A coupling post diameter of 0.4 mm is found to be the best available value. The only two remaining unknowns at this point are the GCPW and the SIRW stub length . A relastub length tively precise initial estimate is obtained by neglecting the para-

DESLANDES AND WU: ANALYSIS AND DESIGN OF CURRENT PROBE TRANSITION FROM GCPWs TO SIRWs

2493

Measured results were compared in Fig. 15 with modeling and simulated results. The return loss is lower than 20 dB from 26.96 to 29.8 GHz for 10% bandwidth. The insertion loss for the back-to-back structure never exceeds 0.73 dB over the transition bandwidth. The difference between the measurement and simulation comes from various sources, the most significant of these being the calibration error. The reflection coefficient after calibration was in the proximity of 30 dB. This difficulty arises from the substrate thickness, which is hard to cut at a perfect right angle. VII. CONCLUSION

Fig. 15. Results for the back-to-back transition shown in Fig. 13. The results for the model do not include any loss. The FEM simulation includes dielectric, conductor, and radiation losses.

sitic elements induced on both stubs from the coupling post and GCPW. With the help of Fig. 7(a), a very good estimate is oband . These values are then tuned with tained for a full-wave simulator. All the design steps are summarized in the flowchart illustrated in Fig. 12. The return loss evaluated with (4) is compared with the full-wave result in Fig. 13. The values are found to be in excellent agreement over the entire transition bandwidth. This validates the equivalent circuit presented in Fig. 7(b), as well as the hypothesis formulated in (3). Also, the combination of , the line , and the capacity behaves the capacity like an open circuit. Moreover, the combination of the capacity , stub , and capacity presents the same frequency response as that of a quarter-wavelength short-circuited stub. VI. EXPERIMENTAL VERIFICATION The transition designed in Section V has been fabricated and measured in order to verify the proposed model. Measurements involve two back-to-back transitions and the manufactured circuit details are shown in Fig. 14. Only a quarter of the circuit is drawn owing to its two symmetric planes. With the exception of the coupling post, the diameter of each post is 0.775 mm. A standard thru-reflect line (TRL) calibration is carried out before taking the measurements, which were performed with an HP8510C network analyzer and an Anritsu–Wiltron test fixture.

A comprehensive analysis of the GCPW to SIRW current probe transition has been presented. A new computer-aided design (CAD) model was proposed and investigated for SIRW applications. This transition allows for the design of a completely integrated planar circuit and waveguide on the same substrate without any additional mechanical assembly or tuning. The uniplanar characteristics of the GCPW facilitate its integration with active circuits. Increasing the dielectric thickness may be employed in order to reduce conductor loss in the SIRW. Furthermore, the use of a back-grounded structure enables the creation of double-sided circuits for high-density integration. Measured results are well in agreement with simulated ones based on our proposed model for the fabricated transition sample. With features of direct integration, small size, and low loss, this new scheme is well suited for circuit design at millimeter-wave frequencies. It may be used to integrate passive waveguide components with active microwave integrated circuits (MICs) and monolithic microwave integrated circuits (MMIC). ACKNOWLEDGMENT The authors wish to acknowledge J. Gauthier, S. Dubé, and R. Brassard, all of the Poly-Grames Research Center, Montréal, QC, Canada, for their help with the prototyping. REFERENCES [1] K. Wu, “Integration and interconnect techniques of planar and nonplanar structures for microwave and millimeter-wave circuits—Current status and future trend,” in Asia–Pacific Microwave Conf., Taipei, Taiwan, R.O.C., Dec. 2001, pp. 411–415. [2] F. Shigeki, “Waveguide line,” Japan Patent 06-053 711, Feb. 25, 1994. [3] T. Kai, J. Hirokawa, and M. Ando, “Transformer between a thin postwall waveguide to a standard metal waveguide,” in IEEE Antennas Propagation Society Symp. Dig., Jun. 2002, pp. 436–439. [4] Y. Huang and K.-L. Wu, “A broad-band LTCC integrated transition of laminated waveguide to air-filled waveguide for millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1613–1617, May 2003. [5] C.-K. C. Tzuang, K.-C. Chen, C.-J. Lee, C.-C. Ho, and H.-S. Wu, “ -plane mode conversion and application in printed microwave integrated circuit,” in 30th Eur. Microwave Conf., Paris, France, Oct. 2000, pp. 37–40. [6] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [7] N. Jain and N. Kinayman, “A novel microstrip mode to waveguide mode transformer and its applications,” in IEEE MTT-S Int. Microwave Symp. Dig., May 2001, pp. 623–626. [8] M. J. Hill, R. W. Ziolkowski, and J. Papapolymerou, “A highreconfigurable planar EBG cavity resonator,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 6, pp. 255–257, Jun. 2001.

H

Q

2494

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

[9] Y. Huang, K.-L. Wu, and M. Ehlert, “An integrated LTCC laminated waveguide-to-microstrip line T-junction,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 8, pp. 338–339, Aug. 2003. [10] D. Deslandes and K. Wu, “Integrated transition of coplanar to rectangular waveguides,” in IEEE MTT-S Int. Microwave Symp. Dig., May 2001, pp. 619–622. [11] M. Ito, K. Maruhashi, K. Ikuina, T. Hashiguchi, S. Iwanaga, and K. Ohata, “A 60 GHz-band planar dielectric waveguide filter for flip-chip modules,” in IEEE MTT-S Int. Microwave Symp. Dig., May 2001, pp. 1597–1600. [12] S. Sierra-Garcia and J.-J. Laurin, “Study of a CPW inductively coupled slot antenna,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 58–64, Dec. 1999. [13] H. Uchimura and T. Takenoshita, “Wiring board equipped with a line for transmitting a high frequency signal,” U.S. Patent 5 982 256, Nov. 9, 1999. [14] W. H. Haydl, “On the use of via in conductor-backed coplanar circuits,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1571–1577, Jun. 2002. [15] G. Leuzzi, A. Silbermann, and R. Sorrentino, “Mode propagation in laterally bounded conductor-backed coplanar waveguides,” in IEEE MTT-S Int. Microwave Symp. Dig., May 1983, pp. 393–395. [16] M. D. Janezic and J. A. Jargon, “Complex permittivity determination from propagation constant measurements,” IEEE Microw. Wireless Compon. Lett., vol. 9, no. 2, pp. 76–78, Feb. 1999. [17] D. A. Rowe and B. Y. Lao, “Numerical analysis of shielded coplanar waveguides,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 11, pp. 911–915, Nov. 1983. [18] D. Deslandes and K. Wu, “Design considerations and performance analysis of substrate integrated waveguide components,” in 32th Eur. Microwave Conf., Milan, Italy, Sep. 2002, pp. 881–884. [19] S. B. Cohn, “Design of simple broad-band wave-guide-to-coaxial-line junctions,” in Proc. IRE, Sep. 1947, pp. 920–926. [20] N. Marcuvitz, Waveguide Handbook. Lexington, MA: Boston Tech. Publishers, 1951. [21] G. Ghione and C. Naldi, “Coplanar waveguides for MMIC applications: Effect of upper shielding, conductor backing, finite-extent ground planes, and line-to-line coupling,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 3, pp. 260–267, Mar. 1987. [22] R. N. Simons, Coplanar Waveguide Circuits, Components and Systems. New York: Wiley, 2001.

Dominic Deslandes (S’04) was born in Drummondville, QC, Canada, in 1975. He received the B.Sc. degree from the University of Sherbrooke, Sherbrooke, QC, Canada, in 1998 , and the M.Sc. degree from the École Polytechnique de Montréal, Montréal, Canada, in 2001, both in electrical engineering, and is currently working toward the Ph.D. degree at the École Polytechnique de Montréal, Montréal. His current research interests focus on substrate integrated circuits for microwave and millimeter-wave passive components.

Ke Wu (M’87–SM’92–F’01) was born in Liyang, Jiangsu Province, China. He received the B.Sc. degree (with distinction) in radio engineering from the Nanjing Institute of Technology (now Southeast University), Nanjing, China, in 1982, and the D.E.A. and Ph.D. degrees in optics, optoelectronics, and microwave engineering (with distinction) from the Institut National Polytechnique de Grenoble (INPG), Grenoble, France, in 1984 and 1987, respectively. He conducted research with the Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada, prior to joining the École Polytechnique de Montréal (Engineering School affiliated with the University of Montréal), Montréal, QC, Canada, as an Assistant Professor. He is currently a Professor of Electrical Engineering and Canada Research Chair in Radio-Frequency and Millimeter-Wave Engineering. He has been a Visiting or Guest Professor with the Telecom-Paris, Paris, France, INPG, the City University of Hong Kong, Hong Kong, the Swiss Federal Institute of Technology (ETH-Zürich), Zürich, Switzerland, the National University of Singapore, Singapore, the University of Ulm, Ulm, Germany, and the Technical University Munich, Munich, Germany, as well as many short-term visiting professorships with other universities. He also holds an honorary visiting professorship and a Cheung Kong endowed chair professorship (visiting) with Southeast University, Nanjing, China, and an honorary professorship with the Nanjing University of Science and Technology, Nanjing, China. He has been the Director of the Poly-Grames Research Center, as well as the Founding Director of the Canadian Facility for Advanced Millimeter-Wave Engineering (FAME). He has authored or coauthored over 400 referred papers and also several books/book chapters. His current research interests involve hybrid/monolithic planar and nonplanar integration techniques, active and passive circuits, antenna arrays, advanced field-theory-based computer-aided design (CAD) and modeling techniques, and development of low-cost RF and millimeter-wave transceivers. He is also interested in the modeling and design of microwave photonic circuits and systems. He serves on the Editorial Board of Microwave and Optical Technology Letters, Wiley’s Encyclopedia of RF and Microwave Engineering, and Microwave Journal. He is also an Associate Editor of the International Journal of RF and Microwave Computer-Aided Engineering (RFMiCAE). Dr. Wu is a member of the Electromagnetics Academy, the Sigma Xi Honorary Society, and the URSI. He is a Fellow of the Canadian Academy of Engineering (CAE). He has held numerous positions in and has served on various international committees, including the vice-chairperson of the Technical Program Committee (TPC) for the 1997 Asia–Pacific Microwave Conference, the general cochair of the 1999 and 2000 SPIE International Symposium on Terahertz and Gigahertz Electronics and Photonics, the general chair of the 8th International Microwave and Optical Technology (ISMOT’2001), the TPC chair of the 2003 IEEE Radio and Wireless Conference (RAWCON’2003), and the general co-chair of the 2004 IEEE Radio and Wireless Conference (RAWCON’2004). He has served on the Editorial or Review Boards of various technical journals, including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He served on the 1996 IEEE Admission and Advancement Committee and the Steering Committee for the 1997 joint IEEE Antennas and Propagation Society (AP-S)/URSI International Symposium. He has also served as a TPC member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was elected into the Board of Directors of the Canadian Institute for Telecommunication Research (CITR). He served on the Technical Advisory Board of Lumenon Lightwave Technology Inc. He is currently the chair of the joint chapters of the IEEE MTT-S/AP-S/LEOS in Montreal, QC, Canada, and the vice-chair of the IEEE MTT-S Transnational Committee. He was the recipient of a URSI Young Scientist Award, the Oliver Lodge Premium Award of the Institute of Electrical Engineer (IEE), U.K., the Asia–Pacific Microwave Prize, the University Research Award “Prix Poly 1873 pour l’Excellence en Recherche” presented by the École Polytechnique de Montréal on the occasion of its 125th anniversary, and the Urgel-Archambault Prize (the highest honor) in the field of physical sciences, mathematics, and engineering from the French–Canadian Association for the Advancement of Science (ACFAS). In 2002, he was the first recipient of the IEEE MTT-S Outstanding Young Engineer Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

2495

Electromagnetic-Bandgap Layers for Broad-Band Suppression of TEM Modes in Power Planes Shawn D. Rogers, Member, IEEE

Abstract—A practical set of engineering design equations are derived for predicting stopband performance of electromagneticbandgap (EBG) structures within parallel power planes. The EBG circuits suppress the TEM-mode noise within parallel plates used within digital power distribution networks. Stopbands are realized over designed frequency bands of interest in the microwave spectrum. The mathematical relationships between the physical hardware and the electrical models are clearly stated. Several examples are given and proof-of-concept experiments are described and compared to the predicted results with good agreement. Index Terms—Decoupling of systems, electromagnetic-bandgap (EBG) structures, noise suppression, parallel-plate waveguides (PPWs), power planes, simultaneous switching noise (SSN).

I. INTRODUCTION

D

IGITAL processors along with their associated memory and data busses are the main contributors to simultaneous switching noise (SSN) in modern high-speed printed circuit boards (PCBs). Decoupling capacitors are ineffective for suppressing noise from the dc power distribution network above a few hundred megahertz. Thus, the ever-increasing speed of digital systems necessitates new solutions for noise suppression. In addition, combined digital and RF systems such as wireless laptops and cell phones would benefit from suppressing noise within the power distribution system. Isolating the digital noise from the RF section prevents desensing the receiver leading to an increased range of use. One of the primary conduits for noise coupling occurs on the and ground-plane pair forms a natural dc power planes. A parallel-plate waveguide (PPW) for high-frequency signals with no intrinsic cutoff frequency. One solution to noise suppression is to use planes that are separated by a very thin dielectric layer in order to provide enough charge to satisfy current demands of high-speed digital chips. This idea is referred to as capacitive plane technology, buried capacitance, or embedded capacitance [1]. This technique provides decoupling to a certain measurable level of isolation. However, electromagnetic waves are still guided at all frequencies, and depending upon the application, the level of isolation afforded by this technique may be inadequate. Recently in the literature, the concept of using electromagnetic-bandgap (EBG) structures embedded between the parallel plates has been shown to provide excellent suppression of noise [2]–[13] at frequencies above several hundred megahertz where Manuscript received June 28, 2004; revised February 7, 2005. The author was with the Etenna Corporation, Laurel, MD 20707 USA. He is now with the RF Apertures Technology Group, Northrop Grumman Corporation, Baltimore, MD 21240 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852776

decoupling capacitors fail. This idea was inspired by the high impedance surface (HIS) disclosed in [14]–[16]. This surface presents a high impedance to normal incident plane waves and attenuates surface waves over a band for which it is designed. The HIS has also been referred to as an artificial magnetic conductor (AMC) since it is an engineered material that at resonance has the boundary condition of a perfect magnetic conductor: the tangential reflected and incident electric fields are in-phase at its surface. Wire and microstrip antennas can be flush mounted onto the surface of the AMC in order to create antennas or less in total thickness. The ability of the AMC to suppress surface waves led to its use for reducing mutual coupling between adjacent antennas and prompted the authors of [2] to use it for suppressing noise in power planes. In [2], stopbands covering the frequency range from 3 to 5 GHz are demonstrated and ground planes. with thicknesses of 3.3 mm between the The authors of [3] also used the HIS structure for noise suppression in parallel plates and added an extra metal layer in order to create a planar loop for increasing the inductance of the vias. This had the effect of shifting the stopband lower in frequency. Stopbands covering the frequency range from 1.2 to 2.5 GHz are demonstrated with 1.5-mm-thick boards. In the early literature regarding the use of EBG structures within parallel plates, a design is created according the and values required to produce a high surface impedance at a given resonant frequency. The structure is then embedded within the PPW with a significant distance between the layer of patches and the metal plane above it. Unfortunately, this approach leads to very thick structures. The resulting embedded structure is still referred to as a HIS. The authors of [12] point out that the structure is actually a low-impedance surface when placed between the parallel plates and that the circuits used to design the HIS in open regions do not apply when it is used for noise suppression in PCBs. In [12], a physics-based model for one unit between the cell is proposed that includes a capacitance between the patch patch and upper plane, a capacitance and lower plane, and inductance ( ) of the via that connects the patch to the lower plane. These values are used to compute the center frequency of the stopband. HFSS simulations were used to compute the -parameters and dispersion diagram for an infinitely periodic EBG. Although the center frequency of the circuit model agrees with the stopband center frequency, the authors do not use the circuit model to compute the stopband edges or the dispersion diagram and no closed-form expressions are given for the inductance of the vias. In this paper, we derive a transmission-line equivalent-circuit model for one unit cell and demonstrate that the circuit components can be calculated from the physical geometry of this unit

0018-9480/$20.00 © 2005 IEEE

2496

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 1. Simplest embodiment of an EBG structure within a PPW. The outer plates, inner patches, and vias are metal. For clarity, the dielectric layers are not shown.

cell. The circuit model is used to compute the dispersion diagram that predicts the stopband frequencies. The approach described herein was first proposed in a (then proprietary) internal document of Etenna Corporation, Laurel, MD, in [17] and is also included in the patent applications of [18]–[21]. The circuit model lends insight into how the physical parameters affect the stopband performance. This enables the EBG layer to be designed for maximum bandwidth within the practical limitations of the physical parameters of the power plane structure. For example, we show that minimizing the distance between the layer of patches and the metal plane above them leads to greater bandwidth and thinner structures. Predictions of the stopband are compared to measured -parameter data with good agreement for various hardware examples. II. POWER PLANE NOISE SUPPRESSION CIRCUIT The purpose of the periodic structure shown between the parallel plates in Fig. 1 is to cut off TEM-mode wave propagation. In Fig. 1, metal planes lie parallel to the -plane. A TEM mode has a normal ( -directed) electric field and a transverse ( -directed) magnetic field assuming wave propagation in the -direction. An empty PPW without an EBG structure allows the TEM mode to propagate from dc to an infinite frequency. In other words, there exists no inherent cutoff frequency for TEM modes in an empty PPW. The EBG structure is designed to create one or more stopbands of frequencies over which TEM modes are not allowed to propagate. The lowest frequency stopband is denoted as the fundamental stopband. Throughout the paper, we refer to the structure as a PPW since this is what it is recognized as in the literature. However, we note that the intended application is not for waveguiding purposes. Instead, the parallel plates are intended for use as dc power and return planes in PCBs. The purpose of the embedded EBG layer is to cut off the parallel-plate mode that would otherwise propagate noise through the system. As shown in Fig. 2, the EBG structure consists of an array of conductive coplanar patches located a distance from the upper plate in addition to an array of conductive rods or vias and radius that connect the lower plate to the of length center of each patch. The patches are illustrated to be squares of side length in Fig. 2, but other shapes such as rectangular, hexagonal, and circular, etc., can be used. The patches and rods in Fig. 2 are arrayed in a square lattice of period . The gapwidth between adjacent patches is . Thus, the patch side length is . The purpose of the conductive patch is simply to realize a parallel-plate capacitance between the end of the rod below it and the upper plate of the PPW. The total height of the PPW is denoted as . In the analysis that follows, we treated

Fig. 2. Transmission-line model for one unit cell in the EBG structure for a TEM wave propagating in the x-direction.

. (For the patch metal thickness as zero so that the purposes of documentation of several fabricated hardware examples, we publish as a total thickness that does include the finite thickness of the metal layers.) There are also two dielectric layers that comprise the host dielectric medium of the PPW. The lower layer of thickness , containing the rods, has a relative , while the upper layer of thickness dielectric constant of has a relative dielectric constant of . As will be shown later, the most broad-band performance is achieved when and . The dielectric layers are assumed to be isotropic in this analysis. However, only the normal or -directed tensor component of permittivity affects the electric field of the TEM mode. Thus, if anisotropic dielectrics are used for the insulating layers, then tensor element can be substituted for the relative dielecthe tric constant. III. TRANSMISSION-LINE EQUIVALENT-CIRCUIT MODEL A. Formulation of the Model The stopband and passband properties of the EBG structure may be understood through a circuit analysis of only one unit cell. First, the two-dimensional (2-D) periodic lattice shown in Fig. 1 may be reduced to a one-dimensional array of unit cells in the -direction, as shown in Fig. 2, by placing magnetic walls , as illustrated in Fig. 3. This simplification is valid at since magnetic walls may be placed anywhere there is a zero tangential -field along an entire plane contained within the structure. This condition is clearly met for all planes of constant value for the excitation shown in Fig. 1. It is shown in [22] that a quasi-TEM mode excited within an empty PPW (without patches or vias) of height and width having magnetic sidewalls can be modeled as a simple transmission line whose characteristic impedance and phase constant, respectively, are given by (1) and (2)

ROGERS: EBG LAYERS FOR BROAD-BAND SUPPRESSION OF TEM MODES IN POWER PLANES

2497

To predict the dispersive behavior of this shunt-loaded PPW, we -parameters where the can analyze the unit cell using as follows: unit cell has an effective phase constant of

=0 2

=+ 2

Fig. 3. Magnetic walls are located at y d= and y d= in order to reduce the 2-D array of patches of Fig. 1 into the single row of patches in the x-direction shown in Fig. 2.

where is the wave impedance of free space (377 ), is the speed of light in a vacuum, is the radian frequency, and the effective dielectric constant for the -directed electric field is given by (3)

As shown in Fig. 2, the presence of the patches and vias is accounted for by a shunt LC branch circuit. The lumped capaciis approximated by tance (4) where is the permittivity of free space ( 8.85 10 F/m). Often (4) is modified in order to account for the reduced patch area when large-diameter vias are employed. In this case, the capacitance is calculated as (5) where is the radius of a cylindrical via. The lumped inductor can be estimated from [23] as (6) where is the permeability of free space ( H/m), and the parameter is the ratio of the via cross section to the cross section of the entire unit cell

(9)

In this analysis, it is assumed that there are no material losses in the structure. Evaluation of the A component yields the dispersion equation (10) from which we can explicitly solve for the effective phase constant (11) Equation (11) can be plotted to display a frequencydiagram. An exwavenumber diagram often called an ample is shown in Fig. 4 for the following parameters. • mm. • mm. mm. • mm. • mm. • • . . • Any conventional PCB material may be used for either dielectric layer. In this example, the lower dielectric layer is a PTFE/woven fiberglass laminate, while the upper layer is thin FR4. Since we have assumed there are no material losses in this analysis, the general solution for a wave propagating in the -direction in the EBG structure takes the form

(7) (12) Note that vias or rods of any cross section can be used, such as square pins, with a corresponding edit to (7). In order to arrive equal to 1 since we at (6), one uses [23, eq. (10)] and sets are using nonmagnetic media and sets the length of the via to . Since details of the derivation of the inductance in [23] are limited, one may read [24] for a full derivation. The patch and via present a shunt susceptance given by (8)

In this case, the phase constant is a complex number, which may sound strange since we assumed there are no material losses. However, when the argument of the inverse cosine , then has an function in (11) is outside the interval imaginary part. When the imaginary part of is nonzero, the wave is evanescent just as in the case for a waveguide below and plot and the principal cutoff. We let on the interval in Fig. 4. Both and values of are symmetric about the -axis of the graph. The negative

2498

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 5. Calculated attenuation per unit cell for EBG structure of Fig. 2 with a = 1:02 mm (40 mil), d = 5:59 mm (220 mil), g = 0:51 mm (20 mil), s = 5:08 mm (200 mil), t = 0:79 mm (31 mil), " = 2:2; t = 0:051 (2 mil), and " = 4:5. Fig. 4. Frequency-wavenumber diagram for EBG structure of Fig. 2 with a = 1:02 mm (40 mil), d = 5:59 mm (220 mil), g = 0:51 mm (20 mil), s = 5:08 mm (200 mil), t = 0:79 mm (31 mil), " = 2:2; t = 0:051 (2 mil), and " = 4:5.

values of and are chosen when propagation in the -direction is of interest. The boundaries of define the irreducible Brillouin zone, which is another name for the region containing the principal values of . This region is named after diagrams to describe wave propagation Brillouin who used curves are periodic in various periodic structures [25]. The due to the multiple branch cuts of the inverse cosine function. on the interval Thus, the principal values of may be replicated as for arbitrary integers . These are related to the spatial harmonics of alternative values of the Bloch waves, as discussed in [22, Ch. 8]. The light line, defined by , is plotted in Fig. 4 for comparison. This line defines the wavenumbers possible assuming a simple two-layer dielectric medium without patches lies below or vias. Beginning at zero frequency, we see that the light line indicating that the TEM mode is a slow wave, traveling slower than the speed of light in a host dielectric . meets the edge of the irreof effective permittivity near 2 GHz in frequency, where ducible Brillouin zone goes to zero. the TEM mode is cutoff since the slope for This is the lower edge of the fundamental stopband, denoted as . At this frequency, the attenuation constant becomes nonzero. The attenuation constant increases dramatically with frequency until it reaches an infinite value (ideally) at a resproduct, in this case, onant frequency defined by the near 3.65 GHz. Above this resonant frequency, the attenuation constant decreases monotonically to a zero value at the upper , near edge of the fundamental stopband, denoted as 13 GHz. Increasing again in frequency, we observe a passband is nonzero, but is zero). between 13.1–18 GHz (where The upper edge of this passband is found where the light line . At this frequency, intersects the Brillion zone boundary another stopband begins. In this case, it extends from 18 to near

27 GHz. Above this stopband, a third passband is observed. is now negative, indicating backward However, the slope for wave propagation. We are primarily interested in the attenuation constant since the application of the EBG structure in this paper is to supis nonzero. The press noise in PCBs. Stopbands exist when defines the decay rate in nepers/meter. attenuation constant . This Over one unit cell, the field decays by the amount quantity can be expressed as the power attenuation per unit cell in decibels using the following formula: (13) A more useful engineering plot than the diagram is the graph of attenuation per unit cell calculated from (13). This graph is shown in Fig. 5 for the example EBG structure discussed above. From the graph, one sees that the fundamental stopband occurs over 2–13 GHz. A second and third stopband are also evident. Note that, in this paper, when we refer to attenuation, it is a positive number. Later, when comparing the calculated and meain the stopband, we will plot the negasured insertion loss tive of (13) multiplied by the number of unit cells between ports. There are at least three limitations of the transmission-line equivalent-circuit model, but they are not significant. The first limitation is that the TEM mode we mention is really a quasi-TEM mode, meaning that its transverse-field components ( and ) are much larger than its longitudinal field components ( -directed). Since the PPW is an inhomogeneously filled waveguide (two different dielectric values), the possible modes cannot include a strictly TEM mode (a mode with only transverse-field components). However, since the dielectric interface within the PPW is planar, the possible modes are longitudinal section magnetic (LSM) and longitudinal section electric (LSE), which are derived from Hertzian potential functions whose vector direction is normal to the surface of the dielectric interface ( -directed). The interested reader can

ROGERS: EBG LAYERS FOR BROAD-BAND SUPPRESSION OF TEM MODES IN POWER PLANES

2499

TABLE I PHYSICAL PARAMETERS WITH DIMENSIONS IN MILLIMETERS AND CIRCUIT MODEL PARAMETERS FOR VARIOUS EBG DESIGNS DEPICTED BY Fig. 2

TABLE II PHYSICAL PARAMETERS WITH DIMENSIONS IN MILS AND CIRCUIT MODEL PARAMETERS FOR VARIOUS EBG DESIGNS DEPICTED BY Fig. 2

examine [26, Ch. 6] for details of this classic analytical technique. The point to be made is that the lowest order LSM mode is the quasi-TEM mode. The second limitation is that the circuit model fails when fields in the PPW include higher order LSM and LSE modes, which occurs if the frequency is sufficiently high. These modes may not be attenuated by the EBG structure of this paper. However, for practical heights of PPWs, and practical dielectric constants, this is not expected to be a problem at microwave frequencies. The cutoff frequencies for these modes are expected to be in the millimeter-wave bands, and they can be determined exactly from the transcendental dispersion equations for LSM and LSE modes. The third limitation of the model as described herein is that it does not predict the passband attenuation. As this analysis is zero in the passbands where the shows, the value of indicates a propagating wave. In this analysis, we nonzero assumed that the structure is lossless since the losses in the dielectric materials are minimal and copper is a very good conductor. To account for dielectric losses, one could change the permittivity terms to their complex values in (1)–(4). This in the passbands. However, the would result in a nonzero measured passband attenuation that will be subsequently shown in the measurement section is attributed to factors other than dielectric losses since these are very small. These factors are: 1) the mismatch loss that occurs between the 50- network analyzer cables and the load presented by the parallel plates and 2) reflections caused by the finite board size. The significant capacitance of the parallel planes results in a low transfer in the impedance that leads to mismatch and low values of passbands. This is not accounted for in the analysis since we do not model mismatch losses as the wave propagates through the infinite structure. Furthermore, reflections from the board at the frequencies where the edges create sharp nulls in connectors are a quarter of a guide wavelength from the edge of the board. These are often seen in the regions outside of the stopband in some measurement cases.

One may ask the question: “How do copper losses effect the performance of the EGG structure?” The skin depth of copper, which has a conductivity of 5.7 10 S/m is about 0.66 m at 10 GHz. Using well-known equations for the ac resistance of a single patch and single via, we calculated the resistance of the combination to be on the order of several hundredths of Ohms for the structures in Tables I and II. Such a small value of resistance due to the skin effect of the copper will not have a significant impact on the stopband performance. However, let us consider for a moment what effect it will have. A single resistor can be placed in series with the inductor and capacitor in the circuit model of Fig. 2. The presence of the resistor will actually improve coupling in the stopband between two ports connected by several unit cells of this circuit. Thus, instead of having more attenuation in the stopband when copper losses are included, there will actually be less. Without a resistor, at the resonant frequency of the LC circuit, there is a perfect short between the and ground planes. Therefore, no signal at this frequency will get through from ports 1 to 2. However, when a resistor is added, there is no longer a perfect short and some power will be allowed through. If the vias were replaced by very large resistors, then very little current would flow through the structure and there would be no stopband. Since the resistance of the patch and via is very low—several hundredths of Ohms—at the frequencies of interest in this paper, the LC circuit is still practically a short circuit for high-frequency signals within the stopband. Thus, resistive losses in the copper are ignored in this analysis since their effect is minimal. B. Estimates of the Stopband Edges It is desirable to derive explicit expressions for the edges of the fundamental stopband so as to gain insight into the relationship among design variables. The goal is to create as broad a stopband as possible. To this end, we can inspect the diagram of Fig. 4 for values of , and employ (11) to solve for frequency.

2500

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

The lower edge of the stopband, i.e., , can be found so the leftby realizing that it occurs where . hand side (LHS) of (11) is then Further inspection of the diagram reveals that the light line is far removed from the Brillouin zone boundary at , which this frequency. Therefore, allows (11) to be simplified with small angle approximations. Thus, the dispersion equation can be expressed as (14) . Substituting into (14) the expression where , we can solve explicitly (1) for , and realizing that for the lower cutoff frequency (15) Fig. 6. Plots of the RHS and LHS of (18) for estimating the upper edge of the fundamental stopband of the EBG structure described in Fig. 4.

Thus, the options to reduce the lower edge of the fundamental stopband are as follows. a) Increase by increasing the dielectric constant . by increasing the area of the patches. b) Increase c) Increase by reducing the thickness . d) Increase the height of the PPW. by decreasing the cross-sectional area of the e) Increase vias. , can be The upper edge of the fundamental stopband, or at this frefound by realizing that quency. Thus, (11) becomes (16) which may be simplified to

this case, is approximated as 13.0 GHz, which is only 1% less than the exact value of 13.13 GHz. can be accomplished with the folThus, increasing lowing design options. a) Decrease the period . of the b) Decrease the effective dielectric constant PPW. c) Increase the value of by increasing the via cross-sectional area (decrease inductance ). by increasing d) Increase the characteristic impedance the height . should To obtain a broad stopband, we have reasoned that should be made as small as possible. be increased, and yet At first this seems contradictory. However, manipulation of (3) allows it to be written as

(17) and the resonant frequency defined by the If product are widely separated, then we can use the approximation . Therefore, we have

(18)

If we plot the right-hand side (RHS) and LHS of (18) versus frequency, we can see the tangent function intersecting the hyperbolic function at multiple points in the first quadrant. Consider the point of intersection closest to the origin, as shown in Fig. 6. This point of intersection can be moved higher in frequency by reducing the argument of the tangent function or increasing the constant multiplying the hyperbolic function. In

(19)

. The first factor on the RHS of (19) goes to Assume unity from above. If , then the second factor on the RHS also goes to unity, and the effective dielectric constant of . If we allow to support a the PPW approaches , then the result still holds that . high value of Thus, we clearly see that, to achieve the broadest stopband posand . sible, we should let C. Alternate Method for Calculating Attenuation The analysis discussed above is very useful for predicting the attenuation per unit cell of the EBG structure. In addition, the diagram and the equations used to create it enable one to calculate closed-form expressions that describe how to maximize the bandwidth of the fundamental stopband in terms of the physical parameters of the structure. Now that we have this insight, there is an alternative way to calculate the stopband performance of an EBG structure that does not require calculation from (11). One may use a commercial of the wavenumber

ROGERS: EBG LAYERS FOR BROAD-BAND SUPPRESSION OF TEM MODES IN POWER PLANES

Fig. 7. Comparison of two models for insertion loss between ten unit cells for the EBG structure with a = 1:02 mm (40 mil), d = 5:59 mm (220 mil), g = 0:51 mm (20 mil), s = 5:08 mm (200 mil), t = 0:79 mm (31 mil), " = 2:2; t = 0:051 (2 mil), and " = 4:5. The circuit model has Z = 37:5 ; L = 0:11 nH, C = 17:7 pF, and " = 2:27.

2501

Fig. 8. Measured and calculated insertion loss for EBG structure of Fig. 2 with h = 2:84 mm (112 mil), a = 0:51 mm (20 mil), d = 8:00 mm (315 mil), g = 0:76 mm (30 mil), s = 7:24 mm (285 mil), t = 2:36 mm (93 mil), " = 4:3; t = 0:48(18 mil), and " = 4.

circuit simulator to cascade multiple unit cells from Fig. 2 in series and calculate the insertion loss between the ports. With this method, one need only calculate the characteristic impedance from (1), from (2), from (5), and from (6). We calculated the insertion loss between two 50- ports separated by ten series connected unit cells for an example EBG structure. The resulting data are plotted in Fig. 7 along with the data calculated from (13) for ten unit cells. It is seen that the two models are in very good agreement. Thus, we have shown that a simple circuit model with multiple cascaded unit cells is sufficient for describing the stopband behavior of the EBG structure without the need to calculate the effective wavenumber of the structure. This method is useful for those who wish to calculate results quickly after already having gained the knowledge and insight from the analysis of the effective wavenumber . IV. EXPERIMENTAL VALIDATION OF MODELS Several hardware examples were fabricated and are compared to data from the theoretical model here. In each example, two subminiature A (SMA) connectors were directly connected to the parallel plates. The flange of the outer conductor was soldered to the bottom ground plane. The center pin extends through the dielectric regions and was soldered to the top metal ) between the two ports was plane. The insertion loss ( measured with a vector network analyzer (VNA). Graphs of the insertion loss between the two ports are shown for four examples in Figs. 8–11. The physical parameters for each hardware example are available in Tables I and II. For the purposes of documenting the results, the total thickness of the structure in each case includes the dielectric thickness, as well as the thickness of each of the three metal layers shown in the in Fig. 1. Note that this means is not equal to figure captions or in the tables. However, when calculating for the characteristic impedance , we did substitute in (1). Since the metal thickness of the patch between the planes is generally small ( 0.017–0.035 mm) compared to the

Fig. 9. Measured and calculated insertion loss for EBG structure of Fig. 2 with h = 0:76 mm (30 mil), a = 0:51 mm (20 mil), d = 6:35 mm (250 mil), g = 0:56 mm (22 mil), s = 5:79 mm (228 mil), t = 0:61 mm (24 mil), " = 2:2; t = 0:084 (3.3 mil), and " = 3:7 along with measured insertion loss for a baseline 0.76 PPW structure.

total thickness , this should have a negligible impact on the stopband. Each power plane circuit was constructed using conventional PCB techniques. The overall board dimensions, coordinates of each SMA connector, and number of unit cells between the ports are given in Table III. In the various examples discussed below, the measured stopband bandwidth is determined from the upper and lower cutoff frequencies. The insertion loss curve begins a very steep slope at the lower cutoff frequency before reaching the noise floor of the VNA. The upper cutoff frequency is taken to be the frequency curve begins its abrupt rise out of the noise floor. where the data for a 2.84-mm-thick Fig. 8 shows the measured board along with the predicted stopband performance in decibels. In this example, the predicted stopband insertion loss between the ports separated by 11 unit cells was calculated by

2502

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 10. Measured and calculated insertion loss for EBG structure of Fig. 2 with h = 0:20 mm (8 mil), a = 0:19 mm (7.5 mil), d = 6:35 mm (250 mil), g = 0:36 mm (14 mil), s = 5:99 mm (236 mil), t = 0:10 mm (4 mil), " = 3:7; t = 0:051 (2 mil), and " = 3:7.

Fig. 11. Measured and calculated insertion loss for EBG structure of Fig. 2 with h = 0:15 mm (6 mil), a = 0:14 mm (5.5 mil), d = 8:89 mm (350 mil), g = 1:27 mm (50 mil), s = 7:62 mm (300 mil), t = 0:057 mm (2.24 mil), " = 3:7; t = 0:045 (1.77 mil), and " = 4:3. TABLE III OVERALL BOARD DIMENSIONS AND PORT LOCATIONS IN THE x- AND y -DIRECTIONS, NUMBER OF CELLS BETWEEN PORTS 1 AND 2, AND DISTANCE BETWEEN PORTS 1 AND 2

multiplying 11 by the attenuation per unit cell of (13). The board size is 19.1 cm 14 cm. The model accurately predicts the stopband edges for the first two stopbands. The attenuation

level within the first stopband is below the noise floor of the VNA. This indicates that wave propagation is very effectively stopped within this frequency range of 2–5 GHz. It is typical for the fundamental stopband to exhibit isolation levels in the noise floor of the measurement equipment when the patches are close to the top metal plane. This particular example was not optimized since the distance between the patches and the top metal plane of 0.46 mm could have been less. There is also very good agreement in the level of attenuation within the second stopband shown in Fig. 8. The calculation of attenuation from (13) is used to predict where the stopbands occur and is not expected to yield the level of coupling within the passband regions. The reduced level of measured coupling between the ports within the passbands can be attributed to several factors: mismatch loss due to the difference in impedance between the 50- ports and the parallel-plate structure, dielectric losses, copper losses in the plates, and reflections due to the finite-size boards. Since accurate predictions of the stopband edges are of primary importance in this paper, we do not address methods for calculating the attenuation within the passbands. Fig. 9 shows the measured coupling between nine unit cells within a PPW having a total thickness of 0.76 mm and dimensions 13.3 cm 10.8 cm. The insertion loss is below 85 dB over the 2.5–10-GHz frequency band for a bandwidth ratio of 4 : 1. This structure was fabricated with a thin layer of FR4 (0.084 mm) above the patches and a layer of R05880 having a dielectric constant of 2.2 below the patches. The thin layer of FR4 for increased capacitance along with the decreased effective dielectric constant enabled by the R05880 are two factors, which cause this structure to have a very broad-band stopband, as described in Section III. The measured coupling values for a 0.76-mm-thick PPW without buried patches is displayed as the baseline curve in Fig. 9. The EBG structure exhibits as much as 70-dB additional isolation over that of the baseline. The first edge of the stopband is accurately predicted, while the upper edge is predicted to occur at 8.5 GHz instead of the measured 10 GHz. Nevertheless, the broad bandwidth predicted by the model is, in fact, realized in the hardware. The capacitance per unit cell for this structure is reduced more than indicated in (5) since plated through holes (PTHs) were used instead of buried vias and an annular ring of 1.1 mm was used to prevent these vias from shorting the outermost metal planes. The use of PTHs in these structures instead of buried vias is important for the reduction of the PCB fabrication costs. Structures with PTHs were first introduced in the open literature in [11], although the concept is also included in the patent applications of [17]–[20]. Measured and predicted data for a structure with a 0.20-mm total thickness are shown in Fig. 10. The and dimensions of this board are 13.3 cm 10.8 cm. An FR4 dielectric of 0.051-mm thickness is located between the patches and top plane. The dielectric layer below the patches has a thickness of 0.10 mm and is also an FR4 material. There are ten unit cells between the ports. The measurements and predictions of the 3.5–7.2-GHz stopband are in good agreement. In Fig. 11, the measured isolation of a 0.051-mm FR4 laminate is compared to an EBG structure having a 0.045-mm FR4 adhesive material located above the patches and a 0.15-mm total

ROGERS: EBG LAYERS FOR BROAD-BAND SUPPRESSION OF TEM MODES IN POWER PLANES

Fig. 12. Stopband bandwidth ratios versus frequency range for power planes loaded with EBG structures for Figs. 8–11 of this paper and [2, Fig. 4], [2, Fig. 4b]. The total thickness of the power plane including metal layers is indicated in millimeters.

thickness (including metal). It is seen that the EBG structure offers significantly more noise suppression than that of the baseline case within the 3–5.2-GHz frequency range. At frequencies outside the stopband, the level of isolation is just as good as that of the thin laminate that is often called a buried capacitance layer. Thus, it is seen that the EBG structure provides two functions, i.e., it is a charge storage reservoir that improves and ground decoupling (over that of more widely spaced planes) much like buried capacitance technology and it provides increased isolation over that of thin parallel planes within a stopband for which it is designed. The isolation within the stopband did not reach the noise floor of the network analyzer in this case since SMA connectors were not directly soldered to the planes. Instead, interference-fit coaxial probes such as those described in [27] were used for this measurement. Compare for the moment the level of attenuation in the baseline board and the EBG board of Fig. 11 over the 3–5-GHz frequency range. The thin-laminate baseline has a peak of 44 dB, while the EBG peak is 67 dB. One might question the need for the EBG when the baseline isolation is already very low. It is true that, for many applications, 44 dB of power plane noise suppression over a frequency band is sufficient. However, the EBG structure is offered as a solution where extremely low levels of isolation are required. One such application is a PCB design that requires digital and RF circuits on the same board. The RF and digital circuits may share common ground or power planes or may have signal vias that pass through these planes. Such a design would benefit from the increased isolation provided by the EBG. Fig. 12 shows a comparison of the bandwidth ratios of the fundamental stopband for the various PPW loaded with EBG structures in Figs. 8–11. Data from the literature are plotted for comparison. It is seen that thinner structures with greater bandwidth than those in [2] and [4] are possible. In general, it is easier to get both lower in frequency and broader bandwidth when the overall structure is thicker. This may not be obvious from Fig. 12 since the PPWs having total thickness of 2.84, 3.30, and

2503

Fig. 13. Calculated attenuation per unit cell in decibels for two EBG designs having a total thickness of 3.3 and 1.54 mm. Physical parameters are given in Tables I and II.

1.54 mm were not optimized for bandwidth. Each of these three structures could have been made to have much greater bandwidth and lower frequency of operation if the distance between the patches and top metal plane had been minimized. Alternative 1.5- and 3.3-mm designs are given in Tables I and II with the calculated results shown in Fig. 13. The only parameters that are changed to generate these data are the thicknesses and . The total thickness, period, gap, and dielectric constants are the same as those given in [2] and [4]. By locating the patches closer to the top metal plane, the bandwidth ratio of the 1.5-mm structure is improved from 2 : 1 to 4.3 : 1. For the 3.3-mm design, the bandwidth ratio is improved from 1.5 : 1 to 6.2 : 1. V. CONCLUSION A circuit model for one unit cell of an EBG structure comprised of patches and vias and embedded between power planes can be used to accurately predict the stopband frequencies. The circuit consists of a transmission-line shunt loaded with an circuit in which and are in series. The passband and stopband frequencies are calculated by solving for the effective propagation constant of the unit cell. Alternatively, multiple unit cells may be cascaded in series within a commercial circuit simulator in order to predict where the stopband will occur. In each case, the circuit model parameters are calculated from the physical geometry of the unit cell. While the EBG structure of this paper appears physically very similar to the HIS or AMC structures of [14]–[16], and [23], its electrical behavior is quite different. First of all, the circuit model for a HIS is a parallel LC circuit. Thus, in order to increase the bandwidth of a HIS, it is necessary to maximize the inductance and minimize the capacitance. The circuit model for the EBG structure with the parallel plates contains a series LC circuit. To maximize the stopband bandwidth for series LC circuits connected between the power and ground planes, it is necessary to minimize inductance and maximize capacitance. Power distribution networks require low-impedance power planes in order to minimize voltage fluctuations caused

2504

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

by high current demand [27]. Thus, it is not helpful to consider the EBG structure for this application a HIS and instead should be termed a low-impedance surface, as pointed out in [12]. It was also found in other experiments not documented here that it is not necessary for the ports to be aligned with the lattice in order to provide noise attenuation. Ports that were aligned with the diagonal of the rectangular lattice showed equivalent levels of isolation [18], [19]. The low level of insertion loss between ports characterizes the amount of noise suppression that is expected from the EBG structure. Waves at frequencies within the stopband are evanescent modes. Any power at these frequencies is reflected back to the source in the case of the VNA measurement. In an actual computer system, there is no reflection of noise power. A chip can generate noise when it causes a voltage fluctuation on the power distribution network due to lack of sufficient charge during periods of high current demand. If sufficient charge is available to the chip, the voltage fluctuation will not occur. Decoupling capacitors satisfy charge demand at low frequencies and, hence, eliminate the low-frequency content included in any noise voltage fluctuation. Since bulk capacitors do not provide decoupling above several hundred megahertz, a noise voltage fluctuation may still exist at the higher frequencies. The EBG structure eliminates the high-frequency content of the noise voltage that occurs within the stopband. There are other ideas not covered in depth in this paper that can further improve upon the EBG structure. The use of cells of various sizes can significantly improve the stopband bandwidth, as mentioned in [10], [18], and [19]. Surface mount decoupling capacitors can also be used in a periodic lattice with or without the buried patches in order to improve the low-frequency decoupling beyond what is possible with a few isolated decoupling capacitors [20]. Surface mount capacitors arranged in a periodic lattice obey the same circuit model that is described in this study. Other geometrical configurations for the patches beyond the simple square patch are available in [18]–[21]. The EBG structure described in this paper provides significant improvement over embedded capacitance technology for suppressing noise on power planes. The circuit is useful for further minimizing SSN in digital systems and for providing isolation between digital and RF systems that share a common dc power distribution network. ACKNOWLEDGMENT This author would like to acknowledge the work and contributions of Dr. W. E. McKinzie III, McKintek, LLC, Fulton, MD, who first developed the circuit model of Sections III-A and B, as described in [18], prior to leaving the Etenna Corporation, Laurel, MD, in August 2003. This author regrets that Dr. W. E. McKinzie III did not wish to be listed as a coauthor on this paper since he currently develops noise-suppression techniques and did not wish to be privy to nonpublic information developed at Etenna Corporation upon his leaving. REFERENCES [1] I. Novak, “Impedance and EMC characterization of embedded capacitance materials,” in APEX2001 Conf. Dig., San Diego, CA, Jan. 2001.

[2] R. Abhari and G. V. Eleftheriades, “Suppression of the parallel-plate noise in high-speed circuits using a metallic electromagnetic bandgap structure,” in IEEE MTT-S Int. Microwave Symp. Dig, Jun. 2002, pp. 493–496. [3] T. Kamgaing and O. M. Ramahi, “High-impedance electromagnetic surfaces for parallel-plate mode suppression in high speed digital systems,” in IEEE 11th Electrical Performance of Electronic Packaging Topical Meeting, Monterey, CA, Oct. 21–23, 2002, pp. 279–282. , “A novel power plane with integrated simultaneous switching [4] noise mitigation capability using high impedance surface,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 1, pp. 21–23, Jan. 2003. [5] R. Abhari and G. V. Eleftheriades, “Metallo-dielectric electromagnetic bandgap structures for suppression and isolation of the parallel-plate noise in high-speed circuits,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1629–1639, Jun. 2003. [6] T. Kamgaing and O. M. Ramahi, “Inductance-enhanced high-impedance surfaces for broad-band simultaneous switching noise mitigation in power planes,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2003, pp. 2165–2168. , “Development and application of physics-based compact models [7] for high-impedance electromagnetic surfaces integrated in a power plane configuration,” in IEEE AP-S Symp. Dig., vol. 4, Jun. 2003, pp. 442–445. [8] T. Kamgaing, “High-impedance electromagnetic surfaces for mitigation of simultaneous switching noise in high-speed circuits,” Ph.D. dissertation, Dept. Elect. Eng., Univ. Maryland at College Park, College Park, MD, 2003. [9] S. Rogers, “Novel technology eliminates high frequency noise in parallel plate power planes,” presented at the Bluetooth Americas Conf., San Jose, CA, Dec. 9–11, 2003. [10] S. Shahparnia and O. M. Ramahi, “Simultaneous switching noise in PCB using cascaded high-impedance surfaces,” Electron. Lett., vol. 40, no. 2, pp. 98–99, Jan. 2004. [11] S. Shahparnia, O. M. Ramahi, and B. Archambeault, “Design considerations for high-impedance surfaces embedded in printed circuit boards,” presented at the Proc. 20th Annu. Rev. Progress in Applied Computational Electromagnetics, Syracuse, NY, Apr. 19–23, 2004. [12] S. Shahparnia, B. Mohajer-Iravani, and O. M. Ramahi, “Electromagnetic noise mitigation in high-speed printed circuit boards and packaging using electromagnetic bandgap structures,” in Proc. 54th Electronic Components and Technology Conf., vol. 2, Las Vegas, NV, Jun. 1–4, 2004, pp. 1831–1836. [13] S. Rogers, X. Wu, A. Waltho, and D. Xu, “Noise reduction in digital/RF daughter card with electromagnetic bandgap layers,” in 13th Electrical Performance of Electronic Packaging Topical Meeting, Portland, OR, Oct. 25–27, 2004, pp. 203–206. [14] D. F. Sievenpiper, “High-impedance electromagnetic surfaces,” Ph.D. dissertation, Dept. Elect. Eng, Univ. California at Los Angeles, Los Angeles, CA, 1999. [15] D. F. Sievenpiper, L. Zhang, R. F. J. Broag, N. G. Alexopolous, and E. Yablonovitch, “High-impedance electromagnetic surfaces with a forbidden frequency band,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2059–2073, Nov. 1999. [16] E. Yablonovich and D. Sievenpiper, “Circuit and method for elimination of surface currents on metals,” U.S. Patent 6 262 495, Jul. 17, 2001. [17] W. McKinzie, “Design rules for a circuit and method for suppression of TEM modes in parallel plate waveguides,” Etenna Corporation, Laurel, MD, Internal Rep., Jul. 11, 2003. , “Circuit and method for suppression of transverse electromag[18] netic modes,” U.S. Patent Applicat. 60/477, 152, provisional filed Jun. 9, 2003. [19] W. McKinzie and S. Rogers, “Circuit and method for suppression of electromagnetic coupling and switching noise in multilayer printed circuit boards,” U.S. Patent Applicat. 10/794, 185, filed Mar. 3, 2004. [20] S. Rogers and W. McKinzie, “Circuit and method for enhanced low frequency switching noise suppression in multilayer printed circuit boards using a chip capacitor lattice,” U.S. Patent Applicat. 10/802, 664, filed Mar. 17, 2004. [21] S. Rogers and T. Steigerwald, “Circuit and method for broad-band switching noise suppression in multilayer printed circuit boards using localized lattice structures,” U.S. Patent Applicat. 10/803, 311, filed Mar. 17, 2004. [22] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: McGraw-Hill, 1992, pp. 117–123.

ROGERS: EBG LAYERS FOR BROAD-BAND SUPPRESSION OF TEM MODES IN POWER PLANES

[23] S. Clavijo, R. Diaz, and W. McKinzie, “Design methodology for Sievenpiper high-impedance surfaces: An artificial magnetic conductor for positive gain electrically small antennas,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2678–2690, Oct. 2003. [24] S. Clavijo, “Design methodology for Sievenpiper high-impedance surfaces,” M.S. thesis, Dept. Elect. Eng., Arizona State Univ., Tempe, AZ, 2002. [25] L. Brillouin, Wave Propagation in Periodic Structures: Electric Filters and Crystal Lattices. Mineola, NY: Dover, 1953. [26] R. E. Collin, Field Theory of Guided Waves, 2nd ed. Piscataway, NJ: IEEE Press, 1999. [27] I. Novak, “Measuring milliohms and picohenries in power distribution networks,” in DesignCon 2000 Dig., Santa Clara, CA, Feb. 2000.

2505

Shawn D. Rogers (S’93–M’00) received the B.S., M.S., and Ph.D. degrees in electrical engineering from Clemson University, Clemson, SC, in 1995, 1997, and 2000, respectively. From 1995 to 2000, he was a Graduate Research Assistant with the Department of Electrical and Computer Engineering, Clemson University, where his research included integral-equation-solution techniques, broad-band wire antennas, and genetic algorithms. From 2001 to 2004, he was with the Etenna Corporation, Laurel, MD, where his research focused on AMCs, tunable antennas, multiband commercial antennas, EBG structures, and noise suppression techniques. Since 2005, he has been with the RF Apertures Technology Group, Northrop Grumman Electronic Systems, Baltimore, MD. Dr. Rogers was the recipient of a 1996 National Science Foundation (NSF) Fellowship.

2506

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Hybrid Narrow-Band Tunable Bandpass Filter Based on Varactor Loaded Electromagnetic-Bandgap Coplanar Waveguides Emmanuel Pistono, Philippe Ferrari, Lionel Duvillaret, Jean-Marc Duchamp, and Robert G. Harrison, Member, IEEE

Abstract—A varactor-loaded resonator inserted between two Bragg reflectors has been implemented to design high-selectivity tunable bandpass filters. First, a mechanical tuning method is demonstrated. A varactor tunable bandpass filter is then implemented at 9 GHz, yielding good agreement between computational and experimental results. The tuning range reaches 3.5% with = 40, a maximum insertion loss a loaded quality factor of 4.75 dB, and a return loss exceeding 20 dB. The theory is carefully explained, showing the importance of the parameters of the Bragg reflectors and of the resonator, in particular, the effect of diode-case parasitics and varactor position with respect to the resonator. A large-signal experimental analysis is done, showing a maximum allowable input power of a few dBm. Finally, possible filter improvements are discussed, and simulations with a microelectromechanical systems varactor are shown. Index Terms—Coplanar waveguide (CPW), electromagnetic bandgap (EBG), Schottky-diode varactor, tunable bandpass filter.

I. INTRODUCTION

I

N MODERN communication systems, a single filter cannot perform the requisites for all operating bands. The use of multiple filters consumes a large surface area and is, therefore, unacceptable in most microwave multiband receivers. Tunable bandpass filters [1] constitute an interesting solution to this problem. Showing a high tuning speed [2], electronically tuned varactors as reverse-biased Schottky diodes can be employed to achieve this tuning [3]–[8]. Recent work has concentrated on the development of tunable bandpass filters based on microelectromechanical systems (MEMS) [9]–[15] and on piezoelectric transducers [16], [17]. In fact, because of their high quality factor , MEMS varactors may constitute better tuning elements than semiconductor ones. However, for frequencies below 10 GHz, Schottky-diode varactors still show with reduced cost, easier packaging, better reliability, and much lower bias voltages than MEMS. Tunable filters have also been realized with ferroelectric [18], [19] and ferromagnetic [20] thin films. Manuscript received June 21, 2004; revised January 27, 2005. E. Pistono, P. Ferrari, L. Duvillaret, and J.-M. Duchamp are with the Laboratoire d’Hyperfréquences et de Caractérization, Université de Savoie, 73376 Le Bourget-du-Lac, France (e-mail: [email protected]; [email protected]; [email protected]). P. Ferrari was with the Laboratoire d’Hyperfréquences et de Caractérization, Université de Savoie, 73376 Le Bourget-du-Lac, France. He is now with the Institute of Microelectronics Electromagnetism and Photonic, University Joseph Fourier, 38016 Grenoble, France (e-mail: [email protected]). R. G. Harrison is with the Department of Electronics, Carleton University, Ottawa, ON, Canada KIS 5B6 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852774

To make high-selectivity filters, one solution is to use periodic photonic-bandgap structures [21]. These structures exhibit successive stopbands and passbands; they correspond to one-dimensional (1-D) Bragg resonators. The equivalent microwave structures are electromagnetic bandgaps (EBGs). They were studied as early as 1983 [22] and have recently been used to realize low-pass [23], [24] and bandstop [25]–[27] filters. In [28], a transmission line periodically loaded with nonlinear elements was used to achieve a tunable bandstop filter. In this paper, we realize a high-selectivity tunable bandpass filter. The topology is similar to that of [29]. The insertion of a transmission-line resonator between two Bragg reflectors can give rise to the appearance of a defect level in the bandgap. Such a structure is the electronic analog of the Fabry–Pérot cavity in optics. Consequently, if the resonator electrical length is changed, then the frequency of the defect level is modified, leading to a tunable bandpass filter. In [6], a reverse-biased diode was placed in series with the resonator to change its electrical length at the 10-GHz operating frequency. In a similar arrangement [17], this tuning was achieved with a piezoelectric transducer placed above the resonator transmission line. In both cases, insertion loss was between 6–10 dB, and the return loss was 3–7 dB. Neither paper provided a detailed design theory. Here, while a similar topology is used, the defect level is tuned by shunt-connected diodes. This arrangement leads to improved characteristics, i.e., smaller insertion loss (3.3–4.75 dB) and higher return loss ( 20 dB). The tuning range is 3.5% with . Moreover, we present a detailed theoretical treatment. In particular, we discuss the effects of varying: 1) the characteristic impedances of Bragg cells and of the resonator; 2) the resonator length; and 3) the number of cells in the Bragg reflectors. We address the defect-frequency shift due to diode-case parasitics. In addition, we emphasize the importance of the diode location along the resonator. Finally, we perform a large-signal analysis. This paper is organized as follows. Section II develops the background theory of a resonator inserted between two Bragg reflectors. The effects of characteristic impedances, defect length, and number of cells on the filter characteristics are discussed. In the first part of Section III, the tunability of the device is demonstrated with a mechanical approach (as reported in [30]), whereby a high-permittivity cover slab is used to modify the electrical length of the resonator. In the second part, a 9-GHz varactor-tunable filter is realized. The influences of the case parasitics and the diode position with respect to the resonator are addressed. Small- and large-signal measurements

0018-9480/$20.00 © 2005 IEEE

PISTONO et al.: HYBRID NARROW-BAND TUNABLE BANDPASS FILTER BASED ON VARACTOR LOADED EBG CPWs

2507

Q resonator consisting of two n-cell Bragg

Fig. 1. Topology of the highreflectors and a defect.

are carried out. Finally, Section IV explores possibilities for improving the filter characteristics. These include the use of higher factor varactors, optimized geometry, and the use of MEMS instead of semiconductor varactors.

S

Fig. 2. Modulus of for the reference filter (—) shown in Fig. 1 and for the corresponding EBG structure (1 1 1) with = 2.

n

II. BACKGROUND THEORY Here, we consider ideal lossless transmission lines in order to get straightforward equations from which some physical considerations can be deduced.

while its normalized input impedance

A. Principle of EBG Filters

where is the number of unit cells reflector and

Fig. 1 presents the topology of a high- bandpass filter based on an EBG structure. This filter is analogous to a Fabry–Pérot optical cavity in which the mirrors consist of 1-D photonicbandgap crystals (Bragg reflectors) [21]. The microwave Bragg reflectors consist of an alternating seand of respective quence of transmission-line sections and and physical lengths characteristic impedances and . Suppose equals one-quarter of the guided wavelength

is (3) in each Bragg

(4) is the phase delay introduced by the defect. Solving (2) for or (3) for (the matching with for a condition) results in defect level located at the center of the EBG. Thus, the defect frequency is (5)

(1) and the physical length of where is the velocity of light in vacuum, and is the effective relative permittivity of section at the center frequency . Propagation is suppressed for frequencies centered on with and condition (1) gives the highest ratio of stopband width to center frequency [31]. of charLet us now insert a “defect” transmission line and physical length beacteristic impedance tween the two -cell Bragg reflectors shown in Fig. 1. Some narrow-band defect levels appear in the EBGs. The greatest attenuation on the two sides of the defect level appearing in each EBG is obtained when the defect level is located at the center of the EGB where the transmission is a minimum, i.e., when the defect frequency (denoted ) equals . We define the normalized characteristic impedances of each transmission line to be and , where is the 50- characteristic impedance of the and ignoring losses, the filter paramfeed lines. For eter can then be expressed as

(2)

is (6)

Equation (5) shows that, at the center of the EBG, the defect frequency depends only on the electrical length of the defect transmission line and not on any of the characteristic impedor . ances Similar results are obtained when the normalized characteristic impedances are inverted, i.e., when is replaced by . This is because remains unchanged and . To illustrate this theoretical part, Fig. 2 compares the modulus of a high- bandpass filter “reference filter” (similar to of the one shown in Fig. 1) with that of the corresponding EBG is substituted by ). structure ( Here, the length of the defect section is (or ), while and equivalently . The corresponding characteristic impedances, bounded by 35 and 175 , are easily realizable with a coplanar waveguide (CPW) on an RT-Duroid 5880 substrate (with relative , dielectric loss , height permittivity mm, and copper thickness m). As expected, . When the we obtain EBGs centered on frequencies , as outlined earlier, phase delay of the defect section is

2508

Fig. 3.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Effect of impedance variations on the modulus of S .

Fig. 5.

Effect of defect phase delay 

= m on the modulus of S

.

Fig. 6. Effect of number n of unit cells on the modulus of S .

Fig. 4. Effect of characteristic impedance ratios on the relative BW

dB .

a transmission maximum is obtained at the centers of these EBGs. in order In this paper, we utilize only the first EBG to realize a tunable bandpass filter. This allows one to obtain the widest relative stopband width. B. Effect of Characteristic Impedances, Defect Length, and Number of Unit Cells on Filter Characteristics The behavior of the -parameter of these high- bandand is shown in Fig. 3. For pass filters with respect to this purpose, some variations of the normalized characteristic impedances of the reference filter have been investigated. The relative 20-dB stopband width of the reference filter is (7) As expected, Fig. 3 shows that the defect frequency does not depend on or . These results show qualitatively and the resonator interface that the Bragg reflector ratio must be as large as possible to obtain the greatest ratio . Moreover, the influence of the Bragg reflector ratio on appears to be greater than that of the resonator interface ratio. To confirm these initial results, Fig. 4 shows the versus the and characteristic relative impedance ratios for characteristic impedances ranging from 35 to 175 .

Fig. 4 also shows the versus the resonator interfor three values of (0.75, 1, and 1.25), and for face ratio (with ). As expected, realizable values of increases with the two characteristic impedance raand . Moreover, for given values of these two tios increases with . Fig. 4 clearly demonstrates ratios, that the stopband width is a much stronger function of the Bragg reflector ratio than it is of the resonator interface ratio. For ex, and a ample, if we consider the reference filter (with ), increasing the Bragg reflector ratio relative by 11%, whereas increasing the by 0.5 widens the resonator interface ratio by 0.5 only widens the by 2%. versus the defect phase Fig. 5 shows the behavior of for the reference filter. delay As expected, the defect frequency remains at the center increases, new defect levels appear in frequency . When becomes sharper. Thus, the EBG and the defect notch at to obtain the largest EBG, we must choose the smallest defect , i.e., the smallest possible defect length, phase delay which is [see (6)]. Fig. 6 shows the influence of the number of unit cells on the of the reference filter. The larger is, the greater is the attenuation in the EBG. Nevertheless, for and , the is not increased. results in the smallest This study shows that the value . We, therefore, designed a filter filter with a . This value leads to a tunable filter based on a varwith actor-loaded resonator with overall length .

PISTONO et al.: HYBRID NARROW-BAND TUNABLE BANDPASS FILTER BASED ON VARACTOR LOADED EBG CPWs

2509

Fig. 7. 2:5 mechanically tunable CPW filter (n = 2).

Fig. 9. Measured moduli of S CPW filter.

Fig. 8. Measured and simulated modulus of S for the filter shown in Fig. 7 without any dielectric material placed on top of the defect transmission line.

III. TUNABLE BANDPASS FILTER Equation (5) shows that a variation of the effective permitof the defect transmission line should lead to a varitivity ation of the electrical length and, hence, to a variation of the defect frequency around . Based on this principle, two different tunable-filter designs are proposed here. The first design is a mechanically tunable filter that involves covering the defect area with a high-permittivity material to increase the local effective relative permittivity. The second design is an electronically tunable filter, in which the defect transmission line is loaded with varactors to tune its electrical length. Both of these bandpass filters were fabricated in CPW technology. This facilitated not only covering the defect area with high-permittivity material, but also soldering the varactors. The substrate used to manufacture both tunable filters is RT-Duroid 5880 with the parameters given above. Simulations and optimizations were carried out using Ansoft Designer.1 Measurements were done using a vector network analyzer and the thru-reflection-line (TRL) calibration techniques. A. Mechanically Tunable Filter To validate the background theory, we fabricated a CPW bandpass filter with (see photograph in Fig. 7) at approximately 4 GHz, as reported in [30]. The modulus of versus frequency is shown in Fig. 8. The measured results agree quite well with the simulations, the relative shift of the defect frequency being only 2%. At GHz, the loaded the measured defect frequency factor is and the insertion loss is 4.2 dB. The relative is 57% (between 2.8–5 GHz). When the defect area is covered with a high-permittivity material, its effective permittivity increases and the defect frequency shifts toward lower values [see (5)]. We demonstrated 1Ansoft

Designer, ver. 1.1, Ansoft Corporation, Pittsburgh, PA, 2003.

Fig. 10.

and S

of the 2:5 mechanically tunable

Equivalent electrical circuit of the reverse-biased Schottky diode.

this effect experimentally by placing a slab of high-permittivity dielectric at height above the CPW defect transmission line. Measured results are shown in Fig. 9. The defect frequency can be mechanically tuned between 3.33–3.69 GHz (a 10% tuning range) with small insertion loss (1.7–3.3 dB) and return loss (10.8–15.7 dB). B. Electronically Tunable Filter 1) Design: The design of an electronically tunable CPW filter centered at 9 GHz is presented here. The design leads at . The CPW is realized on to a filter of length the RT-Duroid 5880 substrate material already described in GHz, and mm. Section II-A. At Reverse-biased Schottky diodes (Agilent HSCH-5314) were used as varactors. They have a cutoff frequency approximately 50 times the defect frequency GHz

(8)

The voltage-variable capacitance of a Schottky diode is given by (9) where fF is the zero-bias voltage junction capacV is the built-in voltage, is the itance, is the reverse-bias voltage. With a grading coefficient, and breakdown voltage V, the capacitance can be varied fF to fF, giving a from ratio of 2.6. The manufacturer quotes a series resistance , a series inductance nH, and a case capacitance fF. The equivalent electrical circuit of these reverse-biased diodes is shown in Fig. 10. The characterization of these diodes led to quite different and characteristics with a lower fF and fF) and a higher series resistance

2510

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

TABLE I MODEL PARAMETERS FOR THE 9-GHz ELECTRONICALLY TUNABLE FILTER

Fig. 12.

n

(

Simulations of the optimized 9-GHz electronically tunable filter

= 2) showing the effects of the series resistance, series inductance, and

case capacitance on the modulus of

S

.

TABLE II EFFECT OF SERIES RESISTANCE, SERIES INDUCTANCE, AND CASE FACTOR, AND CAPACITANCE ON THE INSERTION LOSS, RELATIVE TUNING RANGE OF THE FILTER

Q

Fig. 11. Relative defect-frequency shift versus the position of the Schottky-diode pair along the defect transmission line.

. These values induce a lower tuning range and a higher insertion loss at the defect frequency than expected. As mentioned above, this filter was fabricated in CPW technology. Therefore, to avoid odd-mode propagation, Schottky diodes were soldered on each side of the CPW central conductor to maintain symmetry. The filter was optimized using these diode characteristics to obtain a maximum tuning range of the narrow defect GHz. level centered at the operating frequency Whereas the transmission lines and are kept a mm) at , the quarter-guided-wavelength long ( of the defect transmission line must be equal phase delay to obtain a tunable to for defect level centered at 9 GHz. Optimizations lead to a 10-mm ). Thus, the whole physical defect length (equivalent to filter length is 66 mm, equivalent to . The normalized characteristic impedances and physical parameters of the filter are reported in Table I. These values lead to characteristic and . impedance ratios The position of the diode pair along the defect transmission line is critical. In the case of 1-D photonic crystals (the optical analogy of the periodic structure employed here), it has been shown in [32] that for odd defect modes (corresponding here to odd values of [see (5)]), the electric field vanishes at the center of the defect transmission line at the defect frequency. Therefore, when the diode pair is placed at that point, its influence on the filter response should vanish. We are currently in the . Fig. 11 shows the defect-frecase discussed above as quency shift versus the position of this varactor pair. Thus, to obtain maximum defect-frequency tuning, a varactor pair should be soldered at one end of the defect transmission

line. Indeed, there is no defect-frequency tuning at all if the varactor pair is soldered at the center of the defect transmission line. To illustrate the effects of the case parasitics and diode series resistance on the filter behavior, three circuit simulations were carried out assuming the equivalent electrical circuit of the reverse-biased Schottky diode pair. As explained above, a diode pair is employed to maintain symmetry, and is soldered at one end of the defect transmission line to obtain a maximum defect-frequency tuning. In the first simulation, all diode parasitic nH, and fF) were ineffects ( cluded. In the second simulation, only case effects were retained nH, and fF). In the third simula( tion, only the series resistance was included, the case parasitics , nH and fF). being set to zero ( The simulation results are shown in Fig. 12 and summarized in Table II. For the simulation with the full diode equivalent circuit, corresponding to a realistic filter, the defect-frequency tuning range ranging reaches approximately 3.5% with insertion loss at . from 3.05 to 4.4 dB, and a loaded factor Simulations 1 and 2 show the effect of the diode series resisincreases insertion loss from 1 to 1.8 dB, tance. Inclusion of and decreases the loaded factor. However, it does not change GHz and GHz for the defect frequencies of and , respectively (see Table II). Similarly, simulations 1 and 3 show the effects of diode-case parasitics. These change the electrical defect length, leading to a filter operating frequency shift of approximately 0.2 GHz. Nevertheless, case effects have only a very small effect on the tuning range, which remains approximately 3.5%.

PISTONO et al.: HYBRID NARROW-BAND TUNABLE BANDPASS FILTER BASED ON VARACTOR LOADED EBG CPWs

2511

Fig. 14. Photograph of the 9-GHz electronically tunable filter. A pair of Schottky diodes is soldered at the input end of the defect transmission line. The schematic diagram shows the diode mounting positions.

Fig. 13. (a) Simulated and measured modulus of S for the 9-GHz electronically tunable filter (n = 2) when the diodes are omitted. (b) Electromagnetic simulations of this structure showing the respective contributions of dielectric, conductive, and radiative losses around f .

2) Measured Results: Initially, the filter described above was fabricated and measured before the diodes were attached to the defect transmission line. Fig. 13(a) and (b) shows the and the contribution of the corresponding modulus of different types of loss (conductive, dielectric or radiative) at frequency , respectively. As seen in Fig. 13(a), the defect frequency is shifted above the “no-diode” EBG center frequency. Measurements are in good agreement with the simulations. Fig. 13(b) shows an enlargement of the simulated results near together with further simulations assuming either a perfect dielectric, perfect conductor, or both. Fig. 13 (b) clearly reveals that conductive losses constitute the major contribution to the insertion loss. Indeed, while conductive loss is 1 dB, dielectric and radiative losses amount to only 0.3 dB. Radiative losses can be correctly estimated when neither conductive nor dielectric losses are included since in that case. the return loss is 38 dB at Next, the tunable filter with the diode pair in situ was measured. To estimate insertion loss, return loss, and the harmonic distortion, we carried out small- and large-signal analyses. A photograph of the electronically tunable filter is shown in Fig. 14. a) Small-Signal Analysis: Fig. 15 compares the measured and . and simulated moduli of Here again, measurements are in good agreement with the simulations. As expected, the defect frequency can be tuned over a range of 3.5% (from 8.8 to 9.11 GHz) with a loaded

Fig. 15. Simulated and measured moduli of: (a) S electronically tunable filter (n = 2).

and (b) S

for the 9-GHz

factor of 40. At the defect frequency, insertion loss is between 3.3–4.75 dB, and the return loss at the input of the filter is higher than 20 dB. Since the filter is longitudinally asymmetric, . In fact, the return loss at is smaller ( 5 dB) at the output port. The rejection between the extreme defect freof 56%. quencies exceeds 8 dB with a relative b) Large-Signal Analysis: Here, we report the measured filter distortion due to the varactor diodes. The nonlinear diode behavior leads to harmonic generation and increased insertion loss. The diodes were dc biased at 2 V in order to center the defect frequency at 9 GHz. The input power was varied from 26 to 15 dBm. Fig. 16 shows the measured output power at the 9-GHz fundamental and at the 18-GHz second harmonic. For a 9-GHz input signal at a level below 5 dBm, the insertion loss is the small-signal value, approximately 4.5 dB. The filter shows good linearity, the second harmonic power remaining at

2512

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

TABLE III MODEL PARAMETERS FOR THE 1:86 TUNABLE FILTER

Fig. 16.

Output power versus input power. The filter is fed at 9 GHz.

least 15 dB below the fundamental power. The 1-dB compression point occurs at an input power of 8 dBm with a second-harmonic power level 12 dB below that of the fundamental. Finally, the single-tone output second-order intercept point (OIP2) is at 16.5 dBm. IV. DISCUSSION The topology of the narrow-band tunable filters studied in this paper exhibits some drawbacks. These include the small length of the filter, the insertion loss at tuning range, the the defect frequency, and the filter distortion under large-signal conditions due to the diode nonlinearity. Several remedies can be envisaged. The filter length can be to . Since the normalized characterreduced from istic impedance of the filter realized in Section III-B is close to 1, the impedance steps between the feed lines and the transdo not significantly affect the filter behavior. mission lines Moreover, the use of slow-wave transmission lines, as demonstrated in [14] and [33], could further reduce the filter length. Insertion loss can be reduced by using diodes with smaller secannot be reduced below ries resistance. However, for 10-GHz working frequencies. For further loss reduction, MEMS technology could be employed instead of diodes. This would also result in improved large-signal behavior. In Sections IV-A and B, we show simulation results that indicate the expected performance: 1) with better diode characterisand 2) with MEMS capacitors tics and a filter length of instead of varactor diodes. A. Optimized Varactor-Diode Filter We carried out simulations assuming the Schottky diodes used in Section III-B, but using the manufacturer’s data ( fF, fF, nH, and fF) instead of the measured data for the purchased diodes. To minimize filter length, we simulated the . This simulation topology shown in Fig. 1 with , dielectric assumes a high-permittivity substrate ( loss , height mm, and copper thickness m), leading to smaller Bragg reflectors. The overall . filter length is

Fig. 17.

Moduli of S

and S

for the simulated 9-GHz tunable filter.

The circuit was optimized to obtain a tunable bandpass filter GHz. The normalized characteristic imcentered at pedances and physical parameters of the filter are reported in Table III. and These values lead to ratios and to an overall filter length of 29.1 mm. Fig. 17 shows the simulated performance of this tunable filter. This filter exhibits improved performance compared to the design of Section III-B. First, the filter displays a tuning range of 6.4% (8.71–9.29 GHz). This is nearly twice that of the fabricated filter, and is due to the fact that the diodes have a twofold greater capacitance range. Second, due to the length reduction and the reduced diode series resistance, insertion loss is reduced by 1 dB, being between 2.39–3.76 dB. The return loss is higher than 14 dB. The rejection between the extreme defect frequencies is improved to more than 13.1 dB. The relative of 55% and the loaded factor remain unchanged. B. Simulated MEMS Tunable Bandpass Filter As mentioned above, it is interesting to investigate the use of MEMS varactors instead of Schottky diodes in order to achieve improved linearity and reduced insertion loss. Moreover, it is anticipated that higher frequency filters could be made with factors than diodes. To MEMS because they have higher demonstrate this, two different tunable 20-GHz filters, based on experimental data, were designed. The first design uses the MEMS capacitor model published in [34], while the second design employs the same Schottky diodes, as were used in Section III-B. In both cases, we assumed a quartz substrate with , height m, and parameters m. The capacitance of the MEMS copper thickness . The varactor varies from 82 to 120 fF

PISTONO et al.: HYBRID NARROW-BAND TUNABLE BANDPASS FILTER BASED ON VARACTOR LOADED EBG CPWs

TABLE IV MODEL PARAMETERS FOR THE TWO 20-GHz MEMS- AND SCHOTTKY-DIODE TUNABLE FILTERS

2513

of the varactor pair along the defect transmission line is of crucial importance when filter tunability is to be maximized. We have also shown that the performance could be significantly improved by using more efficient diodes and an optimized topology. Finally, we have designed and simulated a 20-GHz MEMS-based tunable bandpass filter in order to increase the linearity and working frequency. This design retains a 3.5% tuning range and an insertion loss smaller than 5 dB, while using only a single MEMS varactor. REFERENCES

S

Fig. 18. Simulated modulus of of the 20-GHz tunable filters with a single MEMS varactor and with a Schottky-diode pair.

MEMS electrical model takes the series resistance and inductance nH into account. The normalized characteristic impedances and physical parameters of the two optimized filters are reported in Table IV. performance of the Fig. 18 compares the simulated 20-GHz tunable filter designed to use a single MEMS varactor with the design using a Schottky-diode pair. This 20-GHz tunable filter exhibits lower insertion loss with MEMS (between 4.0–4.93 dB) than with Schottky diodes factor of the (between 7.1–9.7 dB). This is because the Schottky diodes is lower than that of the MEMS capacitor. The MEMS tunable filter has a 4% tuning range, whereas that of the Schottky-diode tunable filter is approximately 3.5%. This is ratio of the MEMS due to the increased equivalent capacitor, which is 1.46, compared to 1.4 for the Schottky diodes (including parasitic capacitance). The of the tunable filter reaches 25 with the MEMS varactor, compared to 17 with the diodes. The MEMS tunable filter shows a rejection between the two extreme defect frequencies greater than 6 dB. V. CONCLUSION This paper reports an exhaustive investigation of narrow-band high- tunable bandpass filter designs. These EBG filters are very easy to manufacture. A 9-GHz electronically tunable bandpass filter showing good performance has been fabricated. Its tunability has been demonstrated by a varactor-based design, leading to a 3.5% tuning range using only one pair of Schottky diodes. The measured loaded factor is 40 with an insertion loss less than 5 dB. We have demonstrated that the position

[1] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [2] J. Uher and W. J. R. Hoefer, “Tunable microwave and millimeter-wave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 4, pp. 643–653, Apr. 1991. [3] I. C. Hunter and J. D. Rhodes, “Electronically tunable microwave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 30, no. 9, pp. 1354–1360, Sep. 1982. [4] M. Makimoto and M. Sagawa, “Varactor tuned bandpass filters using microstrip-line ring resonators,” in IEEE MTT-S Int. Microwave Symp. Dig., May 1986, pp. 411–414. [5] Y. H. Shu, J. A. Navarro, and K. Chang, “Electronically switchable and tunable coplanar waveguide–slotline bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 3, pp. 548–554, Mar. 1991. [6] T. Y. Yun and K. Chang, “One-dimensional photonic bandgap resonators and varactor tuned resonators,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 4, Jun. 1999, pp. 1629–1632. [7] A. R. Brown and G. M. Rebeiz, “A varactor-tuned RF filter,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1157–1160, Jul. 2000. [8] B. W. Kim and S. W. Yun, “Varactor-tuned combline bandpass filter using step-impedance microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1279–1283, Apr. 2004. [9] D. Peroulis, S. Pacheco, K. Sarabandi, and L. P. B. Katehi, “Tunable lumped components with applications to reconfigurable MEMS filters,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, May 2001, pp. 341–344. [10] H. T. Kim, J. H. Park, Y. K. Kim, and Y. Kwon, “Low-loss and compact -band MEMS-based analog tunable bandpass filters,” IEEE Microw. Wireless Compon. Lett., vol. 12, pp. 432–434, Nov. 2002. [11] J. H. Park, H. T. Kim, Y. Kwon, and Y. K. Kim, “Tunable millimeterwave filters using a coplanar waveguide and micromachined variable capacitors,” J. Micromech. Microeng., pp. 706–712, Oct. 2001. [12] R. L. Borwick III, P. A. Stupar, J. F. DeNatale, R. Anderson, and R. Erlandson, “Variable MEMS capacitors implemented into RF filter systems,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 315–319, Jan. 2003. [13] E. Fourn, A. Pothier, C. Champeaux, P. Tristant, A. Catherinot, P. Blondy, G. Tanné, E. Rius, C. Person, and F. Huret, “MEMS switchable interdigital coplanar filter,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 320–324, Jan. 2003. [14] A. Abbaspour-Tamijani, L. Dussopt, and G. M. Rebeiz, “Miniature and tunable filters using MEMS capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1878–1885, Jul. 2003. [15] D. Mercier, J. C. Orlianges, T. Delage, C. Champeaux, A. Catherinot, D. Cros, and P. Blondy, “Millimeter-wave tune-all bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1175–1181, Apr. 2004. [16] L. H. Hsieh and K. Chang, “Tunable microstrip bandpass filters with two transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 520–525, Feb. 2003. [17] T. Y. Yun and K. Chang, “Piezoelectric-transducer-controlled tunable microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 5, pp. 1303–1310, May 2002. [18] F. A. Miranda, G. Subramanyam, F. W. Van Keuls, R. R. Romanofsky, J. D. Warner, and C. H. Mueller, “Design and development of ferroelectric tunable microwave components for - and -band satellite communication systems,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1181–1189, Jul. 2000. [19] A. Tombak, J. P. Maria, F. T. Ayguavives, Z. Jin, G. T. Stauf, A. I. Kingon, and A. Mortazawi, “Voltage-controlled RF filters employing thin-film barium–strontium–titanate tunable capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 462–467, Feb. 2003.

V

Ku

K

2514

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

[20] E. Salahun, G. Tanné, P. Queffelec, P. Gelin, A. L. Adenot, and O. Acher, “Ferromagnetic composite-based and magnetically-tunable microwave devices,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 2002, pp. 1185–1188. [21] E. Yablonovitch, “Inhibited spontaneous emission in solid-state physics and electronics,” Phys. Rev. Lett., vol. 58, pp. 2059–2062, May 1987. [22] G. L. Matthaei, D. C. Park, Y. M. Kim, and D. L. Johnson, “A study of the filter properties of single and parallel-coupled dielectric-waveguide gratings,” IEEE Trans. Microw. Theory Tech., vol. 31, no. 10, pp. 825–835, Oct. 1983. [23] F. Martin, F. Falcone, J. Bonache, T. Lopetegi, M. A. G. Laso, and M. Sorolla, “Dual electromagnetic bandgap CPW structures for filter applications,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 9, pp. 393–395, Sep. 2003. [24] C. Caloz and T. Itoh, “A super-compact super-broadband tapered uniplanar PBG structure for microwave and millimeter-wave applications,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Jun. 2002, pp. 1919–1922. [25] V. Radisic, Y. Qian, R. Coccioli, and T. Itoh, “Novel 2-D photonic bandgap structure for microstrip lines,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 69–71, Feb. 1998. [26] T. Lopetegi, M. A. G. Laso, M. J. Erro, M. Sorolla, and M. Thumm, “Analysis and design of periodic structures for microstrip lines by using the coupled mode theory,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 11, pp. 441–443, Nov. 2002. [27] L. Zhu, “Guided-wave characteristics of periodic coplanar waveguides with inductive loading—Unit-length transmission parameters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2133–2138, Oct. 2003. [28] F. Martin, J. L. Carreras, J. Bonache, F. Falcone, T. Lopetegi, M. A. G. Laso, and M. Sorolla, “Frequency tuning in electromagnetic bandgap nonlinear transmission lines,” Electron. Lett., vol. 39, pp. 440–442, Mar. 2003. [29] T. Y. Yun and K. Chang, “Uniplanar one-dimensional photonic-bandgap structures and resonators,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 3, pp. 549–553, Mar. 2001. [30] E. Pistono, P. Ferrari, L. Duvillaret, J. L. Coutaz, and A. Jrad, “Tunable bandpass microwave filters based on defect commandable photonic bandgap waveguides,” Electron. Lett., vol. 39, pp. 1131–1133, Jul. 2003. [31] J. N. Winn, Y. Fink, S. Fan, and J. D. Joannopoulos, “Omnidirectional reflection from a one-dimensional photonic crystal,” Opt. Lett., vol. 23, pp. 1573–1575, Oct. 1998. [32] H. Nemec, L. Duvillaret, F. Quemeneur, and P. Kuzel, “Defect modes caused by twinning in one-dimensional photonic crystals,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 21, pp. 548–553, Mar. 2004. [33] C. K. Wu, H. S. Wu, and C. K. C. Tzuang, “Electric-magnetic-electric slow-wave microstrip line and bandpass filter of compressed size,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1996–2004, Aug. 2002. [34] L. Dussopt and G. M. Rebeiz, “High- millimeter-wave MEMS varactors: Extended tuning range and discrete-position designs,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Jun. 2002, pp. 1205–1208.

Q

Emmanuel Pistono was born in Gap, France, in 1978. He received the Electronics and Microwaves Engineer degree and M.Sc. degree from the Institut National Polytechnique de Grenoble (INPG), Grenoble, France, in 2002 and 2003, respectively, and is currently working toward the Ph.D. degree at the Université de Savoie, Le Bourget-du-Lac, France. He is currently with the Laboratoire d’Hyperfréquences et de Caractérization (LAHC), Université de Savoie. His research interest is the conception and realization of hybrid tunable microwave filters.

Philippe Ferrari was born in Ugine, France, in 1966. He received the B.Sc. degree in electrical engineering and Ph.D. degree from the Institut National Polytechnique de Grenoble (INPG), Grenoble, France, in 1988 and 1992, respectively. In 1992, he joined the Laboratory of Microwaves and Characterization, Université de Savoie, Le Bourget-du-Lac, France, as an Assistant Professor in electrical engineering. From 1998 to 2004, he was the Head of the laboratory project on nonlinear transmission lines and tunable devices. Since September, 2004, he has been an Associate Professor with the University Joseph Fourier, Grenoble, France. He also continues his research with the Institute of Microelectronics Electromagnetism and Photonic (IMEP), INPG. His main research interest is the conception and realization of tunable devices such as filters, phase shifters, and power dividers. He is also involved in the development of time-domain techniques for the measurement of passive microwave devices and soil moisture content.

Lionel Duvillaret was born in Thonon-les-bains, France, in 1966. He received the Ph.D. degree in physics from the University of Paris XI, Orsay, France, in 1994. From 1990 to 1994, he was involved in research on electrooptic sampling with the Institute of Fundamental Electronics (IEF), Orsay, France. In October 1993, he joined the Laboratoire d’Hyperfréquences et de Caractérization (LAHC), Université de Savoie, Le-Bourget-du-Lac, France, where he is currently an Assistant Professor of physics. His current research interests include terahertz time-domain spectroscopy, electrooptic characterization of electric fields, and EBG materials. He has authored or coauthored over 65 journal papers and international conference proceedings.

Jean-Marc Duchamp was born in Lyon, France, on April 10, 1965. He received the M.Sc. degree from the University of Orsay, Orsay, France, in 1988, the Electronics and Electricity Engineer degree from from the Ecole Supérieur d’Electricité (ESE), Gif/Yvette, France, in 1990, and the Ph.D. degree from the Université de Savoie, Le-Bourget-du-Lac, France, in 2004. From 1991 to 1996, he was a Research Engineer at Techmeta, Pringy, France. He is currently with the Laboratoire d’Hyperfréquences et de Caractérization (LAHC), Université de Savoie, where he teaches electronics and computer sciences. His current research interests include nonlinear microwave and millimeter-wave circuits analysis and design like nonlinear transmission lines, periodic structures, and tunable impedance transformers.

Robert G. Harrison (M’82) received the B.A. and M.A. (Eng.) degrees from Cambridge University, Cambridge, U.K., in 1956 and 1960, respectively, and the Ph.D. and D.I.C. degrees from the University of London, London, U.K., in 1964. From 1964 to 1976, he was with the Research Laboratories, RCA Ltd., Ste-Anne-de-Bellevue, QC, Canada. In 1977, he became Director of Research with Com Dev Ltd., Dorval, QC, Canada, where he was involved with nonlinear microwave networks. From 1979 to 1980, he designed spread-spectrum systems with the Canadian Marconi Company, Montreal, QC, Canada. Since 1980, he has been a Professor with the Department of Electronics, Carleton University, Ottawa, ON, Canada. His research interests include the modeling of nonlinear microwave device/circuit interactions by a combination of analytical and numerical techniques and, more recently, the development of physics-based analytical models of ferromagnetic phenomena. He has authored or coauthored over 60 technical papers, mostly in the area of nonlinear microwave circuits, as well as several book chapters on microwave solid-state circuit design. He holds a number of basic patents in the area of microwave frequency-division devices. Dr. Harrison received the 1978 Inventor Award from Canadian Patents and Development.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

2515

Passive-Intermodulation Analysis Between Rough Rectangular Waveguide Flanges Carlos Vicente and Hans L. Hartnagel, Life Fellow, IEEE

Abstract—A new model is presented for the calculation of passive intermodulation (PIM) in waveguide connections. The model considers the roughness of interconnecting waveguide surfaces and the presence of an insulator layer (oxide and contaminants) on these metal surfaces. This results in the generation of a contact resistance, which can excite the PIM level. In particular, the case in which metal–insulator–metal regions are the PIM source is especially investigated. The intermodulation level response is calculated for different waveguide junction parameters like applied mechanical load, surface finish, or metal properties showing qualitative agreement with the measured data published by previous authors. Index Terms—A-spots, contact resistance, metal–insulator–metal (MIM) contact, metal-to-metal (MM) contact, passive intermodulation (PIM), PIM level, roughness, waveguide flanges.

I. INTRODUCTION

T

HE generation of passive intermodulation (PIM) is an important problem that can seriously affect satellite performance [1]–[3]. It has caused large delays and high-cost test campaigns in satellite programs [2]. This distortion has its origin in the existence of a nonlinear component in the system that generates harmonics of the input signal. If, additionally, more than one signal is present, intermodulation products are also excited. These new frequencies originated in the transmission band of the satellite can fall in the reception band. Despite the fact that these excited signals have low power (since they have been originated by passive components), the receive carriers are also low-power signals and, therefore, interference can occur. If only two carriers are considered, the intermodulation frequencies are

where and are the two input frequencies, is the freand are integer quency of the intermodulation product, provides the intermodunumbers, and the relation lation order . Up to now, no reliable models exist to predict this phenomenon. Several facts can explain this. First of all, the PIM sources are usually associated with undesired and unavoidable natural Manuscript received July 5, 2004; revised February 8, 2005. This work was supported by the European Commission under Millimeter-Wave and Microwave Components Design Framework for Ground and Space Multimedia Network Project Contract HPRN-CT-2000-00043 and by the European Space Agency under Contract 17025/03/NL/EC. The authors are with the Institut für Hochfrequenztechnik, Technical University of Darmstadt, 64283 Darmstadt, Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852771

Fig. 1. Side view of a connection consisting of two rectangular waveguides. The dielectric layer has been exaggerated and the roughness is not shown, hiding the real surface aspect where air gaps between the waveguides also occur.

phenomena such as oxidation or ageing, which make the whole system behavior unreproducible. Secondly, a large quantity of PIM sources have been identified along the years [4]. These sources are difficult to isolate experimentally, making it cumbersome to compare theory and experimental data. Indeed, PIM generation is affected by many parameters so that any theoretical model needs to be carefully assessed to be relevant for any measured data. In particular, this paper deals with a highly problematic source of intermodulation present in satellite communications: waveguide junctions [5]. The excitation of PIM in this system has been associated with several physical mechanisms [6], [7]. In any case, it is accepted that whatever the mechanism responsible for PIM at waveguide junctions is, it can be mitigated by applying high mechanical loads between the waveguides. This can be easily understood if one is aware of the microscopic configuration of metal-to-metal (MM) contacts. Due to the roughness of the metal surfaces, the contact between two metals is never perfect. Therefore, a two-waveguide junction system can be viewed as a combination of metal contact zones and noncontact ones. In addition to roughness, metal surfaces (except gold) always present a native oxide or sulfide (as for silver) layer covering them, as well as contaminants like oxygen or carbon. The thickness of this layer depends on the metal itself, but generally is of the order of a few nanometers. Thus, the real MM contacts (a-spots) are even further reduced due to the presence of such a dielectric layer. Fig. 1 shows the system under investigation. The waveguide connection is considered to be separated by a dielectric layer. This layer has been exaggerated and the roughness is not visible and, therefore, neither are the air gaps between the flanges. Only the insulator case as the dielectric layer is taken for the sake of simplicity. There is not very much published measured data focused on the dependence of the PIM level on the applied mechanical load.

0018-9480/$20.00 © 2005 IEEE

2516

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

The most important are probably those according to Bayrak and Benson [8], Arazm and Benson [9], Martin [10], Martin and Williams [11], and more recently, Weibel and Hügel [7]. In their studies, the PIM level is investigated for different materials as a function of the applied force. They concluded that, in general, the PIM level strongly depends on the cleanliness of the surfaces and it is not so dependent on the surface roughness. Normally, they observed that the PIM level keeps approximately constant (sometimes increasing or decreasing slightly) with the applied load until a threshold load is applied from which it decreases very sharply. This is associated with the formation of metallic contacts due to the rupture of the oxide layer at high loads, which results in a decrease of the contact resistance and, therefore, of the PIM level. For some metals, like gold or silver, the cracking of the covering layer takes place at very low applied forces and, as a consequence, the PIM level is rapidly driven under the noise level. In other cases, the PIM level keeps more or less constant even at very high loads because the covering layer cannot be displaced at all. Therefore, a model intended to simulate rectangular waveguide joint PIM levels should reproduce these experimental results providing a theoretical explanation. A model for calculating the PIM response of a waveguide junction system is presented below. First of all, a surface model for the two waveguide flanges is presented, as well as the mechanical approach chosen for the calculation of the contact area as a function of the applied load. Afterwards, an electric contact model between such types of surfaces separated by a thin insulating film is described. The voltage drop is then calculated for the case of waveguide junctions in full contact, being justified by the fact that the voltage drop can be obtained in realistic cases (partial contact) by means of simple single-mode equivalent circuits. Finally, the PIM level is quantified under calibration in terms of two different PIM excitation mechanisms: a third-order mathematical PIM excitation function, and a physical PIM excitation based on tunneling transport in the metal–insulator–metal (MIM) regions. This second approach allows the study of all the intermodulation orders simultaneously and the contribution of higher orders into the lower ones. Full correlation between the model and the published measured data is not possible since these data have been taken for systems different from waveguide contacts. Therefore, all the theoretical results are only qualitatively compared to the measured data resulting, however, in a good agreement. II. SURFACE MODEL Here, a model is presented for the calculation of the area of contact between two rough surfaces separated by a thin insulating layer. The mechanical properties are taken as those of the base metals since the insulating layer is much thinner than the typical roughness of engineering surfaces [12]. As mentioned, the contact of two metals is never perfect due to the roughness of the metals themselves. In fact, only a small portion of the surfaces is in real contact. In order to simulate rough surfaces topography, a large amount of models has been considered thus far [13]–[16]. They are usually based on assuming spherical geometry for a single asperity (peaks on the

Fig. 2. Model for the topography of nominally flat surfaces: one of the surfaces is taken as flat (and infinitely hard), whereas the other is represented by circular asperities above the mean height of the rough surface. A particular asperity is in contact if its height (z ) is greater than the distance between the flat surface and the mean height of the rough one.

surface) and distributing these asperities on the surface using a statistical approach [14]. The Greenwood and Williamson (GW) model [14] is adopted here. The basic assumptions of this model are as follows: • isotropy of the rough surface; • asperity summits have the same radius of curvature, but their heights are varied randomly; • asperities do not interact between themselves; • only the asperities are deformed: no bulk deformation can occur. It can be shown [14] that the contact between two rough surfaces is equivalent to the contact between a hard surface (infinite Young’s modulus) and a rough surface of equivalent Young’s given by modulus (1) where and are the Young’s moduli and the Poisson’s ratios of the two metals. However, the GW model was restricted to the elastic regime case. The fully plastic regime was also taken into consideration by other authors [13]. The intermediate elastic–plastic regime has been also studied [15], although no analytical results can be provided. Fig. 2 shows the surface contact model used for simulating the MM connection. The asperity height ( ) distribution is assumed to be Gaussian, is the interference distance, i.e., the asperity distance that has penetrated into the flat surface, and is the distance between the flat surface and the asperities height mean. For characterizing the surface, the following three parameters are used under this model: • , which is the standard deviation of the surface heights; • , which is the microasperity density; • , which is the asperity radius. In this case (Gaussian distribution), is related to the standard deviation of the surface heights of each of the surfaces

The roughness parameter

is defined as

VICENTE AND HARTNAGEL: PIM ANALYSIS BETWEEN ROUGH RECTANGULAR WAVEGUIDE FLANGES

Additionally, perity heights

is related to the standard deviation of the asby [17]

Another important parameter is the number of microasperities in contact, which can be computed by [19] (9)

(2) and, finally, by

is expressed in terms of the average roughness

(3) In this way, the roughness parameter directly taken from is related to the parameter used by the the measurements . model The deformation distance of each microasperity is defined as (as Fig. 2 shows), and its average over the whole surface is

2517

where is the nominal area of contact, i.e., the area of the smallest surface. In this study, the finite-element solution recently developed by Kogut and Etsion is adopted [19]. They have given constitutive relations for the dimensionless real area of contact defined as the quotient between the real area of contact and the nominal area , and the dimensionless applied load defined as the quotient between the real applied and the product of the nominal area and mechanical load as a function of the the microhardness surfaces separation

(4) where the asterisk for now denotes that the quantity has been divided by (in order to deal with dimensionless entities), and is the Gaussian distribution of the asperity heights, i.e.,

(10)

(5) can be defined, which provides the A critical interference transition from the elastic to the elastic–plastic regime [15] (6) where ( is the Poisson’s ratio of is the hardness of the softer the softer material) [18], and material. The plasticity of a material is related to the roughness of its surface and to the mechanical properties of the material itself. The plasticity index is defined as [14] (7) This parameter governs the mechanical response of rough surface contacts. The higher , the more plastic is the contact. However, the plasticity index has the disadvantage of considering surfaces characteristics and material properties altogether. For characterizing the surface plasticity regardless of the particular material, the part of dependent only on the surface parameters can be taken. Thus, we can define

(11) where

is given by (12)

. The expressions for and are given as a sum over the separation distance in four different ranges. This is done for appropriately fitting the numerical results to an analytical formula. The first and last ranges correspond to the elastic and fully plastic regime, respectively, whereas the two in-between regimes account for the elastic–plastic region. The area of contact and the load can be related just by taking its value for the same surface separation. Doing this, one knows the contact surface change as a function of the applied load. In the case of fully plastic deformation, the dimensionless applied load and the dimensionless real area of contact are related only by the microhardness of the softest material since the mean applied pressure equals the microhardness, i.e., (13)

(8) Following this procedure, one can compare contacts between surfaces of the same shape, but different metals. This is very useful if the effect of applying coatings on metal surfaces is investigated since the surface aspect is, indeed, not modified, but the mechanical properties are.

III. ELECTRICAL CONTACT OF METALS SEPARATED BY A THIN DIELECTRIC FILM The connection between the waveguides is just an electrical contact problem. Here, this particular electrical contact case is analyzed where metals are separated by a thin insulating layer.

2518

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 3. Equivalent circuit of the MM contact in the case that no a-spots are formed. Fig. 4. Equivalent circuit in the case of MM contact in the microasperities.

Two situations can take place. On the one hand, the case in which no MM contacts exist and all the contact points are separated by the dielectric layer. On the other hand, the case in which in the contact zones, a-spots are formed due to the rupture of the insulating layer by the base metal. A. No MM Contacts Case In a metallic contact, two main regions can be clearly differentiated: the contacting zones between the surfaces and the void regions where no physical contact exists. Fig. 3 shows the equivalent circuit used to simulate such a system. The two capacitors are due to the displacement currents in the void regions and in the contacting zones . In the void regions, the capacitance is the sum in series of two contributions; the air capacitance of thickness – and the insulator capacitor of thickness . However, since the insulating layer is only few nanometers thick [20] and the separation between engineering surfaces is typically of the order of the micrometer [12], the contribution of the insulating layer to the noncontact capacitance can be neglected [21]. The circuit of Fig. 3 already shows the contact effective capacitance and the noncontact effective capacitance. Each one is the sum of the parallel capacitances in all the void zones and in all the contact regions, respectively. The noncontact effective capacitance is just (14)

neling, thermionic emission, or Poole–Frenkel effect, and in the void regions, mechanisms like field emission or gas breakdown (corona). Finally, the last element in the circuit, the envelope constric, has its origin in the convergence and divertion resistance gence of the current in the microasperity zone [22]. The Holm mechanism is taken to be the cause of this resistance, i.e., it is assumed that the radius of the microcontacts at the microasperities are larger than the average electron mean-free path of the electrons in the metals. Otherwise, the Sharvin mechanism where the electrons travel ballistically would be the predominant one. Hence, following the Holm result [22], the envelope constriction resistance for one single microasperity is (16) are the specific resistivities of the contacting surwhere is the radius of contact. In the case of mifaces and croasperities, the resulting resistance is the sum of individual can be extracted parallel resistances. An average radius from the contact area and the total number of microasperities in contact (17) For the case of approximated by

microasperities in contact,

being the noncontacting total area. On the other hand, the contact effective capacitance is (15) where is the permittivity of the insulating layer. It is clear that for low contact areas (low applied mechanical load), the dominant capacitance is the noncontacting one, dominates. whereas at high loads, For each capacitance, a nonlinear resistance in parallel has been included. These resistances are the PIM sources in the void regions and in the MIM zones (film resistance). Of course, these resistances are much larger than the other resistances in the circuit since, otherwise, the PIM level observed (from 20 to 150 dBm) would be much larger. Among the physical sources of these resistances (which finally are the PIM sources), one can find in the MIM zones tun-

can then be

(18)

B. MM Contacts Case In this case, the circuit of Fig. 4 is used for simulating the system behavior instead of the circuit shown in Fig. 3. The only (and critical) difference is the appearance of a constriction resistance, which, as in the case of the envelope constriction resistance, is due to the change in the direction of the current lines, but, in this situation, in the a-spots. This constriction resistance is similar to (16), but now the radius corresponds to the a-spot radius. A cracking function, which provides the cracking rate of the insulator layer as the force is increased, is needed. The study of the cracking phenomenon is not fully understood and modeled to the knowledge of the authors. It is very dependent on small irregularities of the

VICENTE AND HARTNAGEL: PIM ANALYSIS BETWEEN ROUGH RECTANGULAR WAVEGUIDE FLANGES

surfaces and/or of the covering dielectric layers. Thus, the study of the particular characteristics of cracking are beyond the scope of this paper. However, some qualitative aspects can be addressed: if the hardness of the base metal and of the oxide layer differ significantly, the oxide layer can be broken more easily. Also, it is obvious that the thinner the covering layer, the lower the force needed to rupture it. In this study, we assume a simple cracking profile, which has to obey some basic characteristics as follows. 1) No cracking occurs at low applied forces. 2) At extremely high loads, almost all the areas of contact are indeed MM contacts (perfect ohmic contact). 3) It must be related to the thickness of the insulating layer and the microasperities deformation. 4) It must be an increasing function with the applied force. The threshold value of the pressure (or any related parameter) needed for the cracking to appear will be dependent on the oxide/contaminants—metal junction characteristics like microhardness difference or layer thickness. Malucci [23] has pointed out that, after some assumptions, the cracking is basically dein such a way that if the deformation is lower than pendent on the insulating thickness, no cracking can occur. We postulate a simple expression to take into account the cracking phenomenon (19) is a parameter that provides how fast the MM rewhere gions grow with the applied force; the smaller the , the stronger the tendency to have MM contacts, and is given by (4). In this expression, we have not assumed that if the deformation average is lower than , cracking can not take place since, even in that case, some values of can be larger than and then cracking can indeed occur. This simple function fulfills the requirements mentioned above and relates the cracking mechanism to the averaged value of the surface penetration of the rough surface into the flat one, and the covering layer thickness, which has a clear physical meaning. in the The calculation of the constriction resistance circuit of Fig. 4 from the total area of all the a-spots is not direct. Indeed, the constriction resistance for one microasperity where a-spots are present is analog to (18) (20) is the average radius of all the circular a-spots in where microasperities, the total conone single microasperity. For striction resistance is (21) From (19) and assuming that the effective single a-spot, the value of the same way as in (17), i.e.,

a-spots behave like an can be obtained in

(22)

2519

Of course, the expressions developed for the constriction resistance will not provide a high accuracy. Nevertheless, the objective is to find the correct dependence of the contact with parameters like contact area or degree of cracking and, therefore, this approximate analysis is justified. C. Tunneling Nonlinear Response From all the possible PIM physical sources, tunneling through the MIM regions is going to be given more attention. It is obvious that, for the case of very low applied forces, the surfaces are almost separated and, therefore, the main PIM source is due to the lack of contact between the waveguides. This case has beenexperimentallyinvestigatedin[24],althoughnoconclusions about the PIM source mechanism have been reported. On the contrary, if the applied pressure is high, it is very likely that the main source of intermodulation occurs in the MIM regions since a high number of contacting zones exist. In this case, the main PIM sources can comprise several contributions like tunneling, thermionic, Poole–Frenkel, and more [6], [25]. From all the above-mentioned effects, tunneling is the dominant phenomenon for very thin layers. Other mechanisms are only of relevance if the insulator thickness is larger than 10 nm [25]. The tunnel current between the metals can be calculated using the Simmons equation [26] for direct tunneling in MIM structures. The volume tunnel current density is nonlinear and voltage dependent. Following [26],

(23)

where

(24) and is the barrier width (insulating thickness) without considering the image force effect [25], and are the turning points of the tunneling barrier (which define the effective barrier width different from due to the aforementioned efis the barrier height, and is the applied voltage. The fect), and are given in angstrom and in volts. values of This expression is accurate for low and intermediate voltages . For higher voltages, the use of the Fowler–Nordheim formula [25] becomes necessary. However, in this application, the use of the Simmons equation is sufficient since no high voltages are generated at the junction. IV. WAVEGUIDE JUNCTION MODEL: VOLTAGE DROP CALCULATION Once the surfaces have been topographically and mechanically characterized and a general electric model for metal contacts has been proposed, the application to the particular system under study (waveguide junctions) must be performed in order to calculate the PIM level. In the first part of this section, the voltage drop at the junction is calculated for the case where the whole area is in contact and separated by a thin insulating layer. Later on, it will be seen

2520

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

how the expression obtained can be related to the voltage drop calculated using only the resistance of the contact capacitor of the equivalent circuit of Section III. This will allow us to generalize this approach to the cases in which no full contact exists and cracking is present. Only the case of single-mode waveguides is considered. This can basically means that only the fundamental mode propagate in the waveguide for the frequencies considered. The rest of the modes, if excited, would be evanescent and would reconvert into the fundamental one. This is important since the nonlinearities in the system excite apart from the intermodulation frequencies and harmonics, the harmonics of the input , etc. If only the fundamodes, i.e., in this case, mental mode is propagating, the excited modes reconvert to it and, therefore, we do not need to consider them. Such an assumption is not really critical since devices normally work in the fundamental mode (lowest loss). Moreover, since the frequencies of the PIM signals excited are close to the input carriers, they propagate with the fundamental mode as well. As is well known, due to the presence of the wave inside the device, a surface current is generated at the walls. This surface can be determined from the electromagnetic current density fields using [27] (25) with , an orthonormal vector to the waveguide walls, and , the total magnetic field. Since the discontinuity due to the waveguide junction occurs in the direction of propagation ( -axis), only this component of the current is taken as possible source of PIM. For the fundamental mode, there exists current in the -axis only on the top and bottom walls. This current can be written as [27] (26)

The volume current density is related to the electromagnetic fields through Ampere’s law (29) where all the variables have the usual meaning. Besides, the electric field is related to the potentials (scalar and vector) by

(30) Thus, the potential generated at the flange can be calculated by means of (31) For the fundamental mode, the axial components of vanish, and the previous equation becomes

(32) By assuming a time–harmonic dependence, this equation can be integrated, resulting in (33) is the voltage difference for each point in the surface limited by the waveguide width ( ) and the skin depth, , the distance between the two flanges for each being point , in this case, the insulating layer thickness. If the gap distance (insulating layer thickness) is constant through the waveguide and following the skin depth approximation, the voltage finally is (34)

where is a constant of integration related to the square root of the power (27) is the propagation constant of the and are the permeability and permitfundamental mode, tivity of the vacuum filling the waveguide, respectively, being , the frequency, and , the width and the height of the rectangular waveguide, , the input signal power, and , the characteristic impedance of the fundamental mode. The volume current density on the waveguide walls is obtained from (25) following the skin-depth approximation

(28) where is the skin depth, and and are the conductivity and permeability of the waveguide metal.

and

The -dependence of the surface current density is given by a sine function and then, one can write since the maximum occurs at the middle of the waveguide. Doing this, the voltage drop reads (35) The meaning of this expression can be reduced to a simple interpretation. If the insulating layer is very thin (with respect to the wavelength), which is the always the case, the cosine can be developed into a Taylor’s series of order two as follows:

Therefore, the voltage becomes (36)

VICENTE AND HARTNAGEL: PIM ANALYSIS BETWEEN ROUGH RECTANGULAR WAVEGUIDE FLANGES

The average voltage is given by the average value of the sine, , which results in i.e., (37) On the other hand, the total current can be calculated by intealong the -axis gration of the surface current

2521

A. Mathematical Approach The PIM level is given by a nonlinear relationship between the current flowing through the nonlinear resistances and voltage. For the general case, the current can be mathematically expressed as a Taylor series of the voltage (42)

(38) Therefore, the average voltage of (37) can be expressed as (39) since the total RF-path area is , the voltage can be exmultiplied by pressed as the contact capacitor impedance the current. The voltage has been calculated using electromagnetic considerations for the case where full contact is achieved, i.e., without considering the surface roughness of the waveguides themselves and possible cracking of the layer producing MM contacts. A similar expression would have been obtained in the case that no contact at all exists and, therefore, the voltage drop would have been given by the noncontact capacitor. This connection is possible because the insulator layer thickness is much smaller than the wavelength and no magnetic terms affect the voltage for the fundamental mode. Therefore, in order to generalize the voltage drop expression for the rough surfaces case, one has to substitute the contact capacitor impedance by the total effective impedance of circuits of Figs. 3 or 4 as follows: (40) In fact, the circuit of Fig. 3 is a particular case of the circuit of Fig. 4 where the constriction resistance becomes infinite, i.e., the case in which no (or very few) a-spots are formed. V. PIM CALCULATION For the sake of simplicity, the PIM level excited by only two signals in phase is investigated. The study of two signals is enough to provide an understanding of the relevant aspects regarding PIM in waveguide connections. By studying the “inphase” case, one is considering the worst case possible. For two frequencies, the voltage at the junction is (41) The voltage drops and are different since they depend and through the skin depth and are on the frequencies determined using (40). Two different ways for calculating the PIM level have been used. First of all, a mathematical scheme, which allows for calibration of a given order and study of the variation of the PIM level with the different parameters for this specific order. This does not need any knowledge of the PIM source since calibration is done and no other orders contributions are calculated. The second approach is based on a physical scheme where the source of the distortion is taken as tunneling in MIM structures based on (24). The aim in this second approach is after calibration of a given order, being able to predict the rest.

This nonlinearity excites the harmonics of the input signals, as well as the intermodulation signals. We are interested in the last ones for the cases in which these frequencies fall close to the input carriers, i.e.,

(43) , with being the order of where, in each case, intermodulation. , as We are going to limit our study to order 3, i.e., follows:

(44) where higher order contributions have been neglected. Since the PIM signal generates such a current, its power can be obtained by means of the fundamental-mode expression by inverting (26) and, therefore, the PIM level in dBm is just dBm

(45)

where is obtained from (26) and (27). If the PIM level is known (measured) for a particular apand and from plied mechanical load, one can calculate in (44). Once this is them, the third-order Taylor coefficient done, the PIM level has been calibrated and can be calculated for other applied loads. In the numerical calculations, we have considered a standard WR90 rectangular waveguide, being the two input frequencies 9.75 and 10.0 GHz. The third-order intermodulation frequency chosen is the one that falls in the upper part of the spectrum, i.e., 10.25 GHz. The parameters used for the numerical calculations if nothing else is explicitly stated are (number of microasperities per square meter), m, the power of the input signals W (50 dBm) per carrier, nm and in relative units. GPa, The calibration has been done for aluminum ( , and MPa) assuming that for m Pa, the third-order and for an applied flange pressure of PIM level is 100 dBm. Before presenting the results of the model, one more remark should be done. It has been assumed that the area involved in the response of the contact is just a ring around the cavity of width equal to the skin depth. However, from the electromagnetic point-of-view, the area considered is larger due to the meaning of the skin-depth approximation. The mechanical response of the contact has also been analyzed by means of dimensionless quantities [see (10) and (11)]. Moreover, the

2522

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 5. Third-order PIM level for three different surface topographies.

Fig. 6. Third-order PIM level as a function of the covering layer thickness.

results are presented in terms of the applied pressure (area independent) and not the applied force. Anyway, at very low pressures, it is expected that the area involved in the waveguide contact is probably larger than a few skin depths. Hence, the results that we present for very low pressures are limited by this fact. Fortunately, the pressures of interest are normally quite high to ensure that the approach chosen is appropriate. Fig. 5 shows the results of such a calculation (notice that for - m curve at 6 10 Pa the PIM level is indeed the 100 dBm). As a general behavior, the PIM level slowly decreases as the applied pressure is increased until a given pressure from which it decreases sharply.The explanation to this is that, for low mechanical loads, the contact resistance is dominated by the noncontacting capacitance since the area of contact is very low. Therefore, the PIM level decreases slowly because it is directly related to the surface separation, which also decreases slowly. As the mechanical load increases, the contact capacitance resistance and the constriction resistances provide the electrical response of the junction and, therefore, the PIM level decreases much faster since these resistances are dependent on the area of contact and/or the cracking process, which reduces dramatically the contact resistance due to the presence of a-spots. Three different surfaces have been chosen for comparing the effect of the roughness on the PIM level. In order to compare the three results properly, it has been assumed that the same voltage drop leads to the same PIM level. However, this is not necessarily true since the PIM mechanism can be dependent on the surface separation. Results show, as expected, that the larger the roughness, the larger the intermodulation. Nevertheless, this difference is only important if low loads are applied. The explanation to this can be found again in the fact that, at low pressures, the voltage drop is dominated by the noncontacting capacitance. Therefore, if the roughness increases, the surfaces separation for the same applied pressure increases, which leads to an increase of the noncontact capacitor resistance. However, for high loads, the PIM level is not dependent on the roughness because the dominant resistances are the contact capacitance resistance and the constriction resistances, which basically depend on the cleanliness of the surfaces. The influence of the covering layer thickness has been also investigated. Fig. 6 displays the PIM level for three different

layer thicknesses. As expected, the thicker the layer, the higher the PIM level. In this case, the PIM level for low pressures is independent of the thickness since the noncontact capacitance is basically independent of the layer thickness. However, for high loads, the contact capacitance and the constriction resistance dominate. In fact, the cracking of the covering layer is very dependent on its thickness. Since no physical source of the PIM excitation has been assumed, one has to be careful when analyzing these results. It is true that it has been experimentally observed that the PIM levels are higher if the metals have suffered a high degree of corrosion [24]. However, if tunneling is the main PIM source, the thickness of the insulating layer also affects the PIM level beyond the voltage drop. In fact, tunneling falls exponentially with the thickness, whereas the voltage drop increases only linearly (and only if the MIM capacitor dominates the contact resistance). An important topic in the search of PIM free flanges is the use of coatings. Normally, soft metals are employed since they provide a better contact and, therefore, a lower contact resistance. As an example of this, Fig. 7 compares the PIM level for indium and aluminum (Fig. 5). Neither the cracking parameter, nor the oxide layer thickness have been modified, though it is clear that, in real life, their values are different for the two materials. This is done for comparing only the influence of the mechanical properties of the metals in contact. Additionally, it has been assumed that the surface aspect is not modified by the application of the coating (same roughness) and that the mechanical response is only due to the coating material (coating is thick enough). InGPa, dium has been chosen because of its softness ( , and MPa) and because it has been used as waveguide flange coating, showing very good PIM response, at least the first time the flanges are tightened [28]. Fig. 7 shows that the PIM level is enormously reduced when coating the waveguide flanges with indium. The question arises immediately: Why not use soft materials like indium in waveguide flanges? Their use is limited because of the high stresses that are generated due to the difference of the thermal expansion coefficient of the waveguide metals (aluminum) and the bolts (iron/steel) used to tightened them. If the material is too soft, there exists the possibility that it is plastically deformed in one of the temperature extremes, and it could not recover the original shape

VICENTE AND HARTNAGEL: PIM ANALYSIS BETWEEN ROUGH RECTANGULAR WAVEGUIDE FLANGES

Fig. 7. Third-order PIM level for the case of standard waveguide (aluminum) connection and in the case where the flanges have been coated with indium keeping the topography of the surfaces.

2523

Fig. 9. Third-order PIM level as a function of the power ratio between the input carriers.

a maximum since, as observed in Fig. 9, the difference between and the PIM level for the case of equal carrier power ratio is less than 1 dB. the maximum ratio In order to calculate higher order modes and include their contribution to the lower ones, one has two choices: to use a mathematical nonlinear function (see [30]), which allows for an arbitrarily long Taylor expansion series and has no physical meaning, or to employ a physical-based function, which additionally provides information about the physical nature of the phenomenon. The second option has been chosen since a physical interpretation of the PIM generation through (24) has been suggested by Bond et al. [31]. B. Physical Approach Fig. 8. Third-order PIM level for different cracking rates.

when the other temperature extreme is reached, leading to a decrease of the contact pressure between the flanges [29]. The effect of the cracking rate is shown in Fig. 8 where four different values of have been used. Again, one can see that, at low loads, no influence of the variable, in this case, the cracking function, is observed. The increase of the cracking has, as a consequence, that the strong decrease of the PIM levels starts at lower pressures. It is important to notice that this approach does not provide information about higher orders contributions and that it cannot be used for the calculation of other intermodulation products (frequencies). However, there is one experimental way to check if higher order contributions are affecting the third-order intermodulation product. In the Appendix, the maximum of the PIM level for a given order is calculated as a function of the input signals power ratio. It is shown that the maximum follows the rule. For third order ( and ), the maximum occurs if is a factor 2 larger than the power of the frequency with the other signal power . This is shown in Fig. 9 where one can see that, indeed, the maximum occurs for a power ratio of 2. However, as the intermodulation order increases, the power ratio maximum tends to occur closer and closer to one. Experimentally, the main difficulty can be to detect the location of such

In this approach, tunneling is considered as a PIM source in the MIM structures. Therefore, (24) is used for the calculation of the nonlinear current. The main advantages of this analysis is that one has much more knowledge about which physical parameters can affect the PIM level for the case that tunneling is the PIM source, and that once the PIM level of one intermodulation frequency has been calibrated, the rest can be extracted. The three main parameters that influence the nonlinear strength of (24) apart from the voltage drop itself are the thickness of the insulating layer, its dielectric constant, and the barrier height. Given a PIM reference value and an applied load, these three parameters can be varied in order to obtain the same PIM level as the reference one. Since the insulating layer thickness and dielectric constant affect the voltage drop, only the barrier height has been varied to obtain the reference PIM level. The tunneling thickness has been taken to be half of the physical layer thickness (2 nm). This is due to the fact that the thickness is highly inhomogeneous and the tunneling depends exponentially on it, which results in a lower effective tunneling width than the average [32], [33]. In this case, since all the PIM spectrum is searched, a Fourier transform in the time domain , which has to be performed for calculating the part of corresponds to each PIM signal. One notices that, due to the general character of (24) and to the numerical calculation by means of the Fourier transform, the contributions of higher orders are automatically taken into consideration.

2524

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

tunneling effect in MIM structures has been followed, which allows the prediction of higher PIM orders once one of them is calibrated. The published measured data taken to qualitatively compare the numerical results are for general metallic contacts. Therefore, future research must be focused on the measurement of PIM products in waveguide junctions as a function of the applied load in order to fully validate the model presented. APPENDIX PIM LEVEL MAXIMUM AS A FUNCTION OF THE INPUT SIGNALS POWER RATIO

Fig. 10. Results for all the intermodulation frequencies falling inside the band of the fundamental mode using tunneling in the MIM zones as a source of intermodulation. The barrier height used is 0.305 eV.

Fig. 10 shows the results of the Fourier transform after calibration of the third order. It can be seen how the third-order intermodulation frequency in the upper part of the spectrum (10.25 GHz) coincides with the calibrated value ( 100 dBm). To achieve this result, the barrier height is 0.305 eV, which is a low value, but possible [34]. The two large peaks correspond to the PIM signals, which interfere with the input carriers. No interference occurs for the input carriers since they have a power of 50 dBm (100 dBm over the PIM signal). As expected, the intermodulation level decreases as the order of intermodulation increases (frequencies further from the input ones). Possible deviations in this behavior are related to the frequency dependence of the electromagnetic response of the waveguide, which affect the voltage drop at the connection [see (39) and (26)] and, to a larger extent, to the influence of high PIM orders into the lower ones. In Fig. 10, a deviation is seen below 7 GHz for which the PIM level slightly increases for higher orders. This deviation is due to the fact that in (45), the relation between the power and current has a singularity for the cutoff frequency and, thus, an increase in the signal power exists for those frequencies falling close to the cutoff. Fortunately, waveguides do not usually operate close to the cutoff frequency in order to avoid losses [27]. VI. CONCLUSION A complete model for the calculation of the PIM level in waveguide junctions has been developed. The model is based on assuming rough insulating covered surfaces for the waveguide flanges. The use of simple equivalent circuits for the study of the electrical response of the junction has been justified. Theoretical results as a function of different system parameters have been presented discussing the behavior of the PIM level with them, which reproduce the general behavior of published measured data. It has been found that the cleanliness of the surfaces and the mechanical properties (softness) of the contacting metals is much more important in the PIM generation than the roughness of the surfaces, which is in agreement with the published experimental results. Apart from a calculation based on the mathematical definition of intermodulation for order 3, a physical approach based on the

Here, the maximum of the PIM level as a function of the power ratio is analytically derived. It is shown that the maximum where and . Let always occurs for us study the frequency of intermodulation . where correWe define the power ratio as to . Additionsponds to the signal with frequency and . Thus, ally, the total power is kept constant, i.e., one can write the powers as a function of the desired variable only as follows: and The amplitude of the PIM signal is proportional to the ampli, tude of each signal. For an order

On the other hand, Therefore,

and

The derivative of this expression as a function of location of the maximum

.

provides the

or The maximum then occurs for (46) ACKNOWLEDGMENT Author C. Vicente wishes to thank Prof. B. Gimeno, University of Valencia, Valencia, Spain, for his careful reading of this paper’s manuscript. REFERENCES [1] P. L. Lui, “Passive intermodulation interference in communication systems,” Electron. Commun. Eng. J., pp. 109–118, Jun. 1990. [2] C. F. Hoeber, D. L. Pollard, and R. R. Nicholas, “Passive intermodulation product generation in high power communications satellites,” presented at the AIAA 11th Commutations Satellite Systems Conf., Mar. 1986. [3] J. W. Boyhan, H. F. Lenzig, and C. Koduru, “Satellite passive intermodulation: Systems considerations,” IEEE Trans. Aerosp. Electron. Syst., vol. 32, no. 2, pp. 1058–1064, Jul. 1996.

VICENTE AND HARTNAGEL: PIM ANALYSIS BETWEEN ROUGH RECTANGULAR WAVEGUIDE FLANGES

[4] S. D. Mitchell, “An investigation into passive intermodulation properties of space qualified materials,” Ph.D. dissertation, Univ. Kent, Canterbury, U.K., 1996. [5] R. D. Cox, “Measurement of waveguide component and joint mixing products in 6-GHz frequency diversity systems,” IEEE Trans. Commun. Technol., vol. CT-18, no. 5, pp. 33–37, Feb. 1970. [6] A. P. Foord and A. D. Rawlings, “A Study of passive intermodulation interference is space RF hardware,” ESTEC, Noordwijk, The Netherlands, Contract 111036, Final Rep., May 1992. [7] E. Weibel and U. Hügel, “Tests evaluate the influence of junctions on PIM,” Microwaves RF, pp. 70–80, 1998. [8] M. Bayrak and F. A. Benson, “Intermodulation products from nonlinearities in transmission lines and connectors at microwave frequencies,” Proc. Inst. Elect. Eng., vol. 122, no. 4, pp. 361–367, Apr. 1975. [9] F. Arazm and F. A. Benson, “Nonlinearities in metal contacts at microwave frequencies,” IEEE Trans. Electromagn. Compat., vol. 3, pp. 142–149, Aug. 1980. [10] R. H. Martin, “Non-linearity in RF cables and connectors,” ERA, Rep. 2885, Apr. 1976. [11] R. H. Martin and A. Williams, “Non-linearity in RF cables and connectors—Supplementary results at UHF,” ERA, Rep. 77-2022, Oct. 1977. [12] K. A. Nuri and J. Halling, “The normal approach between rough flat surfaces in contact,” Wear, vol. 32, pp. 81–93, 1975. [13] E. J. Abbott and F. A. Firestone, “Specifying surface quality—A method based on accurate measurement and comparison,” Mech. Eng., vol. 55, pp. 569–572, 1933. [14] J. A. Greenwood and J. B. P. Wiliamson, “Contact of nominally flat surfaces,” Proc. R. Soc. Lond., vol. 295, pp. 300–319, 1966. [15] W. R. Chang, I. Etsion, and D. B. Bogy, “An elastic-plastic model for the contact of rough surfaces,” ASME J. Tribol., vol. 109, pp. 257–263, 1987. [16] J. H. Horng, “An elastic-plastic asperity microcontact model for rough surfaces,” in ASME J. Tribol., vol. 120, 1998, pp. 82–88. [17] J. L. McCool, “Predicting microfracture in ceramics via a microcontact model,” ASME J. Tribol., vol. 108, pp. 380–386, 1986. [18] W. R. Chang, I. Etsion, and D. B. Bogy, “Static friction coefficient model for metallic rough surfaces,” ASME J. Tribol., vol. 110, pp. 57–63, 1988. [19] L. Kogut and I. Etsion, “A finite element based elastic-plastic model for the contact of rough surfaces,” Tribol. Trans., vol. 46, pp. 383–390, 2003. [20] H. J. Mathieu, M. Datta, and D. Landolt, “Thickness of natural oxide films determined by AES and XPS with/without sputtering,” J. Vac. Sci. Technol., vol. 3, no. 2, pp. 331–335, Mar./Apr. 1985. [21] M. Vladimirescu, R. Kwiatkowsky, and K. Engel, “Passive intermodulation distortion in RF coaxial electro-mechanical switches for space applications,” in Proc. 4th Int. ESA Multipactor, Corona, and Passive Intermodulation Workshop, The Netherlands, Sep. 2003. [22] R. Holm, Electrical Contacts, 4th ed. New York: Springer, 1967. [23] R. Malucci, “Multispot model of contacts based on surface features,” in Proc. 36th IEEE Holm Electric Contacts Conf., Aug. 1990, pp. 625–634. [24] J. V. Rootsey, “Intermodulation study (intermodulation products in satellite ground antennas),” Philco-Ford Corporation, Palo Alto, CA, Tech. Rep., Aug. 1973. [25] S. Sze, Physics of Semiconductor Devices, 2nd ed. New York: Wiley, 1981. [26] J. G. Simmons, “Generalized formula for the electric tunnel effect between similar electrodes separated by a thin insulating film,” J. Appl. Phys., vol. 34, no. 6, pp. 1793–1803, Jan. 1963. [27] E. Hallen, Electromagnetic Theory. London, U.K.: Chapman & Hall, 1962. [28] F. Suarez, C. Palacios, C. Montesano, and F. Rueda, “A titanium coating tested in passive intermodulation,” in Proc. 4th Int. ESA Multipactor, Corona and Passive Intermodulation Workshop, Noordwijk, The Netherlands, Sep. 2003.

2525

[29] M. Braunovic and M. Marjanov, “Thermoelastic ratchening effect in bolted aluminum-to-aluminum connections,” IEEE Trans. Compon., Hybrids, Manuf. Technol., vol. 11, no. 1, pp. 54–63, Mar. 1988. [30] P. Bolli, S. Selleri, and G. Pelosi, “Passive intermodulation on large reflector antennas,” IEEE Antennas Propag. Mag., vol. 44, pp. 13–20, Oct. 2002. [31] C. D. Bond, C. S. Guenzer, and C. A. Carosella, “Intermodulation generation by electron tunneling through aluminum–oxide films,” Proc. IEEE, vol. 67, no. 12, pp. 1643–1652, Dec. 1979. [32] C. K. Chow, “Effect of insulating-film-thickness nonuniformity on tunnel characteristics,” J. Appl. Phys., vol. 34, no. 9, pp. 2599–2602, Sep. 1963. [33] Z. Hurych, “Influence on nonuniform thickness of dielectric layers on capacitance and tunnel currents,” Solid State Electron., vol. 9, pp. 967–979, 1966. [34] C. G. Karagiannopoulos, P. D. Bourkas, C. T. Dervos, and C. A. Kagarakis, “Physical interpretations concerning nonlinear conductivity phenomena across no-load switching contacts,” IEEE Trans. Compon., Hybrids, Manuf. Technol., vol. 14, no. 1, pp. 137–142, Mar. 1991.

Carlos Vicente was born in Elche, Spain, in 1976. He received the Dipl. degree in physics from the University of Valencia, Valencia, Spain, in 1999, and is currently working toward the Ph.D. degree at the Technical University of Darmstadt, Darmstadt, Germany. From 1999 to the beginning of 2001, he was a Research Assistant with the Department of Theoretical Physics, University of Valencia. Since 2001, he has been with the Institute of Microwave Engineering, Technical University of Darmstadt. His research concerns PIM, corona discharge, and multipaction in communications satellite applications.

Hans L. Hartnagel (SM’72–F’92–LF’03) received the Dipl.-Ing. degree from the Technical University of Aachen, Aachen, Germany, in 1960, and the Ph.D. and Dr. Eng. degrees from the University of Sheffield, Sheffield, U.K., in 1964 and 1971, respectively. After having worked for a short period with Telefunken, Ulm, Germany, he joined the Institute National des Sciences Appliquées, Villeurbanne, Rhône, France, and then the Department of Electronic and Electrical Engineering, University of Sheffield, as a Member of Staff. In January 1971, he became a Professor of electronic engineering with the University of Newcastle upon Tyne, Newcastle upon Tyne, U.K. Since October 1978, he has been the Professor of high-frequency electronics with the Technical University of Darmstadt, Darmstadt, Germany. He has held numerous consulting positions, partly while on temporary leave of absence from his university positions. He has authored several books and numerous scientific papers on microwave semiconductor devices and their technology and circuits. Prof. Hartnagel was the recipient of the 1990 Max-Planck-Prize, the 1994 Dr. h.c. presented by the University of Rome Tor Vergata, Rome, Italy, and the 1999 Dr. h.c. from the Technical University of Moldova, Kishinev, Russia.

2526

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Skin Effect Modeling Based on a Differential Surface Admittance Operator Daniël De Zutter, Fellow, IEEE, and Luc Knockaert, Senior Member, IEEE

Abstract—An important issue in high-frequency signal integrity prediction is the modeling of the skin effect of thick conductors. A new differential surface admittance concept is put forward allowing to replace the conductor by equivalent electric surface currents and to replace the material of the conductor by the material of the background medium the conductor is embedded in. This new concept is studied in detail for the two-dimensional TM case starting from the Dirichlet eigenfunctions of the cross section. Detailed expressions are derived for the important practical case of a rectangular cross section. Next, the differential surface admittance operator is exploited to determine the resistance and inductance matrices of a set of multiconductor lines. A first set of numerical results provides the reader with some insight into the behavior of the surface admittance matrix. A second set of results demonstrates the correctness and versatility of the new approach to determine inductance and resistance matrices. Index Terms—Inductance matrix, resistance matrix, skin effect, surface admittance.

I. INTRODUCTION OR CLOCK rates in the gigahertz range and for associated rise times of the order of 100 ps, signal integrity predictions on RF boards, packages, and on-chip interconnects are increasingly dependent on dedicated electronic design automation (EDA) tools offering the combination of powerful circuit simulators and accurate electromagnetic simulators. For an overview of recent efforts in the combined use of circuit analysis and full-wave electromagnetic analysis, we refer the reader to a recent special issue of this TRANSACTIONS [1]. Moreover, the evolution toward smaller chip features and increasing clock rates continues as the International Technology Roadmap for Semiconductors (ITRS)1 predicts that the smallest on-chip features will shrink from 150 nm in 2003 to 50 nm by 2012, while the clock rate will increase from 1.5 to 10 GHz. One very important issue in the representation of the signal conductors and their coupling is the correct modeling of the so-called skin effect. When considering the current distribution in the cross section of a conductor of width , thickness , and conductivity , one can roughly distinguish between three frequency ranges. In the low-frequency range, the

F

Manuscript received July 5, 2004; revised January 6, 2005. This work was supported in part by a grant from the Institute for the Promotion of Innovation by Science and Technology in Flanders for a joint project with Agilent Technologies. The authors are with the Department of Information Technology, Ghent University, B-9000 Gent, Belgium (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852766 1The

ITRS Public Home Page. [Online]. Available: http://public.itrs.net

skin depth is much larger than both and . The current distribution in the conductor is then governed by the solution of the Laplace equation with zero normal derivative at the outer conductor surface. For a conductor with invariant cross section, e.g., in the -direction, this, of course, leads to a uniform current distribution with associated per unit length dc resistance m . Recall that the skin depth for nonmagnetic with being conductors is given by the angular frequency. With increasing frequency, inductive effects come into play, pushing the currents toward the surface of the conductor and leading to an increase of the resistance and a decrease of the internal inductance. This is the case when the skin depth becomes comparable to the (smallest) dimension of the conductor’s cross section (at intermediate frequencies). Moreover, when several closely spaced conductors are considered (possibly in the presence of a ground plane), this current redistribution in each conductor is also influenced by the nearby presence of the other conductors. Only for the highest frequencies and provided the skin depth becomes much smaller than both and , the well-known skin effect occurs. In this case, the current is flowing in a small surface layer and the behavior of the conductor is usually described in terms of the surface impedance [2]. It is clear that accurate electromagnetic modeling tools need to correctly account for the redistribution of the conductor current. This has, of course, been recognized by many authors and numerous publications address this so-called current crowding problem, see e.g., [3]–[6]. We would especially like to draw the attention of the reader to [6]. In that paper, the current crowding problem is treated in the context of the partial-element equivalent-circuit (PEEC) method and the introduction of that paper provides a good overview of previous research and of the physics of the problem. Generally speaking, one has to completely solve Maxwell’s equations inside the conductor, while simultaneously taking into account the outside field problem. To circumvent this coupled problem, planar electromagnetic solvers use the surface impedance [7] concept to relate the current at each point of the conductor’s surface to the tangential electric field at the same point. A typical expression for this with as surface impedance is defined above. This surface impedance yields a dc value of and a high-frequency value of . Acceptable results are . This obtained at low and high frequencies if and only if simple model is no longer correct when the aspect ratio of the conductor is of the order of unity. Especially for on-chip interconnections, this is precisely the range of aspect ratios we behavior describing are most interested in. Moreover, the

0018-9480/$20.00 © 2005 IEEE

DE ZUTTER AND KNOCKAERT: SKIN EFFECT MODELING BASED ON DIFFERENTIAL SURFACE ADMITTANCE OPERATOR

the current crowding in going from the low-frequency regime to the high-frequency regime is rather heuristic. Similar to the approach in [6], the purpose of this paper is to provide a surface admittance description of the conductor. At each frequency, this description associates a fictitious electric at each point on the surface surface current density at of the conductor to the tangential electric fields every other point on the surface, i.e., we cast the problem in a surface admittance operator format. When combined, for example, with the method of moments (MoM), this operator yields a surface admittance matrix. The operator allows to replace each conductor by equivalent surface currents and to replace the conductor medium by the medium of the material layer it is embedded in. The remaining field problem can then be solved by solely considering the interactions between the equivalent surface currents. It should be noted that the admittance operator description only depends on the geometry of each individual conductor and on the frequency and does not depend on the presence of other conductors. In Section II, the general idea behind the differential admittance concept is outlined. We restrict ourselves to two-dimensional (2-D) configurations and to the TM case, i.e., the configuration is invariant in the -direction and currents are flowing in this direction. In [6], the TM case is treated using a finite-difference solution of the Helmholtz equation in the conductor’s cross section. In this paper, we opt for an approach based on the Dirichlet–Neumann operator. It turns out that this approach yields analytical results in the important practical case of a conductor with rectangular cross section (and, indeed, also for a circular cross section). The theoretical derivations leading to the differential surface admittance operator based on the Dirichlet eigenfunctions of the cross section are given in Section III. In Section IV, the general theory is applied to conductors with rectangular cross section and an explicit MoM–Galerkin admittance matrix for this problem is derived. In Section V, this admittance matrix description is used to determine the resistance and inductance matrices of a set of parallel conductors by means of an electric field integral equation (EFIE). Section VI presents two sets of numerical results. The results of Section VI-A are intended to provide the reader with some insight into the behavior of the differential surface impedance matrix. The examples of Section VI-B demonstrate the correctness and versatility of the proposed concepts for the determination of the inductance and resistance matrices of two-dimensional interconnect structures. Particular attention is devoted to comparison with previously published approaches and data. Finally, Section VII presents a number of conclusions and avenues for future work.

II. DIFFERENTIAL SURFACE ADMITTANCE CONCEPT Consider, in the case of time–harmonic ( dependence) inside a transverse magnetic polarization, the electric field simply connected imperfectly conducting nonmagnetic cylinder with homogeneous cross section , as in Fig. 1. The conductor is characterized by its constitutive parameters and . Further suppose that the conductor is embedded in a planar stratified medium (the extension to a more general piecewise homo-

2527

Fig. 1. Cross section S with boundary c of a conducting cylinder embedded in a layered medium.

geneous medium is straightforward). The particular layer the conductor is embedded in is characterized by the constitutive and . The reasonings put forward in parameters the sequel remain valid for the limiting case whereby the conductor is exactly located on top of a layer. However, when the conductor is partly contained in one layer and partly in another, the conductor will have to be divided in two separate parts, as satiscorrectly remarked by one of the reviewers. Inside , fies (1) with (2) On the boundary of , we have that (3) with the index referring to the tangential component of the stands for the limit of the magnetic field. The expression normal derivative of the electric field tending from the inside of the cylinder to . We can rewrite (3) as [8] Y

(4)

Y is the surface admittance operator and is the Dirichlet–Neumann operator, mapping the values of the field on to the values of the normal derivatives of the field on . When is not a Dirichlet eigenvalue for , it is known that is a self-adjoint pseudothe Dirichlet–Neumann operator differential operator of order 1 [9]. Now suppose that the constitutive parameters of the conducting cylinder are replaced by those of the medium outside the conductor, in particular, of the material layer the conductor is embedded in. The corresponding fictitious electric field in , now satisfies (5) with (6)

2528

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

III. DIRICHLET CHARACTERIZATION There are several possibilities to characterize the operator in (9). We briefly come back to this point in Section VII. In the sequel, we opt for a solution based on the Dirichlet eigenfunctions of the cross section . To this end, consider the two Helmholtz Dirichlet problems (10) (11) Fig. 2. Equivalent longitudinal currents replacing the conductor of Fig. 1 with the material ;  ;  of the conductor replaced by the material  ;  ;  of the layer it is embedded in.

, we can write

Putting

(12) on , we may expand in terms of the orthonormal Since for , which is supposed to form Dirichlet eigenfunctions . From (12), we obtain a complete orthonormal basis in

On the boundary of , we now have that

(7) and in the same vein as above, (13) (8) If we want to replace the conductor by the material of its surrounding layer, in this way restoring the planar stratified nature of the medium and undoing the discontinuity in conductivity and permittivity due to the conductor’s presence, it suffices to introduce an equivalent surface current density related to the value of the field on the boundary by means of the differential surface admittance operator defined as

with

, the eigenvalue corresponding to . Now since , it is a simple matter to show that (14)

resulting in

(15)

(9) and, hence, by taking normal derivatives This is depicted in Fig. 2. The layered medium now permeates the conductor. When solving the field problem external to the conductor, the effect of the conductor is exactly accounted for and the by the presence of the surface currents provided surface current are forced to satisfy (9) on . As a matter of fact, by enforcing (9), we ensure that the ratio of the total tangential electric field to the total tangential magnetic field just outside the conductor’s surface is exactly the ratio enforced by the presence of the conductor. This guarantees a unique field solution, notwithstanding possible resonances in . When solving the field problem of Fig. 2, the obtained result is only identical to the one for the original configuration of Fig. 1, taken outside the conductor. Inside the conductor, a fictitious field is obtained. However, in order to obtain relevant data such as total joule losses, total conduction current, or inductance and resistance matrices, the sole knowledge of the surface current deninside sity suffices. If needed at all, the actual electric field the conductor can be reconstructed from the knowledge of on the boundary . Remark that the surface current does not introduce a discontinuity in the electric field. Hence, we have that . on the boundary and only on

(16) In shorthand notation, we have (17) where the contrast parameter

is (18)

Result (17) is the analytical expression for the differential surface admittance operator operating on the tangential electric

DE ZUTTER AND KNOCKAERT: SKIN EFFECT MODELING BASED ON DIFFERENTIAL SURFACE ADMITTANCE OPERATOR

field on . is expressed in terms of an expansion in the normal derivatives on the boundary of the Dirichlet eigenfunctions of the conductor’s cross section .

2529

Now the unit function can be developed as (26)

A. Joule Losses and Total Surface Current By Parseval’s identity, we, therefore, obtain

The surface joule losses are given by

(27) (19) which is equal to the difference between the joule volume losses. Relationship (19) follows straightforwardly from Green’s idensatisfying the Helmholtz equation (10) with tity for functions a complex wavenumber , namely, (20)

Note that this could also have been obtained by exploiting result , this can be written as , where (21). With is the per unit length resistance , which is the well-known Pouillet law. C. Example: Circular Region The circular region formulas. Expanding

with radius

exhibits very simple in a Fourier series as (28)

Finally, it should be noted that the total surface current is we straightforwardly obtain (21) which is equal to the difference between the volume currents (including the displacement currents). Relationship (21) follows straightforwardly from the Gauss divergence theorem applied to Helmholtz equation (10), namely,

(29) where

are the Bessel functions and, hence,

(22) and, similarly, for . In the sequel, attention is focused on good conductors embedded in a lossless layer, i.e., and . In that case, the contrast parameter (18) and the total surface current (21) reduces to the total conduction current in the conductor. The surface joules losses (19) are the total joule losses in the conductor.

(30) , we Note that, in order to obtain (30) for the surface current did not, in fact, need the Dirichlet eigenfunctions for the circular region, which is exceptional.

B. Pouillet’s Law In our derivations, represents the electric field on the , we may expect to be conboundary . In the dc case is constant on and, as a consequence, we then have that stant over the entire cross section . When , (17) becomes, , with constant and

IV. RECTANGULAR REGION A. Analytical Solution Consider the practically important rectangular region and . To obtain the differential admittance operator (17), we need the Dirichlet eigenfunctions and eigenvalues of the rectangle, which are

(23) Integrating

over and using the Gauss identities (31) (24) The normal derivatives

we obtain for the total current (25)

are

side 1

(32)

side 2

(33)

2530

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

side 3

(34)

side 4

(35)

It is clear that, on all four sides, the field data preferably have to be developed in a Fourier sine series. Hence, consider on side 1 (the other sides can be treated similarly) given by one sine mode

surface admittance, whereby both the surface current and the electric field are projected on a pulse basis. Starting with , we have that (44) where

(36) and on the other sides. We readily obtain the surface current density (37)

elsewhere

(45)

. is the number of pulse basis functions and along each horizontal side of the rectangle. To connect the pulse basis expansion with the sine basis formulation, we first project by putting (44) onto the sine basis in

where (46) (38) and imposing the Galerkin testing procedure and

(39) and its Explicit analytic expressions for the function derivative with respect to are given in the Appendix. From (37), we easily derive that side 1

(40)

side 2

(41)

side 3

(42)

side 4

(43)

where denotes the derivative of argument .

(38) with respect to its

(47) and Grouping the coefficients column vectors and , we find that

(48) where the entries of the

matrix

are given by (49)

The next step is to project , as defined in (40)–(43), by a similar Galerkin testing procedure, onto the pulse basis on each side of such the rectangle. This means we need to find coefficients that on

B. Discretized Form of the Solution In Section IV-A, an analytical description of the differential surface admittance operator was obtained. To determine resistance and inductance matrices of multiconductor transmission lines (the topic of Section V), this operator will be combined with an integral-equation approach to solve the exterior field problem. Hence, some form of discretization of the operator is needed. When using an EFIE for the surface current , it is well known that a pulse basis representation for this surface current suffices for its correct discretization (whereas in the TE case, piecewise linear basis functions would be needed). Moreover, to solve the integral equation, it is advantageous to use a Galerkin weighting procedure in order to assure good convergence and to obtain a symmetric system matrix. Hence, this section is devoted to the derivation of a discretized form of the differential

, respectively, in the

side 1

(50)

side 2

(51)

side 3

(52)

side 4

(53)

where

elsewhere

(54)

DE ZUTTER AND KNOCKAERT: SKIN EFFECT MODELING BASED ON DIFFERENTIAL SURFACE ADMITTANCE OPERATOR

and . The surface current representations coefficients are the weighted (50)–(53) are such that the over each interval, as required by the Galerkin values of procedure. is the number of pulse basis functions along each coefficients into vertical side of the rectangle. Grouping the four column vectors, we readily obtain for side 1 that

2531

basis expansion coefficients on sides 2–4. The block matrices in (62) are given by

(55) where

is the

diagonal matrix with elements (56)

Similarly, for side 3, we obtain

(64) with

(57) with the elements of the

diagonal matrix

given by (58)

The results for sides 2 and 4 are less straightforward. After some tedious algebra, we find for side 2 that (59) where entries matrix

is the , where

diagonal matrix with entries is the diagonal matrix with and where the entries of the and of the matrix are defined as

(60) In using the same dimensions for and , we have tacitly assumed that the same number of sine functions is used on all sides of the rectangle. Finally, for side 4, we have (61) given by . with the diagonal elements of This then defines the differential surface admittance operator for the pulse basis excitation on side 1. The solution to the complete problem can be obtained by repeating the process for the other three sides and applying superposition. In block diagonal form, the final result can be written as

E

given by and with the diagonal elements of and defined in the same way as in with the elements of (56) and (58), but with replaced by . is the differential surface admittance matrix (all entries have dimension ). As expected when applying the of Galerkin’s procedure, a symmetric matrix is obtained. The is reader easily verifies from the formulas given above that indeed symmetric. To conclude, an important remark must be added. Note that in (44) and (46), the number of pulse functions and the number are not identical. The advantage of proof sine functions jecting the pulse basis on the sine basis comes from the fact that the orthogonality of the sine functions on each side of the rectangle can then be exploited in calculating the boundary integral in (17). On the other hand, the sine functions are zero at the corner points and Gibbs’s phenomena will occur when representing the nonvanishing surface currents at those corner points. (e.g., The problem is easily circumvented by choosing and – ). This does not impair the (speed of the) method as the number of sine functions does not influence the number of unknowns that will be used to solve the external only occurs in the matrix multiplications in field problem. will further be discussed in (64). The effect of the choice of one of the examples of Section VI-A. V. DETERMINATION OF RESISTANCE AND INDUCTANCE MATRICES

(62)

Here, the differential surface impedance concept is exploited to determine the resistance and inductance matrices of a set of parallel conductors extending along the -axis. The way to tackle this problem, using an EFIE approach, has been treated extensively in the literature, see, e.g., [3]–[6]. Following the approach in [4], the relevant EFIE is

(63)

(66)

or, in an easily understood notation, J

(65)

, as introduced above and with and with being the corresponding column vectors formed by the pulse

is the longitudinal electric field, is the scalar potential, and

is the vector potential, . The above expression

2532

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

will be valid as long as the cross-sectional dimensions remain small with respect to the wavelength such that the transversal currents are negligible. Using the differential surface admittance approach, the conductors can be replaced by equivalent surface , as explained in Section II. The vector potential of currents these currents is given by

(67) conducThe integration runs over the boundaries of the is the Green’s function of tors. Generally speaking, the medium the conductors are embedded in. Here, we will restrict ourselves to a homogeneous nonmagnetic space or to a half-space bounded by a perfectly electric conducting (PEC) is given by ground plane. In those cases,

for infinite space

(68)

for a half-space

(69)

being the image of with respect to the PEC ground with must be dimensionless, 1 m must be supplane. As posed in the denominator of the Green’s function for infinite space. In solving (66) for good conductors, one supposes that remains constant over the cross section of each conductor. The relationship between these constant values and the total currents through each conductor is

R

L

of the numerical examples, we have taken care to check the convergence of the numerical results for an increasing number of pulses on each side. When trying to restrict the number of pulses per side for a prescribed accuracy, one can, however, expect that, e.g., an edge mesh, as used in the modeling of planar circuits, might be advantageous. This remains to be further investigated. The total number of segments, taken over all conductor boundaries, is . Taking into account (70) then leads to

R

L

(71)

represents the value of the electric field at the center of segment and . results from the discretization of the boundary integrals in (67) and expresses the interaction ). between segment and segment (remark that Further suppose that segment is located on conductor . In the right-hand-side member of (71), the summation runs over all conductors and involves the self-coupling and mutual-coupling resistances and inductances between conductor and all other conductors. Also remark that the resistance and inductance maR and L L . trix are symmetrical and, hence, R To simplify the further discussion, we finally collect all ’s column vector E and all ’s into a column into a vector J. The ’s are collected in a matrix G. The right-hand-side members of (71) can be concisely written as a column vector U whereby element is identical for all segments on the same conductor. With the above definitions, the set of equations that govern the problem can be compactly represented as

(70) E

In (70), is an column vector formed by the constant potentials of each conductor cross section with . is also an column vector formed by the total currents through each conductor and R and L, respecresistance and inductance matrix. As tively, represent the a matter of fact, (70) defines the resistance and inductance matrices, i.e., there exists a linear relationship between the total currents flowing through each conductor and the derivatives with respect to the longitudinal coordinate of the potentials of each of these conductors. In our numerical procedure, the total currents will be judiciously enforced and, from the resulting potentials on each conductor, all elements of the resistance and inductance matrices can then be derived. We discretize (66) using a point-matching technique and . To pulse basis functions for the unknown surface currents this end, the circumferences of the conductors are divided into equal segments, and the surface current takes a constant value on each segment. The fact that we restrict ourselves to equal segments is not due to restrictions imposed by the differential surface admittance formulation. Indeed, result (63) remains valid for arbitrary pulse functions as at the start of the calculations (44), a nonuniform set of pulse functions is assumed. Here, we made the particular choice of uniform sampling to simplify the numerical implementation of the EFIE. In all

G J

U

(72)

To take into account (63), relating all electric fields on the same conductor to the equivalent surface currents on the boundary of that conductor, a block-diagonal total differential surface admittance matrix Y is formed as follows:

Y

(73)

with being the differential surface admittance matrix for conductor . The final result is now obtained by left-multiplying (72) with Y , i.e., J

Y

G

J

Y

U

(74)

The values of the elements of the resistance and inductance matrices can now be obtained by solving (74) times, enforcing the fact that, for each of these solutions, the total current running through one of the conductors is equal to unity, while all other total currents remain zero. As Y and G are symmetrical, the symmetry of R and L is guaranteed.

DE ZUTTER AND KNOCKAERT: SKIN EFFECT MODELING BASED ON DIFFERENTIAL SURFACE ADMITTANCE OPERATOR

2

2

Fig. 3. (a) 20 m 10 ( m) 5 m copper conductor ( = 5:8 (test example also used in [6]). (b) 15 mil 1.4 mil copper conductor ( 5:8 10 ( m) )) (test example also used in [5]).

2

2

2533

)) =

Y

Fig. 5. Contour plot of the normalized absolute value of the elements of the differential surface admittance matrix of the conductor of Fig. 3(a) at 10 GHz.

Y

Fig. 4. Contour plot of the normalized absolute value of the elements of the differential surface admittance matrix of the conductor of Fig. 3(a) at 79.1 MHz.

VI. NUMERICAL RESULTS A. Differential Surface Admittance Matrix A first set of results is intended to provide the reader with some insight into the behavior of the differential surface ad(63). Consider a copper conductor mittance matrix m measuring 20 m 5 m [see Fig. 3(a)]. intervals along The circumference is subdivided into intervals along the width, i.e., a total the length and of 50 intervals. The number of sine functions used on each . A similar example is put forward in [6]. side is Fig. 4 shows a contour plot of the normalized absolute value matrix at 79.1 MHz. The of the elements of the 50 50 numbering on the axes corresponds to the numbering shown on Fig. 3(a) and the plotted values have been normalized with respect to the absolute value of the largest element in the matrix 2.7315 10 . This numbering implies that the value is displayed in the lower left-hand-side corner of the figure

value in the upper right-hand-side corner. and the Hence, the highest impedance values are to be found along the main diagonal running from the lower left-hand-side corner to m. the upper right-hand-side corner. The skin depth m, Fig. 5 shows the corresponding result at 10 GHz ( maximum value: 1.4390 10 ). One clearly observes a similar behavior, as reported in [6], for the global surface impedance. The off-diagonal elements quickly decrease with increasing frequency and the influence of the corners is clearly visible. To provide some further quantitative data as a function of frequency, we next consider the 15 mil (381 m) 1.4 mil (35.56 m) m example discussed copper conductor in [5] [see Fig. 3(b)]. The circumference is now subdivided into and intervals, i.e., a total of 112 intervals and . The chosen value of will be further discussed below. The solid lines in Fig. 6 show the real part of -matrix: the following elements of the and . As can be seen in Fig. 3(b), interval 26 is located in the middle of the bottom side, interval 54 in the middle of the right-hand side, and interval 82 in the middle of the top side. The -element has been selected to illustrate the behavior near a corner. Results have been plotted as a function of the skin depth and the frequency ranges between 0.1 MHz m) and 100 GHz ( m). The plotted ( values are normalized with respect to the value of the real part at 0.1 MHz, i.e., 1.5 10 . The dashed lines show of the corresponding results for the absolute value of the imaginary parts. The numerical results clearly show that the real parts are dominant and almost constant when the skin depth is large with respect to the width of the conductor. For increasing frequencies, the imaginary parts gain in importance. When the skin depth becomes very small, all nondiagonal elements have died out and the absolute value of the real and imaginary parts of the diagonal elements become identical. This behavior cannot only

2534

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Y Y

Fig. 6. Normalized value of the real parts of the ( ) elements (solid line) and absolute value of the imaginary parts of the ( ) elements (dashed line) of the differential surface admittance matrix as a function of skin depth for the conductor of Fig. 3(b).

be verified numerically, but as a matter of fact, follows directly from the high-frequency limit of the coefficient of in (40), namely, (75) . This limiting value is the well-known scalar surface for admittance value. In [5], the behavior of the internal impedance as a function of frequency is of a good conductor examined. For the 15 1.4 mil example, the authors observe a difference of 20% between the per-unit-length internal inductive and the per-unit-length resistance when the skin reactance effect is well developed. At the highest frequency considered by m, Fig. 6 shows Antonini et al. [5], i.e., 1 GHz or a difference of approximately 40% between the real and imaginary parts of the diagonal matrix elements located in the middle of the sides, even increasing to approximately 65% for the matrix element. Although it is clear that the internal impedance considered in [5] and the differential surface admittance considered here are different quantities, our results confirm the observations put forward in [5]. Furthermore, by still increasing the frequency, i.e., for sufficiently small skin depths, the differential surface admittance matrix becomes purely diagonal with all diagonal elements given by (75). This seems to imply that the internal inductive reactance and internal resistance, as considered in [5], will also become identical provided the skin depth is small enough. Before turning to the calculation of the resistance and inductance matrices of some sample configurations, we would like of sine functions used to illustrate the effect of the number

M

Fig. 7. Illustration of the influence of the number of sine functions used in the analytical treatment of the rectangular conductor for the case considered in Fig. 6. The displayed percentages are defined with respect to the values obtained = 204. for

M

in the procedure outlined in Section IV-B. To this end, consider the and elements already discussed above. These elements are now calculated for three different -values, (i.e., exactly the number of intervals used on i.e., , and . The the top and bottom side), result for is taken as the reference result as the absolute change in the obtained values is negligible for larger . Fig. 7 shows the relative differences between this referand (i.e., ence result and the results for value value value ) and this, again, as a function of the skin depth. As already remarked sufficiently larger than at the end of Section IV-B, choosing the number of divisions per side is essential in order to obtain correct results, especially at the higher frequencies and for the corner elements. B. Resistance and Inductance Matrices As our first example, we revisit the isolated square copper conductor m with side 4.62 mm also treated in [3]. Fig. 8 shows its resistance in /m as a function of frequency. On the scale of this figure, this result almost completely coincides with the hybrid technique (solid line) result calculated and displayed in [3]. In our case, this result was obuniformly spaced divisions per side tained using . This particular example has been selected to adand dress the following concern raised by the reviewers. In a surface integral-equation approach of the kind presented here, it could perhaps be expected that a discretization step of, at most, half the skin depth is necessary to obtain correct results. As will be evident from the results given below, this does not really seem to be the case. We believe that the reason for this is the following. In

DE ZUTTER AND KNOCKAERT: SKIN EFFECT MODELING BASED ON DIFFERENTIAL SURFACE ADMITTANCE OPERATOR

2535

2

2

Fig. 9. Two pairs of copper signal conductors (20 mm 0.2 mm and 2 mm 2 mm,  = 5:6 10 ( m) )) with variable separation distance s (examples also used in [3] and [6]).

2

Fig. 8. Resistance in m /m as a function of frequency for an isolated square copper conductor ( = 5:72 10 ( m) )) with side 4.62 mm (example also used in [3]).

2

TABLE I RESISTANCE VALUES IN m /m AS A FUNCTION OF FREQUENCY FOR THE SQUARE, 4.62-mm SIDE, COPPER ( = 5:72 10 ( m) )) CONDUCTOR ALSO CONSIDERED IN FIG. 8 AND FOR FOUR DIFFERENT DISCRETIZATIONS

2

Fig. 10. Resistance in m =m as a function of frequency for the configurations of Fig. 9 and for different separations s.

a coupled integral-equation approach, such as in [3], which uses both the Green’s function of the conductor and the Green’s function of the surrounding medium or in a volume integral equation approach, discretization of the order of the skin depth is necessary to capture the current crowding. In our approach, we believe this current crowding is already captured in the differential surface admittance provided enough sine functions are used. Of course, the equivalent surface current exhibits the typical behavior at corner points, i.e., this current will be higher at the corners and, hence, a sufficient discretization is needed to capture this effect, e.g., as is the case for a perfect conductor. Hence, the typical discretization needed in our approach is mainly dominated by the wavelength in the surrounding medium and by the need to capture corner effects. To further illustrate this, Table I gives some numerical data for the example of Fig. 8 for different uniform discretizations and (for ). The skin depth , each at the highest frequency is 66.5 m. For interval is 30.8 m, i.e., about half the skin depth at the highest frequency. From this table, it is clear that the 10 10 discretization indeed underestimates the losses and that, for the 20 20

discretization, the error is already small. As remarked by the reviewers, a nonuniform discretization will further enhance the convergence of the results. This will be investigated in the future. As a second example, we consider the copper two-conductor m depicted in Fig. 9, treated system in [3] and [6]. We considered both the 2 mm 0.2 mm case (case 1) and the 2 mm 2 mm case (case 2) for separation dismm, mm, and mm. The number of divitances and . sions was 20 on each side Fig. 10 shows the resistance results as a function of frequency between 100 Hz–10 GHz. The dc value is, of course, independent of the separation distance. For case 2, the resistance curves start to differ at a lower frequency as compared to case 1 and the difference between the curves for increasing separation distances is much more important. For case 1, the resistance curves remain very close to each other. For clarity, we have left out mm result for case 1. One can also observe that, the for case 1, the curves cross each other near 1 MHz. We have carefully verified the influence of changing different parameters , confirming that the observed phenomenon is not an artifact of the calculations. For increasing values of , the resistance values increase by a small percentage (in an analogous

2536

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 12. Three signal and reference Al–oxide ( = 1=2:8 conductor configuration (all dimensions are in micrometers).

2 10 ( m) ))

Fig. 11. Inductance in nanohenrys/meter as a function of frequency for the configurations of Fig. 9 and for different separations s.

way as denoted by the results in Table I). In Fig. 10, this is hardly visible and the crossing of the curves still occurs at (almost) the same frequency. Fig. 11 shows the corresponding results for the inductance. Observe that the inductance results are much more sensitive to the distance between the conductors. For case 1, the mm. The result for separation distance used in [3] is this distance is also shown on the plot (dashed line). Using the surface integral-equation approach presented in [10] for PEC multiconductor lines, we could verify that the high-frequency inductance results in Fig. 11 coincide with the results obtained for perfect conductors, as expected. To conclude the discussion of this first example, the reader will remark that our results coincide with those (case 1-0.8 mm and case 2-2 mm) presented in [10], but that the low-frequency values in [6] for case 1-1 mm and case 2-2 seem to underestimate the inductance. As pointed out by a reviewer, this is due to the fact that, in [6], a zero tangential electric field has been assumed at the conductor’s surface, while this is avoided by the differential admittance procedure on . This leads to an underonly imposing that estimation of the contribution of the internal inductance to the overall inductance and this contribution is more important at the lower frequencies. Next consider the four conductor (Al–oxide, m configuration depicted in Fig. 12. All dimensions are in micrometers and the boundaries were discretized using ten divisions per micrometer. We first calculated the 4 4 resistance and inductance matrix and then selected the large “ground” conductor 4 to be the reference ). The conductor (zero potential and resulting configuration is characterized by a 3 3 resistance and inductance matrix. Fig. 13 shows the resistance elements and (in m ) as a function of frequency between 10 MHz–1 THz. The corresponding

Fig. 13. Resistance matrix elements in /m as a function of frequency for the configuration of Fig. 12 and with conductor 4 as the reference conductor.

elements of the inductance matrix and (in nH m ) are displayed in Fig. 14. The configuration of the last example is depicted in Fig. 15. It is the cross section of a coaxial line with two copper signal conductors surrounded by a copper outer conductor m . All dimensions are in units of 0.1 mm and a discretization of four divisions per 0.1 mm is used. As our method can only handle rectangular conductors, the outer conductor is subdivided into four separate conductors, as indicated in Fig. 15. However, the gap between the conductors is kept extremely small (less than one-tenth of a micrometer, and we verified numerically that, for such small gaps, stable numerical results are obtained). The total number of segments amounts to 528. Similarly, as for the previous example, we now assign a zero reference potential to the four conductors forming the outer coaxial shield and determine the 2 2 resistance and inductance matrix of the resulting configuration. The final results are displayed in is 1.734 08 /m, i.e., Fig. 16. The dc value for the sum of the dc resistance of the outer coaxial shield and is one of the signal conductors. The dc value for /m, i.e., the dc resistance of the outer coaxial 2.9829 10 shield. We further remark that the low-frequency value of

DE ZUTTER AND KNOCKAERT: SKIN EFFECT MODELING BASED ON DIFFERENTIAL SURFACE ADMITTANCE OPERATOR

2537

is negative. This is allowed as long as the 2 2 inductance matrix remains positive-definite. This positive-definite nature has been verified for the complete frequency range and implies that the magnetic energy always remains positive whatever the currents used to excite the configuration. We have also determined the inductance matrix for the case of perfect conductors using a finite-difference technique to solve Laplace’s equation for the capacitance problem and using the fact that the product . The of the inductance and capacitance matrix equals nH/m and nH/m, obtained results are nH/m and while the present technique yields nH/m. VII. CONCLUSION

Fig. 14. Inductance matrix elements in nanohenrys per meter as a function of frequency for the configuration of Fig. 12 and with conductor 4 as the reference conductor.

In this paper, we have presented the differential surface admittance operator concept for the 2-D TM case. One way to obtain this operator is to use the Dirichlet eigenfunctions of the conductor’s cross section, as explained in Section III. This approach is ideally suited for the circular and rectangular cylinder case, as the Dirichlet eigenfunctions are readily available. Another approach, which will be examined in the future, is to derive the admittance operator using an integral-equation approach. The advantage of the latter approach is that general cross sections can be handled more easily. We have explicitly shown that the differential surface admittance operator leads to a correct description of the behavior of the conductor from dc to very high frequencies. Furthermore, it has been demonstrated how the differential surface admittance description can be combined with an EFIE to obtain the resistance and inductance matrices of a set of multiconductor lines. Further research also remains necessary to assess the effect of a nonuniform discretization of the surface current. APPENDIX

2

Fig. 15. Cross section of a copper ( = 5:8 10 ( m) )) coaxial line with two signal conductors (all dimensions are in units of 0.1 mm).

We need expressions for . For , we have [11, Table A.2]

and

(A1) and for

,

(A2) For

, we have (A3)

and for Fig. 16. Resistance (solid line: /m) and inductance (dashed line: nanohenrys per meter) matrix elements as a function of frequency for the configuration of Fig. 15 and with the outer conductor as the reference conductor.

, (A4)

2538

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Furthermore, we have for

, (A5) (A6)

and for

, (A7) (A8)

For

, we have (A9) (A10)

and for

[6] K. M. Coperich, A. Ruehli, and A. Cangellaris, “Enhanced skin effect for partial-element equivalent-circuit (PEEC) models,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1435–1442, Sep. 2000. [7] C. L. Holloway, “Edge and surface effects on conductor loss associated with planar circuits,” Univ. Colorado at Boulder, Boulder, CO, MIMICAD Tech. Rep. 12, Apr. 1992. [8] L. Knockaert, P. Van den Abeele, and D. De Zutter, “Surface impedance of cylinders and wedges: A Neumann approach,” Int. J. Electron. Commun., vol. 53, no. 1, pp. 11–17, 1999. [9] L. Friedlander, “Remarks on Dirichlet and Neumann eigenvalues,” Amer. J. Math., vol. 117, no. 1, pp. 257–262, 1995. [10] F. Olyslager, N. Faché, and D. De Zutter, “New fast and accurate line parameter calculation of general multiconductor transmission lines in multilayered media,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 6, pp. 901–909, Jun. 1991. [11] R. E. Collin, Field Theory of Guided Waves, 2nd ed. Piscataway, NJ: IEEE Press, 1991.

, (A11)

ACKNOWLEDGMENT The authors would very much like to thank the four reviewers of this paper. Their comments have added much to the quality and clarity of this paper and pointed the way to further research with respect to the combined use of the differential surface admittance concept and surface integral-equation techniques. The authors especially draw the attention of the readers to the first example given in Section VI-B and the subsequent discussion on the surface currents discretization, which the reviewers encouraged the authors to add to their original manuscript. REFERENCES [1] J. W. Bandler and M. Mongiardo, “Guest editorial,” IEEE Trans. Microw. Theory Tech. (Special Issue), pt. 2, vol. 52, no. 1, pp. 241–244, Jan. 2004. [2] F. Olyslager and D. De Zutter, “Skin effect,” in Wiley Encyclopedia of Electrical and Electronics Engineering. New York: Wiley, 1999, vol. 19, pp. 314–318. [3] M. J. Tsuk and J. A. Kong, “A hybrid method for the calculation of the resistance and inductance of transmission lines with arbitrary cross sections,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 8, pp. 1338–1347, Aug. 1991. [4] T. K. Sarkar and A. R. Djordjevic´ , “Wideband electromagnetic analysis of finite conductivity cylinders,” Progress Electromagn. Res., vol. 16, pp. 153–173, 1997. [5] G. Antonini, A. Orlandi, and C. R. Paul, “Internal impedance of conductors of rectangular cross section,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 979–985, Jul. 1999.

Daniël De Zutter (M’92–SM’96–F’01) was born in 1953. He received the M.Sc. degree in electrical engineering, Ph.D. degree, and a thesis leading to a degree equivalent to the French Aggrégation or the German Habilitation from Ghent University, Gent, Belgium, in 1976, 1981, and 1984, respectively. From 1976 to 1984, he was a Research and Teaching Assistant with Ghent University. From 1984 to 1996, he was with the National Fund for Scientific Research of Belgium. He is currently a Full Professor of electromagnetics with the Department of Information Technology, Ghent University. Most of his earlier scientific work dealt with the electrodynamics of moving media. He has authored or coauthored over 135 international journal papers and 140 papers appearing in conference proceedings. He coauthored Electromagnetic and Circuit Modeling of Multiconductor Transmission Lines (Oxford, U.K.: Oxford Univ. Press, 1993). His current research focuses on all aspects of circuit and electromagnetic modeling of high-speed and high-frequency interconnections and packaging, electromagnetic compatibility (EMC), and numerical solutions of Maxwell’s equations. Dr. De Zutter was the recipient of the 1990 Montefiore Prize of the University of Liège, the 1995 IEEE Microwave Prize Award (with F. Olyslager and K. Blomme) presented by the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) for the best publication in the field of microwaves, and the 1999 Transactions Prize Paper Award presented by the IEEE Electromagnetic Compatibility (EMC) Society.

Luc Knockaert (M’81–SM’00) received the M.Sc. degree in physical engineering, M.Sc. degree in telecommunications engineering, and Ph.D. degree in electrical engineering from Ghent University, Gent, Belgium, in 1974, 1977, and 1987, respectively. From 1979 to 1984 and from 1988 to 1995, he was involved with North–South cooperation and development projects with the University of Congo (formerly Zaire) and the University of Burundi. He is currently a Guest Professor and Senior Researcher with the Department of Information Technology (INTEC)–Interuniversity Microelectronics Centre (IMEC), Leuven, Belgium. He has authored or coauthored approximately 50 SCI peer-reviewed journal papers. His current interests are the application of statistical and linear algebra methods in signal identification, entropy applications, matrix compression, ROM, and computational electromagnetics. Dr. Knockaert is a member of the Association for Computing Machinery (ACM) and the Society for Industrial and Applied Mathematics (SIAM).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

2539

Design of Low-Pass Filters Using Defected Ground Structure Jong-Sik Lim, Member, IEEE, Chul-Soo Kim, Member, IEEE, Dal Ahn, Senior Member, IEEE, Yong-Chae Jeong, Member, IEEE, and Sangwook Nam, Member, IEEE

Abstract—A method to design low-pass filters (LPF) having a defected ground structure (DGS) and broadened transmission-line elements is proposed. The previously presented technique for obtaining a three-stage LPF using DGS by Lim et al. is generalized to propose a method that can be applied in design -pole LPFs for 5. As an example, a five-pole LPF having a DGS is designed and measured. Accurate curve-fitting results and the successive design process to determine the required size of the DGS corresponding to the LPF prototype elements are described. The proposed LPF having a DGS, called a DGS-LPF, includes transmission-line elements with very low impedance instead of open stubs in realizing the required shunt capacitance. Therefore, open stubs, tee- or cross-junction elements, and high-impedance line sections are not required for the proposed LPF, while they all have been essential in conventional LPFs. Due to the widely broadened transmission-line elements, the size of the DGS-LPF is compact. Index Terms—Defected ground structure (DGS), low-pass filters (LPFs), periodic structures.

I. INTRODUCTION

I

T IS well known that typical properties of low-pass filters (LPFs) can be obtained by adding periodic structures to transmission lines. The representative periodic structures for planar transmission lines and/or microwave circuits are photonic bandgap (PBG) and defected ground structure (DGS) [2]–[5]. The PBG has been known as a popular periodic structure for planar transmission lines. However, drawbacks of PBGs have been also discussed as follows. 1) A large area is needed because a number of periodic patterns should be adopted. 2) It is obscure to define the unit element, and difficult to extract the equivalent-circuit elements for the PBG unit element. 3) Therefore, it is very restricted to extend its practical application to microwave circuits. To the contrary, one can easily define the unit element of the DGS and model the equivalent circuit.

Manuscript received July 15, 2004; revised February 14, 2005. This work was supported by the RRC Program through the Soonchunhyang University Wireless Components Research Center. J.-S. Lim and D. Ahn are with the Division of Information Technology Engineering, Soonchunhyang University, Asan, Chungnam 336-745, Korea (e-mail: [email protected]). C.-S. Kim is with the Samsung Advanced Institute of Technology, Yongin 449-712, Korea. Y.- C. Jeong is with the Division of Electronics and Information Engineering, Chonbuk National University, Jeonju 561-756, Korea. S. Nam is with the School of Electrical Engineering and Computer Science, Seoul National University, Seoul 151-742, Korea. Digital Object Identifier 10.1109/TMTT.2005.852765

In addition, since only a few DGS elements show the typical properties of periodic structures, the resultant circuit size becomes relatively small. Furthermore, the structure of the DGS is simple and it is easy to design the DGS pattern. For these reasons, since [4] has introduced the structure and called it a DGS for the first time, the DGS has been extensively applied to design microwave circuits such as filters, power dividers, couplers, amplifiers, oscillators, and so on [1], [6]–[12]. There is much previous research about the characteristics of LPFs having periodic structures on microstrip or coplanar waveguide (CPW) transmission lines [13]–[16]. However most of them are not analytical because they mainly depend on electromagnetic (EM) simulations to design LPFs and predict circuit performances. To the contrary, in the design of LPFs using DGSs including this study, all design steps are based on theories and reasonable explanations as follows. • The equivalent-circuit elements of the DGS is extracted and used for replacing the series inductances in the LPF prototype circuit. • The LPF is composed of the extracted equivalent lumped elements, thus, it is an ideal LPF, is designed, and is compared to the realized LPF using the DGS practically. Two methods to design a three-pole LPF using the DGS has been proposed in [1] and [6]. In these papers, the sizes of two DGS patternsintheLPFwereexactlythesamebecausetwoinductances (shunt)- (series)” prototype in the three-pole “ (series)LPF are identical. In [6], discontinuity elements such as tee- or cross-junctions were adopted to connect open stubs to realize the shunt capacitance. However, in the three-pole LPF proposed in [1], there are no junction elements, thin transmissionlines for high impedance, or open stubs. In addition, the width of the transmission-line elements in the LPF has been remarkably broadened. Thus, advantages such as compact design and error-robust realization in fabricating the layout have been obtained. LPFs using the However, in order to design -stage DGS, e.g., a five-stage like “ (series)- (shunt)- (series)(shunt)- (series),” two different dimensions of the DGS is not equal to , although have to be adopted because . In order to select the proper dimension of the DGS for , careful consideration based on filter theories, extracted equivalent-circuit elements of various DGS dimensions, and some related topics of transmission lines should be taken. The size of is determined by accurate curve-fitting results the DGS for for equivalent-circuit elements to correspond exactly to the required inductance. In addition, the length of transmission-line elements between DGS patterns is determined through the consideration for the equivalent capacitance and additional para-

0018-9480/$20.00 © 2005 IEEE

2540

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 2. (a) Equivalent circuit of the microstrip line with unit DGS. (b) Butterworth prototype of one-pole LPF.

Fig. 1. Microstrip line with a dumb-bell-shaped DGS pattern and S -parameters by an EM simulation (" = 3:48; substrate thickness = 30 mil).

sitic inductance, as well as the required shunt capacitances in the prototype LPF. Therefore, a design of a five-pole DGS-LPF requires many more complex steps than the previous three-pole DGS-LPF shown in [1] and [6]. Thus, the goal of this paper is to propose a new technique to design an -pole LPF having (“DGS-LPF”), and to generalize the previous design method for using different sizes of DGS patterns. For this purpose, modeling for the equivalent circuit of the DGS, a curve fitting for determining the required different sizes of the DGS that reflects the inductance values in the prototype LPF and a practical design example will be successively discussed. In order to show the validity of the proposed method, a fivepole DGS-LPF, as an example, is designed and measured in this paper. The proposed five-pole DGS-LPF has a much wider microstrip line than conventional microstrip LPFs, and does not include high-impedance lines, which have been essentially required in conventional design. The series inductances in the prototype LPF are realized by DGSs, while the shunt capacitances are realized by the widely compensated transmission line. Therefore, no discontinuity elements such as tee- or cross-junction for connecting open stubs are required because there are no open stubs in the proposed DGS-LPF. II. DGS PATTERN AND MODELING FOR THE EQUIVALENT CIRCUIT Fig. 1 shows a microstrip line having a dumb-bell-shaped DGS and its -parameters from an EM simulation. Two rectangular defected areas and one connecting slot correspond to the equivalently added inductance ( ) and capacitance ( ), respectively. Accordingly, a resonance occurs at a certain frequency because of the parallel – circuit. Inversely, it is intuitively known that the equivalent circuit includes a pair of parallel inductor–capacitor from the resonant phenomenon in the -parameter. This means the microstrip line having the DGS does not have all-pass characteristics, but restricted passband prop-

erties. In addition, slow-wave characteristics are observed due to the added – components of the DGS [3], [5], [9]. The defected areas can be realized by not only rectangle, but also other geometries such as triangle, circle, hexagon, octagon, spiral, and so on. For convenience, squares are used in Fig. 1. of the DGS It is very clear that the resonant frequency exist as shown in Fig. 1. The and 3-dB cutoff frequency equivalent – circuit of the DGS can be extracted because this kind of electrical characteristic is observed from a typical – parallel resonant circuit. The equivalent circuit of the DGS and one-pole Butterworth prototype of the LPF are presented the DGS in Fig. 2. The equivalent – elements are calculated by (1)–(3) because two reas actance values of Fig. 2(a) and (b) must be equal at follows: (1) (2) (3) where and ) are the normalized 3-dB cutoff frequency, element value of one-pole Butterworth prototype LPF, and port impedance, respectively, and . The calculated and of the DGS shown in Fig. 1 are 2.2832 nH and 0.2026 pF, respectively. Fig. 3 shows an excellent agreement between the previous -parameters shown in Fig. 1 and the new ones calculated and . Advanced Design System (ADS), a circuit using simulator from Agilent Technologies, has been used for the calculation. This agreement means that the modeling technique is valid in extracting the equivalent-circuit elements. It should be noted that this is one of the great advantages of DGS because it is possible to define the unit element of the DGS and to establish the equivalent circuit of it, while the conventional planar transmission lines with a periodic structure such as a PBG have difficulty in defining the unit element and to extract the modeled circuit element. III. DESIGN OF FIVE-POLE LPF USING DGS A. Prototype LPF and Adoption of DGS The method to design a five-pole LPF using the DGS is discussed here. Fig. 4 depicts the prototype circuit of a five-pole

LIM et al.: DESIGN OF LPFs USING DGS

2541

TABLE I PROTOTYPE ELEMENTS OF THE FIVE-POLE CHEBYSHEV LPF WITH 0.01-dB RIPPLE AND TRANSFORMED ELEMENTS

Fig. 3. S -parameters of the equivalent simulation results overlapped.

Fig. 4.

L -C

network with the EM

Fig. 6. Modified five-pole prototype LPF using an L–C resonator.

Five-pole Chebyshev prototype LPF.

transformed by frequency and impedance scaling. The resultant and for the five-pole Chebyshev with a 0.01-dB ripple are summarized in Table I as follows: Fig. 5.

(7)

Equality of a series inductor to an L–C parallel circuit.

LPF. Here, represent the normalized element values of a Chebyshev prototype LPF for the given ripple [17]. According to the design theory of filters, in order to transform the prototype LPF to the LPF composed of lumped eleand can be determined ments, the values of owing to the impedance and frequency scaling rules expressed means the cutoff frequency of the LPF in (4)–(6). Here, (4) (5) (6) In order to replace by the DGS shown in Fig. 1, the equivalence illustrated in Fig. 5 should be satisfied at ; hence, two reactance values must be equal, as expressed in (7). The cutoff of the LPF to be designed is determined by frequency is expressed as (8). Fiequating (4) and (7). The resultant and of the DGS in Fig. 1 nally, since the equivalent is 2.366 GHz. Now the values are 2.2832 nH and 0.2026 pF, of and can be calculated after having been

(8) Fig. 6 shows the new prototype five-pole LPF of which three inductors have been replaced by the – parallel equivalent ciris equal to , the parallel resonator cuit of the DGS. Since – is exactly same as the – resonator. In addiof and are also exactly the same, tional, the shunt capacitors and are expressed as in Fig. 6. B. Determination of the DGS for It should be noted that the size of the new DGS for is is not equal different from the DGS shown in Fig. 1 because to . Equation (9) represents the equivalence of and the new – at . Since and are known already DGS for above, the next step is to determine the dimension of the DGS (DGS3) for (9) The equivalent inductance ( ) and capacitance ( ) of the DGS are originated mainly from the outer length of rectangular defected area and connecting slot, respectively. According to

2542

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 7. Equivalent L–C values versus the dimension of the DGS for the fixed size of the connecting slot (0.5 mm 3.5 mm)

2

Fig. 7, the equivalent and depend on the dimensions of the defected area when the size of connecting slot is fixed to be 0.5 mm 3.5 mm, as shown in Fig. 1. The equivalent is proportional to the dimension of the defected area directly, while the equivalent is nearly constant. is almost equal It is a reasonable approximation that irrespective of the dimension of defected area because to the equivalent capacitance is almost constant under the fixed dimensions of connecting slot. If the connecting slot of the DGS3 is fixed to be the same dimensions for convenience, becomes known and becomes i.e., 0.5 mm 3.5 mm, unknown. Finally, is calculated to be 4.2862 nH by (10) as follows: (10)

The required size of the DGS3 for can be found effectively through the curve fitting shown in Fig. 8. The trends of equivalent and versus dimensions of the DGS under the fixed connecting slot (0.5 mm 3.5 mm) are plotted in Fig. 8 with the fitted results overlapped. The fitted equivalent and are very exact, and the percentage errors of the curve fitting for the equivalent and are only 0.1% and 0.25%, respectively. Fig. 8 plays a great role in determining the size of the to design LPFs. Now DGS3, which reflects the required the size of the DGS3 can be determined in this case. The difor ( nH) is found to be mension of 6.66 mm from Fig. 8 or the established equation for fitting. is 0.228 pF when In addition, the equivalent capacitance mm. It should be noted that has been approximated to be the ( pF), but here, is 0.228 pF. Although same as pF) is so small the difference ( that it can be ignored, it is possible to compensate it by slightly tuning the dimension of the DGS3. In order for the reactance of the DGS3 to be unchanged, should be tuned to be 4.1855 nH. The equality between two reactances is satisfied at nH pF nH pF . The size of the DGS for 4.1855 nH is 6.55 mm in Fig. 8(a). When is 6.55 mm, the equivalent capacitance is 0.227 pF from

Fig. 8. (a) Equivalent L and C curve-fitted L values. (b) Equivalent C and curve-fitted C values versus the dimension (G = S ) of the DGS for the fixed connecting slot (0.5 mm 3.5 mm)

2

Fig. 8(b). It should be noted that the equivalent capacitance is 0.227 pF), while being kept to be almost constant (0.228 pF has been reduced to 6.55 mm. This is a reasonable result because the size of the DGS has changed slightly (6.66 mm 6.55 mm) with the fixed connecting slot. This is another proof that the equivalent capacitance of the DGS is produced by the connecting slot. The predicted layout of the proposed five-pole DGS-LPF, which is based on the described steps thus far, is illustrated in Fig. 9. However, since the broad microstrip lines between DGS patterns, which are required for replacing the shunt capacitances , have an additional small equivalent inductance, the final dimension of the DGS3 should again be reduced to reflect it. This will be discussed in Section III-C in detail. C. Realization of the Shunt Capacitance There are three DGS patterns for three series inductors in the prototype five-pole LPF in Fig. 9. Two shunt capacitors in the prototype LPF will be realized by the proper length ( ) of the broad microstrip line between DGS patterns. Meanwhile, the size of the DGS3 will be adjusted slightly again because of the additional equivalent inductance of the microstrip line. in Fig. 9 denotes the dimension of the defected area of the DGS3.

LIM et al.: DESIGN OF LPFs USING DGS

2543

D

Fig. 9. Layout of the proposed five-pole LPF. Proper and final should be determined through further steps to complete the design.

Fig. 10.

Five-pole DGS-LPF. (a) Bottom side. (b) Top side.

Fig. 11.

Performances of the five-pole DGS-LPF.

G3(=S 3)

It is necessary to compensate the width of the microstrip line between DGS patterns in order to get the proper capacitive element, and to remove open stubs and junction elements such as tee or cross. This is one of the important features of the proposed DGS-LPF. For this purpose, the width of the broad microstrip line has been fixed by 3.5 mm from the beginning of the design, as shown in Figs. 1 and 9, while the width of the 50- microstrip line is only 1.7 mm. According to the basic theories of transmission lines, a transmission line with low characteristic impedance has a capacitive element equivalently [18]. Hence, the right “ ” would results in the required capacitive element, which replaces the shunt capacitor in the prototype LPF, and completes the LPF design. The equivalent capacitance of a transmission line with the and length is expressed as characteristic impedance (11). However, additional equivalent inductance of the transmission line does also exist at the same time, and it is expressed in (12). The length , corresponding to 1.7552 pF at as 2.366 GHz, of the microstrip line with the width of 3.5 mm of a is 10.6 mm. The produced equivalent inductance 10.6-mm microstrip line is 0.78 nH. Here, is the distance between the centers of two neighboring DGS patterns. Now the final size of the DGS3 can be determined. Since should include two at both sides, (13) is obtained from (9) , the inducand (10). As a result of the consideration for tance, which should be the provided DGS3, is 3.7441 nH, even( nH tually by calculating nH). Thus, the final is 3.2066 nH from (13) because is 3.7441 nH, and the corresponding dimension for the defected square of the DGS3 is 5.25 mm. Finally, becomes 5.35 mm by calculating “ mm mm” as follows: (11) (12) (13)

Fig. 10 shows the fabricated five-pole DGS-LPF. The upper pattern is simply composed of three transmission-line elements, two of them are 50- microstrip lines for connection. As has been described above, there is no open-stub junction element to

connect the open stub, high-impedance line, and the step junctions between very low- and high-impedance lines in the layout of the proposed DGS-LPF. On the contrary, a much broadened microstrip has been selected from the initial stage of design. This guarantees the LPF with a great robustness to manufacturing errors and compact size. One may give a question about backside radiation through the defected area. This is a common problem to all circuits having ground-modified structures currently. A good solution is to put the circuit in a metallic housing having bottom holes with a proper depth and shape under the DGS patterns. The rule-of-thumb for depth is at least around five times the substrate thickness. IV. PERFORMANCE OF THE PROPOSED FIVE-POLE DGS-LPF Now the measured -parameters, the ideal performances of the proposed DGS-LPF, and the comparison between them are discussed. The five-pole DGS-LPF shown in Fig. 10 has been build and measured. Fig. 11 includes three -parameters, which are: 1) the -parameters calculated on Agilent ADS for the five-pole LPF, which has the transformed inductances and capacitances in Table I; 2) the -parameters predicted by Ensemble, an EM simulator from Ansoft, for the layout in Figs. 10; and 3) the measured -parameters of the fabricated DGS-LPF. Even though some minor disagreements are observed, a great agreement is presented between three -parameter sets in Fig. 11.

2544

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

The three reflection coefficients are less than 25 dB and the skirt slopes agree well with each other. It is noted that a local peak occurs at 8.4 GHz in the curves from EM simulation and measurement, while it is not seen in the plot of the ideal LPF. It is natural result because the ideal LPF composed of only the lumped elements such as series inductances and shunt capacitors has no distributed effects. It is understood that the local peak is due to the fact that the length of the transmission line between DGS patterns is effectively a half-wavelength at 8.4 GHz. However, the local peak is not meaningful any more because at 8.4 GHz is around 20 dB without any effective bandwidth. Preferably it can be said that the validity of the proposed design method has been proven evidently via Fig. 11. In particular, the reflection and rejection-slope characteristics of the proposed DGS-LPF are excellent even though it only has five poles. In the conventional design, much higher -poles are required to get such a level of skirt slope. However, in the conventional design, it is true that the higher , the higher the loss and the larger the size. Fig. 11 shows another important feature of the proposed DGS-LPF; harmonic rejection is being obtained over a very wide frequency range although the microstrip line is a distributed element. V. CONCLUSION A new -pole LPF design method using the DGS has been proposed. The proposed DGS-LPF neither has open stubs, nor high-impedance lines because a very wide microstrip line has been adopted to realize the shunt capacitors. In order to extend the existing design method of the three-pole DGS-LPF to an -pole DGS-LPF using different sizes of DGSs, a five-pole DGS-LPF has been design as an example. The method to calculate the cutoff frequency of the LPF has been developed based on the modeled equivalent inductance and capacitance, which depends on the dimension of the DGS pattern. In addition, the method to determine the size of the DGS pattern, which exactly realizes the required transformed inductance, has been proposed by curve fitting with excellent accuracy. Furthermore, the equivalent inductance and capacitance of the microstrip line have been considered to adjust the size of the DGS pattern to get an exact dimension of the DGS. Open stubs, junction elements, very high-impedance lines, and abrupt step junctions, which have always been adopted in conventional LPFs, are not required in the proposed DGS-LPF. The proposed DGS-LPF has only largely broadened microstrip lines and DGS patterns on the ground plane. It is expected that the broadened width of microstrip line would provide an improved high power-handling capability. The validity of the proposed design method of the DGS-LPF design has been verified by comparing the three -parameter sets of the five-pole LPF, i.e.: 1) the -parameters obtained from circuit simulation for the LPF composed of only ideal lumped inductors and capacitors; 2) the -parameters calculated on an EM simulator for the final layout of the proposed LPF; and 3) the measured -parameters. The measured -parameters are in excellent agreement with the calculated ones, especially in the re-

flection coefficient, insertion loss in the passband, radical skirt slope, wide rejection band, and so on. It is anticipated that the proposed design method can be applicable for arbitrary -stage LPFs, as well as five-stage LPFs. In addition, it is believed that the LPFs composed of CPW transmission lines and DGS patterns can also be designed by applying the proposed design technique and further study.

REFERENCES [1] J. S. Lim, C. S. Kim, Y. T. Lee, D. Ahn, and S. Nam, “A new type of low pass filter with defected ground structure,” in Proc. 32nd Eur. Microwave Conf., Sep. 2002, pp. 32–36. [2] V. Radisic, Y. Qian, R. Coccioli, and T. Itoh, “Novel 2-D photonic bandgap structure for microstrip lines,” IEEE Microw. Guided Wave Lett., vol. 8, no. 2, pp. 69–71, Feb. 1998. [3] F. R. Yang, K. P. Ma, Y. Qian, and T. Itoh, “A uniplanar compact photonic-bandgap (UC-PBG) structure and its applications for microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1509–1514, Aug. 1999. [4] C. S. Kim, J. S. Park, D. Ahn, and J. B. Lim, “A novel 1-D periodic defected ground structure for planar circuits,” IEEE Microw. Guided Wave Lett., vol. 10, no. 4, pp. 131–133, Apr. 2000. [5] T. Y. Yun and K. Chang, “Uniplanar one-dimensional photonic-bandgap structures and resonators,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 3, pp. 549–553, Mar. 2001. [6] D. Ahn, J. S. Park, C. S. Kim, J. Kim, Y. Qian, and T. Itoh, “A design of the low-pass filter using the novel microstrip defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 86–93, Jan. 2001. [7] J. S. Lim, C. S. Kim, J. S. Park, D. Ahn, and S. Nam, “Design of 10 dB 90 branch line coupler using microstrip line with defected ground structure,” Electron. Lett., vol. 36, no. 21, pp. 1784–1785, Oct. 2000. [8] J. S. Lim, S. W. Lee, C. S. Kim, J. S. Park, D. Ahn, and S. Nam, “A 4 : 1 unequal Wilkinson power divider,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 3, pp. 124–126, Mar. 2001. [9] J. S. Lim, J. S. Park, Y. T. Lee, D. Ahn, and S. Nam, “Application of defected ground structure in reducing the size of amplifiers,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 7, pp. 261–263, Jul. 2002. [10] Y. T. Lee, J. S. Lim, J. S. Park, D. Ahn, and S. Nam, “A novel phase noise reduction technique in oscillators using defected ground structure,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 2, pp. 39–41, Feb. 2002. [11] J. S. Lim, C. S. Kim, Y. T. Lee, D. Ahn, and S. Nam, “A spiral-shaped defected ground structure for coplanar waveguide,” IEEE Microw. Guided Wave Lett., vol. 12, no. 9, pp. 330–332, Sep. 2002. [12] Y. T. Lee, J. S. Lim, S. Kim, J. Lee, S. Nam, K. S. Seo, and D. Ahn, “Application of CPW based spiral-shaped defected ground structure to the reduction of phase noise in V -band MMIC oscillator,” IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, pp. 2253–2256, Jun. 2003. [13] F. Martin, F. Falcone, J. Bonache, T. Lopetegi, M. Laso, and M. Sorolla, “Dual electromagnetic bandgap CPW structures for filter applications,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 9, pp. 393–395, May 2001. [14] I. Rumsey, M. Piket-May, and P. Kelly, “Photonic bandgap structures used as filters in microstrip circuits,” IEEE Microw. Guided Wave Lett, vol. 8, no. 10, pp. 336–338, Oct. 1998. [15] Y. Qian, F. Yang, and T. Itoh, “Characteristics of microstrip lines in a uniplanar compact PBG ground plane,” in Proc. Asia–Pacific Microwave Conf., Dec. 1998, pp. 589–592. [16] T. Kim and C. Seo, “A novel photonic bandgap structure for low-pass filter of wide stopband,” IEEE Microw. Guided Wave Lett., vol. 10, no. 1, pp. 13–15, Jan. 2000. [17] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Dedham, MA: Artech House, 1980. [18] A. Sweet, MIC & MMIC Amplifier and Oscillator Circuit Design. Boston, MA: Artech House, 1990.

LIM et al.: DESIGN OF LPFs USING DGS

2545

Jong-Sik Lim (S’91–M’94) received the B.S. and M.S. degrees in electronic engineering from Sogang University, Seoul, Korea, in 1991 and 1993, and the Ph.D. degree from the School of Electrical Engineering and Computer Science, Seoul National University, Seoul, Korea, in 2003. In 1993, he joined the Electronics and Telecommunications Research Institute (ETRI), Daejeon, Korea, where he remained for six years with the Satellite Communications Division as a Senior Member of Research Staff. He was one of the key members in the development of monolithic microwave integrated circuit (MMIC) low-noise amplifiers (LNAs) and solid-state power amplifiers (SSPAs) for the 20/30-GHz satellite transponder at ETRI. From March to July in 2003, he was with the Division of Information Technology, Seoul National University, where he was involved with the Brain Korea 21 Project as a Post-Doctoral Fellow, and gave lectures in the Graduate Schools of Soonchunhyang and Soongsil universities. From July 2003 to September 2004, he was a Patent Examiner with the Korean Intellectual Property Office (KIPO). In September 2004, he rejoined ETRI, where he was involved with the Antenna Technology Research Team as a Senior Research Member. Since March 2005, he has been a faculty member with the Division of Information Technology Engineering, Soonchunhyang University, Asan, Chungnam, Korea. His current research interests include design of the passive and active circuits for RF/microwave and millimeter-wave with microwave integrated circuit (MIC)/MMIC technology, modeling of active device, design of high-power amplifiers for mobile communications, applications of periodic structures to the RF/microwave circuits, and modeling of passive structures having periodic structures. Dr. Lim is a member of the Institute of Electrical, Information and Communication Engineers (IEICE), Japan, and the Korea Electromagnetic Engineering Society (KEES).

Dal Ahn (M’93–SM’04) received the B.S., M.S., and Ph.D. degrees from Sogang University, Seoul, Korea, in 1984, 1986, and 1990, respectively, all in electronics. From 1990 to 1992, he was with the Mobile Communications Division, Electronics and Telecommunications Research Institute (ETRI), Daejeon, Korea. Since 1992, he has been with the School of Electrical and Electronic Engineering, Soonchunhyang University, Asan, Chungnam, Korea, where he is currently a Professor. He is also currently Chief of the RF and Microwave Component Research Center (RAMREC), Soonchunhyang University. He is also a Technical Consultant for Tel Wave Inc., Suwon, Korea. His current research interests include the design and application of passive and active components at radio and microwave frequencies, design of the RF front-end module for various handset system using low-temperature co-fired ceramic (LTCC) technology, DGS circuit applications, and circuit modeling using a commercial EM analysis program. He is an Editor of the Journal of Korea Electromagnetic Engineering Society. Prof. Ahn is a senior member of the Korea Electromagnetic Engineering Society (KEES).

Chul-Soo Kim (S’99–M’02) received the B.S., M.S., and Ph.D. degrees from Soonchunhyang University, Asan, Chungnam, Korea, in 1996, 1998, and 2002, respectively, all in electronics. From 1991 to 1993, he was with Electronics and Telecommunications Research Institute (ETRI), Daejeon, Korea. Since 1993, he has been with the RF and Microwave Component Research Center (RAMREC) and the BIT Wireless Communication Devices Research Center, Soonchunhyang University. He is currently with the Samsung Advanced Institute of Technology, Yongin, Korea. His current research interests include passive components for wireless communications, DGS circuit applications, and circuit modeling. Dr. Kim is a member of the Korea Electromagnetic Engineering Society (KEES).

Sangwook Nam (S’87–M’88) received the B.S. degree from Seoul National University, Seoul, Korea, in 1981, the M.S. degree from the Korea Advanced Institute of Science and Technology, Seoul, Korea, in 1983, and the Ph.D. degree from the University of Texas at Austin, in 1989, all in electrical engineering. From 1983 to 1986, he was a Researcher with the Gold Star Central Research Laboratory, Seoul, Korea. Since 1990, he has been with Seoul National University, where he is currently a professor with the School of Electrical Engineering and Computer Science. His research interests include analysis/design of EM structures, antennas, and microwave active/passive circuit. He is an Editor of the Journal of Korea Electromagnetic Engineering Society. Prof. Nam is a member of the Korea Electromagnetic Engineering Society (KEES).

Yong-Chae Jeong (M’93) received the B.S., M.S., and Ph.D. degrees from Sogang University, Seoul, Korea, in 1989, 1991, and 1996, respectively all in electronics. From 1991 to 1998, he was a Senior Engineer with Samsung Electronics. In 1998, he joined the Division of Electronics and Information Engineering and the Institute of Information and Communication, Chonbuk National University, Chonju, Korea. He is currently an Associate Professor and teaches and conducts research in the area of microwave devices, base-station amplifiers, and linearizing technology. Prof. Jeong is a member of the Korea Electromagnetic Engineering Society (KEES).

2546

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Analysis and Design of Bridged NRD-Guide Coupler for Millimeter-Wave Applications Duochuan Li, Yves Cassivi, Ping Yang, and Ke Wu, Fellow, IEEE

Abstract—A new nonradiative-dielectric (NRD)-guide directional coupler using two NRD waveguides interconnected with a bridge is proposed and demonstrated. Propagation constants of the bridged NRD-guide couplers are investigated with an electric-field integral-equation method, and modeling results show that there is a maximum of coupling coefficient when the thickness of the bridge is made around 0.68 of the plate separation. In this case, the coupling length is reduced approximately 60% and the bandwidth under the tolerance limits of 0.5 dB of deviation for 3-dB coupling is nearly doubled with reference to its conventional counterparts. The use of a bridge not only improves the mechanical stability, but also makes the coupler performance reproducible. Experimental prototypes are fabricated with two 90 elbow bends that are used for the arms and the bridge-connected coupler section is terminated at both ends by tapered half-circle sections. A calibration procedure is used to remove mismatch effects between the NRD-guide and the rectangular waveguide in the measured results. Advantages of the new coupler as a passive component are shown for millimeter-wave integrated circuits. Index Terms—Broad-band, directional couplers, electric field integral equation (EFIE), nonradiative dielectric (NRD)-guide, millimeter waves.

I. INTRODUCTION

T

HE nonradiative dielectric (NRD)-guide was proposed as a favorable technology for developing high-performance and low-cost integrated circuits in millimeter-wave systems [1], [2]. Basic characteristics of the NRD-guide are fully understood now, and some passive components including bends and discontinuities have been studied theoretically and experimentally [3]–[5]. One of the frequently used components for millimeterwave systems is the directional coupler, which can distribute power at a specified ratio between two waveguide branches. The coupling mechanism of a conventional proximity coupler is due to the interaction of exponentially decaying fields between the two waveguides. Hence, the coupling is sensitive to the spacing and length of the coupler. The spacing for a strong coupler is not easily controlled in this kind of coupler design, making it very difficult to reproduce the coupler performances. The size is also large for this conventional coupler. A new NRD-guide coupler is proposed in this paper to overcome the drawbacks of the conventional coupler. In this structure, a thin dielectric strip is used to connect the coupled parallel NRD-guides to formabridgebetweenthem,asshowninFig.1.By Manuscript received October 28, 2004; revised January 19, 2005. This work was supported by the Natural Sciences and Engineering Research Council of Canada. The authors are with the Poly-Grames Research Center, Department of Electrical Engineering, École Polytechnique de Montréal, Montréal, QC, Canada H3V 1A2 (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852764

Fig. 1. (a) Cross section of the bridge-connected (or bridged) NRD-guides. (b) Bridged NRD-guide coupler.

optimizing the thickness of the bridge, the coupling coefficient is largely enhanced, which results in an extremely compact coupler compared to the conventional topology. The existence of such a bridge yields a monolithic structure. This makes it easy to control the spacing of the coupler andalso makes the coupler performance reproducible. The degree of coupling can be changed not only by controlling the spacing of coupled guides, but also by adjusting the thickness of the bridge. Furthermore, this type of coupler is very broad-band. A similar coupler was realized with an image guide in [6]. However, the geometric connection is symmetric in our coupler design and the advantages can be maximized by optimizing the thickness of the bridge. In this study, an electric-field integral-equation (EFIE) method [7]–[10] is used and developed to calculate propagation constants of the structure. The standard EFIE treats the dielectric strip domains as local perturbations of the configuration, replacing them with equivalent polarization currents. The electric dyadic Green’s function is then used for the integral

0018-9480/$20.00 © 2005 IEEE

LI et al.: ANALYSIS AND DESIGN OF BRIDGED NRD-GUIDE COUPLER FOR MILLIMETER-WAVE APPLICATIONS

representation of the field in the layer in which the strips are embedded. Due to its rigorous full-wave formulation, the EFIE techniques are capable of handling both open and closed structures and also describing physical effects such as leakage. In this paper, the standard EFIE is used and is subsequently solved with the Galerkin’s method of moments. The coupling coefficient and coupling length as a function of the thickness of the bridge are calculated with the EFIE method. After generating the dispersion curve and scattering coefficients, the optimum parameters for a bridged (or bridge-connected) NRD-guide 3-dB directional coupler are presented. The bridged NRD-guide 3-dB couplers are then designed and constructed. Frequency-dependent characteristics are measured and compared to those of the conventional parallel-line couplers. It is shown that experimental results agree well with the theoretical predictions. II. FIELD FORMULATION The geometrical configuration and coordinate system of the proposed waveguide in the analysis are depicted in Fig. 1 with its cross-sectional view. The whole structure is assumed to be uniform along the propagation direction . Assuming that the permittivity of the dielectric strips is , the electric field can be represented by the dyadic Green’s function of two parallel metal plates and the equivalent polarization current in the dielectric strips as follows:

2547

and . The second term in (2) is the source dyadic. Substituting the dyadic Green’s function in (2) into (1), we obtain

(4) where the source dyadic contribution has been collected to be the second term on the left-hand side. If the observation point is outside of the source region, this term vanishes automatically. We assume that the th eigenmode can be represented as , where is the propagation constant along the -di. Equation (4) can thus be reduced to rection, and

(5) where

(1) and is where the space occupied by the dielectric strips. The dyadic Green’s function in the spectral domain is

(2) and is the Fourier transform of the principal value part of with respect to . The Green’s functions for parallel metal plates in the spectral domain can be expressed as [9] where

where

(3)

is the cross section of the guiding regions and . The integral equation (5) can be solved with Galerkin’s method of moments. By using pulse sub-domain basis functions, a matrix can be obtained and the eigenvalue can be obtained by setting the determinant of the matrix to be zero. In the case of Fig. 1, the strip is symmetric in the - and -directions. For this symmetrical configuration, both even and odd , an modes exist. We denote the even mode as a mode with , an odd function, of , while the odd even function, and , an odd function, and an even mode as a mode with function of . An electric wall can be defined at for for the even mode, a magnetic wall can be defined at the odd mode, and a magnetic wall can be defined at for both modes without affecting the field distributions. Thus, the coupled NRD-guides can be divided into four symmetrical and according to the electric and magregions needs to be analyzed netic walls. Only the electric field on when applying Galerkin’s method of moments. III. COUPLER DESIGN Using the above method, the propagation constants of the and odd modes of the bridged NRD-guides can even be calculated. In this symmetric structure, the low-loss longitudinal section magnetic (LSM) mode fields may be considered as a superposition of even and odd modes propagating longituand , respecdinally with different propagation constants tively. Assuming that the coupled NRD-guides are lossless and matched at all ports, the coupling coefficient is defined as (6)

2548

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 3. Field distribution of even and odd modes for the bridged-guides at c=a = 0:68 (a = 1:78 mm, b = 1:85 mm, d = 1:27 mm, f = 77 GHz, and " = 2:04). Fig. 2. Propagation constants, coupling coefficient, and coupling length for the proposed bridged NRD-guides (a = 1:78 mm, b = 1:85 mm, d = 1:27 mm, f = 77 GHz, and " = 2:04).

and the coupling length for a 3-dB directional coupler is dB

(7)

The scattering coefficients for the coupler are then expressed as follows: (8a) (8b) where is the effective coupling length of a coupler. Fig. 2 shows the propagation constants the normalized , and normalized 3-dB coupling length coupling coefficient as a function of the thickness of the bridge, which is also normalized with respect to the plate separation ( ). A very interesting point in this figure is that the coupling coefficient becomes maximum at . At this point, the coupling length can be reduced by 60% compared to the conventional . In the view of propagation constants, the coupler increase of is larger than the increase of in the region and less than the increase of in the region of of as the thickness of the bridge increases. This leads to . the maximum point of the coupling coefficient at The phenomenon that the coupling coefficient does not inincreases can be explained from crease (near-) linearly as the field distribution of the even and odd modes, as shown in Fig. 3. In the dielectric waveguide, the propagation constant increases as more fields are confined within the dielectric strips. As shown in Fig. 3, the fields in the middle of the bridge change approximately as a function of cosine for the even mode and sine for the odd mode in the -direction. The increase rate of the fields confined in the dielectric strip for the even mode is increases from 0 to large than its odd mode counterpart, as is larger than the increasing of 0.68. Thus, the increasing of , which leads to a larger coupling coefficient . However, this

Fig. 4. Dispersion curves of the bridged NRD-guides as c=a is 0, 0.68, and 1, respectively (a = 1:78; b = 1:85; d = 1:27 mm, and (" = 2:04).

is just the opposite case, as increases from 0.68 to 1. Therefore, the coupling coefficient is reduced in this case. Fig. 4 shows the dispersion curves as is equal to 0, 0.68, and 1, respectively, while other parameters are identical to those of Fig. 2. The results indicate that the second even mode may appear inside the operating bandwidth of the lowest even and odd modes of the coupled NRD-guides. Thus, for the bridged directional NRD-guide coupler, the operational bandwidth of increase. only the low-order modes is reduced as the ratio This is an important factor in designing such couplers when a large single-mode band is required. Fig. 5 shows the scattering parameters for the coupler at a center frequency of 77 GHz with the same dimensions as in Fig. 4. These results suggest that the bandwidth of the bridged extends to 3.6% for a tolerNRD-guide coupler with ance limit of 0.5 dB of deviation in coupling from 3 dB, while that of the coupler with is 4.2%. On the other hand, has a bandwidth of only 1.8%. Therethe coupler with takes, the broader the bandfore, the higher the value of width. However, higher order modes may propagate within the

LI et al.: ANALYSIS AND DESIGN OF BRIDGED NRD-GUIDE COUPLER FOR MILLIMETER-WAVE APPLICATIONS

2549

Fig. 5. Calculated frequency characteristics of the bridged NRD-guide 3-dB coupler with c=a = 0 (the conventional coupler), c=a = 0:68, and c=a = 1(a = 1:78; b = 1:85; d = 1:27 mm, and " = 2:04).

operating bandwidth if is too high, as indicated by the results of Fig. 4, for a center frequency of 77 GHz. Considering the coupling length, bandwidth, and the single-mode operation is around 0.4–0.68. band altogether, the optimum value of The thin bridge also helps reduce the reflection at the junctions between the feeding arms of the coupler and the bridged coupled-line section. IV. EXPERIMENTAL RESULTS Based on the above analysis, a bridged NRD-guide 3-dB di. At rectional coupler is designed and fabricated with this point, the single mode operation region is extended beyond 77 GHz, while the bandwidth and coupling length suffers little sacri. To illustrate the fice compared to the optimized point advantages of the new coupler, we also fabricated a conventional . coupler. Both couplers were fabricated of Teflon with Both couplers have and mm. In practice, the design of a parallel guide coupler should incorporate bend sections on either side of the parallel-coupled section in order to achieve decoupling of the four ports. Generally, symmetric straight bends or symmetric curved bends are used. However, the bends in the NRD-guide suffer a serious mode conversion problem [11]. To avoid this problem, two 90 elbow bends are used for the arms, as illustrated in Fig. 6. In this mode is converted to configuration, the input operating mode at the first elbow. The mode is then the mode at the second elbow. The converted back to the mode conversion at this type of elbow is almost complete [12]. This inefficiency of the mode conversion causes a resonance problem in the circuit when waveguide-to-NRD-guide transitions are added to the coupler for measurement purposes [13] mode is blocked by the transitions. To elimbecause the mode-based microstrip inate the resonance problem, the line to NRD-guide transitions are added before each waveguide transition [14], and absorbing materials are placed on each microstrip line. The dielectric substrate used in the realization of these -mode loads is the Duroid/RT6002 from the Rogers -mode loads Corporation. The loss level added by these

Fig. 6. Configurations of the bridged NRD-guide coupler and the conventional NRD-guide coupler with the 90 elbow arms.

mode in is equal to the amount of power coupled to the the coupler circuit, which is less then 0.25 dB. Another problem caused by the elbows is the nonuniformly coupled regions at the beginning and end of the coupling section. This parasitic coupling effect must be taken into account in determining the overall coupling. Thus, the overall length of the coupling section is reduced so as to obtain the desire coupling level. Furthermore, a direct connection of the elbows with the bridged coupler section produces a high reflection problem. To reduce the reflection level, the bridge-connected coupler section is terminated at both ends by tapered half-circle sections. Based on the above effects, the length of the straight coupled section is reduced from 3.63 to 3.42 mm in the design of the bridged coupler and from 10.02 to 7.87 mm in the design of the conventional coupler. Finally, a calibration procedure is used to remove the effects of the mismatch between the NRD-guide and rectangular waveguide in measured results. In this procedure, two NRD-guides of different length are measured. -parameters of the transition of NRD-guide to waveguide are then obtained. The pure -parameters of the couplers can be obtained by calibrating the measured -parameters of the couplers with the -parameters of the transition. In Fig. 7, photographs of the fabricated directional couplers and NRD-guides for calibration are shown. Fig. 8 shows measured results for the bridged NRD-guide coupler and its conventional counterpart, while its theoretical results are also presented for comparison. It can be seen that the bandwidth of the bridged coupler is broader, while its coupling length is shorter than the conventional one. Compared to the conventional coupler, the return loss of the bridged coupler is a little bit high in the high-frequency region. The isolation level is smaller for the bridged coupler than for the conventional coupler because of the mismatch between the arms and the center bridge-connected coupling section. The mismatch can be reduced by optimizing the shape of taper in the junction between the arms and coupling section. The overall insertion loss of the bridged NRD-guide couplers is approximately

2550

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

because the calculated results do not include the transition effect between the arms and coupling section, as well as inherent loss mechanism of the coupler. V. CONCLUSION

Fig. 7. Teflon NRD-guide coupler and transmission-line prototype with back-to-back rectangular waveguide transitions.

The bridged NRD-guide coupler has been proposed and demonstrated. Propagation constants and electrical characteristics have been obtained with an EFIE method. Compared to the conventional NRD-guide coupler, the bridged NRD-guide coupler is much more compact and broad-band, which are critical for millimeter-wave integrated circuits. Furthermore, the bridged NRD-guide directional couplers have improved mechanical stability and make electrical performances reproducible. Our experimental results have confirmed our analysis and they have shown that this type of coupler is useful in millimeter-wave systems. ACKNOWLEDGMENT The authors wish to acknowledge the help of S. Dube and J.-F. Gagné, both of the École Polytechnique de Montréal, Montréal, QC,Canada,inthefabricationsandmeasurementsofthecouplers. REFERENCES

Fig. 8. Measured and calculated (dashed lines) frequency characteristics for: (a) the conventional NRD-guide coupler and (b) the bridged NRD-guide coupler (a = 1:78; b = 1:85; d = 1:27 mm, and " = 2:04).

1.5 dB, the same level as in the case of the conventional coupler. This loss level is equivalent to the insertion loss of a straight NRD-guide with a length equal to the total length of the coupler. The reason why the characteristics of the calculated frequency response only vaguely resemble the measured performance is

[1] T. Yoneyama and S. Nishida, “Nonradiative dielectric waveguide for millimeter-wave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 11, pp. 1188–1192, Nov. 1981. [2] T. Yoneyama, “Millimeter wave integrated circuits using nonradiative dielectric waveguide,” Electron. Commun., pt. 2, vol. 74, no. 2, pp. 87–94, 1991. [3] T. Yoneyama and S. Nishida, “Recent development in NRD-guide technology,” Ann. Télécommun., vol. 47, no. 11–12, pp. 508–514, 1992. [4] F. Kuroki and T. Yoneyama, “NRD guide digital transceivers for millimeter wave LAN system,” IEICE Trans. Commun., vol. E79-B, no. 12, pp. 1759–1764, 1996. [5] F. Boone and K. Wu, “Mode conversion and design consideration of integrated nonradiative dielectric (NRD) components and discontinuities,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 4, pp. 482–492, Apr. 2000. [6] D. Kim, D. Kawabe, K. Araki, and Y. Naito, “Directly connected image guide 3-dB couplers with very flat coupling,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 6, pp. 621–627, Jun. 1984. [7] J. S. Bagby, D. P. Nyquist, and B. C. Drachman, “Integral formulation for analysis of integrated dielectric waveguides,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 10, pp. 906–915, Oct. 1985. [8] J. F. Kiang, S. M. Ali, and J. A. Kong, “Integral equation solution to the guidance and leakage properties of coupled dielectric strip waveguides,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 2, pp. 193–203, Feb. 1990. [9] D. Li, P. Yang, and K. Wu, “An order-reduced volume-integral equation approach for analysis of NRD-guide and H -guide millimeter-wave circuits,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 799–812, Mar. 2005. [10] D. Li and K. Wu, “A generalized surface-volume integral-equation (SVIE) approach for analysis of hybrid planar/NRD-guide integrated circuits,” IEEE Trans. Microwave Theory Tech., to be published. [11] T. Yoneyama, M. Yamaguchi, and S. Nishida, “Bends in nonradiative dielectric waveguides,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 12, pp. 2146–2150, Dec. 1982. [12] F. Boone and K. Wu, “Nonradiative dielectric (NRD) waveguide diplexer for millimeter-wave applications,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Philadelphia, PA, Jun. 2003, pp. 1471–1474. [13] T. Yoneyama, H. Tamaki, and S. Nishida, “Analysis and measurement of nonradiative dielectric waveguide bends,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 8, pp. 876–882, Aug. 1986. [14] A. Bacha and K. Wu, “LSE-mode balun for hybrid integration of NRDguide and microstrip line,” IEEE Microw. Guided Wave Lett., vol. 8, no. 5, pp. 199–201, May 1998.

LI et al.: ANALYSIS AND DESIGN OF BRIDGED NRD-GUIDE COUPLER FOR MILLIMETER-WAVE APPLICATIONS

Duochuan Li was born in Huainan, Anhui Province, China. He received the B.Sc. degree in physics from Peking University, Beijing, China, in 1990, the M.Sc. and Ph.D. degrees in controlled nuclear fusion and plasma physics from the Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, Anhui, China, in 1993 and 1998 respectively, and is currently working toward the Ph.D. degree in electrical engineering at the École Polytechnique de Montréal, Montréal, QC, Canada. His research interests include computational electromagnetics, NRD waveguides, three-dimensional (3-D) hybrid planar/nonplanar integration techniques, and substrate integrated waveguides.

Yves Cassivi was born in New-Richmond, QC, Canada. He received the Eng. Dipl. degree in electrical engineering, Masters degree in applied science, and Ph.D. degree in electrical engineering from the École Polytechnique de Montréal, Montréal, QC, Canada, in 1989, 1991, and 2005, respectively. From 1993 to 1995, he was a Professor with the Collége d’Enseignement Général et professional de la Gaspésie et des Iles, Gaspé, QC, Canada. From 1996 to 1999, he was with SR Telecom Inc. Montréal, QC, Canada, where he was an RF/Microwave Designer.

Ping Yang was born in Hunan Province, China. He received the B.Eng. and M.Eng degrees in radio engineering from the Nanjing Institute of Technology (now Southeast University), Nanjing, Jangsu, China, in 1986 and 1989, respectively, and is currently working toward the Ph.D. degree in electronic engineering at the École Polytechnique de Montréal, Montréal, QC, Canada. From 1989 to 2000, he was with the Department of Information and Control Engineering, Shanghai Jiao Tong University, Shanghai, China, where he was formerly an Assistant Professor and then an Associate Professor. His current research interests involve computational electromagnetics and modeling of multilayered integrated circuits.

2551

Ke Wu (M’87–SM’92–F’01) is Professor of Electrical Engineering and Tier-I Canada Research Chair in Radio-Frequency and Millimeter-Wave Engineering with the École Polytechnique de Montréal, Montréal, QC, Canada. He was a Visiting or Guest Professor with numerous universities around the world. He also holds an honorary visiting professorship and a Cheung Kong endowed chair professorship with Southeast University, Nanjing, China, and an honorary professorship with the Nanjing University of Science and Technology, Nanjing, China. He has been the Director of the Poly-Grames Research Center, as well as the Founding Director of the Canadian Facility for Advanced Millimeter-Wave Engineering (FAME). He has authored or coauthored over 400 referred papers and several books/book chapters. His current research interests involve substrate integrated circuits, antenna arrays, advanced computer-aided design (CAD) and modeling techniques, and development of low-cost RF and millimeter-wave transceivers. He is also interested in the modeling and design of microwave photonic circuits and systems. He serves on the Editorial Board of Microwave Journal, Microwave and Optical Technology Letters and Wiley’s Encyclopedia of RF and Microwave Engineering. He is an Associate Editor of the International Journal of RF and Microwave Computer-Aided Engineering (RFMiCAE). He has served on the Editorial or Review Boards of various technical journals. Dr. Wu is a member of Electromagnetics Academy, the Sigma Xi Honorary Society, and the URSI. is a Fellow of the Canadian Academy of Engineering (CAE) and a Fellow of the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He has held numerous positions in and has served on various international committees, including the vice-chairperson of the Technical Program Committee (TPC) for the 1997 Asia–Pacific Microwave Conference, the general co-chair of the 1999 and 2000 SPIE International Symposium on Terahertz and Gigahertz Electronics and Photonics, the general chair of the 8th International Microwave and Optical Technology (ISMOT’2001), the Technical Program Committee (TPC) chair of the 2003 IEEE Radio and Wireless Conference (RAWCON’2003), and the general co-chair of the RAWCON’2004. He has served on the Editorial or Review Boards of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He served on the 1996 IEEE Admission and Advancement Committee and the Steering Committee for the 1997 joint IEEE Antennas and Propagation Society (AP-S)/URSI International Symposium. He has also served as a TPC member for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was elected into the Board of Directors of Canadian Institute for Telecommunication Research (CITR). He is currently the chair of the joint IEEE chapters of MTT-S/AP-S/LEOS in Montreal, QC, Canada, the chapter coordinator for MTT-S Region 7, and the vice chair of the IEEE MTT-S Transnational Committee. He was the recipient of a URSI Young Scientist Award, Oliver Lodge Premium Award of the Institute of Electrical Engineer (IEE), U.K., the Asia–Pacific Microwave Prize, the University Research Award "Prix Poly 1873 pour l’Excellence en Recherche" presented by the Ecole Polytechnique on the occasion of its 125th anniversary, the Urgel-Archambault Prize (the highest honor) in the field of physical sciences, mathematics and engineering from the French–Canadian Association for the Advancement of Science (ACFAS), and the 2004 Fessenden Medal of the IEEE Canada. In 2002, he was the first recipient of the IEEE MTT-S Outstanding Young Engineer Award.

2552

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fast Waveguide Eigenanalysis by Wide-Band Finite-Element Model-Order Reduction Shih-Hao Lee, Ting-Yi Huang, and Ruey-Beei Wu, Senior Member, IEEE

Abstract—An efficient method for fast wide-band eigenmode analysis is presented in this paper. The finite-element solution space is projected to a subspace containing frequency-dependent information obtained at a single frequency by a Lanczos algorithm and modified perturbation theory, resulting in a single-point reduced-order model accurate over an extremely wide frequency range. A numerical procedure is developed to extract a desired mode from the reduced-order model for fast frequency sweep and is then applied to several practical problems, which demonstrate the solution accuracy and computational efficiency of the proposed method. Index Terms—Coplanar waveguide (CPW), finite-element method, microstrip line, microwave waveguide, model-order reduction, non-Hermitian Lanczos algorithm.

I. INTRODUCTION

E

LECTROMAGNETIC computer-aided analysis is indispensable to modern microwave circuit design, and is becoming more important to the study of high-speed digital circuits, which requires characterizations over a wide frequency range. However, it is well known that full-wave techniques suffer from a main drawback of their heavy computational burden. When wide-band propagation characteristics are desired, the computation has to be repeated for each frequency point. It is obvious that such a process is very time consuming and some information may be lost due to insufficient sample points. To accelerate the analysis of waveguide eigenmode problems, several model-order reduction techniques have been proposed in the past. For example, hyper-perturbation theory [1], [2], based on Taylor-series expansion, was proposed and combined with the finite-element method to obtain an explicit expression for the dispersion curve and the birefringence of an optical fiber with arbitrary index profile. Since a Taylor series is limited by poles, the expression was in a segmented form with each segment valid in a narrow frequency range. Asymptotic waveform evaluation (AWE), originally developed for time-domain analysis of electronic circuits, had been combined with the tangential-vector finite-element method (TVFEM) for the electromagnetic analysis of dielectric waveguides [3]. The AWE transforms a Taylor series into a Padé rational function, which can be valid

Manuscript received July 22, 2004; revised April 18, 2005. This work was supported in part by the Ministry of Education, China, under Grant 89-E-FA06-2-4, and by the National Science Council under Grant NSC 91-2219-E002-017. The authors are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, Taipei 106, Taiwan, R.O.C. Digital Object Identifier 10.1109/TMTT.2005.852763

over a wider frequency range, but the applicability greatly depends on the choice of the rational function order. Another way to obtain a reduced-order model can be found in [4], where several modal eigenvectors evaluated at many equispaced sample frequencies in the desired band were arranged column-wise together and orthonormalized using the singular value decomposition (SVD). The resultant singular vectors spanned a subspace containing approximate frequency-dependent modal eigenvectors, over which the original finite-element system matrices were projected to yield a reduced-order model. Although frequency derivatives of an eigenvector can also be used, as shown in [4], multipoint evaluation was preferred. Besides, Bertazzi et al. [4] did not provide a method to extract a desired mode from the reduced-order model over a frequency band. Since solving an eigenproblem is the most costly step in twodimensional (2-D) finite-element analysis, evaluation points in the desired band are as fewer as better. This paper investigates single-point model-order reduction, which fully utilizes information obtained by the Lanczos algorithm and modified perturbation theory at a single frequency. The proposed method will be called model-order reduction hybridized with modified perturbation (MPMOR) [5] in the following sections. Compared with [5], the method has been extended to deal with problems involving lossy structures efficiently when being combined with the generalized non-Hermitian Lanczos algorithm. Some key steps of MPMOR are also detailed. Moreover, the problem encountered in sweeping complex modes, as shown in [5], has been solved in this paper. This paper is organized as follows. The theory and implementation of the finite-element method for waveguide eigenanalysis are discussed in Section II. Sections III–IV then describe MPMOR and its associated procedure for fast frequency sweep. Section V presents several illustrative numerical examples, followed by some conclusions in Section VI. II. 2-D FINITE-ELEMENT METHOD A. 2-D Finite-Element Model The finite-element method approximates the fields in an EM system by basis functions defined in a finite number of elements. In this research, two sets of basis are combined, which are: 1) quadratic Lagrangian basis for axial fields and 2) linear tangential/quadratic normal (LT/QN) vector basis for transverse fields [6]. Consider a general waveguide whose conductors can be lossy and whose dielectrics can be inhomogeneous and anisotropic. It

0018-9480/$20.00 © 2005 IEEE

LEE et al.: FAST WAVEGUIDE EIGENANALYSIS BY WIDE-BAND FINITE-ELEMENT MODEL-ORDER REDUCTION

can be shown that the time–harmonic field satisfies the sourcefree vector wave equation

2553

and

to partially

tridiagonalize

(1) where is the free-space wavenumber. The truncated boundary condition on on

(2a) (2b)

is assumed for simplicity, although this method can be generalized to more complicated boundary conditions as well. By a variational formulation, the functional related to (1) and (2) can be found to be (biaxial materials assumed) [7]–[9]

(7) . Two recurrence relations can be and makes [10]. derived for the construction of the Rayleigh matrix As more and more Lanczos vectors and are computed, not only the first mode, but many high-order modes converge. Actually, high-order modes may converge within a few more iterations after the first mode converged. In practical implementation, however, the problem of loss of bi-orthogonality resulting form the roundoff error is usually encountered. The bi-orthogonality between and fades quickly and many copies of the same eigenpairs repeatedly converge. To overcome this problem, the bi-orthogonality condition is imposed to both newly produced Lanczos vectors in each iteration, i.e., and

where

denotes the cross section of a waveguide and is the desired complex propagation constant. After introducing the transformation of variables (3) and and approximating functions, one obtains

with the combinations of basis (4)

(8)

so as to make and

for each

Note that and are not necessarily square matrices (although in finite-element analysis, they are indeed square matrices). Hence, the two Lanczos vectors are not necessarily of the same length. Substituting (9) into (8), one obtains

where

and

and the column vector involves nodal and edge variables related to field distributions. Applying the Ritz method to (4) results in a generalized eigenvalue problem (5) This eigenproblem can be shifted by , and are the maximum real parts of relative perwhere mittivity and relative permeability, respectively, in the problem domain (6) so that the fundamental mode corresponds to the largest eigenvalue. Under the existence of loss, both and are non-Hermitian matrices and a general eigensolver is required. In this research, we adopted a non-Hermitian Lanczos algorithm to handle such a problem.

(9)

(10)

which are the bi-orthogonalization coefficients. Hence, the generalized non-Hermitian Lanczos algorithm is summarized as follows. Choose the parameter MaxIter as the maximum number of iterations in the construction of a Rayleigh matrix and tol as the error tolerance. . Start with vectors 1) . . 2) to MaxIter, do 3)–10). For 3) . . 4) . 5) Solve , then stop. 6) If 7) Solve and . 8) Bi-orthogonalization

and

B. Generalized Non-Hermitian Lanczos Algorithm

9)

with and Consider a generalized eigenproblem being non-Hermitian matrices. The generalized non-Hermitian Lanczos algorithm constructs two sets of column vectors

10)

. , and .

2554

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

11) Compute Ritz vectors for . (optional). 12) Solve (op13) Compute Ritz vectors for tional). Note that the convergence of the first Ritz pairs is monitored by checking the residual norms where is the th (last) entry of . This convergence monitor together with the bi-orthogonalization process stated above are the distinctions between this algorithm and that given in [10]. For a lossless waveguiding structure, both and are real symmetric matrices. The generalized non-Hermitian Lanczos , and only algorithm can be greatly simplified because one linear equation in 7) needs to be solved in each iteration. Furthermore, the bi-orthogonality condition is now simplified . A detailed Lanczos to -orthogonality, namely, algorithm for lossless structure can be found in [11]. III. MPMOR THEORY To reduce an original finite-element model to a much smaller one for fast frequency sweep, we first need to find a set of column vectors containing the information about a desired frequency-dependent modal eigenvector. By a Rayleigh–Ritz procedure, an approximate modal eigenvalue at a frequency other than the sample points can then be found, and the associated eigenvector can also be approximated by the linear combination of this set of column vectors. In this research, this set of column vectors is formed with a modal eigenvector and its frequency derivatives, which can be accurately found by applying the modified perturbation theory. To begin with, the frequency-dependent eigenproblem (6) is rewritten as (11) for simplicity, where and The following equations can then be derived to find the over [5]: derivative of

first equation is solved for . This procedure is repeated to recursively find higher order derivatives. In lossless cases, is exactly the eigenvector [3], whereas in lossy cases, is the eigenvector of , which is computed simultaneously with in the non-Hermitian Lanczos algorithm. An exception is that for a pair of complex modes in a lossless case, the modes are adjoint solutions to each other, i.e., . It is noted from (12) that the matrix on the left-hand side is singular for an exact eigenvalue . To find accurate numerical solutions, we can simply remove one row and its corresponding column from the matrix to make it nonsingular [1], [2]. Of course, the corresponding entry of the vector on the righthand side should also be removed. In practice, the entry with is located and the corresponding the largest magnitude in rows and columns are removed from and to form and , respectively. This usually results in a much lower condition . After is LU-decomposed, the number of in (12) can be comfrequency derivatives puted very efficiently. The given modal eigenvector and its frequency derivatives are then orthonormalized to form an -di, using the SVD as mensional basis , where in [4]. Projection of the original system matrices in (11) to the subspace spanned by yields a reduced-order model (13) where (14) (15) IV. FAST FREQUENCY SWEEP To utilize a reduced-order model for fast frequency sweep, it is necessary to identify the eigenpair for a desired mode. Starting from the expansion frequency point, the eigenpairs of the current reduced-order model are compared with the one determined at the previous frequency point. Eigenvalues very different from the previous one are eliminated first. Besides, it has been observed that some nonphysical modes are also contained in the subspace spanned by . with They may lead to failure in tracing a desired mode near cutoff and must be filtered out. According to [11], these nonphysical solutions belong to a vector function space , where

.. .

(16) Thus, we can compute the inner products of current eigenvectors with (17)

(12) where The subscripts in (12) denote the order of perturbation and is the maximum perturbation order. Multiplying the first equation of (12) by the Hermitian of ad, makes joint solution , which satisfies the left-hand side vanish. Thus, can be found and then the

and

(18)

Eigenvectors whose inner products with below a certain criterion, say, 10 , are identified as nonphysical solutions and are eliminated.

LEE et al.: FAST WAVEGUIDE EIGENANALYSIS BY WIDE-BAND FINITE-ELEMENT MODEL-ORDER REDUCTION

Fig. 1. Dielectric-loaded rectangular waveguide and the dispersion curves of its first three modes. The results of MPMOR (P = 10) with the expansion point k b = 3:5 (solid lines) and direct calculation (circles).

2555

Fig. 2. Residual errors versus frequency of the reduced-order models for the first three modes at k b = 3:5 obtained by MPMOR.

The inner products of the remaining eigenvectors with the one determined at the previous frequency point are then calculated, and the eigenvector corresponding to the largest inner product is selected. It is not necessary to recover the approximate modal eigenvector by (19) is an orthonormalized to compute the inner products since matrix. We adopt the above procedure to filter out nonphysical solutions because it is difficult to remove them from in advance. Specific nonphysical solutions are unknown and, furthermore, physical solutions are generally not orthogonal to the function space . V. NUMERICAL RESULTS Five examples have been analyzed to validate the proposed method.

Fig. 3. Shielded anisotropic image waveguide and the dispersion curves of its first two modes at 36 MHz. The results obtained by MPMOR (P = 15, solid lines) and direct calculation (circles).

A. Dielectric-Loaded Rectangular Waveguide The rectangular waveguide half filled with a dielectric slab, as shown in the inset of Fig. 1, is simulated from normalized to . The dispersion curves of the first three frequency modes (Fig. 1) are quickly obtained by applying MPMOR after . Pertursolving the original finite-element model at . bations of each modal eigenvector are computed up to The dispersion curves obtained from the reduced-order models are quite consistent with the direct solutions (circles) obtained by solving the original models. To analyze the accuracy of a reduced-order model, the residual error is defined as in [3], [4] residual error

(20)

where and are the original system matrices at freand are the approximate solutions quency , and obtained from a reduced-order model. The residual errors of these three modes are shown in Fig. 2. The first mode has the over the entire frequency range. Even lowest error, below 10 , the error is at most 10 . for the low perturbation order The errors of the second and third modes are also very low.

B. Anisotropic Image Waveguide The waveguide, as shown in the inset of Fig. 3, is simulated from 23 to 45 MHz. The dielectric TiO has a very high relative and . As in [3], the permittivity: MHz, where the first two expansion point is chosen at modes are calculated. Perturbations of each modal eigenvector . are computed up to The dispersion curves (Fig. 3) of the first two modes obtained by MPMOR are in good agreement with the direct solutions (circles). Note that the two modes cross over around 40 MHz. The method presented in Section IV can trace the desired mode through this region successfully without mistaking the first mode for the second or vice versa. The residual errors of the first two modes are shown in Fig. 4. Here, we make a comparison with the AWE results [3], The AWE model in [3] is a Padé rational function of degree 30 (15 for both the denominator and numerator) constructed to approximate a dispersion curve. Even for such a high-order approximation, the residual errors in AWE are quite large and increase quickly, especially for the second mode.

2556

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 4. Error versus frequency of the reduced-order models for the first two , solid lines) and modes at 36 MHz. The results obtained by MPMOR (P AWE [3] (dots).

= 15

Fig. 5. Lossless microstrip line and the dispersion curves of the first two even modes. The results of MPMOR with the expansion point at 8 GHz (P , : mm, solid lines) and direct calculation (crosses). The dimensions are D h : mm, h : mm, w : mm, and t : mm. The dielectric constant of the substrate is 8.875.

= 1 27

= 11 43

= 1 27

= 10 = 12 7 = 0 127

C. Lossless Microstrip Line As a final lossless case, a microstrip line in the inset of Fig. 5 is simulated over the frequency range of 1–15 GHz. The computational region is halved by the placement of a PMC on the , the dispersymmetry plane. Applying MPMOR with sion curves of the first two even modes are obtained and shown GHz, where in Fig. 5. The expansion point is chosen at the second mode is below cutoff. The same microstrip line is next simulated from 15 to 20 GHz. gives the dispersion curves of the first seven MPMOR even modes, as shown in Fig. 6. It is worth mentioning that the sixth and seventh modes at the expansion point are complex modes. By the method presented in Section IV, the dispersion curves can easily be traced in the region of complex modes. However, when the two modes should split around 17 GHz, checking the inner products only cannot distinctly determines which curves should be chosen and traced. In fact, the method tends to choose the same curve for the two modes below 17 GHz. Therefore, when tracing the seventh mode, we can eliminate the

Fig. 6. Dispersion curves of the first seven modes of the microstrip line. The results of MPMOR with the expansion point at 17.5 GHz (P , solid lines) and direct calculation (crosses).

= 10

Fig. 7. Lossy microstrip line and dispersion curve (effective index) of the fundamental mode. The results of MPMOR with the expansion point at 10 GHz (dashed line), (P , solid line), direct calculation (crosses), and HFSS (squares).

= 25

RL2D+CAP

eigenpairs that correspond to the sixth mode (already traced) so that the two curves can successfully split. D. Lossy Microstrip Line Now consider a microstrip line with a lossy strip and a lossy ground plane ), both of which have a thickness of 3 m. The dielectric constant of the substrate is 13. The width of the strip is 75 m and the height of the substrate is 100 m. Both of the lossy conductors are subdivided with finite-element mesh. Eight layers of triangle doublets are placed in the strip and ground plane. This number of layers has been checked to be sufficient for the convergence of the attenuation constant. The dispersion curve (effective index) and attenuation of the fundamental mode from 100 MHz to 50 GHz are shown in Figs. 7 and 8, respectively. The expansion point of MPMOR is selected at 10 GHz, where the original finite-element model in is solved and the perturbations are computed up to order to obtain a dispersion curve over such a wide frequency

LEE et al.: FAST WAVEGUIDE EIGENANALYSIS BY WIDE-BAND FINITE-ELEMENT MODEL-ORDER REDUCTION

Fig. 8. Attenuation of the fundamental mode of the lossy microstrip line. The results of MPMOR (solid line), direct calculation (crosses), (dashed line), and HFSS (squares).

RL2D + CAP

range. Further increase of is of little help for accuracy. However, MPMOR lacks the ability to estimate the best based on the desired mode and frequency range a priori, which deserves further study. The effective index and the attenuation are quite consistent with the direct solutions over the frequency range. The results are also compared with those computed by the High-Frequency Structure Simulator (HFSS) and two quasi-static solvers based on solving integral equations: RL2D [12] and CAP [13], which compute the R, L, and C of the structure, and the results are used to find the complex propagation constants. It should be emphasized that the original system matrices are usually quite ill-conditioned at low frequency. The accuracy of solutions is deteriorated if we directly solve the original finite-element model at low frequency. MPMOR alleviates this problem by obtaining low-frequency characteristics from an expansion at higher frequency, where the condition number is lower. E. Lossy Coplanar Waveguide (CPW) The final example is a CPW [4] with lossy conductors (gold) on an X-cut -propagating LiNbO substrate coated with a 1- m-thick SiO buffer layer. The whole structure is enclosed by a 300 m 400 m perfect electric conductor (PEC) box. The CPW is simulated from 1 to 20 GHz. The original finiteelement model is solved at 10 GHz only, and then MPMOR is applied to obtain the dispersion curve and the with attenuation of the fundamental mode (Fig. 9). F. Comparisons of Computation Time It is interesting to compare the saving in computation time by MPMOR. For the aforementioned examples, Table I lists the required CPU time of the MPMOR and direct solution. Roughly speaking, the CPU time required for constructing a reducedorder model by MPMOR is approximately twice that of direct computation at one frequency point. Once a reduced-order model is available, computation time for the eigensolution is negligible no matter how many frequency points are desired.

2557

Fig. 9. Dispersion curve (effective index) and attenuation of the fundamental mode of the CPW. The results of MPMOR with the expansion point at 10 GHz , solid line), direct calculation (crosses), and the [4] (squares). (P

= 15

TABLE I COMPARISONS OF CPU TIME REQUIRED MPMOR AND DIRECT SOLUTION

IN THE

However, the total computation time of the direct method increases proportionally to the number of frequency points. VI. CONCLUSIONS An efficient solution procedure to analyze wide-band propagation characteristics of general waveguides has been proposed in this paper. A generalized non-Hermitian Lanczos algorithm has been employed for solving waveguiding structures involving lossy materials. Furthermore, a modified model-order reduction method, called MPMOR, has been proposed for fast waveguide eigenanalysis. This method finds accurate frequency derivatives of a modal eigenvector by applying the modified perturbation theory. A reduced-order model has been generated by projecting the original system matrices to the subspace spanned by the modal eigenvector and its frequency derivatives. A practical procedure has been provided to extract a desired mode from a reduced-order model so that a dispersion curve can be quickly obtained after a reduced-order model is generated. The numerical examples have shown that both the dispersion curves and frequency-dependent attenuations obtained from the reduced-order models were quite consistent with the direct solutions and those computed by other programs over very wide frequency ranges. MPMOR makes it possible to obtain wide-band

2558

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

characteristics with enough accuracy from a single-point computation. Moreover, when directly solving an original model at low frequency, the accuracy of solution suffers from the ill-conditioned system matrices. MPMOR can alleviate this problem by obtaining low-frequency characteristics from an expansion at a higher frequency where the condition number is lower.

Shih-Hao Lee received the B.S. degree in electrical engineering and M.S. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2002 and 2004, respectively. His research interest is in the application of the finite-element method to electromagnetic problems.

ACKNOWLEDGMENT Author S.-H. Lee thanks Dr. Y.-H. Pang, Industrial Technology Research Institute, Hsin Chu, Taiwan, R.O.C., and A.-S. Liu, National Taiwan University, Taipei, Taiwan, R.O.C., for their helpful suggestions. REFERENCES [1] R. B. Wu, “Finding Taylor expansion of dispersion curve for arbitrarily indexed optical fibers by hyper-perturbation theory,” IEEE Trans. Magn., vol. 27, no. 9, pp. 3894–3897, Sep. 1991. [2] , “Explicit birefringence analysis for anisotropic fibers,” J. Lightw. Technol., vol. 10, no. 1, pp. 6–11, Jan. 1992. [3] S. V. Polstyanko, R. Dyczij-Edlinger, and J. F. Lee, “Fast frequency sweep technique for the efficient analysis of dielectric waveguides,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1118–1126, Jul. 1997. [4] F. Bertazzi, O. A. Peverini, M. Goano, G. Ghione, R. Orta, and R. Tascone, “A fast reduced-order model for the full-wave EM analysis of lossy inhomogeneous anisotropic waveguides,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2108–2114, Sep. 2002. [5] S. H. Lee and R. B. Wu, “Modified perturbation theory for wide-band finite-element model order reduction in eigen-problems,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Fort Worth, TX, Jun. 6–11, 2004, pp. 1419–1422. [6] A. F. Peterson, S. L. Ray, and R. Mittra, Computational Methods for Electromagnetics. Piscataway, NJ: IEEE Press, 1998, ch. 9. [7] C. H. Chen and C. D. Lien, “The variational principle for nonself-adjoint electromagnetic problems,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 8, pp. 878–886, Aug. 1980. [8] J. Tan and G. Pan, “A new edge element analysis of dispersive waveguiding structures,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 11, pp. 2600–2607, Nov. 1995. [9] J. M. Jin, The Finite Element Method in Electromagnetics. New York: Wiley, 2002, ch. 6. [10] V. Schulz, “Adjoint high-order vectorial finite elements for nonsymmetric transversally anisotropic waveguides,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1086–1095, Apr. 2003. [11] J. F. Lee, D. K. Sun, and Z. J. Cendes, “Full-wave analysis of dielectric waveguides using tangential vector finite elements,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 8, pp. 1262–1271, Aug. 1991. [12] R. B. Wu and J. C. Yang, “Boundary integral equation formulation of skin effect problems in multiconductor transmission lines,” IEEE Trans. Magn., vol. 25, no. 7, pp. 3013–3016, Jul. 1989. [13] W. T. Weeks, “Calculation of coefficients of capacitance of multiconductor transmission lines in the presence of a dielectric interface,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 1, pp. 35–43, Jan. 1970.

Ting-Yi Huang was born in Hualien, Taiwan, R.O.C., on November 12, 1977. He received the B.S. degree in electrical engineering and M.S. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2000 and 2002, respectively, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University. His research interests include computational electromagnetics, the design of microwave filters, transitions, and associated RF modules for microwave and millimeter-wave applications.

Ruey-Beei Wu (M’91–SM’97) received the B.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, R.O.C., in 1979 and 1985, respectively. He then joined the faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. He is also with the Graduate Institute of Communications Engineering, which was established in 1997. From March 1986 to February 1987, he was a Visiting Scholar with IBM, East Fishkill, NY. From August 1994 to July 1995, he was with the Electrical Engineering Department, University of California at Los Angeles. From May 1998 to April 2000, he was Director of the National Center for High-performance Computing. Since November 2002, he has also served as Director of the Planning and Evaluation Division, National Science Council. His areas of interest include computational electromagnetics, transmission-line and waveguide discontinuities, microwave and millimeter-wave planar circuits, and interconnection modeling for computer packaging. He has authored or coauthored over 50 publications appearing in IEEE publications and over 70 papers appearing in international conferences. Dr. Wu is a member of the Phi Tau Phi Scholastic Society, the Chinese Institute of Engineers, the Chinese Institute of Electrical Engineers, and the International Union of Radio Science (URSI).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

2559

26.5–30-GHz Resistive Mixer in 90-nm VLSI SOI CMOS Technology With High Linearity for WLAN Frank Ellinger, Member, IEEE

Abstract—A resistive mixer with high linearity for wireless local area networks is presented in this paper. The fully integrated circuit is fabricated with a 90-nm very large scale integration silicon-on-insulator (SOI) CMOS technology and has a very compact size of 0.38 mm 0.32 mm. Design guidelines are given to optimize the circuit performance. Analytical calculations and simulations with an SOI large-signal Berkeley simulation model show good agreement with measurements. At an RF of 27 GHz, an IF of 2.5 GHz and zero dc power consumption, a conversion loss of 9.7 dB, a single-sideband noise figure of 11.4 dB, and a high third-order intercept point at the input of 20 dBm are measured at a local-oscillator (LO) power of 10 dBm. At lower LO power of 0-dBm LO power, the loss is 10.3 dB. To the knowledge of the author, the circuit has by far the highest operation frequency reported to date for a resistive CMOS mixer. Furthermore, it provides the highest linearity for a CMOS mixer operating at such high frequencies. Index Terms—CMOS, -band, resistive mixer, silicon-on-insulator (SOI), wireless local area network (WLAN).

I. INTRODUCTION

T

ODAY, mixers are required for various applications, e.g., for the frequency conversion in wireless transceivers. For these mixers, the demands are continuously increasing for performance parameters such as operation frequency, bandwidth, conversion loss (gain), dynamic range, and power consumption. As for other components, CMOS-based circuits are preferred for low-cost applications since they have a superior yield allowing high circuit complexities. Furthermore, they can be easily integrated together with the low power-consuming CMOS baseband part. Due to the limited speed of MOSFETs and the low quality factor of the passive devices, the use of CMOS technology is restricted to relatively low operation frequencies. Nevertheless, over the last years, the speed gap compared to III/V and SiGe technologies has been significantly decreased by aggressive downscaling of the transistor gate length and by using silicon-on-insulator (SOI) technology [1]. Compared to conventional bulk technologies, SOI processes allow a higher substrate resistivity without degrading the threshold properties of the MOSFETs. As a consequence, the parasitics of the transistors and the passive devices can be reduced. Recently, analog circuits have been realized on 90-nm SOI CMOS technology showing excellent RF performance

Manuscript received July 19, 2004; revised February 12, 2005. The author is with the Electronics Laboratory, Swiss Federal Institute of Technology (ETH) Zürich, 8092 Zürich, Switzerland and also with the IBM/ETH Center for Advanced Silicon Electronics, 8803 Rüschlikon, Switzerland (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852762

up to millimeter-wave frequencies. These circuits are, e.g., a 60-GHz traveling-wave amplifier [2], a 26–42-GHz low-noise amplifier (LNA) [3], a 26.5–28.5-GHz frequency doubler [4], a 52–61-GHz voltage-controlled oscillator [5], a passive 30–40-GHz transconductance pumped mixer [6], and an active 26–34-GHz single balanced differential pair mixer [7]. Aggressively scaled CMOS technologies have one significant disadvantage. The breakdown voltages of the transistors are also scaling limiting the dynamic range of the circuits. For conversion constraints, mixers have to be operated in a strongly nonlinear bias point. Thus, the dynamic range of receivers is frequently determined by the linearity of the mixer. Both active and passive mixer topologies have been reported in literature [8]–[10]. Relative low conversion loss or even gain can be achieved with active topologies such as the cascode [11], the differential pair [7], [12], and the transconductance pumped mixer [6], [13]–[15]. However, especially at moderate to low power consumption, the linearity of these active topologies is low. Much higher linearity can be achieved with resistive mixers using the nonlinear variation of the channel conductance versus the gate voltage [16]–[21]. Since the level of undesired intermodulation products of these mixers is weaker than for their active counterparts, high linearity can be achieved. Due to their passive and resistive nature, resistive mixers have a relative high conversion loss. However, the saved dc power can be used for an amplifier in front of the mixer. In this paper, a resistive mixer with high linearity is presented. The circuit is well suited for wireless local area network (WLAN) standards such as the local multipoint distribution service (LMDS) operating at 28 GHz. To the knowledge of the author, the circuit has by far the highest operation frequency reported to date for a resistive CMOS mixer. Furthermore, at comparable frequencies, it yields the highest linearity and figure-of-merit (FOM) achieved for a CMOS mixer independent of the circuit topology. II. TECHNOLOGY The mixer was fabricated on a 90-nm IBM SOI CMOS technology, which has a substrate resistivity of 13.5 5 cm and a metal stack with eight metal layers. The very large scale integration (VLSI) technology is optimized for digital rather than for analog applications. For the used experimental hardware, a and maximum frequency of oscillation transit frequency of approximately 160 GHz have been measured for the n-channel transistors. It is noted that a of 243 GHz and a of 208 GHz have been reported for the latest hardware of the technology [1]. The two top copper metals can be used for the

0018-9480/$20.00 © 2005 IEEE

2560

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 1. Resistive mixers. Nonlinear resistance can be realized in: (a) series or (b) shunt configuration, filters can be implemented as: (a) bandpass or (b) bandstop filters.

realization of the inductors. They have the largest metal thickness of approximately 1 m. However, due to the thin metals and the associated series resistances, the quality factors are relatively poor, especially at low frequencies where long spiral lines are required. It is noted that for VLSI technologies, the metal thickness is kept low to maximize the integration density. For information concerning the optimization of CMOS inductors, the reader is referred to literature [22]–[24]. No special metal–insulator–metal (MIM) capacitors are provided. However, the metal plates of the metal stack can be used to realize MIM capacitors. At 30 GHz, the loss of a signal pad is below 0.2 dB. For additional information concerning the technology, the reader is referred to [1]. III. CIRCUIT DESIGN Resistive mixers can be realized in different circuit configurations. Due to their low complexity, the ones shown in Fig. 1 are well suited for monolithic integration. The nonlinear characteristics of a resistance as a function of the time-variant local is used for freoscillator (LO) signal with LO frequency to the IF quency conversion, in this case, from the RF given by . The nonlinear resistance can be realized by an field-effect transistor (FET) operating in the resistive region, which can either be connected in series or shunt configuration. Filters are required to minimize the signal energy converted to unwanted frequencies. These filters can be implemented as bandpass or as bandstop filters, thereby, in ideal case providing an open or a short, respectively, for all frequencies instead of the desired RF or IF frequency. The overall loss of the mixer is given by (1) , the insertion with the insertion loss of the RF filter loss of the IF filter , the insertion loss caused by the , and the connonideal on-and off-resistance of the FET . The version loss due to the nonlinear mixing process losses of the signal pads are neglected since they are small. Careful choice of the circuit configuration is important to minimize the overall loss. The different loss mechanism will be discussed below and the corresponding theory will be applied to our designed mixer. The simplified circuit schematics of the mixer is shown in Fig. 2.

Fig. 2.

Simplified circuit schematics of the resistive mixer.

Fig. 3. Simulated characteristics of the filters including parastics.

Compared to bandpass filters, bandstop filters have two significant advantages. For both the capacitor and the inductor, one port is shunted. Thus, a significant part of the substrate losses is short circuited, thereby yielding a higher quality factor. This is especially important for VLSI CMOS technologies generally having limited quality factors. Furthermore, due to the shunt inductance, the input and output of the resistive FET are dc grounded, providing a dc drain–source voltage of zero, as required to keep the device within the resistive region. As a benefit, no additional area consuming and lossy bias elements are needed. Consequently, bandstop filters are used for the mixer circuit. They can be designed with the following simple equations: (2) and (3) The simulated characteristics of the filters are shown in Fig. 3 The parasitics of the inductors and capacitors were extracted from measurements and are considered in the simulations. At the RF frequency of 27 GHz and the IF frequency of 2.5 GHz, the inductors for the RF and IF filters have a quality factor of approximately 15 and 5, respectively. The relative low quality factor of the IF inductor is attributed to its high series resistance. of 1.1 dB at the RF frequency The RF filter has an and a suppression of the IF frequency amounting to 18 dB. An of 2.5 dB at the IF frequency, and an RF and LO isolation of higher than 22 dB are simulated for the IF filter. Of course, lower loss would be possible given that the technology

ELLINGER: 26.5–30-GHz RESISTIVE MIXER IN 90-nm VLSI SOI CMOS TECHNOLOGY WITH HIGH LINEARITY FOR WLAN

Fig. 4. (a) Simplified equivalent circuit of an FET at zero dc drain–source voltage R = f (V ): nonlinear drain–source channel resistance, R : gate connection resistor, R : drain connection resistor, R : source connection resistor, C : gate–source capacitance, C : drain–gate capacitance, R : gate resistor. (b) Approximate values for FET with gatewidth of 64 m extracted from measurements.

would provide thicker metals. Furthermore, III–V technologies would be superior since they have lower substrate losses. For the final design, the elements of the filters were slightly adapted to incorporate the parasitic drain and source capacitance of the FET, thereby improving the impedance matching and conversion efficiency. Generally, no significant differences have been found between the series and shunt configuration of the nonlinear resistance. The shunt configuration has slightly lower loss since the parasitics at the source of the FET are shunted. However, a series element is used for our circuit since, together with the resistive shunt-elements of the bandstop filters, it lowers the impedance mismatch compared to a configuration where all elements are shunted. In the ideal case, the nonlinear resistance acts as a switch with of zero and an off-resistance of . Of an on-resistance course, in reality, this assumption is limited by the parasitics and the nonzero switching time of the FET. The simplified equivalent circuit of the resistive FET and the equivalent-circuit elements in the on and off modes are shown in Fig. 4. Neglecting and results in an on-resistance of (4) with being the corresponding channel conductivity and being the gatewidth. Neglecting , the resistance in the off mode can be approximated by (5) where is the capacitance per area. From (4) and (5), the following can be concluded. • decreases with operation frequency and increases the loss toward high frequencies. , as well as , are improved • The characteristics of when using a small . Thus, aggressively scaled technologies should be well suited. lowers , but unfortunately at the same time • A large decreases . Depending on the impedance conditions or of the environment, the nonideal characteristics of can dominate. Thus, an optimum exists, as will be demonstrated later.

2561

Fig. 5. Characteristics of drain–source resistance (R ) versus dc bias (V ) of an FET with w = 64 m at zero dc drain–source voltage.

are advantageous. However, these • High and low parameters are defined by the used technology. The loss limit due to the nonideal on- and off-resistances can be estimated by [25] (6) with (7) Using (4)–(7) and the values of the FET, as listed in Fig. 4(b), we can estimate a loss of dB at 27 GHz. Due to the small and the low associated parasitics, this value is relatively low, even at such high frequencies. To predict the nonlinear characteristics of the FET, an SOI large-signal Berkeley simulator model (BSIM)1 was used together with Cadence simulation software. In Fig. 5, the measured and simulated channel resistance of a typical transistor is illustrated. The best conversion efficiency at given LO power is with respect to achieved at a dc bias providing an average and . We will see later that the highest conversion efficiency is obtained at a dc-bias point of approximately 0.45 V of 64 m. Thus, this bias and are used for further and a investigations. Considering a sinusoidal LO signal with adequate magnitude to drive the channel resistance from the on to the off state, we get , as illustrated in Fig. 6. With the asa time variant shape of sumption that the LO power is much higher than the RF power, can be represented by the Fourier series the nonlinear (8) with and as the fundamental and the th Fourier coefficient, respectively. If we neglect the parasitics of the FET and the filters and if we assume ideal filtering of all frequencies instead of the desired RF and IF frequencies, the theoretical conversion loss can be calculated by [16] available input power output power 1[Online].

Available: www-device.eecs.berkeley.edu/~bsimsoi/

(9)

2562

Fig. 6.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Nonlinear characteristics of R

Fig. 7. Simulated conversion loss versus bias for different LO power at f = 27 GHz.

when driven by the LO signal.

With the assumption of a rectangular shape of

, we get (10)

Due to the passive nature of the device and depending on the , the factor ranges from unity to shape and duty cycle of zero, theoretically resulting in a loss of zero and , respectively. Unfortunately, an of unity and, consequently, zero loss, can never be reached since the optimum source resistance and output resistance of the mixer related by [26] (11) would approach zero. Consequently, in practice, no impedance matching and power transfer would be possible. As illustrated in Fig. 6, we may assume a duty cycle of %. Thus, from (7) and (10), a conversion loss of 5.9 dB can be calculated. From (1), we can now calculate the theoretical overall mixer loss amounting to dB

dB

dB

dB

dB

Fig. 8. Simulated conversion loss versus gatewidth for different LO power at bias of 0.45 V and f = 27 GHz.

(12)

It can be concluded that the nonlinear mixing has the highest contribution to the overall loss, followed by the insertion loss of the IF filter. In the following, we verify our assumption that minimum overall loss is reached at a bias of approximately 0.45 V using of 64 m. an FET with The simulated overall conversion loss versus bias is shown in Fig. 7 for high and low LO power. For a wide LO power range, minimum loss is achieved for a dc voltage of approximately 0.45 V. Consequently, this bias was used. From this simulation, we can gain an interesting insight. For high LO power, the conversion loss is relatively insensitive against gate bias, whereas it is very sensitive for low LO power. This is reasonable since at low LO power and low bias, the LO power is not sufficient to drive the device to the low-ohmic region, whereas at high bias, the LO power is not high enough to drive the FET into the high-ohmic region. In both cases, the corresponding -factor is large, thereby increasing the conversion loss. is important since Furthermore, optimum choice of determines the off- and on-resistance of the device. As already mentioned, depending on the port impedance, which, in our case, is 50 , an optimum exists. The simulated overall conversion loss versus is illustrated in Fig. 8, showing minimum

2

Fig. 9. Mixer with compact chip size of 0.38 mm 0.32 mm. For manufacturability and reliability, the free circuit area is filled with metal pieces and holes are made into the metals.

loss for a between 70–120 m. However, the variations of the loss versus are relatively weak. For a of 40–180 m, the loss degradation is less than 1 dB. Finally, due to impedance of 64 m was chosen. matching constraints, a A photograph of the mixer with overall chip size of only 0.38 mm 0.32 mm is shown in Fig. 9. The compact size is attributed to the simple circuit topology and the low number of required elements. As a benefit, the small chip size lowers the costs in mass fabrication. The impact of process variations on the circuit is relatively low. Simulations considering typical process variations show conversion loss variations of less than 1.2 dB. The main reason for the low process variations is the passive nature of the circuit, which does not introduce dependencies on a threshold

ELLINGER: 26.5–30-GHz RESISTIVE MIXER IN 90-nm VLSI SOI CMOS TECHNOLOGY WITH HIGH LINEARITY FOR WLAN

Fig. 10. Measured and simulated conversion loss versus LO power at RF frequency of 27 GHz, IF frequency of 2.5 GHz, and V = 0:45 V.

voltage and, subsequently, on the supply current and transconductance. Furthermore, the passive design and the choice of a large transistor with impedance close to 50 allows relatively broad-band impedance matching, which mitigates the influence of process variations.

2563

Fig. 11. Measured and simulated conversion loss versus RF frequency with fixed IF frequency of 2.5 GHz and swept LO frequency at V = 0:45 V and P = 5 dBm.

IV. RESULTS The mixer was measured on-wafer using a Hewlett-Packard (HP) 8515B network analyzer, an HP 83650 signal generator, a Rohde & Schwarz SMP04 signal generator, and an HP 8565E spectrum analyzer. All results are referenced to 50 and include the pad parasitics. If not mentioned otherwise, the mixer is biased at 0.45 V, and operated at RF, IF, and LO frequencies of 27, 2.5, and 24.5 GHz, respectively. In Fig. 10, the measured and simulated conversion loss is plotted versus LO power. The minimum measured loss is 9.7 dB at a LO power of 10 dB. This loss is very close to the theoretical value of 10 dB obtained by the analytical calculations of Section III verifying the applied theory. For some applications, the applied LO power of 10 dBm is difficult to provide on the chip. Consequently, lower LO power is advantageous. The loss increase with decreasing LO power is relatively weak. At much lower LO power of 0 dB, a conversion loss of 10.3 dB was measured, making the circuit also well suited for low-power applications. It is noted that, generally, resistive mixers require high LO power to achieve low loss. The relatively low LO power requirement of the circuit is mainly attributed to the small of the FET, which requires only a low gate voltage swing to switch from on to off state. The measured and simulated conversion loss versus RF frequency at fixed IF frequency is depicted in Fig. 11. The measured 3-dB RF frequency bandwidth ranges approximately from 26.5 to 30 GHz. The deviations between measurements and simulations rise with increased distance from the center frequency. This is mainly attributed to the process tolerances of . The average of the hardware was significantly lower than the one in the large-signal model. Consequently, the bandwidth associated with the optimum average decreases. A general advantage of passive mixers is that they consume no dc power. To compensate the losses of the mixer and to improve the system noise figure (NF), the saved dc power can be used for an LNA in front of the mixer. In this context, the reader is referred to recently published CMOS LNAs operating at adequate frequencies [3], [12].

Fig. 12. Measured and simulated return losses in a small-signal operation point at a bias of 0.45 V.

Fig. 13. f

Measured input third intercept point and SSB NF at bias of 0.45 V, = 2:5 GHz.

= 27 GHz, and f

TABLE I MEASURED AND SIMULATED PORT ISOLATION 0.45-V BIAS, f = 27 GHz, AND f

AT

5-dBm LO POWER,

= 2:5 GHz

In Fig. 12, the measured and simulated return losses are shown at the small-signal dc operation point. At their associated frequencies, the measured RF, IF, and LO return losses are 27, 14, and 5 dB, respectively. It is noted that, in contrast to the RF and IF matching, the LO matching was of low priority. In Fig. 13, the measured single-sideband (SSB) NF and thirdorder intercept point at the input (IIP3) are plotted. As expected

2564

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

TABLE II COMPARISON WITH STATE-OF-THE-ART MIXERS, INCLUDING BUFFER AMPLIFIER

from resistive mixers, the SSB NF is close to the value obtained for the conversion loss. A minimum NF of approximately 11 dB is measured at 5-dBm LO power. Up to 10 dBm, the IIP3 increases with LO power. At 0- and 10-dBm LO power, high values of 12.7 and 20 dBm, respectively, are measured. To the knowledge of the authors, these IIP3 performances are by far the highest reported to date for silicon-based mixers operating at comparable frequencies. A drain-pumped transconductance [6] and a single balanced mixer using the differential pair topology [7] have been designed on the same technology allowing a meaningful comparison. At comparable frequencies, much lower linearity with an IIP3 of 2 and 0.5 dBm, respectively, were achieved for these mixers. The measured and simulated port isolations are summarized in Table I. Due to the good performance of the filters, relatively high port isolations are achieved. A comparison with other state-of-the-art mixers is summarized in Table II. Until today, only a few studies have been reported on CMOS mixers operating at upper microwave or millimeter-wave frequencies. Thus, for additional comparison, we have included the results of mixers using leading-edge InP and GaAs technologies. The presented circuit has by far the highest operation frequency reported to date for a resistive CMOS mixer. To determine the overall performance, we define the following FOM: (13) The first term in (13) takes into account the maximum with respect to of the used technology. The tradeoff between conversion gain and dc power is described by the second factor. At comparable frequencies, the presented mixer yields the highest linearity and FOM for a CMOS mixer. V. CONCLUSION A resistive mixer with high linearity for a WLAN has been presented, which was optimized for the LMDS standard operating around 28 GHz. Design guidelines for circuit optimization have been discussed. The analytical calculations and the simulations with a BSIM SOI FET model show good agreement with measurements. The fully integrated circuit is fabricated on a 90-nm SOI CMOS technology and has a very compact size. Although the used technology is optimized for digital

VLSI rather than for analog applications, excellent results have been achieved. A loss below 10 dB and a high IIP3 up to 20 dBm have been measured at moderate LO power. To the knowledge of the author, with an RF frequency around 27 GHz, the circuit has by far the highest operation frequency reported to date for a resistive CMOS mixer. Furthermore, independent of the circuit topology, it yields the highest linearity and FOM achieved for a CMOS mixer at such high frequencies. This paper has clearly shown the excellent suitability of digital CMOS technology for analog circuits at millimeter-wave frequencies. Furthermore, it is demonstrated that high linearity can be achieved even with aggressively scaled CMOS technology. Not long ago, these properties have been an exclusive domain of III/V technologies. This may lead to new market perspectives in areas such as WLANs, measurement equipment, radar, and collision avoidance systems. ACKNOWLEDGMENT The author is grateful to Dr. M. Schmatz, Zürich Research Laboratory (ZRL), IBM Research, Zürich, Switzerland, Prof. Dr. H. Jäckel, Electronics Laboratory (IfE), ETH Zürich, Zürich, Switzerland, and Prof. Dr. W. Bächtold, Laboratory for Microwave Electronics and Electromagnetic Waves (IFH), ETH Zürich, for their fruitful efforts concerning the IBM/ETH Center for Advanced Silicon Electronics (CASE), Rüschlikon, Switzerland. The author also thanks L. C. Rodoni, G. Sialm, C. Kromer, and G. von Büren, all with CASE, for the nice teamwork during the wafer run. The author further acknowledges Dr. C. Menolfi, Dr. M. Kossel, Dr. T. Toifl and Dr. T. Morf, all with ZRL, for sharing their excellent expertise in terms of the used technology and the software design environment. For their excellent achievements concerning the applied technology, the author would like to acknowledge the technology development groups at IBM Burlington, Burlington, VT, and IBM Fishkill, East Fishkill, NY. Moreover, the author is grateful to H. Benedikter, IFH, for his help concerning millimeter-wave measurements. REFERENCES [1] N. Zamdmer, J. Kim, R. Trzcinski, J.-O. Plochart, S. Narasimha, M. Khare, L. Wagner, and S. Chaloux, “A 243-GHz F and 208-GHz F , 90-nm SOI CMOS SoC technology with low-power millimeter-wave digital and RF circuit capability,” in IEEE VLSI Technology Symp., Jun. 2004, pp. 98–99.

ELLINGER: 26.5–30-GHz RESISTIVE MIXER IN 90-nm VLSI SOI CMOS TECHNOLOGY WITH HIGH LINEARITY FOR WLAN

[2] F. Ellinger, “60-GHz SOI CMOS traveling wave amplifier with NF below 3.8 dB from 0.1–40 GHz,” IEEE J. Solid-State Circuits, vol. 40, no. 2, pp. 553–558, Feb. 2005. [3] , “26–42 GHz low noise amplifier MMIC fabricated on digital SOI CMOS technology,” IEEE J. Solid-State Circuits, vol. 39, no. 3, pp. 522–528, Mar. 2004. [4] F. Ellinger and H. Jäckel, “Ultra compact SOI CMOS frequency doubler MMIC for low power applications at 26.5–28.5 GHz,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 53–55, Feb. 2004. [5] F. Ellinger, T. Morf, G. von Büren, C. Kromer, G. Sialm, L. Rodoni, M. Schmatz, and H. Jäckel, “60 GHz VCO with high tuning range fabricated on VLSI SOI CMOS technology,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2004 [CD-ROM]. [6] F. Ellinger, L. C. Rodoni, G. Sialm, C. Kromer, G. von Büren, M. Schmatz, C. Menolfi, T. Toifl, T. Morf, M. Kossel, and H. Jäckel, “30–40 GHz drain pumped passive down mixer MMIC fabricated on digital SOI CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1382–1391, May 2004. [7] F. Ellinger, “26–34 GHz single balanced down converter fabricated on 90 nm VLSI SOI CMOS technology,” Electron. Lett., vol. 40, no. 22, pp. 1417–1418, Oct. 2004. [8] S. A. Maas, The RF and Microwave Circuit Design Cookbook. Norwood, MA: Artech House, 1998. , Microwave Mixers: Artech House, 1993. [9] , Nonlinear Microwave and RF Circuits. Norwood, MA: Artech [10] House, 2003. [11] M. Madihian, H. Fujii, H. Yoshida, H. Hisamitsu, and T. Yamazaki, “A 1–10 GHz 0.18 m CMOS chipset for multi-mode wireless applications,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2001, pp. 1865–1868. [12] X. Guan and A. Hajimiri, “A 24 GHz CMOS front-end,” IEEE J. SolidState Circuits, vol. 39, no. 2, pp. 368–373, Feb. 2004. [13] Y. Kwon, D. Pavlidis, P. Marsh, G.-I. Ng, and T. L. Brock, “Experimental characteristics and performance analysis of monolithic InP-based HEMT mixers at W -band,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 1, pp. 1–8, Jan. 1993. [14] A. Orzati, F. Robin, H. Benedikter, and W. Bächtold, “A V -band up-converting InP HEMT active mixer with low LO-power requirements,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 6, pp. 202–204, Jun. 2003. [15] R. A. Pucel, D. Massé, and R. Bera, “Performance of GaAs MESFET mixers at X -band,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 6, pp. 351–360, Jun. 1976. [16] A. A. M. Saleh, Theory of Resistive Mixers. Cambridge, MA: MIT Press, 1971. [17] U. Schaper, A. Schaefer, A. Werthof, and G. Boeck, “70–90 GHz balanced resistive PHFET mixer MMIC,” Electron. Lett., vol. 34, pp. 1377–1379, 1998. [18] F. Ellinger, R. Vogt, and W. Bächtold, “Compact, resistive monolithic integrated mixer with low distortion for HIPERLAN,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 178–182, Jan. 2002. [19] H. Zirath, C. Fager, M. Garcia, P. Sakalas, L. Landen, and A. Alping, “Analog MMIC’s for millimeter-wave applications based on a commercial 0.14-m pHEMT technology,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 11, pp. 2086–2092, Nov. 2001.

2565

[20] L. Verweyen, A. Tessmann, Y. Campos-Roca, M. Hassler, A. Bessemoulin, H. Tischler, W. Liebl, T. Grave, and V. Güngerich, “LMDS up-and down-converter MMIC,” in IEEE MTT-S Int. Microwave Symp. Dig., Bosten, MA, Jun. 2000, pp. 1685–1688. [21] S. A. Maas, “A GaAs MESFET mixer with very low intermodulation,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 4, pp. 425–429, Apr. 1987. [22] F. Ellinger, M. Kossel, M. Huber, M. Schmatz, C. Kromer, G. Sialm, D. Barras, L. Rodoni, G. von Büren, and H. Jäckel, “High-Q inductors on digital VLSI CMOS substrate for analog RF applications,” in IEEE Int. Microwave Optoelectronic Conf., Sep. 2003, pp. 869–872. [23] M. Huber, “Design und Optimierung von CMOS Induktivit¨aten für RFICs,” M.Sc. thesis, Electron. Lab., ETH Zürich, Zürich, Switzerland, Sep. 2002. [24] C. P. Yue and S. S. Wong, “On-chip spiral inductors with patterned ground shield for Si-based RF IC’s,” IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 743–752, May 1998. [25] E. W. Lin and W. H. Ku, “Device considerations and modeling for the design of an InP-based MODFET millimeter-wave resistive mixer with superior conversion efficiency,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 8, pp. 1951–1959, Aug. 2001. [26] M. R. Barber, “Noise figure and conversion loss of the Schottky barrier mixer diode,” IEEE Trans. Microw. Theory Tech., no. 11, pp. 629–635, Nov. 1967.

Frank Ellinger (S’97–M’01) was born in Friedrichshafen, Germany, in 1972. He received the M.S. degree in electrical engineering from the University of Ulm, Ulm, Germany, in 1996, and both the Masters degree in business and administration (MBA) and Ph.D. degree in electrical engineering, and the Habilitation (post-doctoral) degree in electrical engineering from the Swiss Federal Institute of Technology (ETH), Zürich, Switzerland, in 2001 and 2005, respectively. In 2001, he was with the Wireless Marketing Division, Infineon, Munich, Germany. Since 2001, he has been Head of the RFIC Design Group of the Electronics Laboratory, ETH, and Project Leader of the IBM/ETH Competence Center for Advanced Silicon Electronics, Rüschlikon, Switzerland. He also lectures at the ETH. His main interests are the design of integrated circuits for high-speed wireless and optical communication. He has authored as primary author over 40 refereed papers, most of them IEEE journal contributions. He holds three patents. Dr. Ellinger was program chair of the Workshop on Compound Semiconductor Devices and Integrated Circuits Europe in 2003. In 2005, he became an associate editor of the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. One of his recent publications has been among the third most-read (downloaded) IEEE JOURNAL OF SOLID-STATE CIRCUITS papers in May 2004. He was the recipient of several awards including the Rohde & Schwarz/Agilent/Gerotron EEEfCOM Award, the Denzler Award of the Swiss Electrotechnical Association (SEV), the ETH Medal, and the Young Ph.D. Award of the ETH (Bonus 29).

2566

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

A 12–18-GHz Three-Pole RF MEMS Tunable Filter Kamran Entesari, Student Member, IEEE, and Gabriel M. Rebeiz, Fellow, IEEE

Abstract—This paper presents a state-of-the-art RF microelectromechanical systems (MEMS) wide-band tunable filter designed for the 12–18-GHz frequency range. The coplanar-waveguide filter, fabricated on a glass substrate using loaded resonators with RF MEMS capacitive switches, results in a tuning range of 40% with very fine resolution, and return loss better than 10 dB for the whole 0.4% tuning range. The relative bandwidth of the filter is 5.7 over the tuning range and the size of the filter is 8 mm mm. The insertion loss is 5.5 and 8.2 dB at 17.8 and 12.2 GHz, respectively, for a 2-k sq bias line. The loss improves to 4.5 and 6.8 dB at 17.8 and 12.2 GHz, respectively, if the bias line resistance is increased to 20 k sq. The measured 3 level is 37 dBm for kHz. To our knowledge, this is the widest band planar tunable filter to date.

excellent performance [7], but the filter results in four separate frequency responses, which are not contiguous. There is a need for a completely contiguous filter design at 8–18 GHz, and this paper addresses this problem. In this paper, we present a three-pole RF MEMS digital tunable filter with 40% tuning range from 12.2 to 17.8 GHz. The frequency band is covered by 16 filter responses (states) with very fine frequency resolution so as to behave as a continuoustype filter. To achieve this high tuning resolution, a novel 4-bit MEMS distributed capacitor bank is used in the resonator. A nonlinear study of the tunable filter is presented in Section IV.

Index Terms—Coplanar-waveguide (CPW) filter, loaded resonators, microelectromechanical systems (MEMS), RF MEMS, wide-band tunable filter.

II. FILTER DESIGN

1

200



4

IIP

I. INTRODUCTION

R

F microelectromechanical systems (MEMS) tunable filters have been developed in the past few years for multiband communication systems, radars, and wide-band tracking receivers [1]. MEMS switches and varactors have very low loss, therefore, resulting in relatively high- designs. RF MEMS also offer outstanding linearity with a measured overall 40–50 dBm [2]–[4]. Electrostatic RF MEMS switches do not require any dc current and, therefore, offer a very low power approach for tuning applications. There are two different types of frequency-tuning methods for MEMS-based filters: analog and digital. Analog tuning is relatively easy with MEMS varactors and provides continuous frequency variation, but the tuning range has been limited to 5%–15% [3]. In digital-type tuning, where a capacitor is switched in and out of the circuit, discrete center frequencies and wide tuning ranges are possible (20%–60%), and several designs are currently available at 0.1–10 GHz [4]–[6]. The main advantage of digital-type designs is that they are less sensitive to bias and Brownian noise [2], and the center frequency is well known (little drift with temperature). However, due to the size of the switching capacitor bank, it has been hard to design filters using the digital approach above 8 GHz. Recently, a 2-bit (four states) 10–14-GHz MEMS filter was presented with Manuscript received September 23, 2004. This work was supported by the National Science Foundation under Contract ECS9979428. K. Entesari is with the Radiation Laboratory, Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor, Ann Arbor, MI 48109-21222 USA (e-mail: [email protected]). G. M. Rebeiz was with the Radiation Laboratory, Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor, Ann Arbor, MI 48109-21222 USA. He is now with the Electrical and Computer Engineering Department, University of California at San Diego, La Jolla, 92037 CA USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852761

A. Topology Fig. 1 presents the circuit model for a three-pole loaded resonator tunable filter. Each coplanar-waveguide (CPW) resonator is periodically loaded by four switched MEMS capacitors pairs (eight in total), which results in a slow-wave structure with a smaller effective wavelength and lower characteristic impedance in comparison to the unloaded resonator. Every switched capacitor is built as a series combination of a MEMS switch with a capacitance ratio of 30–40 and a . fixed metal–air–metal (MAM) capacitor The loaded MEMS resonators are coupled through inductive impedance inverters and form a three-pole bandpass filter. The inductive impedance inverters are T-combinations of a shunt inductor and two series transmission lines with negative lengths [3]. The response of the filter can be tuned over a wide frequency range by changing the effective electrical length of the resonators using 16 different combinations (4-bit) of pairs of MEMS switches in the up- and down-state positions. Due to the filter topology, the shape and fractional bandwidth of the filter is approximately fixed over the tuning range, and the inductive couplings between the resonators compensate the increasingly capacitive behavior of the resonators when they are tuned toward the lower frequencies [9]. It is also known that the inductive coupling along with capacitive loading provides closer spurious passbands and lower rejection at higher frequencies [1], [8]. However, for a loaded resonator, this negative effect is not observed because the second resonance is eliminated due to loading effect at the center of the resonator. B. Resonator Design The circuit model of a capacitively loaded resonator is shown in Fig. 2 The loading capacitors are placed in a symmetrical fashion around the middle of the resonator and are actuated in pairs. This results in a symmetrical shape of the standing-wave voltage on the loaded resonator and, therefore, for each state, the maximum voltage level always occurs at the middle point

0018-9480/$20.00 © 2005 IEEE

ENTESARI AND REBEIZ: 12–18-GHz THREE-POLE RF MEMS TUNABLE FILTER

2567

Fig. 1. Circuit model of a three-pole loaded resonator tunable filter.

Fig. 2.

Circuit model of a capacitive resonator loaded with eight capacitive MEMS switches.

TABLE I RESONATOR CIRCUIT MODEL ELEMENT VALUES EXTRACTED FROM ADS SIMULATIONS

Fig. 3. Circuit model and practical realization of a unit cell in a loaded resonator. The biasing resistance is grounded in the simulations.

of the resonator. For example, to change the resonant frequency from State-0000 (all the switches are in the up-state position) to State-0001, the two MEMS switches, which are in series with are pulled down. Table I shows the values MAM capacitor for the MEMS capacitors in up- and down-state positions ( and ) and the MAM capacitors . The in series with the largest loading unit cells (MAM capacitors MEMS switches) are placed close to the middle of the resonator and can shift the resonant frequency from 18 GHz to around 14 GHz when they are pulled down. The smallest loading unit cells are placed farther from the middle of the resonator and are for fine tuning. All loading unit cells have the same elecwith an unloaded t-line trical distance from each other impedance of 78 . This distance is simulated to be 4.4 (or 120 m) at the design frequency (18 GHz) with Agilent’s ADS1 when a lumped capacitor model is used as a loading unit cell. A practical realization of a unit cell is shown in Fig. 3, and the physical length of each unit cell is 140 m. The finite width of the bridge and MAM capacitors and the current path over the bridge result in a phase delay, which reduces the effective 1Agilent

Technol. Inc., Palo Alto, CA, 2002.

physical length of a unit cell to 100 m, and the spacing between m at two adjacent unit cells is 20 m and, hence, 18 GHz. Table I also shows the electrical length of the unloaded sections for each resonator simulated in ADS . The real physical lengths of the unloaded sections will be reduced in the practical realization due to the negative t-line lengths of the inductive inverters. All resonators are simulated using Sonnet2 with CPW dimensions of 70/120/70 m on a 500- m glass substrate ( and at 18 GHz). The dimensions of the CPW line are chosen to minimize the conductor loss [11]. The measured , , and unloaded CPW line parameters are dB/m at 18 GHz with 2- m electroplated gold. Table II shows the transmission-line parameters for the four different and are the loaded sections of the resonator [3]. equivalent capacitor values for each loading cell and are calculated from (1) 2Sonnet

8.52, Sonnet Software Inc., Syracuse, NY, 2003.

2568

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

TABLE II UNIT CELL CIRCUIT MODEL ELEMENT VALUES EXTRACTED FROM ADS SIMULATIONS AT 18 GHz (Z

= 78 ; 

= 2:8; = 42 dB/m, Q = 65)

ripple Chebyshev filter. Canonical equations result in input and pH and interstage inverters output inverters with pH at 18 GHz. The series transmission lines with with negative electrical lengths are absorbed in the unloaded sections of each resonator [3] ( at 18 GHz). The physical realization of shunt inductors in CPW transmission lines is shown in Fig. 5. The length and width of the inductive short-circuit slots are found using a full-wave simulation (Sonnet). Fig. 6 shows the simulated insertion loss k sq, and is 5.4 and for 16 different states with 8 dB at 17.8 and 12.2 GHz, respectively. The higher insertion loss at 12.2 GHz is due to the higher loss factor of a loaded transmission line and the low-resistivity bias line, which has a strong loading effect when all the switches are in the down-state position. III. FABRICATION AND MEASUREMENT A. Fabrication, Implementation, and Biasing

Fig. 4. Simulated: (a) unloaded quality factor and (b) resonant frequency for a tunable loaded resonator.

The quality factor for an unloaded resonator is calculated from (2) and is 65 at 18 GHz for the unloaded CPW resonator. For the loaded sections, the quality factor is calculated in the up- or ) down-state positions using [10] (assuming (3) These values all are presented in Table II. Fig. 4(a) and (b) shows the simulated unloaded quality factor of the loaded resonator and the resonant frequency for 16 different combinations of the switches, respectively. This is done using a single resonator, which is weakly coupled to the input and output ports, and the simulated resonant frequency and quality factor for each different state is obtained using ADS based on the values on Table I. C. Complete Filter Design and Simulations The final section of the filter design is the inductive inverter implementation. The goal is to design a three-pole 6% 0.1-dB

The tunable filter is fabricated on a 500- m glass substrate ( and ) using CPW lines and MEMS switches with a standard RF MEMS process developed at The University of Michigan at Ann Arbor [12]. The MEMS capacitive switch is based on a 8000- sputtered gold layer and is suspended 1.4–1.6 m above the pull-down electrode. The dielectric Si N layer is 1800- thick and the bottom electrode thickness is 6000 (underneath the bridge). The MAM capacitors are suspended 1.5 m above the first metal layer. The CPW conductor, bridge anchor, and top plate of the MAM capacitors are electroplated to 2- m thick using a low-stress gold solution. The bias lines are fabricated using an 800- -thick SiCr layer with a resistivity of approximately 2 k /square. The width, length, and thickness of the MEMS bridge are 60, 280, and 0.8 m, respectively, and the gap is 1.5 m for the bridge and MAM capacitors [see Fig. 3]. The bottom plate of one of the MAM capacitors is connected to the thin-film resistor to bias the bridge. The release height of the MEMS bridge and MAM capacitor is 1.5 m measured by a light-interferometer V, with microscope. The measured pull-in voltage is N/m, and a residual a corresponding spring constant of stress of MPa. The mechanical resonant frequency and kHz and , quality factor of the switch are respectively [2]. The photograph of the complete 12–18-GHz filter is shown in Fig. 5. It is composed of three resonators, each one loaded with eight unit cells, two inductive inverters at the input and output of the filter, and two inductive inverters between loaded resonators. Each switch has a separate SiCr dc-bias line for

ENTESARI AND REBEIZ: 12–18-GHz THREE-POLE RF MEMS TUNABLE FILTER

2569

Fig. 5. Complete 12–18-GHz filter fabricated on a glass substrate.

Fig. 6. Simulated: (a) insertion loss and (b) return loss of the tunable three-pole 12–18-GHz filter.

independent control. The center conductor of the coplanar loaded resonators is connected to the dc ground pad through the RF probe using a bias tee. The filter is excited using ground–signal–ground (GSG) single-ended probes with a pitch of 150 m. B. Measurements The tunable filter is measured using CPW probes and TRL calibration. The measured results are shown in Fig. 7 for 16 different states. The insertion loss [see Fig. 7(a)] is 5.5 and 8.2 dB at 17.8 and 12.2 GHz, respectively, and the relative bandwidth

Fig. 7. Measured: (a) insertion loss and (b) return of the tunable three-pole 12–18-GHz filter.

is approximately fixed for the whole tuning range, as expected from the simulation results. The return loss [see Fig. 7(b)] is better than 10 dB over the whole tuning range. The measured center frequency and loss for each of the 16 different states is presented in Fig. 8(a). Fig. 8(b) shows the relative bandwidth variation for all responses, and is 6.1% at 17.8 GHz (State 0), and 5.3% at 12.2 GHz (State 15). Fig. 9 compares the measured and simulated insertion loss for three arbitrary states at 17.8 (State 0), 14 (State 8), and 12.2 GHz (State 15), and the

2570

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 10. Experimental setup for intermodulation measurements f .

f

Fig. 8. (a) Measured center frequency and loss. (b) Measured relative bandwidth of the 16-filter responses 5.7 0.4% .

( 6

)

Fig. 9. Comparison between the measured and simulated insertion loss for three arbitrary states at 12.8 (State 15), 14.0 (State 8), and 17.8 GHz (State 0).

simulated and measured responses agree very well. For the case k sq , the simulated insertion loss is 1.0 dB of better at 17.8 GHz, 1.4 dB better at 14 GHz, and 2.2 dB better at 12.2 GHz, as compared with the measurements with k sq. The measured response of a fabricated filter without any bias lines also confirms that the insertion loss improves by 0.8 dB at 17.8 GHz (all the switches are electroplated in the up-state position) to 2.0 dB at 12.2 GHz (all the switches are electroplated in the down-state position). IV. NONLINEAR CHARACTERIZATION The nonlinear analysis of MEMS switches, varactors, and tunable filters has been presented in [13]. For high level RF signals, this analysis shows that the MEMS bridge capacitance self-pull-down results in a nonlinear behavior of the tunable

0 )

= 0

(1f =

Fig. 11. Nonlinear measurements at V V: the fundamental and intermodulation components versus the input power, and the two-tone versus the beat frequency.

IM

filter. In the case of two RF signals, third-order intermodulation is generated. To measure the intermodulation components at the output of the tunable filter, the setup shown in Fig. 10 is used. Fig. 11 shows the measured output power for the fundamental and intermodulation components for several values of . The measured is 37 dBm for kHz. The since this state measurement is in the up-state position products. Tunable filters with diode vargives the worse (12 dBm in [14] and actors have much lower values of 28 dBm in [15]). Fig. 11 also shows the intermodulation component versus the difference frequency between input tones for dBm (no bias voltage on the bridges). The intermodulation component follows the mechanical response of the level drops by 40 dB/decade for , bridge, and the which is in agreement with theory [13]( is the mechanical resis 77 dBm at a differonant frequency). This means that ence frequency of 2 MHz, which is very hard to measure and is quite impressive. V. CONCLUSION The paper has demonstrated a wide-band tunable filter on a glass substrate from 12.2 to 17.8 GHz (40% tuning range). Four different unit-cell pairs (MEMS capacitive switches in series with high- MAM capacitors) have been used to load the CPW resonators to reduce their effective length and make them tunable in a very wide range. This resulted in a tunable filter with very fine tuning resolution. The return loss is better than 10 dB over the whole band, and it is possible to achieve a better return loss, especially at lower frequencies, if the inductive inverters are made tunable. The measured results are very close to full-wave simulations. This study has shown that RF MEMS

ENTESARI AND REBEIZ: 12–18-GHz THREE-POLE RF MEMS TUNABLE FILTER

2571

tunable filters are excellent for wide-band designs and result in very low intermodulation levels.

[15] A. R. Brown and G. M. Rebeiz, “A varactor-tuned RF filter,” IEEE Trans. Microwave Theory Tech., vol. 48, no. 7, pp. 1157–1160, Jul. 2000.

REFERENCES [1] G. L. Matthaei, E. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [2] G. M. Rebeiz, RF MEMS Theory, Design, and Technology. New York: Wiley, 2003. [3] A. Abbaspour-Tamijani, L. Dussopt, and G. M. Rebeiz, “Miniature and tunable filters using MEMS capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1878–1885, Jul. 2003. [4] K. Entesari and G. M. Rebeiz, “A differential 4-bit 6.5–10-GHz RF MEMS tunable filter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1103–1110, Mar. 2005. [5] R. M. Young, J. D. Adam, C. R. Vale, T. T. Braggins, S. V. Krishnaswamy, C. E. Freidhoff, S. H. Talisa, E. Capelle, R. Tranchini, J. R. Fende, J. M. Lorthioir, and A. R. Torres, “Low-loss bandpass RF filter using MEMS capacitance switches to achieve a one-octave tuning range and independently variable bandwidth,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 2003, pp. 1781–1784. [6] J. Brank, J. Yao, A. Malczewski, K. Varian, and C. L. Goldsmith, “RF MEMS-based tunable filters,” Int. J. RF Microwave Computer-Aided Eng., vol. 11, pp. 276–284, Sep. 2001. [7] A. Pothier, J. C. Orlianges, E. Zheng, C. Champeaux, A. Catherinot, D. Cross, P. Blondy, and J. Papapolymerou, “Low loss 2-bit bandpass filters using MEMS DC contact switches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 354–360, Jan. 2005. [8] I. Hunter, Theory and Design of Microwave Filters. London, U.K.: IEE, 2001. [9] G. L. Matthaei, “Narrow-band, fixed-tuned, and tunable bandpass filters with zig–zag hairpin-comb resonators,” IEEE Trans. Microwave Theory Tech., vol. 51, no. 4, pp. 1214–1219, Apr. 2003. [10] N. S. Barker, “Distributed MEMS transmission lines,” Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., The Univ. Michigan at Ann Arbor, Ann Arbor, MI, 1999. [11] K. C. Gupta, R. R. Garg, I. I. Bahl, and P. P. Bhartia, Microstrip Lines and Slotlines., 2nd ed. New York: Artech House, 1996. [12] J. S. Hayden and G. M. Rebeiz, “Very low loss distributed -band -band MEMS phase shifters using metal–air–metal capacitors,” and IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 309–314, Jan. 2003. [13] L. Dussopt and G. M. Rebeiz, “Intermodulation distortion and power handling in RF MEMS switches, varactors and tunable filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1247–1256, Apr. 2003. [14] S. R. Chandler, I. C. Hunter, and J. C. Gardiner, “Active varactor tunable bandpass filters,” IEEE Microw. Guided Wave Lett., vol. 3, no. 3, pp. 70–71, Mar. 1993.

Ka

X

Kamran Entesari (S’03) received the B.S. degree in electrical engineering from Sharif University of Technology, Tehran, Iran, in 1995, the M.S. degree in electrical engineering from Tehran Polytechnic University, Tehran, Iran, in 1999, and is currently working toward the Ph.D. degree in electrical engineering (with an emphasis on applied electromagnetics and RF circuits) at The University of Michigan at Ann Arbor. His research area includes RF MEMS for microwave and millimeter-wave applications, microwave tunable filters, and packaging structures.

Gabriel M. Rebeiz (S’86–M’88–SM’93–F’97) received the Ph.D. degree in electrical engineering from the California Institute of Technology, Pasadena. He is a Full Professor of electrical engineering and computer science (EECS) with the University of California at San Diego, La Jolla. He authored RF MEMS: Theory, Design and Technology (New York: Wiley, 2003). His research interests include applying MEMS) for the development of novel RF and microwave components and subsystems. He is also interested in SiGe RF integrated-circuit (RFIC) design, and in the development of planar antennas and millimeter-wave front-end electronics for communication systems, automotive collision-avoidance sensors, and phased arrays. Prof. Rebeiz was the recipient of the 1991 National Science Foundation (NSF) Presidential Young Investigator Award and the 1993 International Scientific Radio Union (URSI) International Isaac Koga Gold Medal Award. He was selected by his students as the 1997–1998 Eta Kappa Nu EECS Professor of the Year. In October 1998, he was the recipient of the Amoco Foundation Teaching Award, presented annually to one faculty member of The University of Michigan at Ann Arbor for excellence in undergraduate teaching. He was the corecipient of the IEEE 2000 Microwave Prize. In 2003, he was the recipient of the Outstanding Young Engineer Award of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He is a Distinguished Lecturer for the IEEE MTT-S.

2572

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Ferrite Coupled-Line Circulator With Reduced Length Meng Cao and Rainer Pietig, Member, IEEE

Abstract—A method to design a circulator based on a ferrite coupled line (FCL) with reduced length is presented. This is achieved by appropriate matching networks. Using ferrite perturbation theory, we derive closed-form expressions for the required matching impedances and the resulting bandwidth of the matched device. Based on this analysis, a realistic design for a stripline FCL circulator is obtained, whose length is shorted by a factor of three. A microstrip FCL circulator with only half of the optimum length is designed and fabricated. Both simulation data and measurement results clearly demonstrate the feasibility of a circulator based on an FCL with reduced length. Index Terms—Circulator, ferrite device, matching network, perturbation theory.

I. INTRODUCTION

T

HERE IS an increasing interest in ferrite coupled-line (FCL) devices with in-plane magnetization because of their potential advantages [1]–[6]. Compared to a structure where the magnetic field has to be applied perpendicular to the ferrite substrate, the in-plane structure needs only a very small applied field since, here, no demagnetization occurs. Moreover, FCL devices display a very large bandwidth [1]. The basic FCL structure consists of a ferrite loaded coupled transmission line, where the ferrite is magnetized parallel to the propagation direction of the microwave. The dominant modes of the FCL are two elliptically polarized waves with opposite rota. Thus, tion directions and different propagation constants if the structure is excited by a linearly polarized wave, Faraday rotation will occur while the wave travels along the lines. A circulator can be realized by linking the two lines at one end with a T-junction or a hybrid coupler [2]. The maximum nonreaches reciprocity occurs when the Faraday rotation angle . Since this angle is given by , a condition for the optimum length of the FCL circulator arises. It was found is inversely proportional to a nonreciprocal coupling that constant [3]. Since is quite small, a circulator based on this structure has a large length, which reduces its commercial value. Since the Faraday rotation angle does not depend on the propagation direction of the wave, it accumulates if the microwave is reflected at the ends and passes the structure several times. This supports the idea that, in principle, it should be possible to also realize a circulator with an FCL of reduced length. One just has Manuscript received July 30, 2004; revised March 14, 2005. M. Cao is with the School of Electronic and Information Engineering, Xi’an Jiaotong University, Xi’an 710049, China (e-mail: [email protected]). R. Pietig is with Philips GmbH Forschungslaboratorien, 52085 Aachen, Germany (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852760

to add appropriate matching networks at the ports, which ensure the required multiple reflections at the ends. In this paper, we develop this idea in detail. In Section II-A, we present a general scheme as to how to match an arbitrary nonreciprocal lossless three-port. This is then applied in Section II-B to the stripline FCL, using the closed-form expressions for the impedance matrix obtained by ferrite perturbation theory [7], [8]. The properties of the matching impedances are discussed in Section III-A and compared with simulation results. In Section III-B, an estimation for the resulting bandwidth of the matched FCL circulator with reduced length is given. In Section III-C, we obtain a realistic design for a stripline FCL circulator, whose length is only a third of the optimum length. Moreover, in Section IV-A, we analyze a microstrip FCL and point out that the length of such a device can also be reduced by our method. In Section IV-B, we give experimental results for a microstrip FCL circulator, which was designed and fabricated based on perturbation analysis. Its length is reduced by a factor of two. Both simulation data and measurement results clearly demonstrate the feasibility of a matched FCL circulator with reduced length. II. THEORY A. Matching Impedance of a Nonreciprocal Three-Port It is well known that a matched lossless three-port device is an ideal circulator [9]. An imperfect lossless three-port circulator can be seen as composed of an ideal circulator with a lossless reciprocal two-port connected to each port. Hence, by adding an appropriate reciprocal two-port matching network to each port, a perfectly matched circulator can be obtained. In the following, we first calculate the corresponding matching impedances of an arbitrary nonreciprocal three-port and then apply the results to an FCL circulator. Assume that the three-port to be matched has the impedance with entries . We further assume that, after appromatrix priate matching, perfect circulation in direction can be obtained. If port 3 is then terminated with the correct matching impedance , a signal excited at port 2 will be completely absorbed and no power will be transferred to port 1, i.e., of the remaining two-port network the scattering parameter of the remaining two-port vanishes. This can only be true if network also vanishes. Since the impedance matrix of the remaining two-port network is

0018-9480/$20.00 © 2005 IEEE

(1)

CAO AND PIETIG: FCL CIRCULATOR WITH REDUCED LENGTH

2573

is fixed by the condition (2)

the unperturbed electric-field modes over the ferrite part of the cross section (7)

Note that this is true only for a lossless circulator since, in this case, the conditions for perfect match and perfect isolation are and are obtained from this by cyclic permuequivalent. tation of the indices. The case where circulation in the opposite direction is realized can be found in a similar way. Formally, the two sets of matching impedance are related to each other by , i.e., they have the same imaginary parts, but the real parts have opposite sign. Within a given set, the signs of the real parts are the same for all three . Clearly, the set of matching impedances with positive real parts has to be chosen. B. Matching Impedance of the Stripline FCL Circulator The properties of the ferrite coupled stripline have been analyzed by ferrite perturbation theory in [8]. The resulting expression for the scattering matrix was given in [8, eq. (14)]. It refers to reflection and transmission of the unperturbed modes at the left- and right-hand-side ends of the FCL. However, in practice, the striplines itself will be used as terminals to excite the structure. In the following, we will, therefore, calculate the impedance matrix for the terminals. can be calFirst, the normalized mode impedance matrix according to culated from the mode scattering matrix

To convert the impedance matrix (4) to the terminal impedance matrix, we use the conditions

(8) and similarly for the currents. Here, the indices 1 and 2 refer to and refer to the left- and right-handlines 1 and 2, and side ends of the lines. Finally, we end up with the following expression for the terminal impedance matrix:

(9)

where

(3) using the characteristic impedances We then denormalize and of the even and odd modes. The resulting mode impedance matrix reads

(4)

(10) If the FCL is linked with a T-junction at the right-hand side, the impedance matrix of the three-port network can be obtained by setting

where

(11) and

(12) (5) is the length of the FCL part. and are the propagation constants and characteristic impedances of the FCL modes, as calculated in [8], where

Solving these linear equations for leads to the impedance matrix of the three-port device. The matching impedances can then be calculated using (2). If we approximate , the resulting expressions are

(13) (6) where Here, and denote the frequencies and the internal magcorresponding to the magnetization . is the gyromagnetic ratio. The nonreciprocal netic field coupling constant is defined as a coupling integral between

(14)

2574

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 1. Comparison between calculation results and simulation data for the matching impedance of port 1.

Fig. 2. Comparison between calculation results and simulation data for the matching impedance of port 3.

This approximation is justified if the working frequency is far above the ferromagnetic resonance, which is true in our case [8]. Hence, if two-port matching networks, which provide the necessary impedance transformation to , are attached to each port, perfect circulation is obtained. The normal circulation condifor a circulator without a matching network is tion not required anymore, i.e., in principle, a circulator can be realized at any length. This will mitigate the shortcoming of a rather long required length for an FCL circulator without a matching network. III. STRIPLINE FCL CIRCULATOR WITH MATCHING NETWORK A. Properties of the Matching Impedance Since the properties of the three-port device is frequency dependent, the matching impedances are also functions of frequency. For the structure reported in [8], a required length of . The 28 mm at 25 GHz is found from condition frequency dependence of the matching impedances for the corresponding structure with a length of only 10 mm is plotted in Figs. 1 and 2 together with simulation results obtained with Ansoft’s High Frequency Structure Simulator (HFSS). We find excellent agreement. The frequency behavior can be understood by investigating the simplified expressions for the matching impedances given in (13). We notice that far above the ferromagnetic resonance, depends only weakly on frequency and formally approaches changes a constant at infinite frequency. In contrast to that, roughly linear with frequency. describes a circle in the complex impedance plane is fixed and is tuned from 0 to . The center of if and the radius is given by this circle is , i.e., it vanishes if the optimum length is chosen. In this case, we have . If is plotted on a Smith chart normalized to , we notice that it describes a circle around the origin with radius (15)

Fig. 3. Smith chart plot of simulation results for for different lengths.

Z

normalized to

pZ Z

when is tuned from 0 to . Fig. 3 shows the Smith chart plots for the same structure as before with different lengths. of Clearly the radius vanishes for the optimum length and increases with decreasing length. shows that it describes an ellipse in the An analysis to complex impedance plane as function of , whose center is . The located on the real axis at larger half axis of the ellipse is parallel to the imaginary axis and , while the smaller half has a length axis is parallel to the real axis with a length . Note that those half axis are quite small and are usually close to each other. Thus, is since normally close to a real value and depends for a given length only weakly on frequency. This can be seen in the Smith chart are plotted for the plot in Fig. 4, where simulation data of same structure as before with different lengths.

CAO AND PIETIG: FCL CIRCULATOR WITH REDUCED LENGTH

2575

Fig. 5. Simulated S -parameter for port 1 for a circulator matched at 25 GHz with length L = 10 mm.

Fig. 4. Smith chart plot of simulation results for for different lengths.

Z

normalized to

pZ Z

B. Bandwidth of the Circulator With Matching Network Since different matching impedances are required for different working frequencies, the device will only work as a circulator within a certain frequency range. As we have shown in depends only weakly on frequency. Thus, the Section III-A, bandwidth of the matched circulator with reduced length will be dedetermined mainly by the frequency dependence of . depends on scribes a circle on the Smith chart, whose radius and whose phase is roughly proportional to the frequency. The circle degenerates to a single point if the optimum length is chosen, which means that, in this case, is not frequency dependent any more. This is consistent with the fact that the FCL stripline circulator with optimum length has an ultra-wide bandwidth. If we match one point of the circle at a given exactly by some matching network, for the resulting -parameter can be approximated as

Fig. 6. Simulated S -parameter for port 1 for a circulator matched at 25 GHz with length L = 20 mm.

TABLE I BANDWIDTH

(16) C. Realization of the Matching Network where we have used that and are roughly equal. We simulated the -parameter for the same FCL as before using the complex conjugate of the calculated matching impedances at 25 GHz for port excitation. The results for port 1 are shown in Figs. 5 and 6. The length of the FCL was chosen as 10 and 20 mm, respectively. Excellent performance is achieved at the matched frequency point. The simulated 10-dB bandwidths are compared with the calculated results from (16) in for Table I. Here we also find very good agreement. From Figs. 5 and 6, we further note that the isolation between ports 1 and 2 deis small over the whole frequency range. This is because pends only weakly on frequency, as discussed earlier.

The required matching networks for an FCL circulator with to the reduced length should transform the port impedances characteristic impedance of the excitation (e.g., 50 ). Furthermore, they should be lossless. Using the Smith chart tool, it is easy to find appropriate designs. With respect to fabrication, it is easier to use transmission lines for the matching rather than lumped elements since they can be printed together with the FCL section in one production step. For a stripline FCL with a cross section shown in Fig. 7, the optimal length for the corresponding circulator without a matching network is 28 mm at 24 GHz. To realize a circulator with a length of only 10 mm at 24 GHz, we first calculate the matching impedances and . An appropriate

2576

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 7. Cross section of the FCL. The dimensions are A = 1 mm, H = 0:2 mm, h = 0:1 mm, d = 0:09 mm, and w = 0:028 mm. The permittivity  = 12 is homogeneous across the cross section, the magnetization and applied field are fixed at M = 239 kA/m and H = 0 A/m. Fig. 9. Scattering parameter of the FCL circulator with a matching network when port 1 is excited.

Fig. 8.

Layout of the signal line.

matching at ports 1 and 2 can be realized by first adding a seand then a shunt ries 50- transmission line with length . The openopen ended 50- transmission line with length ended transmission line behaves as a capacitor at the working frequency. For port 3, we add a quarter-wavelength steppedis close to . The layout impedance transformer since of the FCL together with the matching networks is shown in Fig. 8. The FCL part is sandwiched between a ferrite substrate and dielectric superstrate, which has the same permittivity. The lines of the matching networks are sandwiched between two dielectric layers with the same permittivity. There are two ground layers, one at the bottom and one at top. The structure was simulated with Ansoft HFSS. The results for the -matrix (normalized to 50 ) are shown in Figs. 9–11. As expected, the device works as a circulator at the desired design frequency of 24 GHz. The former procedure for designing the matching networks is based on a lossless structure. In this case, perfect isolation is equivalent to perfect match. In practice, there are always losses, leading to perwhich means that the set of port impedances fect match and that set leading to perfect isolation will, in general, be different. However, these two sets of impedances will not deviate much if the losses are small. Thus, our design procedure is still valid for FCL structures with small losses. IV. MICROSTRIP FCL CIRCULATOR WITH REDUCED LENGTH A. Ferrite Perturbation Analysis for Microstrip FCL Microstrips are the most widely used transmission lines in all planar circuits due to their technological simplicity. A microstrip FCL circulator was reported in [5]. This circulator also

Fig. 10. Scattering parameter of the FCL circulator with a matching network when port 2 is excited.

Fig. 11. Scattering parameter of the FCL circulator with a matching network when port 3 is excited.

has a long FCL part. Here, we will use our method to reduce the length of the required FCL part. To do this, we first extend the ferrite perturbation theory to microstrip structures. In ferrite perturbation theory for a stripline structure, only waveguides whose unloaded cross section is homogenously filled with a dielectric were discussed. In the microstrip case, the permittivity of the waveguide without ferrite loading is not

CAO AND PIETIG: FCL CIRCULATOR WITH REDUCED LENGTH

2577

homogeneous within the cross section. The eigenequation then reads (17) is the relative dielectric constant of the material where within the cross section. The modes and propagation constants are obtained from the condition (18) The eigenvectors satisfy the orthogonality condition

and are the diagonal and off-diagonal elements of . The integral is carried out only over the ferrite region since the perturbation operator vanishes outside. and , we can Introducing the effective permittivity write (26) and are constant within the quasi-TEM approximation. Ignoring the higher order contributions, we obtain the dominant modes of the microstrip FCL up to first order as

(19) where is the whole cross section of the waveguide. The eigenequation for the ferrite loaded waveguide is

(27) where (28)

(20) is the Polder tensor. If the working frequency is far where is close to the away from the ferromagnetic resonance, unit matrix and we can view the ferrite loading as a perturbation. Following the procedure in [7], up to the first order, the eigenvectors and eigenvalues for (20) read

(21) where

and the propagation constants are given by

(29) To determine the magnetic field, we assume that the modes in the FCL remain quasi-TEM since only the two dominant quasi-TEM eigenvectors are taken into account. Furthermore, we assume that the effective permittivity of the ferrite modes remain that of the corresponding unperturbed modes. Only the effective permeability is changed as a result of the ferrite loading. We then find

(22) (30) is the perturbation operator . The dominant modes are quasi-TEM with vanishing cutoff . The coupling to higher order modeswith a cutofflarge compared to the , working frequency is suppressed by a factor above. The contributions which can be seen by the formula for of higher order modes can, therefore, be safely neglected. For two symmetrically coupled transmission lines without ferrite loading, the dominant solutions for (17) are the even (e) and odd (o) eigenvectors. In general, these two solutions have longitudinal components if the cross section is inhomogeneous. However, those components can be neglected if the working frequency is far below the cutoff frequencies of the higher order modes. Using this quasi-TEM approximation, the coefficients for the eigenvector expansion read (23) and the shift of the eigenvalue in first-order

reads (24)

where (25)

. where Having obtaining the modes, the properties of an FCL section and can be between two interfaces located at calculated using the mode-matching approach. The impedance matrix of the FCL linked with a T-junction at one end and the corresponding matching impedances can then be obtained by a similar procedure, as shown in the stripline case. By introducing appropriate matching networks, a circulator with reduced length can be obtained. B. Microstrip FCL Circulator With Matching Network To check our design method experimentally, a microstrip FCL circulator with a matching network was manufactured. The cross section of the microstrip FCL is shown in Fig. 12. We use ferrite material (RG11) from Advanced Ferrite Technology GmbH (AFT), Backnang, Germany. The properties provided by AFT are shown in Table II. Using the ferrite perturbation method, we calculated the optimum FCL length at 8 GHz from the condition as 34 mm. With the method described above, we designed matching networks for a circulator with an FCL length of only 16 mm at 8-GHz working frequency. The design parameters were finally optimized by simulation with

2578

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 12. Cross section of the microstrip FCL. The dimensions are h = 0:5 mm, w = 0:3 mm, and s = 0:2 mm. TABLE II PROPERTIES OF FERRITE MATERIAL RG11

Fig. 14. Microstrip FCL circulator with reduced length.

Fig. 13.

Layout of the signal line.

Ansoft HFSS. The matching impedance was obtained as . An appropriate matching at ports 1 and 2 can be realized by first and adding a series 50- transmission line with length then a shunt open ended 50- transmission line with length . Matching at port 3 was realized by adding a series and then a shunt 40- transmission line with length . The open-ended 50- transmission line with length resulting layout of the FCL with matching networks is shown in Fig. 13. The lines and ground metallization were both printed on the ferrite substrate using a stencil printer. We used silver paste with a conductivity of around 4 10 S/m. Unlike the stripline structure case, which is shown in Fig. 8, the substrate for the FCL and feeding lines are both ferrite material. This method avoids the interface between different substrates. The feeding lines are perpendicular to the applied field direction, which means that the magnetic fields of the RF wave here are parallel to the applied magnetic field. Hence, the applied field will almost not effect the RF properties of the feeding lines. This arrangement also ensures that the device still fits into the narrow gap between the magnetic pole pieces after connecting the launchers with the cables. The ports are linked with subminiature A (SMA) stripline launchers and the whole structure is mounted on a brass jig. Fig. 14 shows a photograph of the device. We used a GMW model 3472-70 magnet system to provide the required magnetic field to magnetize the ferrite. Before starting the RF measurement, the ferrite material was first magnetized to saturation by a strong magnetic field close

Fig. 15. excited.

Measurement of the scattering matrix of the device when port 1 is

to 1 T. The field was then decreased to 2 mT. Since this field is still much bigger than the coercive force of the ferrite material, the saturation is still kept when doing the measurement. The scattering matrix was measured using a Rohde & Schwarz vector network analyzer. Fig. 15–17 show the -matrix of the device. Obviously the at device presents a circulation in direction the desired design frequency of 8 GHz. We obtain a bandwidth at 10 dB of around 10%. The insertion loss of the circulator is approximately 2–3 dB and the matching and isolation are both smaller than 15 dB. In particular, the observed insertion loss is better than previously reported data for this type of device [1]. We made a rough estimate of the metal losses using the formula for microstrip lines given in [10] and found a value of around 0.2 dB. The dielectric losses are very small and can be neglected. Other losses arise from the finite linewidth of the ferromagnetic resonance and from radiation. The stripline structure reported in [1] also showed a high insertion loss. The main reason for this is probably the ferromagnetic resonance since the radiation losses were suppressed. To check this, we measured the scattering of the device with an applied field of 1 T and found that the value at 8 GHz is reduced to only 0.5 dB. of This is because the working frequency is, in this case, very far away from the ferromagnetic resonance, and the losses from

CAO AND PIETIG: FCL CIRCULATOR WITH REDUCED LENGTH

2579

and demonstrate the usefulness of the ferrite perturbation theory to describe these types of devices. ACKNOWLEDGMENT The authors would like to thank U. Mackens and M. Deckers, both of Philips GmbH Forschungslaboratorien, Aachen, Germany, for their support in fabricating the devices. REFERENCES

Fig. 16. excited.

Measurement of the scattering matrix of the device when port 2 is

Fig. 17. excited.

Measurement of the scattering matrix of the device when port 3 is

the ferrite material become quite small. Further reduction of the ferromagnetic losses is essential to reduce the insertion loss of such a type of device. Research in this direction is in progress. V. CONCLUSION We have shown that the length of an FCL circulator can effectively be reduced by adding appropriate matching networks. The length reduction leads to a reduction of the bandwidth, which, however, in many applications, might be acceptable. To treat the matching problem of an arbitrary nonreciprocal lossless three-port, we introduced matching impedances, which can be easily calculated from the impedance matrix. Our analysis was based on ferrite perturbation theory. Using this tool, closed-form expressions for the required matching impedances of a stripline FCL circulator and the resulting bandwidth of the matched device were derived. We found excellent agreement with simulation results. A microstrip-line circulator with reduced length by almost a factor of 2 was fabricated and characterized. The measurement results strongly confirm our theoretical considerations

[1] C. K. Queck and L. E. Davis, “Broad-band three-port and four-port stripline ferrite coupled line circulators,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 625–632, Feb. 2004. [2] , “Bandwidth and losses of 4-port ferrite coupled line circulators,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Seattle, WA, Jun. 2–7, 2002, pp. 1475–1478. [3] J. Mazur and M. Mrozowski, “On the mode coupling in longitudinally magnetized waveguiding structures,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 1, pp. 159–164, Jan. 1989. [4] J. Mazur, M. Mazur, and J. Michalski, “Coupled-mode design of ferriteloaded coupled-microstrip-lines section,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1487–1494, Jun. 2002. [5] C. K. Queck and L. E. Davis, “Microstrip and stripline ferrite-coupledline (FCL) circulators,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2910–2917, Dec. 2002. [6] C. K. Queck et al., “Performance of stripline-type ferrite coupled line circulators,” Int. J. RF Microwave Computer-Aided Eng., vol. 13, pp. 173–179, May 2003. [7] R. Pietig and M. Cao, “Ferrite-loaded waveguides—A perturbation theory approach,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Philadelphia, PA, Jun. 8–13, 2003, pp. 833–836. [8] M. Cao et al., “Perturbation theory approach to the ferrite coupled stripline,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Fort Worth, TX, Jun. 6–11, 2004, pp. 1903–1906. [9] R. E. Collin, Foundation for Microwave Engineering, 2nd ed. New York: McGraw-Hill, 1992. [10] P. A. Pucel et al., “Losses in microstrip,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 6, pp. 342–350, Jun. 1968.

Meng Cao was born in Yuan-jiang, China, in June 1976. He received the B.S. and M.S. degrees in electronic engineering from Xi’an Jiaotong University, Xi’an, China, in 1998 and 2001, respectively, and is currently working toward the Ph.D. degree in electronic engineering at Xi’ an Jiaotong University. His research interests focus on nonreciprocal devices.

Rainer Pietig (M’02) received the Diploma degree in physics and Ph.D. degree in theoretical physics from the Ruprecht-Karls-University, Heidelberg, Germany, in 1994 and 1997, respectively. From 1997 to 1999, he was a Post-Doctoral Researcher with the Max-Planck-Institut for the Physics of Complex Systems, Dresden, Germany, where he was involved with strongly correlated electron systems (theoretical solid-state physics). Since 1999, he has been with the Philips GmbH Forschungslaboratorien, Aachen, Germany. His research interests include analytic modeling of high-frequency (HF) components and passive integration techniques.

2580

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Necessary and Sufficient Conditions for the Stability of Microwave Amplifiers With Variable Termination Impedances Mauro Olivieri, Giuseppe Scotti, Pasquale Tommasino, and Alessandro Trifiletti

Abstract—New criteria to check conditional stability of microwave amplifiers with input and output terminations varying in pre-specified circular regions surrounding complex nominal values are proposed. Necessary and sufficient conditions are (i.e., provided both in terms of the immittance parameters or ) and in terms of the scattering parameters . Proposed conditions can be easily implemented in any commercial computer-aided design tool and are suitable to be used as design goals within an optimization routine: this allows to optimize the tradeoff between stability constraints under termination variations and performance in a yield-oriented design flow. A case study, in which a distributed amplifier has been designed, shows the advantages of the proposed approach. Index Terms—Computer-aided design (CAD), conditional stability, monolithic microwave integrated circuit (MMIC), yield.

I. INTRODUCTION

M

ICROWAVE and millimeter-wave amplifier design aims at fulfilling specifications for a given pair of input and output terminations; however, the values of input and output loads are not known in advance and maintained constant, but may vary for different reasons. The amplifier is typically driven by a preceding stage and/or drives a following stage: if some interoperability with different modules is required, the design has to tolerate variations in source and load impedances. Moreover, manufacturing tolerances and monolithic-microwave integrated-circuit (MMIC) packages/connections cause additional variations of input and output termination impedances. Stability, as well as circuit performance, have to be guaranteed in spite of input and output termination variations. To obtain this, unconditional stability criteria [1]–[4] applied to the overall amplifier can be used. Anyway, unconditional stability can be a too stringent requirement for the overall amplifier and can prevent the achievement of the desired performance. If maximum variations of input and output terminations can be estimated a priori, the amplifier can be designed to be stable for all the input and output termination impedances that lie in predefined regions around the nominal ones (conditional stability). Conditional stability constraints leaves more degrees of freedom in the design of the amplifier to satisfy performance requirements. Stability of a two-port network for different values of the input and output loads can be Manuscript received January 8, 2005; revised April 7, 2005. The authors are with the Electronic Engineering Department, University of Rome “La Sapienza,” 00184 Rome, Italy (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852759

studied by means of the stability circles [5] or of the staintroduced by Hauri in [6]. Above-mentioned bility factor stability criteria can be used to study the stability behavior of a two-port network made up of a single active device, whereas as was pointed out in [7]–[10], they are not sufficient to ensure stability of a multidevice amplifier, and a Nyquist analysis of all the internal feedback loops is required. These techniques, as well as stability circles and other graphical methods, require to visually inspect the polar plots of properly chosen functions. Therefore, they allow performing the stability check only at the end of the design phase, often resulting in a tedious trial-and-error design process. A synthesis-oriented criterion based on a stability factor is required to make use of optimization routines provided by computer-aided design (CAD) tools in the design phase. In [10], a synthesis-oriented conditional stability criterion, based on the overall stability and , has been proposed. The criterion allows factors to guarantee stability in circular regions of the input and output reflection coefficient planes surrounding the nominal purely resistive input and output loads. In [11], such a criterion has been extended to ensure the stability of circuits with nominal complex termination impedances. Conditions in [11] are sufficient (but not necessary) to guarantee stability of a microwave amplifier in circular regions of the input and output reflection coefficient planes surrounding the complex nominal input and output loads. These conditions allow to design a microwave amplifier that is stable in the pre-specified regions of input and output terminations, but oversize the stability constraints. In this paper, we focus on stability of a two-port with input and output impedances varying in pre-specified regions surrounding complex nominal values stating novel conditional stability criteria in terms of both the immittance and scattering parameters. Stability conditions presented here are necessary and sufficient and can directly be used for the synthesis of microwave amplifiers by means of CAD tool optimizers; therefore, they allow achieving the best tradeoff between stability under termination variations and performance. Section II-A presents the conditional stability criterion based (i.e., or ), on the immittance parameters while in Section II-B, the conditional stability criterion in terms of the scattering parameters is proven. In Section III, the application of the proposed criteria to multidevice circuits is discussed. In Section IV, a case study showing the advantages of the proposed criteria is presented, and finally, conclusions are reported in Section V.

0018-9480/$20.00 © 2005 IEEE

OLIVIERI et al.: CONDITIONS FOR STABILITY OF MICROWAVE AMPLIFIERS WITH VARIABLE TERMINATION IMPEDANCES

2581

half-plane, the necessary and sufficient conditions for the twoare port in Fig. 1 to be stable for any (2) Fig. 1. Active linear two-port terminated on impedances Z and Z .

(3) depending on , respectively, where

TABLE I CHOICE

OF IMMITTANCE PARAMETERS FOR INPUT AND OUTPUT TERMINATIONS

and

(4)

(5) and . -parameters will be discussed Proof: Only the case of since other cases can be proven quite similarly. The terminal voltages and currents of the two-port with terminations are given by the following equation: (6) If the circuit is oscillating, the determinant of the extended -parameter matrix must be equal to zero, which leads to the necessary condition (7) for any oscillation to occur as follows:

Fig. 2.

Variability regions for input and output impedances (admittances).

II. STABILITY CRITERIA A. Stability in Terms of

-Parameters

Consider the linear active two-port network terminated by imand , shown in Fig. 1; we pedances (admittances) define the variability regions of input and output terminations in the - or -planes depending on the set of parameters used for the two-port, as shown in Table I, where the immittance paand denote the rameters impedance or admittance as appropriate. and the nominal values Denoting with of input and output termination impedances, we consider all the termination values placed in circular regions of the impedance and a proper radius (admittance) plane with the center in (Fig. 2) in order to take into account input and output load variations. To consider only passive termination impedances, condition has to hold, where

(7) According to Rollett [1], under the proviso (hereafter called the Rollett’s proviso) that the characteristic frequencies of the twoport with ideal terminations (infinite immittances, i.e., open or short circuits as appropriate) lie in the left-hand-side half-plane, the necessary and sufficient conditions for the two-port with terand to be stable are minations (8) (9) From (8), we obtain by straightforward manipulation following (10)

(10) From (9), we obtain by straightforward manipulation following (11)

(1) Theorem 1: Provided that the characteristic frequencies of the two-port with ideal terminations (infinite immittances, i.e., open or short circuits as appropriate) lie in the left-hand-side

(11)

2582

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

where and . For the two-port in Fig. 1 to be stable in the pre-specified regions, conditions (10) and (11) have to be fulfilled for any and ; since the minimum value can assume when varies in the region which is given by , and the minimum value which can is given by assume when varies in the region , the necessary and sufficient conditions for stability in the pre-specified regions can be rewritten as (12)

Fig. 3.

(13)

and we have circle therefore,

Hereafter, we will consider only (12) since (13) can be proven similarly. Defining

Projection of the variability region

jY 0 Y j < r

on paraboloid

Z.

for any if and only if the is completely included into the circle ;

(19) (14) two cases have to be discussed. Case 1: . In this case, starting from (10), it can be easily shown that (12) is equivalent to the following condition: (15) represents a concave upward paraboloid and the intersection plane is a circle with center with the and radius , where

From (19), by direct computation, we obtain (2) in which -parameters are used and the less-than operator [i.e., ] is applied. B. Stability in Terms of

-Parameters

Consider the linear active two-port network terminated by imand shown in Fig. 1. pedances (admittances) According to Ohtomo [8], under the proviso that the two-port is stable for a given pair of input/output terminations, the necessary and sufficient conditions for the two-port with generic and to be stable are terminations

(20)

(16) It is evident from Fig. 3 that we have if and only if the circle and circle common points, therefore,

for any have no

Denoting with and , the nominal values of input and output termination reflection coefficients, we consider all the terminaand tion values placed in circular regions with the center in (Fig. 4). In order to consider only a proper radius passive terminations, condition has to hold. , we must For (21) to hold for any have (21)

(17)

(22)

From (17), by direct computation, we obtain (2) in which -parameters are used and the greater-than operator [i.e., ] is applied. Case 2: . In this case, it can be easily shown that (12) is equivalent to the following condition:

Theorem 2: Provided stability for a given pair of input and output resistive terminations, the necessary and sufficient conditions for a two-port to be stable for any are

(18)

(24)

(23)

OLIVIERI et al.: CONDITIONS FOR STABILITY OF MICROWAVE AMPLIFIERS WITH VARIABLE TERMINATION IMPEDANCES

2583

as a function of similarly. Starting from (21), expressing and ’s, after rearranging, we real and imaginary parts of obtain

(27) where and . Starting from (27) and applying equivalence between condiwith and and condition , we tion obtain

Fig. 4. Variability regions for input and output reflection coefficients.

depending on

and (28)

, respectively, where Defining

(29) (25)

two cases have to be discussed. Case 1: . In this case, (28) can be rewritten as (30)

(26) and

represents a concave upward paraboloid and the intersection with the plane is a circle with center and radius , where

(31)

(32) (30) is fulfilled if and only if the circle pletely included in the circle , therefore,

is com(33)

From (33), by direct computation, we obtain (23) in which the ] has to be used. less-than operator [i.e., Case I1: . In this case, (28) can be rewritten as (34) where (34) is fulfilled if and only if the circle and circle have no common points, therefore, (35) Proof: We shall consider only equivalence between (21) and (23) since equivalence between (22) and (24) can be proven

From (35), by direct computation, we obtain (23) in which the greater-than operator [i.e., ] has to be used.

2584

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 5. Frequency plot of transimpedance gain.

III. APPLICATION TO MULTIDEVICE CIRCUITS In [8], it is shown that Rollett’s proviso is fulfilled if and only if at least one set of immittance parameters (i.e., or ) have no right half-plane (RHP) poles. It is also shown that Rollett’s proviso is equivalent to the requirement that the two-port is stable for at least one pair of arbitrary positive resistive terminations. Therefore, in the case of multidevice circuits, the first step is to check that the -parameters (or, equivalently, the -, -, -, -parameters) of each active device have no RHP poles, then the stability of the circuit for a pair of resistive loads has to be ascertained by means of a rigorous method based on the Nyquist criterion [7]–[10]. Methods based on the Nyquist criterion were proposed to check Rollett’s proviso [7], [9]; a similar approach was also proposed to evaluate the zeros of the characteristic equation located in the RHP during circuit synthesis step by means of CAD tools [12]: conditions that ensure Nyquist-criterion-based stability check and, in the meantime, do not require visual inspection, were stated. The stability criteria proposed in this study are suitable to be used in yield-oriented design strategies [12], which allow a more systematic optimization procedure with respect to the standard design flow.

(a)

IV. CASE STUDY The stability criterion proposed in Section II-B has been used to design a distributed amplifier with a capacitive input termination. Such a configuration is typical of front-end amplifiers for optical receivers, which provide conversion of the photodiode current to a voltage signal. Here, a four-stage distributed amplifier has been designed in order to provide a transimpedance gain in excess of 46 dB and a 3-dB bandwidth of approximately 10 GHz by using commercially available NEC32484 high electron-mobility transistor (HEMT) devices. The design has been carried out in the Agilent ADS environment by using a network containing the front-end amplifier to optimize -parameters and stability factors defined in Section II-B (nominal termination impedances have pF, been assumed to be a shunt input network , and an output resistor ). Moreover, eight adjoint networks containing ideal circulators and isolators have been implemented to evaluate the functions during optimization and provide stability for the nominal value of terminations, as described in [12]. A nominal

(b) Fig. 6. (a) Frequency plot of the proposed stability factors B ;K . (b) Frequency plot of the proposed stability factors B

;K

.

capacitance pF has been assumed, and variability in the range of 0.18–0.22 pF has been taken into account. The variability of the source capacitance requires to check around the nominal stability in a circular region with has also been assumed value. A circular region with for the load termination. The designed amplifier presents a

OLIVIERI et al.: CONDITIONS FOR STABILITY OF MICROWAVE AMPLIFIERS WITH VARIABLE TERMINATION IMPEDANCES

2585

amplifier for optical systems has been presented to show an example of application of the proposed approach and of the improvements obtainable with respect to previously published design criteria. REFERENCES

Fig. 7. Polar plot of G (j! ) for C

ranging from 0.18 to 0.22 pF.

transimpedance gain and a 3-dB bandwidth of approximately 46 dB and 10 GHz, respectively, as shown in Fig. 5. Lack of encirclements of the critical point has been found for the evaluated Nyquist plots of the functions and on nominal terminations, together with : conditional stability is, therefore, ensured according to Theorem 2 [see Fig. 6(a) and (b)]. It has to be noted that unconditional stability has not been fulfilled ( is 0.4 at 9 GHz). A further stability check has been carried out with the method proposed in [9] in order to give an example of comparison between the proposed criterion and previously published methods. The Nyquist plots related to the functions have been traced on the specified termipF pF. In Fig. 7, the Nyquist plots nations for are reported: a stable amplifier has been obtained of for pF pF, as no encirclements of the critical are found. point Finally, a distributed amplifier with the same topology has been designed in order to achieve unconditional stability and a 3-dB bandwidth of approximately 10 GHz. We have found that the maximal value of low-frequency transimpedance gain, which allows to achieve the goals specified above, is 42 dB , 4 dB lower than the one obtained with the stability criterion proposed in Section II-B.

V. CONCLUSION A set of novel stability criteria has been proposed, which can be used to check the conditional stability of microwave multidevice amplifiers in pre-specified regions surrounding the complex nominal input and output loads. The reported conditions are necessary and sufficient and allow us to find the best tradeoff between performance and stability in yield-oriented design of microwave multidevice amplifiers. The design of a front-end

[1] J. M. Rollett, “Stability and power-gain invariants of linear two ports,” IRE Trans. Circuit Theory, vol. CT-9, no. 1, pp. 29–32, Mar. 1962. [2] M. L. Edwards, “A new criterion for linear two-port stability using a single, geometrically derived parameter,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2303–2311, Dec. 1992. [3] P. Bianco, G. Ghione, and M. Pirola, “New simple proofs of the twoport stability criterion in terms of the single stability parameter  ( ),” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1073–1076, Jun. 2001. [4] D. Woods, “Reappraisal of the unconditional stability criteria for active 2-port networks in terms of S parameters,” IEEE Trans. Circuits Syst., vol. CAS-23, no. 2, pp. 73–81, Feb. 1976. [5] G. E. Bodway, “Two port power flow analysis using generalized scattering parameters,” Microwave J., vol. 10, no. 6, pp. 61–69, May 1967. [6] E. R. Hauri, “Overall stability factor of linear two-ports in terms of scattering parameters,” IEEE J. Solid-State Circuits, vol. 6, no. 12, pp. 413–415, Dec. 1971. [7] A. Platzker, W. Struble, and K. Hetzler, “Instabilities diagnosis and the role of K in microwave circuits,” in IEEE MTT-S Int. Microwave Symp. Dig., Atlanta, GA, Jun. 1993, pp. 1185–1188. [8] M. Ohtomo, “Proviso on the unconditional stability criteria for linear two port,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 5, pp. 1197–1200, May 1995. [9] , “Stability analysis and numerical simulation of multidevice amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 6, pp. 983–999, Jun./Jul. 1993. [10] G. Scotti, P. Tommasino, and A. Trifiletti, “A synthesis-oriented approach to design stable circuits,” Microwave Opt. Technol. Lett., vol. 23, no. 6, pp. 354–357, Dec. 1999. [11] F. Centurelli, G. Scotti, P. Tommasino, and A. Trifiletti, “A synthesis-oriented conditional stability criterion for microwave multidevice circuits with complex termination impedances,” IEEE Microw. Guided Wave Lett., vol. 10, no. 11, pp. 460–462, Nov. 2000. [12] , “A synthesis-oriented approach to design multidevice circuits with prefixed stability margins,” IEEE Microw. Guided Wave Lett., vol. 10, no. 3, pp. 102–104, Mar. 2000.

Mauro Olivieri received the Master’s degree in electronic engineering (cum laude) and Ph.D. degree in electronic and computer engineering from the University of Genoa, Genoa, Italy, in 1991 and 1994, respectively. He was an Assistant Professor with the University of Genoa. In 1998, he joined the University of Rome “La Sapienza,” Rome, Italy, where he is currently an Associate Professor of electronics. He supervises several research projects supported by private and public funding in the field of very large scale integration (VLSI) system design. His research interests are digital systems-onchip and microprocessor core design.

Giuseppe Scotti was born in Cagliari, Italy, in 1975. He received the Master’s and Ph.D. degrees in electronic engineering from the University of Roma “La Sapienza,” Rome, Italy, in 1999 and 2003 respectively. He currently performs post-doctoral research with the Electronic Engineering Department, University of Roma “La Sapienza.” His research interests include the design methodologies of high-yield analog and digital integrated circuits, design techniques of high-speed circuits for optical communication systems, and design of integrated active filters.

2586

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Pasquale Tommasino was born in Formia, Italy, in 1967. He received the Master’s degree in electronic engineering and Ph.D. degree from the University of Rome “La Sapienza, ” Rome, Italy, in 1992 and 1999, respectively. Since 1995, he has been with the Electronic Engineering Department, University of Rome “La Sapienza.” His research interests include the design of integrated circuits for optical communication systems and linear, nonlinear, and statistical design-oriented modeling of active devices.

Alessandro Trifiletti was born in Rome, Italy, in 1959. In 1991, he joined the Electronic Engineering Department, University of Rome “La Sapienza,” Rome, Italy, as a Research Assistant and is currently an Associate Professor. His research interests include high-speed circuit design techniques and III–V device modeling.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

2587

Tunable Impedance Transformer Using a Transmission Line With Variable Characteristic Impedance Hyeong Tae Jeong, Student Member, IEEE, Ji Eun Kim, Ik Soo Chang, Member, IEEE, and Chul Dong Kim, Member, IEEE

Abstract—This paper proposes a new structure for a tunable impedance transformer. The proposed transformer consists of a quarter-wavelength transmission line with variable characteristic impedance. The operating principle of the variable characteristic impedance is based on the use of parallel combinations of multiple transmission lines and by controlling the line connection with RF switches. Multiple switches are inserted at the in/out terminals of each transmission line. Since a parallel microstrip transmission line has a unique structure that involves a partially removed ground plane under the conductor line, it is possible to realize a high characteristic impedance line with a wide linewidth. Index Terms—Diodes, impedance matching, transmission lines, tunable circuit and devices.

I. INTRODUCTION

A

N IMPEDANCE transformer is a device that changes an arbitrary impedance into another appropriate value. Unlike a conventional transformer, in a tunable impedance transformer, the transforming ratio can be varied. Tunable impedance transformers are used in many applications, such as in a matching circuit or tuning element. One of the most important applications of tunable impedance transformers is in optimizing the characteristics of a power amplifier. One example is in the output tuning unit used in a mobile handset. The external load environment is changing continuously as the position of the mobile terminal or transmission frequency varies. To compensate for the load impedance variation, the load impedance can be adjusted using a tunable impedance transformer [1]–[3]. Another application of a tunable impedance transformer is in load adjusting to optimize the efficiency of a power amplifier [4]–[6]. Since the efficiency of a power amplifier depends on its output load impedance, a tunable impedance transformer improves the amplifier efficiency by providing its optimum output load impedance. Manuscript received September 12, 2004; revised April 12, 2005. This work was supported by the Institute of Applied Science and Technology, Sogang University. H. T. Jeong and I. S. Chang are with the Department of Electronic Engineering, Sogang University, Seoul 100-611, Korea (e-mail: [email protected]). J. E. Kim was with the Department of Electronic Engineering, Sogang University, Seoul 100-611, Korea. She is now with the Electronics and Telecommunications Research Institute, Daejeon 305-700, Korea. C. D. Kim is with Sewon Teletech Inc., Kyungki 431-804, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852758

Occasionally, a tunable impedance transformer is used for envelope restoration in an envelope elimination and restoration (EE&R) system [7]. From a structural perspective, most tunable impedance transformers are represented by T- or -type networks with lumped elements [1]–[8]. In this structure, impedance transformation can be tuned by adjusting the tuning device, which might be, for example, a varactor and p-i-n diode. This paper introduces a new structure for tunable impedance transformers. Unlike the traditional lumped-element network of other tunable impedance transformers, this new type of transformer is made up of transmission lines that are well-known distributed elements. To our knowledge, this is the first attempt to implement a tunable impedance transformer using a transmission-line structure. The proposed transformer consists of a quarter-wave transmission line that has variable characteristic impedance. The characteristic impedance is the key parameter of a transmission line. Due to its dependence on geometry, a conventional transmission line has a fixed characteristic impedance. For example, the characteristic impedance of a microstrip line is determined by the width of the line, dielectric constant, and dielectric thickness. The new variable impedance transformer described here uses a quarter-wave transmission line with variable characteristic impedance. The characteristic impedance of the line is varied by paralleling multiple transmission lines and controlling the line connection through RF switches, which are added to the in/out terminals of each line. The manifold combinations of multiple lines result in variable characteristic impedance, and this property is used for the tunable impedance transformer. The RF switch is composed of a p-i-n diode with a fast switching time and high power-handling capacity. In addition, the microstrip line for this novel transformer has a unique structure that simultaneously possesses a wide linewidth and high impedance. This is due to the partially removed ground plane, which is making it possible to fabricate a wide highimpedance line. II. TUNABLE IMPEDANCE TRANSFORMER USING A TRANSMISSION LINE A. Basic Operating Principle Fig. 1 shows a conventional quarter-wave impedance transformer. As shown in Fig. 1, when an external load is connected

0018-9480/$20.00 © 2005 IEEE

2588

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 3. Structure of microstrip line.

Fig. 1. Transmission-line transformer. (a) Transmission line with a quarter-wavelength. (b) Transmission-line impedance transformer.

Fig. 2.

Fig. 4. Tunable impedance transformer implemented on the conductor plane of a microstrip line and its geometry.

Transmission-line structure for variable characteristic impedance.

at the output terminal, the transformation result is given by (1) as follows:

Therefore, the variable characteristic impedance ranges discretely within the range of values shown in (4) as follows: (4)

(1) Equation (1) shows that the impedance seen by the transformer is expressed as a function of the characteristic impedance . may be tunThis means that the transformed impedance of able on the assumption that the characteristic impedance of the transmission line is adjustable. B. Variable Characteristic Impedance Line Fig. 2 shows a transmission-line structure with an adjustable characteristic impedance. This structure consists of multiple transmission lines and RF switches. The four-terminal network shown on the right-hand side of Fig. 2 represents a block diagram that is equal to one quarter-wave transmission line . The RF switches control the with characteristic impedance connection of each parallel line so that the total characteristic can be adjusted. impedance When all the switches are closed, the total characteristic is given as impedance of (2)

When all the switches are open, the characteristic impedance of the complete structure is given by (3)

C. Implementation of a Parallel Transmission Line In this study, parallel transmission lines were implemented as microstrip lines, which are the most widely used transmissionline structure. A microstrip line has two conductor planes: top and bottom ground planes. Fig. 3 shows a cross section of a microstrip line. A conductor line with thickness and width is etched on the top plane of a substrate with thickness . The parallelization of the transmission lines is classified into two categories that are determined by the implementation plane. 1) Implementation on the Top Conductor Plane: The first method involves implementing the multiline on the top conductor plane. Fig. 4 shows a block diagram and cross section of parallel lines on the top conductor plane. Each transmission line has its own characteristic impedance, and RF switches are inserted at the in/out terminal of each line. The separation between lines should be sufficient to prevent unintended coupling between lines. The advantage of this structure is that it is easy to design since there are many software tools or closed-form equations for conventional microstrip lines. However, it is difficult to realize a high-impedance line from this conventional microstrip structure since the linewidth becomes narrower as the characteristic impedance of this type of transmission line increases. This is a limitation in the implementation of a high-impedance line using this scheme.

JEONG et al.: TUNABLE IMPEDANCE TRANSFORMER USING TRANSMISSION LINE WITH VARIABLE CHARACTERISTIC IMPEDANCE

2589

Fig. 5. Novel microstrip line with a partially removed ground plane. Fig. 7. Design of the tunable impedance transformer (n

= 2).

TABLE I CHARACTERISTIC IMPEDANCE OF A PARALLEL TRANSMISSION LINE AND THE IMPEDANCE TRANSFORM RESULT

TABLE II DESIGN OF PARALLEL TRANSMISSION-LINE IMPEDANCE AND THE TRANSFORMED RESULTS (Z = 100 , Z = Z = 200 )

Fig. 6. Tunable impedance transformer implemented on the bottom ground plane and its geometry.

2) Implementation on the Bottom Ground Plane: In the previous line structure, it was assumed that the ground side is a perfect plane. By contrast, this second structure has a partially removed ground plane, as shown in Fig. 5. Since the partial removal of the ground plane decreases the capacitance per unit length, the characteristic impedance of the microstrip line increases compared with the conventional microstrip structure. Due to the wider linewidth, it is easier to implement a high characteristic impedance line. To design a 100- line with Taconic’s RF35 substrate, which has a dielectric constant of 3.5 and a dielectric thickness of 30 mil, the linewidth of the conventional structure is 0.43 mm. When the ground plane is separated by a gap of 11 mm, the top linewidth for a 100- characteristic impedance is 3.7 mm, which is about four times wider than the conventional structure. Besides being easier to fabricate, this structure allows more flexibility in designing the linewidth by modifying the gap on the bottom ground plane. Fig. 6 shows the parallel-line structure implemented on the bottom ground plane. This is based on the structure shown in Fig. 5. The parallel lines are located on the empty space in the bottom ground plane. Each line has RF switches at the in/out terminals that control the line connection. When all the switches are open, the floating multilines have no influence on the total characteristic impedance. As switches are closed, the capacitance per unit length increases, and the characteristic impedance of the total transmission line is changed. III. IMPLEMENTATION OF A TUNABLE IMPEDANCE TRANSFORMER The design and analysis of a tunable impedance transformer is described here. From Section II, the tunable impedance transformer has the basic structure shown in Fig. 2. The transformer

TABLE III LINEWIDTH OF A CONVENTIONAL MICROSTRIP LINE

is composed of a variable characteristic impedance transmission line, which has parallel lines. The characteristic impedance is controlled by the connection of the RF switches and the impedance transformation is carried out discretely. Fig. 7 shows the design result presented here. In this example, . In a tunable impedance transformer is designed with this case, the two parallel lines have the same characteristic . The total transmission line then impedance, i.e., has three different possible characteristic impedances, which are summarized in Table I. The design result is listed in Table II and some considerations are presented below. and are set to an external reference of 50 . 1) 2) The transformed impedance varies from 50 to 200 . is the same as that of . 3) The impedance of

A. Implementation Transformer on the Ground Plane As shown in Table II, various combinations of ( ) ( ) change the external impedance to 50, and 89, or 200 . From the methods described in Section II, the designer can choose between two different structures to implement the parallel lines. The implementation can be on the: 1) top conductor or 2) bottom ground plane. In case 1), with an RF 35 substrate, the design results are shown in Table III. Table III shows that it is nearly impossible to realize a 200- microstrip line ( mm) using the conventional structure. To solve

2590

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 8. Variable characteristic line implemented on the bottom ground plane. (a) Artwork. (b) Pictorial view.

this problem, we implemented a parallel transmission line on the bottom ground plane. As mentioned previously, this structure allows wider linewidth. Unfortunately, there is no design formula related to this structure. Therefore, we had to determine the physical dimensions using electromagnetic-field analysis with Ansoft’s High Frequency Structure Simulator (HFSS) software tool. The transmission-line structure for variable characteristic impedance is shown in Fig. 8. The linewidth on the top conductor plane was 4.7 mm and the gap, which is the removed space on the ground plane, was 11 mm. In the gap, two parallel lines 1-mm wide were fabricated. Fig. 9 shows the simulation results for the tunable impedance transformer. For the fixed external 50- case, the impedance transformation is varied by adjusting the parallel line connections. The simulation results are summarized in Table IV. In addition to the change in the characteristic impedance, the change in phase velocity should be considered. The electromagnetic field in a multiple transmission-line structure varies depending on the condition of the parallel-line connection. It is clear that each case has a different field pattern for the non-TEM mode (quasi-TEM mode), which also results in a nonconstant phase velocity owing to the different effective dielectric constants. This affects the electrical length, as well as the characteristic impedance of the transmission line. Table V shows the variation in the electrical length, which was analyzed using full-wave analysis with an HFSS simulator. Compared with the reference 94.0 in case 3, the amount of phase change in the other cases is within 4.7%, which causes somewhat nonfunctional traces in the simulation result.

Fig. 9. Simulation results of a tunable impedance transformer. (a) 50, (b) 89, and (c) 200 . TABLE IV SIMULATION RESULTS OF TUNABLE IMPEDANCE TRANSFORMER WITH A PARALLEL TRANSMISSION LINE

B. Implementation of an RF Switch With a p-i-n Diode A GaAs FET or p-i-n diode is often used as an RF switch. In this study, a p-i-n diode was chosen because a p-i-n diode has higher power-handling capacity. The p-i-n diode has an intrinsic layer between the PN junction and operates as a variable RF

resistor, which is controlled by the amount of injection charge from the external bias source. When designing a p-i-n diode switch, the following parameters must be considered:

JEONG et al.: TUNABLE IMPEDANCE TRANSFORMER USING TRANSMISSION LINE WITH VARIABLE CHARACTERISTIC IMPEDANCE

TABLE V SIMULATION RESULTS OF AN ELECTRICAL LENGTH TUNABLE IMPEDANCE TRANSFORMER

2591

OF A

Fig. 11. Parasitic element compensation using an offset line. (a) Schematic. (b) Impedance versus bias variation.

Fig. 10. p-i-n diode equivalent circuit and simulation. (a) Schematic. (b) Impedance versus bias variation.

• power-handling capacity; • loss; • isolation; • switching; • response time; • reverse-breakdown voltage; • carrier life time; • reverse recovery time. These parameters are determined by the material properties and physical structure of the device. Designers should consider these parameters appropriately. For high-frequency applications, the important factor is the parasitic element of the p-i-n diode. This is discussed below and a helpful compensation method is proposed. A real p-i-n diode contains a parasitic element, as well as a real resistance element. Owing to the difficulty of considering carrier lifetime, an exact SPICE model of the p-i-n diode is rarely introduced. However, a simplified linear model is sufficient to predict the RF switch performance. Fig. 10 shows the equivalent circuit of a p-i-n diode, which is usually provided by the manufacturer. We used Agilent’s HSMP-4810 p-i-n diode. As the bias current is adjusted, the impedance varies from low to high, but the impedance of the diode has far from ideal open and short characteristics, which affect the ability to operate the diode as an ideal switch.

To compensate for the parasitic element, an offset line is attached to the p-i-n diode, as shown in Fig. 11. After compensation, the impedance of the p-i-n diode varies along the real axis of the Smith chart. The characteristic impedance and electrical length of the offset line are achieved by numerical optimization. In this case, the characteristic impedance of the offset line was 66.8 and the electrical length was 75.5 . In addition to compensation, the offset line determines the relationship between the p-i-n diode operation and bias condition. Before compensation, the relationship is as follows: “forward bias-short” and “reverse bias-open.” After inserting the 75.5 offset line, the relationship changes to “forward bias-open” and “reverse bias-short.” This property can be used to reduce the distortion. Although the diode also generates distortion when reverse biased, this distortion is much less than that at forward bias and may be neglected, particularly when the reverse bias voltage is higher than the peak of the RF signal [9]. When maximum power handling and short condition are needed, this property can be used to improve the linearity of the device. C. Final Structure of the Tunable Impedance Transformer The final structure of the tunable impedance transformer is shown in Fig. 12. The left-hand side of Fig. 12(a) shows the top conductor plane and the right-hand side shows the bottom ground plane. Fig. 12(b) is a pictorial view of the final structure. As shown in Fig. 12(a), the partial ground plane under the top conductor transmission line is removed and the parallel lines are in the gap of the ground plane. A p-i-n diode switch controls the line connection. As shown in Fig. 12(a), the partial ground plane under the top conductor transmission line is removed and the parallel lines are in the gap of the ground plane. A p-i-n diode switch controls the

2592

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 12. Final structure of the tunable impedance transformer. (a) Artwork. (b) Pictorial view.

line connection. Compared with the basic structure in Fig. 8, Fig. 12 shows that an additional offset line has been inserted between the terminal of the parallel line and the p-i-n diode. The offset line uses a coplanar ground on the top plane as a transmission pair and the coplanar ground on the top plane and bottom ground plane are interconnected through a via-hole. The structure in Fig. 12 is based on the prototype in Fig. 8. The steps in our design process consisted of the following. First, the electrical length of the offset line was calculated using the Agilent ADS simulator, which compensates for the effect of parasitic components in the p-i-n diode, as shown in Fig. 11. Second, the physical dimension of the offset line is synthesized using the HFSS simulator. Next, we add these offset lines to the terminal of each parallel line on the bottom plane, as shown in Fig. 12, where the physical dimension of the other parts is the same as in Fig. 8. Finally, we tune the line length of the offset line slightly to compensate for the differences between the model and a real diode. These offset lines change the relationship between switch operation and bias condition: “forward bias-open” and “reverse bias-short.” The external bias circuit controls the operation of the p-i-n diode. The bias current to the diode is determined by the external resistor. The external bias circuit is isolated though an inductor, which has high impedance at the in-band frequency. IV. RESULTS Fig. 13 shows the experimental results implementing the proposed impedance transformer. As shown in Table II, the tunable impedance transformer converted an external 50- load

Fig. 13. Experimental result for the tunable impedance transformer. (a) 50, (b) 89, and (b) 200 .

into 50-, 89-, and 200- values using quarter-wave transmission lines with variable characteristic impedances. Fig. 13(a) shows the results of Case 1 in Table II, in which the characteristic impedance of the transmissions line is 50 . In this case, the characteristic impedance of the transmission line is identical to the external load impedance. Therefore, in this case, no transformation occurs. Fig. 14 shows that the insertion loss of the transformer is approximately 0.2 dB. Fig. 13(b) shows the result for Case 2 in Table II. In this case, the characteristic impedance of the transmission line is 67 and . Fig. 13(c) shows the transformed impedance is

JEONG et al.: TUNABLE IMPEDANCE TRANSFORMER USING TRANSMISSION LINE WITH VARIABLE CHARACTERISTIC IMPEDANCE

2593

[7] F. H. Raab, “High efficiency linear amplification by dynamic load modulation,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Philadelphia, PA, Jun. 2003, pp. 1717–1720. [8] J. H. Sinsky and C. R. Westgate, “Design of an electrically tunable microwave impedance transformer,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, Orlando, FL, Jun. 1997, pp. 647–650. [9] G. Hiller, “Predict PIN-diode switch distortion,” Microwaves RF, pp. 111–116, Jan. 1986.

Fig. 14.

Insertion and return losses of a fabricated impedance transformer.

Hyeong Tae Jeong (S’05) received the B.S. and M.S. degrees in electronic engineering from Sogang University, Seoul, Korea, in 1995 and 1997, respectively, and is currently working toward the Ph.D. degree at Sogang University. From 1997 to 2002, he was a Senior Engineer with Samsung Electronics, Suwon, Korea. His research interests include linearization and efficiency enhancements of RF power amplifiers.

the result of Case 3 in Table II. In this case, the characteristic impedance of the transmission line is 100 and the transformed impedance is . V. CONCLUSION This paper has introduced a new structure for a tunable transformer. The tunable impedance transformer consists of a quarter-wave transmission line that has variable characteristic impedance. The variable characteristic impedance is possible through the parallelization of multiple transmission lines and RF switches controlling the line connections. The RF switches are composed of p-i-n diodes. In addition, the transmission-line structure has been constructed using a microstrip line that has a partially removed ground plane. This feature has the advantage of easy implementation, while achieving a high characteristic impedance. The p-i-n diode has been compensated by an offset line, which eliminates the undesired effect of the parasitic element. REFERENCES [1] Y. Sun and J. K. Fidler, “High-speed automatic antenna tuning units,” in 9th Int. AP-S Conf., Eindhoven, The Netherlands, Apr. 1995, pp. 218–222. [2] J. D. Kim, J. J. Crnkovic, A. W. Klomsdorf, and D. S. Peckham, “Method and apparatus for amplifying a signal,” U.S. Patent 6 020 787, Feb. 1, 2000. [3] J. de Mingo, A. Crespo, and P. Garcia, “An RF electronically controlled impedance tuning network design and its application to an antenna input impedance automatic matching system,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 489–497, Feb. 2004. [4] K. Siwiak and D. H. Minasi, “Radio frequency power amplifier having variable output power,” U.S. Patent 5 276 912, Jan. 4, 1994. [5] W. P. Alberth, Jr., A. Klomsdorf, and L. Winkelmann, “Load envelope following amplifier system,” U.S. Patent 6 349 216 B1, Feb. 19, 2002. [6] S. Kim, J. Lee, J. Shin, and B. Kim, “CDMA handset power amplifier with a switched output matching circuit for low/high power mode operation,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 3, Fort Worth, TX, Jun. 2004, pp. 1523–1526.

Ji Eun Kim received the B.S. degree in electronic engineering from Soonchunhyang University, Assn, Korea, in 2001, and the M.S. degree from Sogang University, Seoul, Korea, in 2004. She is currently with the Electronics and Telecommunications Research Institute (ETRI), Daejeon, Korea. Her research interests include linearization and efficiency enhancements of RF power amplifiers.

Ik Soo Chang (M’79) received the B.S., M.S., and Ph.D. degrees from Seoul National University, Seoul, Korea, in 1967, 1970 and 1982, respectively, all in electronic engineering. Since 1977, he has been a Professor with the Department of Electronic Engineering, Sogang University, Seoul, Korea. He possesses over 20 years of experience in RF and microwave circuits design.

Chul Dong Kim (M’78) received the B.S. degree in electronic engineering from Seoul National University, Seoul, Korea, in 1971, and the Ph.D. degree from the University of Wisconsin–Madison, in 1985. He is the President and Chief Executive Office (CEO) of Sewon Teletech Inc., Kyungki, Korea, a company that specializes in RF power amplifiers.

2594

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Stereoscopic Passive Millimeter-Wave Imaging and Ranging Thomas Lüthi and Christian Mätzler, Senior Member, IEEE

Abstract—This paper presents the first stereoscopic range measurements at a wavelength of 3.3 mm and discusses the accuracy of this new method. The synthesis of passive millimeter-wave imaging and stereoscopy combines the advantages of both principles, naturally looking high-contrast images and superior poor-weather performance (compared to visible and infrared wavelengths), as well as the passive ranging capability. Our setup using two antennas with a half-power beamwidth (HPBW) of 0.9 and a stereoscopic baseline of 1.15 m allows ranging with an accuracy of 10% up to a distance of 300 m. The range resolution improves with increasing stereoscopic baseline, lower radiometer noise, narrower antenna beams, and higher scene contrast. For scenes with sufficient contrast, the directional resolution is considerably better than the antenna HPBW. Thus, massive oversampling of the scene in the plane of the stereoscopic baseline is required. For our setup, an oversampling factor of 36 is optimal. Since additional ranging errors result from nonstationary scenes, fast scanning imagers should be applied. Index Terms—Distance measurement, millimeter-wave imaging, millimeter-wave technology, radiometry, stereo vision.

I. INTRODUCTION

P

ASSIVE millimeter-wave imaging has become of increasing interest for scientific, military, and commercial applications over the last years. Millimeter-wave radiation is due to thermal emission from the objects in the scene, thus no illumination is required as for visible light sensors. Attenuation and scattering by fog, clouds, and light drizzle is considerably lower than in the visible and infrared range (except for strong molecular absorption lines, e.g., the complex of oxygen lines at 60, the line at 118, and the water-vapor line at 183 GHz). The contrast in millimeter-wave images is larger by up to two orders of magnitude compared with infrared scenes due to the cold sky emission reflected from metal objects and smooth surfaces (e.g., [1], [2]). Passive millimeter-wave imaging thus produces naturally looking high-contrast thermal images (cf. Section II) and offers superior poor-weather performance compared to visible and infrared systems. Additionally, millimeter waves penetrate most types of clothing and camouflage. The major drawback of millimeter-wave imagers is their lower angular Manuscript received September 23, 2004; revised April 25, 2005. This work was supported by the Swiss National Science Foundation under Grant 200020100167 and by Armasuisse under Contract 4500310096. T. Lüthi was with the Institute of Applied Physics, University of Bern, 3012 Bern, Switzerland. He is now with the I. Physics Institute, University of Köln, 50937 Köln, Germany (e-mail: [email protected]). C. Mätzler is with the Institute of Applied Physics, University of Bern, 3012 Bern, Switzerland. Digital Object Identifier 10.1109/TMTT.2005.852757

resolution due to the longer wavelength and limited aperture size. Nevertheless, millimeter-wave imaging systems are currently developed for a wide range of applications including remote sensing, environmental monitoring, aircraft landing aids, and concealed weapon detection (e.g., [3]). For most of these applications, a detailed two-dimensional image is adequate. However, there are many other applications where the range to an object is also of interest. At microwave and millimeter wavelengths, the main ranging technique is radar, which is an active method. At visible wavelengths, however, ranging is mostly done by passive methods: the three-dimensional human vision is based on stereoscopy. Before the advent of satellite-based positioning systems, cartography and land survey relied on optical triangulation methods. Photogrammetric methods using a large number of images taken from different locations allow the three-dimensional reconstruction of objects with an accuracy of up to a few micrometers (e.g., [4]). A synthesis of passive millimeter-wave imaging and stereoscopy combines the advantages of both principles, high-contrast images, and superior poor-weather performance, as well as passive ranging capability. Besides the fact that passive systems benefit from better public acceptance and are well preferred for military applications as they are difficult to locate, ranging the distance to a remote object with a pair of passive imagers is quite different from ranging with active sensors such as a radar. Whereas the former uses angular measurements at a given baseline distance (triangulation), the latter uses measurements of propagation time at a given propagation speed. The main advantage of radar is the independence of range resolution from range itself, assuming a constant signal speed. A disadvantage is the occurrence of speckle noise. Detectability problems occur if target shape and size are unknown because the return may either be extremely large or missing at all. As an example, a small number of raindrops may lead to erroneous ranging of clouds with a cloud radar; or in case of an object with specular surfaces, the return signal critically depends on the object orientation. On the other hand, passive imaging is free of speckle noise. Instead, thermal noise limits the object detectability. An important advantage of passive imaging is the fact that object shape and size do not have deteriorating effects. Perhaps the most relevant advantage of passive stereoscopic imaging is based on the measurement of angular differences for positioning in all three dimensions, thus minimizing the occurrence of systematic errors, and allowing the application of super-resolution techniques to suitable objects. This property may be the reason for nature to prefer this sensing method, although active methods are used as well. According to our knowledge, stereoscopic passive millimeter-wave imaging has not been reported thus far. In order

0018-9480/$20.00 © 2005 IEEE

LÜTHI AND MÄTZLER: STEREOSCOPIC PASSIVE MILLIMETER-WAVE IMAGING AND RANGING

2595

to demonstrate that the method is quite powerful, stereoscopic measurements were made with a simple stereoscopic radiometer system at a wavelength of 3.3 mm. In this paper, we present first stereoscopic images, as well as the derived range map, and discuss the ranging accuracy of the new method. II. EXPERIMENTAL SETUP Stereoscopic imaging requires either two laterally displaced imagers or one imager taking images sequentially from different locations. However, for most applications, the latter method is not practical as it depends on stationary scenes and constant weather conditions. The imaging process can be achieved by different methods, which include: 1) mechanically scanning a pencil-beam radiometer in two dimensions over the field of view or a linear array of radiometer beams in one dimension (e.g., [5], [2]); 2) using a fixed two-dimensional array of radiometers in the focal plane of an optical system [6]; 3) electronically scanning the beam of a two-dimensional antenna array by inserting time lags into the signal path prior to combination (e.g., [1]); 4) using a linear array of frequency-directive antennas [7]; or 5) synthesizing the image from the visibility functions of an interferometer[8]. Most of these imaging systems are still under development or exist only as prototypes. However, practical stereoscopic imaging requires at least two imagers. Such a system resulted as a by-product from our Nulling Interferometer for the Observation of Solar flares at 90 GHz (NIOS; [9], [10]). In order to improve the sensitivity for the detection of weak solar flares, this instrument suppresses the strong quiet Sun background emission by correlating the signals from two small antennas (destructive interference). Both antennas are installed on a common mount of a single tracker to preserve the effective antenna baseline of 1.15 m, while the position of the Sun changes throughout the day (Fig. 1). Besides the complex correlation of the antenna signals, the total power signals detected by the two antennas are also recorded. These signals were used for stereoscopic imaging. The sensitivity of the radiometers is 0.5 K at an integration time of 31 ms (Table I). There is no internal calibration constant system, as the solar observations use the cold sky and the quiet Sun as natural calibration references. Stereoscopic imaging was done during the night without radiometric calibration. The half-powerbeamwidth(HPBW)of theantennas(and,thus, the angular resolution of the instrument) is 0.9 (optimized for solar flare observations). Images were obtained by mechanically scanning thescene(i.e.,method1).Asthefront-endismountedon the elevation axis of the elevation-over-azimuth tracker, the main scan direction for stereoscopic imaging was in elevation. This ensures that the same feature of the scene is seen by both antennas within a few seconds, thus minimizing the effect of instrumental drifts and changing weather conditions. Furthermore, the fixed mounting of the antennas on a common bar ensures a constant baseline independent on the view direction, and eliminates relative tracking errors between the two antennas. Nyquist sampling permits a maximum angular distance of between the individual sample points (i.e., image pixels) in order to avoid aliasing effects. Therefore, the distance between vertical scan lines was set to 0.4 . In elevation, however, oversampling is highly advantageous: as long as the contrast in an image is high enough to identify distinct features,

Fig. 1. NIOS front-end with the two antennas A and B installed on a common mount. TABLE I NIOS INSTRUMENT SPECIFICATIONS

they can be localized with a much better accuracy than the angular resolution of the antenna (cf. Section IV-A). The angular resolution of the tracker is 0.04 . However, as it uses dc motors to drive the two axes, the movement of the instrument is continuous, and positions within the same vertical scan line can be interpolated even to a higher resolution. Taking into account the tracking velocity of 0.2 /s, there are 150 integration time per HPBW. The images are, therefore, massively constants oversampled in elevation. In order to reduce noise and computation time, the data is then rebinned for stereoscopy to an image resolution of 1/40 (cf. Section IV-A). NIOS is installed on top of the science building of the University of Bern, Bern, Switzerland. Located near the city center, the surroundings provide ample objects of different appearance and at different distances in order to assess the ranging performance of the setup. The chosen field of view (110 in azimuth and 15 in elevation) is shownin Fig. 2. Itisdominated by thenearby university main building (a) and a distant hill (b). Other prominent features are some trees (c, d), a tall office building (e), and a crane (f). The main feature in the foreground is a radiometer for atmospheric research (g). Scanning time for an image is approximately 6 h.

2596

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

TABLE II STEREOSCOPIC AND TRUE DISTANCES FOR MANUALLY SELECTED OBJECTS (r; r ) AND CORRESPONDING MEAN DISTANCES FROM THE RANGE MAP FIG. 3(e) (r )

Fig. 2. Visible and millimeter-wave images ( = 3:3 mm, “warm” objects appear bright) of the test site, which is dominated by: (a) the university main building and (b) a hill in the background. Other prominent features are: (c) and (d) some trees, (e) an office building, (f) a crane, and (g) a radiometer for atmospheric research. The radio images from the (A) upper and (B) lower antenna clearly show the effects of the different “camera” locations, as objects in the foreground appear at higher elevations in (B).

The millimeter-wave images were histogram equalized in order to enhance contrast. They show a detailed view of the scene. Only the nearby radiometer (g) appears blurred, as it was moving while scanned. Objects with a high brightness temperature appear bright, those with a low brightness temperature dark. The images illustrate the main properties of millimeter-wave images: metal (crane, radiometer) and inclined smooth objects (slate roof and cupolas of the main university building) reflect the cold (zenith) sky, whereas walls (rough sandstone) and vegetation exhibit a high brightness temperature. Due to the increasing optical depth, the brightness temperature of the unobstructed atmosphere increases with decreasing elevation angle, resulting in a bright horizon. III. STEREOSCOPIC RANGING The radio images from the (A) upper and (B) lower antenna clearly show the effects of the different “camera” locations, as objects [especially the radiometer (g)] in the foreground appear at higher elevations in image (B). Several low and nearby objects are only imaged with the lower antenna, e.g., part of our own building’s roof (lower right-hand-side corner). The most direct way to analyze stereoscopic images is the use of a stereoscopic viewer, which presents each of the two images exclusively to one eye. Although the view with a vertical stereoscopicbaseline(and,thus,averticalhorizon)issomewhatunusual, clearly the impression of a three-dimensional image is obtained. For a quantitative analysis, two different methods were applied. First quantitative results were obtained by manually selecting corresponding features in both images and determining the resulting parallax . The stereoscopic distance is given by (1) where is the stereoscopic baseline of 1.15 m. Stereoscopic distances to several prominent features are given in Table II, as obtained from a city map (accuwell as the true distances racy 10 m). In general, they agree within 10%, which clearly proves the concept of passive millimeter-wave ranging. However, manually selecting corresponding features is not very practical and prone to error, especially for distant objects where a

minor deviation in elevation results in a large distance error. The method might be improved by the use of a feature-recognition algorithm to identify common objects in the two images. This would not only automate the process and remove the human factor, but also reduce the sensitivity toward noise, as for each identified object, only the center position (i.e., the barycenter of all pixels composing the object) is used for ranging. The second method analyzes stereoscopic images without any knowledge of the image content by cross-correlation of the two images. A sub-region of image (A) is selected and of image (B), correlated with the sub-regions shifted in elevation. To optimize azimuthal resolution, the sub-regions consist of sections of single vertical scan lines. The shift in elevation for which the correlation is maximum gives the parallax for the selected sub-region . We determined the range to any sub-region that exhibited a sufficient contrast, and generated range maps. As the correlation of two signals is invariant with respect to offsets and gain differences, no prior calibration of the two images is required. The algorithm for parallax determination and distance computation was realized in the data visualization and analysis software IDL. The angular resolution of the resulting range map depends on the size of the sub-regions used for correlation. In order to determine the optimum extent of the sub-region, the process was repeated for different values (Fig. 3). For extents below the HPBW of the antennas, the range map exhibits a considerable “range noise.” This becomes especially pronounced in regions of low image contrast like the sky or the distant hill where the image fine structure is mainly due to radiometer noise and, thus, random ranges are obtained. A sub-region length of two HPBWs, on the other hand, results in a less noisy, but flatlooking range map. Therefore, the optimum sub-region length appears to be in the order of one antenna HPBW. The resulting range map [see Fig. 3(c)] clearly shows the main features of the scene like the distant hill, the trees on the lefthand side, and the university main building. Note that the different distances to the wall and to the main cupola are well resolved. However, there is still noticeable “range noise.” Several numerical methods to reduce this disturbing effect were tested. Best results were obtained with a statistical approach. A real object must be composed of several pixels in the range map at approximately the same distance. Therefore, all range points were of the discarded for which the relative standard deviation 0.8 (i.e., the half-power measured range in a region of 0.8 antenna beam) around the range point of interest exceeds 60%.

LÜTHI AND MÄTZLER: STEREOSCOPIC PASSIVE MILLIMETER-WAVE IMAGING AND RANGING

2597

1

Fig. 4. Effect of radiometer noise on the directional resolution . Panel (a) shows a simplified brightness temperature distribution T , (b) the normalized antenna pattern P Pn , and (c) and (d) the image without and with radiometer noise, respectively.

(max( ) = 1)

Fig. 3. Grayscale-coded range maps: (a) visible image for reference. Range maps for different sub–region lengths of: (b) 0.5, (c) 1, and (d) 2 antenna HPBWs. The optimum result is achieved for a length of one HPBW (c). Note that the different distances to the wall and main cupola of the university main building are well resolved. Also shown is: (e) the noise-reduced range map. All objects at longer distances than 800 m appear white, whereas black regions in panel (e) represent range points discarded due to excessive range noise.

This approach suppresses the “range noise” effectively. Italso discards valid range points at the rim of an object where the range actually exhibits a rapid change. To avoid this problem, all range points were accepted in a second step if they were situated on an edge of the original image. Edges were identified by the Sobel algorithm [11]. The resulting range map [see Fig. 3(e)], where the discarded range points are displayed in black, clearly shows a considerable reduction of the “range-noise.” In particular, the to sevcrane stands out much more clearly. Mean distances eral prominent features are given in Table II. Like the manually determined distances , they agree well with the true distances , except for the office building [see Fig. 2, feature (e)], which is not recognizable in the range map, and the crane [see Fig. 2, feature (f)] whose distance is overestimated by 25%.

thus scales with the inverse of the stereoThe range error , and rapidly descopic baseline , directional resolution grades with increasing range. For our setup ( m, image rebinned to 1/40 in elevation), we find a relative range error of 10% at a distance of 260 m. An improvement of the range resolution may be achieved either by an increase of the stereoscopic baseline or by an improved directional resolu. The baseline is quite limited for a mobile device (e.g., tion like a system for automobile distance detection) due to practical reasons. For a fixed installation like a system for airport taxiway and apron area surveillance, however, it could be extended considerably by the use of two independent millimeter-wave imagers. Independent “cameras” would also allow the application of more than two imagers in order to avoid “blind spots” due to buildings or other fixed structures in the area of surveillance, and to perform multiple stereoscopy for redundancy and increased accuracy. There are several parameters that limit the directional resoluof a scanning radiometer. For a quantitative analysis, tion we assume a symmetrical antenna pattern and a simple one-dimensional scene where the brightness temperature distribution is a Heaviside function with the step amplitude at the [see Fig. 4(a)]. The image obtained with a scanning position radiometer (i.e., the antenna temperature ) corresponds to and the normalized antenna pattern the convolution between [see Fig. 4(b), ]

IV. ERROR ASSESSMENT Firstmeasurementsshowedthatoursetupachievesarangingaccuracy of 10% up to a distance of 300 m (Table II). In the following, the accuracy of the method is investigated in more detail. (3)

A. Noise Error As the direction to an object can be determined with only a limited angular resolution , the range resolution is also limited. From (1), we obtain for the range error

where (4)

(2)

is the (one-dimensional) beam solid angle of the antenna. For a symmetrical antenna pattern, we have , independent of the beamwidth [see Fig. 4(c)]. Therefore, the step can be determined exactly in absence of radiometer position

2598

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

noise. However, the presence of noise hampers the measurements, and the position of the imaged step can be retrieved only [see Fig. 4(d)]. In order to quantify this with an uncertainty effect, we calculate the derivative of the antenna temperature at the position (5) and, finally, obtain the directional error due to noise (6) is the radiometric sensitivity of the imager (rms). The where thus decreases with increasing contrast directional error , with decreasing , and with a decreasing beam solid angle. The latter can be approximated with the antenna HPBW and is given by (7)

For the radiometer parameters of our setup (Table I), a scene of 10 K and a mean scene brightness temperacontrast is required. ture of 290 K, an oversampling factor of This corresponds to 40 pixels/deg, as chosen for the rebinning of our test images. Realistic scenes are more complex than the one used for the above calculations. There may be several objects or brightness temperature changes, which are smaller than the antenna HPBW, leading to a reduction of the image contrast (after convolution) and, hence, an increase of the direc(6). Additionally, a typical scene is composed tional error of objects with different contrasts, leading to a variable directional (and, thus, range) resolution over the scene. The choice of the optimum oversampling factor thus strongly depends on the actual scene and the anticipated scene contrasts. B. Systematic Errors The key to an optimal ranging performance is based on a small value of (2). As long as (6) completely determines this quantity, the presented analysis can be used for the optimization. However, in order not to limit the directional resolution artificially, it is essential that the spatial sampling rate in the . Additional plane of the stereoscopic baseline is at least in systems where the two imagers are errors may occur to tracked independently

where is the wavelength and is the antenna diameter. However, both the antenna size and observation wavelength are limited; the former by practical considerations (available space, weight, costs, and scanning speed), and the latter by the properties of the atmosphere. Even in the relevant atmospheric transmission windows at millimeter and submillimeter wavelengths, the sky brightness temperature increases with frequency, thus [1]. decreasing the scene contrast The first stereoscopic images obtained with NIOS clearly showed that, even with a nonoptimized setup, a directional resolution considerably better than the antenna HPBW is obtained. In order to make use of this super-resolution effect, it is essential that the image is oversampled in the plane of the stereoscopic baseline, otherwise the directional resolution will be limited by the spatial sampling rate. For a scanning is given by radiometer, the optimum oversampling factor

is the resulting increased directional error and where is the pointing error of the trackers. Both of the above problems are avoided with our test setup. The slowly and continuously running dc motors of the tracker allow both a very high spatial sampling rate and accuracy within the same vertical scan line, and there are no tracking errors between the two imagers as the angle between the two antennas remains constant. Furthermore, ranging errors occur if the scene and/or the stereoscopic imaging system is in motion. Any motion parallel to the scan direction leads to an error of the measured parallax

(8)

(13)

The integration time per image pixel thus becomes (9) where is the time allotted to scan a distance of one HPBW (i.e., defines the scanning velocity). The radiometric sensitivity of the imager is thus [12] (10) is the system noise temperature of the radiometer, is the mean scene brightness temperature, and is the instrument bandwidth. Combining (8) and (10), we finally obtain where

(11)

(12)

where is the true parallax, is the angular scanning is the angular velocity of the object of interest velocity, and in the plane of the baseline. The “ ” sign applies to an object moving in the same direction as the scanner, leading to an overestimation of the parallax and, thus, to an underestimation of the range. The resulting range error increases with increasing angular velocity of the moving object and decreasing angular scanning velocity. In order to quantitatively assess this error, we assume a hypothetical system for airport taxiway and apron area surveillance consisting of several individual rotating scanners with s ). From numerical simulations for 0.2 turns/s ( a taxiing aircraft with a transverse velocity of 20 ms , we find a range error of 16 m, which is almost independent of the actual range to the moving object and the stereoscopic baseline. This is quite acceptable for such an application, especially at longer ranges above a few hundred meters. Additionally, if

LÜTHI AND MÄTZLER: STEREOSCOPIC PASSIVE MILLIMETER-WAVE IMAGING AND RANGING

the same object is observed in several consecutive scans, its transverse velocity can be estimated and taken into account for the ranging process. V. CONCLUSION Passive millimeter-wave imaging was combined with the well-known principle of stereoscopy. The new method offers the advantages of both millimeter-wave imaging systems (high-contrast thermal images and a superior poor-weather performance compared to visible and infrared wavelengths) and passive devices (free of speckle noise, positioning in all three dimensions, not easily located). First stereoscopic millimeter-wave images were obtained at a wavelength of 3.3 mm and demonstrated that the method is quite powerful. Although our experimental setup did not use state-ofthe-art passive millimeter-wave imagers (low radiometric sensitivity and angular resolution), a ranging accuracy of 10% up to a distance of 300 m was achieved. Important for this good result is the fixed mounting of the antennas on a common bar, which ensures a constant baseline independent on the view direction, and eliminates relative tracking errors between the two antennas. The range of the imaged objects was computed either by manually selecting the same feature in both images or by cross-correlation of the two millimeter-wave images. The resulting range maps allowed clear discrimination of objects at different distances. Stereoscopic ranging works best for a scene with high brightness temperature contrasts, and where the different objects are large compared with the antenna HPBW. In regions of low scene contrast, the radiometer noise dominates the image fine structure, leading to considerable “range noise.” However, the latter can be effectively suppressed by a statistical of method analyzing the local relative standard deviation the measured range. In contrast to radar, the range error of stereoscopic ranging methods increases with increasing range to the objects of interest. It decreases with increasing stereoscopic baseline and directional resolution. Whereas the baseline is easily increased by the use of two individual imagers, the directional resolution improves with lower radiometer noise, narrower antenna beams and higher scene contrast. For scenes with sufficient contrast, the directional resolution is considerably higher than the antenna HPBW. Thus, a massive oversampling of the scene in the plane of the stereoscopic baseline is required. For our setup, the optimum oversampling factor is 36, corresponding to a directional resolution of 1/40 . With a large stereoscopic baseline, a practical range resolution can already be obtained using small antennas with broad beams, although at the cost of a poor angular resolution of the resulting range maps. Additional ranging errors are introduced if the two images are obtained by independent scanning radiometers (due to pointing errors) and if the scene and/or the stereoscopic imaging system is in motion. The resulting distance error increases with increasing angular velocity of the moving object and decreasing angular scanning velocity. Stereoscopic passive millimeter-wave imaging and ranging thus requires scanning radiometers with fast scanners in the plane of the stereoscopic baseline.

2599

REFERENCES [1] D. G. Gleed, R. Appleby, N. A. Salmon, S. Price, G. N. Sinclair, R. N. Anderton, J. R. Borill, and M. R. M. Wasley, “Operational issues of passive millimeter wave imaging systems,” in Proc. SPIE Passive Millimeter-Wave Imaging Technology, vol. 3064, Apr. 1997, pp. 23–33. [2] A. Pergande, D. Dean, and D. O’Donnell, “Passive millimeter wave imaging,” in Proc. SPIE Enhanced and Synthetic Vision, vol. 2736, May 1996, pp. 240–247. [3] Proc. SPIE Passive Millimeter-Wave Imaging Technology IV, vol. 4032, R. M. Smith and R. Appleby, Eds., Apr. 2000. [4] M. Miller, U. U. Graf, R. Kinzel, C. Kramer, M. Lettau, K. Stenvers, and J. Stutzki, “Photogrammetric surface measurement of the KOSMA 3m-telescope,” in Proc. SPIE Millimeter and Submillimeter Detectors for Astronomy, vol. 4855, Feb. 2003, pp. 594–601. [5] R. Smith, B. Sundstrom, B. Belcher, and D. Ewen, “ROSCAM A 95 GHz radiometric one second camera,” in Proc. SPIE Passive MillimeterWave Imaging Technology II, vol. 3064, Apr. 1997, pp. 2–13. [6] L. Yujiri, H. Agravante, S. Fornaca, B. Hauss, R. Johnson, R. Kuroda, B. Quon, A. Rowe, T. Samec, M. Shoucri, and K. Yokoyama, “Passive millimeter wave video camera,” in Proc. SPIE Passive Millimeter-Wave Imaging Technology II, vol. 3378, Apr. 1998, pp. 14–19. [7] J. A. Lovberg, R.-C. Chou, and C. A. Martin, “Real-time millimeterwave imaging radiometer for avionic synthetic vision,” in Proc. SPIE Sensing, Imaging, and Vision for Control and Guidance of Aerospace Vehicles, Apr. 1994, pp. 234–244. [8] M. Peichl, H. Suess, M. Suess, and S. Kern, “Microwave imaging of the brightness temperature distribution of extended areas in the near and far field using two-dimensional aperture synthesis with high spatial resolution,” Radio Sci., vol. 33, pp. 781–801, 1998. [9] T. Lüthi, “Nulling interferometer for solar flare observations at 90 GHz,” M.Sc. thesis, Inst. Appl. Phys., Univ. Bern, Bern, Switzerland, 1999. [10] T. Lüthi, “Solar flares at millimeter and submillimeter wavelengths—Instrumental techniques and observations,” Ph.D. dissertation, Inst. Appl. Phys., Univ. Bern, Bern, Switzerland, 2004. [11] R. C. Gonzalez and P. Wintz, Digital Image Processing. Reading, MA: Addison-Wesley, 1977, pp. 337–338. [12] K. Rohlfs and T. L. Wilson, Tools of Radio Astronomy, Second completely Revised, and Enlarged Edition. New York: Springer, 1996, Astronomy and Astrophysics Library, pp. 60–64.

Thomas Lüthi was born in Herzogenbuchsee, Switzerland, in 1974. He received the M.S. and Ph.D. degrees in physics from the University of Bern, Bern, Switzerland, in 2000 and 2004, respectively. He is currently with the I. Physics Institute, University of Köln, Köln, Germany. His research concerns submillimeter-wave instrumentation, quasi-optical antenna systems, and microwave technology.

Christian Mätzler (M’96–SM’03) received the M.S. and Ph.D. degrees in physics from the University of Bern, Bern, Switzerland, in 1970 and 1974, respectively. He was involved with solar radio astronomy and performed post-doctoral studies with the NASA Goddard Space Flight Center and at ETH, Zürich, Switzerland. He is currently Titular Professor of applied physics and remote sensing with the Institute of Applied Physics, University of Bern, where he leads the Project Group Radiometry for Environmental Monitoring. Since 1979, his experimental studies have been concentrated on surface-based microwave (1–100 GHz) signatures for active and passive microwave remote sensing of snow, ice, soil, vegetation, and atmosphere including precipitation, clouds, and the boundary layer, and on the development of methods for dielectric measurements of these media with complementary work at optical wavelengths. He is interested in meteorological applications of remote sensing and in improvements of the physical understanding of the processes involved. Based on the experimental work of his group, he has developed and tested microwave (1–100 GHz) propagation, transmission, emission, scattering, and dielectric models of snowpacks and of the atmosphere.

2600

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Statistical Analysis of WDM Photonic Microwave Filters With Random Errors Borja Vidal, Student Member, IEEE, Juan L. Corral, Member, IEEE, and Javier Martí, Member, IEEE

Abstract—Several photonic microwave filters based on a set of optical carriers of different wavelength and a dispersive medium have been proposed to benefit from their flexibility and a variety of commercial wavelength division multiplexing (WDM) devices. However, practical implementations of such filters suffer random errors in the optical power and the wavelength of the optical sources. In this paper, the statistical analysis of the performance of photonic microwave filters based on multiple optical carriers (i.e., WDM) and a dispersive medium with random errors in amplitude and wavelength spacing between optical carriers is presented, showing that these errors translate in a residual sidelobe level dependent on the statistics of the random errors. An expression of the residual sidelobe level is derived. Finally, experimental results showing a good agreement with theory are provided. Index Terms—Microwave photonics, photonic microwave filter, transversal filter, wavelength division multiplexing (WDM).

I. INTRODUCTION

T

HE USE of photonic technology for microwave and millimeter-wave filtering is an interesting alternative to conventional electrical processing. Photonic microwave filters benefit from fiber-optic advantages such as high time-bandwidth product operation, immunity to electromagnetic interference (EMI), reduced size and weight, and low and constant electrical loss. Many photonic microwave filter architectures have been proposed over the last years using a variety of fiber-optic devices [1]–[8]. Some of them [5]–[8] are based on using multiple optical carriers [i.e., wavelength-division multiplexing (WDM)] and dispersive media to obtain a set of time-delayed samples of the RF input signal. These WDM architectures benefit from using low-cost high-performance components available for optical communication systems. In WDM schemes, dispersive media introduce a progressive time delay between optical carriers (taps) to implement a finite impulse response (FIR) filter. Nevertheless, practical implementations of WDM photonic microwave filters will suffer from random errors in the power of the optical carriers, as well as in the wavelength spacing between them. In this paper, the effect of these errors on the filter transfer function is studied. Simulations to assess the effect of random errors are presented and, finally, experimental results showing the validity of the expressions are provided.

II. THEORY The transfer function of an -tap WDM photonic microwave filter for an optimum polarization adjustment and neglecting the carrier suppression effect (e.g., using a single-sideband modulation [9]) is given by [6] (1) where is the electrical frequency, is the photodiode responis the optical power of source , the amplitude error sivity, of carrier is is the dispersion parameter, is the disis the nominal wavelength spacing, persive fiber coil length, is the wavelength spacing error. and To study the filter performance under random errors, the average of the transfer function of an ensemble of similar photonic microwave filters will be derived from the statistical parameters of their random errors. The ensemble average of the squared transfer function (magnitude) of a filter can be obtained as

(2) Assuming that amplitude and spacing errors have zero-mean Gaussian distributions, (2) can be expressed as

(3) Evaluating (3) for the terms with

Manuscript received September 29, 2004; revised April 25, 2005. This work was supported in part by the European Commission under Project GANDALF IST-1-507781. The authors are with the Fiber Radio Group, Universidad Politécnica de Valencia, Valencia 46022, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852756 0018-9480/$20.00 © 2005 IEEE

and

,

(4)

(5)

VIDAL et al.: STATISTICAL ANALYSIS OF WDM PHOTONIC MICROWAVE FILTERS WITH RANDOM ERRORS

where it has been considered that the error sources are independent random processes and that every optical source has the same error statistics. Combining (4) and (5), the average of the squared transfer function of a filter yields

2601

Usually the system will be calibrated and, therefore, the mean of . the amplitude and spacing errors will be zero In this case,

(11) If the wavelength spacing errors have a Gaussian distribution of variance ,

(6) The last term in parenthesis in (6) is the filter transfer function without errors, except for the term . If this term is added and subtracted, the average squared transfer function of the filter is given by

(12) and, therefore, (13) The residual sidelobe level is then given by

(7) From (7), it can be seen that the average squared transfer function of a filter with errors is the superposition of the squared transfer function of the ideal filter (without errors) and an error term, which depends on frequency. If the transfer function is normalized in such a way that the transfer function without er, the error term can be read as the sidelobe rors is unity at level relative to the peak of the main lobe. The average squared is given by transfer function of the filter with errors at

(14) Assuming that the wavelength spacing error variance is a small value, the exponential term can be approximated by a Taylor expansion around zero for frequencies similar or smaller than the filter free spectral range (FSR) since the exponential term depends on the electrical frequency (15)

(8) is usually larger than and, where the term therefore, the first term in (8) can be neglected. Thus, the average can squared transfer function of the filter with errors at be approximated by (9) Dividing (7) by (9), the normalized average squared transfer function of the filter with errors can be expressed as

(10) where the first term is a residual sidelobe level due to random errors. This term can be simplified if the error statistics are known.

III. SIMULATION RESULTS Simulations from (1) can be used to calculate filter squared transfer functions with random errors. By averaging these functions, it is then possible to compare these results with the residual sidelobe level given by (15). Fig. 1 depicts the average squared transfer function (solid) of 100 filters with amplitude and wavelength spacing errors between carriers of a standard deviation of 0.05 and 0.05, respectively, for filters of 50 taps, using a nominal wavelength spacing of 0.8 nm and being the nominal amplitude of a Hanning window. The dispersive medium is a coil of standard single-mode fiber ps/(nm km). The (SSMF) of 10-km length and with sideload-level estimation from (15) is depicted in Fig. 1 using a dotted line. It can be seen that there is an excellent agreement between the residual sidelobe level obtained averaging squared transfer functions of filters with errors and the estimation given by (15). Fig. 1 also shows the frequency dependence of the residual sidelobe level. Moreover, the residual sidelobe level depends on the number of taps, i.e., the number of optical carriers, as can be seen from

2602

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 3. Experimental setup for random error evaluation using five optical sources of different wavelength and an SSMF coil of 10 km as a dispersive element.

Fig. 1. Simulated average squared transfer function of 100 filters of 50 taps using a Hanning window with amplitude and wavelength spacing errors of a standard deviation of 0.05 (solid line). The dotted line corresponds with the estimation given by (15).

Fig. 4. Measured average squared transfer function (dotted line), transfer function with a Hanning window without errors (dashed line), and residual sidelobe level due to amplitude (std = 0:03) and wavelength spacing (std = 0:01) random errors (solid).

Fig. 2. Simulated average squared transfer function of 100 filters of five taps using a Hanning window with amplitude and wavelength spacing errors of a standard deviation of 0.05 (solid line). The dotted line corresponds with the estimation provided by (10) for a five-tap filter and the dashed line corresponds with the residual sidelobe level for a 50-tap filter.

(15). Fig. 2 depicts the average transfer function of a filter equal to the one shown in Fig. 1, but using five taps. IV. EXPERIMENTAL RESULTS To show the validity of the previous expressions and to demonstrate the effect of amplitude and spacing random errors on the transfer function of WDM photonic microwave filters, measurements have been carried out in the laboratory using the experimental setup shown in Fig. 3. A five-tap filter has been implemented using four distributed feedback (DFB) lasers and one external cavity laser (ECL) with a nominal wavelength spacing between carriers of 0.8 nm and using a Hanning window [10] ( dB) as nominal amplitude distribution. This window has a low sidelobe level and, thus, the residual sidelobe level is properly

showed. The dispersive medium used has been a coil of 10 km of SSMF. To study the performance of the transfer function with errors, 15 transfer functions have been measured under random amplitude and spacing errors of a standard deviation of 0.03 and 0.01, respectively. These values were measured using the optical spectrum analyzer (OSA) of the setup of Fig. 3. Fig. 4 shows the average squared transfer functions of the 15 measured squared transfer functions (dotted line), the ideal squared transfer function (without errors) using a five-tap Hanning window (dashed line), and the residual sidelobe level (solid line) obtained from (15) due to random errors in amplitude and wavelength spacing of a standard deviation of 0.03 and 0.01, respectively. From this figure, it can be seen that (15) provides a good estimation of the residual sidelobe level from the standard deviation of amplitude and wavelength spacing between carriers errors. V. CONCLUSION The effect of amplitude and wavelength spacing random errors in photonic microwave filters based on multiple optical carriers and a dispersive medium has been theoretically derived. These errors translate in a residual sidelobe level of the filter response dependent of the statistics of the random errors. An expression for the residual sidelobe level has been derived. Finally,

VIDAL et al.: STATISTICAL ANALYSIS OF WDM PHOTONIC MICROWAVE FILTERS WITH RANDOM ERRORS

simulation and experimental results showing a good agreement with theory have been provided. REFERENCES [1] B. Moslehi, J. W. Goodman, M. Tur, and H. J. Shaw, “Fiber-optic lattice signal processing,” Proc. IEEE, no. 7, pp. 909–930, Jul. 1984. [2] N. You and R. A. Minasian, “A novel high- optical microwave processor using hybrid delay-line filters,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 1304–1308, Jul. 1999. [3] F. Coppinger, S. Yegnanarayanan, P. D. Thrinh, B. Jalali, and I. L. Newberg, “Nonrecursive tunable photonic filter using wavelength selective true time delay,” IEEE Photon. Technol. Lett., vol. 8, no. 9, pp. 1214–1216, Sep. 1996. [4] J. Capmany, B. Ortega, D. Pastor, and S. Sales, “Discrete time optical processing of microwave signals,” J. Lightw. Technol., vol. 23, no. 2, pp. 702–723, Feb. 2005. [5] D. Norton, S. Johns, C. Keefer, and R. Soref, “Tunable microwave filtering using high dispersion fiber time delays,” IEEE Photon. Technol. Lett., vol. 6, no. 7, pp. 831–832, Jul. 1994. [6] J. Capmany, D. Pastor, and B. Ortega, “New and flexible fiber-optic delay line filters using chirped fiber Bragg gratings and laser arrays,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 1321–1326, Jul. 1999. [7] B. Vidal, V. Polo, J. L. Corral, and J. Martí, “Photonic microwave filter with tuning and reconfiguration capabilities using optical switches and dispersive media,” Electron. Lett., vol. 39, no. 6, pp. 547–549, Mar. 20, 2003. , “Efficient architecture for WDM photonic microwave filters,” [8] IEEE Photon. Technol. Lett., vol. 15, no. 1, pp. 257–259, Jan. 2004. [9] G. H. Smith, D. Novak, and Z. Ahmed, “Technique for optical SSB generation to overcome dispersion penalties in fiber-radio systems,” Electron. Lett., vol. 33, no. 1, pp. 74–75, Jan. 2, 1997. [10] A. Oppenheim and R. Schaffer, Discrete Time Signal Processing. Englewood Cliffs, NJ: Prentice-Hall, 1989.

Q

Borja Vidal (S’99) was born in Alicante, Spain, on April 24, 1978. He received the Ingeniero de Telecomunicación degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 2001, and is currently working toward the Ph.D. degree at the Universidad Politécnica de Valencia. He is member of the Fiber-Radio Group, Centro de Tecnología Nanofotónica, Universidad Politécnica de Valencia. His research interests include phased-array antennas, optical beamforming networks, photonic microwave filters, and microwave and millimeter-wave optical fiber systems. He has authored or coauthored 15 journal publications and 20 conference presentations. He holds two international patents.

2603

Juan L. Corral (S’90–A’98–M’01) was born in Zaragoza, Spain, on April 20, 1969. He received the Ingeniero de Telecomunicación degree (with First-Class Honors) and Doctor Ingeniero de Telecomunicación degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 1993 and 1998, respectively. During 1993, he was Assistant Lecturer with the Departamento de Comunicaciones, Universidad Politécnica de Valencia. From 1993 to 1995, he was with the Microwave Technology and Equipment Section (XRM), European Space Research and Technology Centre (ESTEC), European Space Agency (ESA), Noordwidjk, The Netherlands, where he was engaged in research on monolithic-microwave integrated-circuit (MMIC)-based technologies and photonics technologies for beamforming networks for on-board phased-array antennas. In 1995, he joined the Communications Department, Universidad Politécnica de Valencia, where he became an Associate Professor in 2000. His research interests include phased-array antennas, optical beamforming networks, digital beamforming, and microwave and millimeter-wave optical-fiber systems. He has authored or coauthored over 40 papers in international journals and conference proceedings in his areas of research. Dr. Corral is a member of several IEEE societies. He was recipient of the 1998 Doctorate Prize of the Telecommunications Engineer Association in Spain for his doctoral dissertation on applications of MMIC and photonic technologies to phased-array antennas.

Javier Martí (S’89–M’92) received the Ingeniero de Telecomunicación degree from the Universidad Politécnica de Catalunya, Catalunya, Spain, in 1991, and the Doctor Ingeniero de Telecomunicación degree (Ph.D.) from the Universidad Politécnica de Valencia, Valencia, Spain, in 1994. During 1989 and 1990, he was an Assistant Lecturer with the Escuela Universitaria de Vilanova, Barcelona, Spain. From 1991 to 2000, he was a Lecturer and a Associate Professor with the Telecommunication Engineering Faculty, where he is currently Professor and leads the Radio-over-Fiber Group. He has authored or coauthored over 100 papers in refereed international technical journals and over 50 papers in international conference proceedings in the fields of microwave/millimeter-wave photonics, WDM optical networks, optical processing, and planar photonic crystals. Prof. Martí has served as member of the Technical Program Committee (TPC) of the European Conference on Optical Communication (ECOC) and several other international workshops and conferences.

2604

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Multifrequency Waveguide Orthomode Transducer Shashi Bhushan Sharma, Vijay Kumar Singh, and Soumyabrata Chakrabarty

Abstract—This paper presents the design and development of a multifrequency probe-coupled orthomode transducer (OMT) using a circular waveguide as the primary waveguide and a rectangular waveguide as the secondary waveguide. Design is presented for a common OMT operating at 6.6, 10.65, 18, and 21 GHz using four cascaded circular waveguide sections with different cross-sectional dimensions. An innovative design technique is used to minimize the inter-port coupling and to maximize the power in the dominant mode to get the required radiation performance at all the frequency bands using a common radiating aperture. The simulated and measured parameters of the OMT and the horn fed by this OMT have been presented.

In this paper, a novel configuration for the OMT is presented, which gives optimum performance in terms of mode purity, return loss, inter-port isolation, and radiation characteristics for multifrequency band operation. This technique has been employed to develop a single OMT to operate at four frequency bands at 6.6, 10.65, 18, and 21 GHz. Numerical data on simulated modal amplitudes and comparison of simulated and measured return loss, isolation between ports, the radiation pattern of corrugated horn [3] fed by the multifrequency OMT have been presented.

Index Terms—Cascaded waveguide, corrugated horn, multifrequency orthomode transducer (OMT), probe coupling.

II. DESIGN AND ANALYSIS

I. INTRODUCTION

M

ICROWAVE radiometers operate in the receive mode at widely separated frequency bands, which are sensitive to geophysical parameters. A single aperture antenna operating at all the frequency bands is preferred for radiometers since independent antennas for each frequency band will require larger satellite space and weight. For scanning microwave radiometers, an offset parabolic reflector antenna is generally used with a corrugated horn, which is designed to operate optimally at multiple frequency bands [1]–[3]. For desired radiation patterns of the multifrequency horn, an orthomode transducer (OMT) must provide dominant mode purity at each frequency band at the input of the horn. Higher order mode generation and coupling between different frequency ports are major problems for the design of a multifrequency OMT. Though OMTs have been in use for a long time, the literature elaborating the analysis and design is limited. Design of dual-band OMTs and the techniques for bandwidth enhancement are reported in the literature [4], [5]. To the best of the authors’ knowledge, the design of the OMT for multifrequency operation for more than two frequency bands is not available in the literature. For a common OMT operating at four frequencies, the different waveguide sections corresponding to different frequencies have to be cascaded. As a result of cascading of waveguide sections with different cross-sectional dimensions, the lower frequency waveguide sections become oversized for higher frequencies and supports higher order modes generated due to discontinuities in the form of junctions (step or taper) between successive sections [6], [7] and power-sensing probes or slots on the walls of the primary waveguide [8], [9].

Manuscript received October 21, 2004; revised January 18, 2005. The authors are with the Microwave Sensors Antenna Division, Antenna Systems Group, Space Applications Centre, Indian Space Research Organization, Ahmedabad 380 015, India (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852754

The design goals for this multifrequency OMT was to achieve 23 dB or better isolation between two orthogonal ports, 17 dB or better return loss, and minimum insertion loss at the frequency bands 6.6 GHz 125 MHz, 10.65 GHz 150 MHz, 18 GHz 200 MHz, 21 GHz 200 MHz. Additionally, higher frequencies should be decoupled with the lower frequency ports by 18 dB or better for the same polarization. In OMT, coaxial probes [8] or slots [9] are used for power coupling. Maximum power is coupled when a short termination is used from the location of the probe, and the at a distance of depth of probe is chosen so as to match the impedance seen by the probe to the characteristic impedance of the coaxial line [8]. This configuration is not suitable in the case when a common aperture corrugated horn is to be operated for a number of frequency bands. For multifrequency OMT, different waveguide cross sections, which correspond to the dominant mode propagation at that frequency band, have to be cascaded such that the cross-sectional dimensions at higher frequency bands are at cutoff for the lower frequency bands. The waveguide sections for lower frequency bands become oversized at higher frequencies and support higher order modes, which are excited because of structural discontinuities. Apart from this, the higher frequency dominant mode signal gets coupled to the lower frequency power-sensing ports, thereby increasing the insertion loss of the device. In the current case, the higher order modes are generated because of: 1) transition in the form of step or tapered discontinuity between two waveguides of different cross sections and 2) a probe that senses power at a lower frequency band acts as a radial discontinuity for higher frequency signal. Since the design goal is to ensure dominant mode purity at each frequency band, the higher order modes have to be suppressed and all the frequency ports have to be decoupled. The dominant mode purity at each frequency band of the OMT will ensure the desired radiation patterns of the corrugated horn antenna. Hence, it is worthwhile to estimate the modal amplitude of different higher order modes generated because of the discontinuities, as discussed above. Finite-element method (FEM)-based electromagnetic (EM) software [Ansoft’s

0018-9480/$20.00 © 2005 IEEE

SHARMA et al.: MULTIFREQUENCY WAVEGUIDE OMT

2605

Fig. 1 Multifrequency waveguide sections joined with step junctions. Fig. 2 Multifrequency waveguide sections joined with tapered transition.

High Frequency Structure Simulator (HFSS)] has been used to estimate power of the different higher order modes and the EM modeling of the transitions and to arrive at an optimum design. The design steps for the development of a multifrequency mode transducer are explained below. A. Modal Analysis of Step Junctions Fig. 1 shows four straight circular waveguide sections and joined together to form stepped waveguide tranmode is incident in section sitions. When a pure and corresponding to 21, 18, 10.65, and 6.6 GHz, respectively, it is of interest to evaluate the modal power in the output waveguide section , which is oversized for 21, 18, and 10.65 GHz and supports the higher order modes generated due , and , to step junctions between waveguide pairs . and For the three-dimensional (3-D) model of the step junctions and used in HFSS, the diameters of sections are chosen as 9.4, 11, 19, and 32.54 mm for the propagation of mode at 21, 18, 10.65, and 6.6 GHz, respecthe dominant tively. The lengths of the individual sections have been selected as 34, 53, 54, and 78 mm, respectively. The higher order propathat feeds a gating modes supported at the waveguide section corrugated horn are and at 18 GHz. Along with these modes, additional propagating higher order modes at 21 GHz and . At 10.65 GHz, and are are the higher order propagating modes in section . The step junction discontinuities generally couple power in the and modes. From the higher order modal analysis results, it is found that the dominant mode puand almost half of the power rity is not achievable in section and ) at 18 and gets coupled to higher order modes ( 21 GHz. Additionally, step discontinuity also causes reflection of the input power. A corrugated horn fed by the mode transducer of Fig. 1 yields poor radiation performance. The cross-pol level at 18 and 21 GHz degrades to 13.5 dB, as compared to the case of pure mode, giving a cross-pol level of the order of 27.5 dB. In order to minimize reflected power and the power coupled to higher order modes at higher frequencies, the step junctions have to be replaced by gradual tapered junctions. Section II-B deals with design and modal analysis of different waveguide sections joined by tapered sections. B. Waveguide Sections Cascaded With Tapered Sections Fig. 2 shows four circular waveguide sections and joined together by a tapered section between two successive

waveguide sections. The dimensions of the straight waveguide sections are same as mentioned above for Fig. 1. The taper angle and length of the tapered sections between and waveguide sections have to be optimized in order to minimize the power in the higher order modes and maximize the power in the desired mode. A 3-D model of the structure has been dominant inputted to Ansoft’s HFSS with the initial taper angle and length of the taper between two successive waveguide sections, and optimization was carried out to minimize power in higher order modes. The optimum flare angles for the geometry are between 3 –6 . The modal power was computed at the output waveguide sechaving the largest cross-sectional dimension considtion ering a unity power incident at the input waveguide sections at each frequency. It is found that, for optimum flare angles, the mode is of the order of 0.044 dB power in the desired at 18 and 21 GHz and better at 10.65 and 6.6 GHz for the optimized transitions. The power coupling in the higher order modes is negligible and the reflected power is less than 21.6 dB at all the frequencies. The radiation pattern of a corrugated horn fed with the geometry of Fig. 2 exhibits symmetrical patterns and cross pol better than 27 dB at all the four frequency bands. Thus, the desired radiation performance of a corrugated horn can be achieved at each frequency band if the horn is fed by waveguide sections joined with tapered sections, ensuring mode purity. C. Effect of Coaxial Probes For exciting the mode in the circular waveguide section, a coaxial probe [8] is used. Since a common aperture OMT is to be used for all the frequency bands to excite the horn antenna, the waveguide sections for individual frequency bands cannot be short terminated for maximum power coupling. As seen in Fig. 2, the waveguide section for the 6.6-GHz frequency band at 10.65 GHz through is terminated by waveguide section a tapered transition, which is at cutoff for 6.6 GHz. Similarly, is at cutoff for a 10.65- and 21-GHz an 18-GHz section section is at cutoff for 18 GHz. In this configuration, the location of the probe from the cutoff region, which is in the form of a tapered transition, can be optimized for a particular depth of the probe for maximum power coupling to or from the primary circular waveguide. Modal analysis using Ansoft’s HFSS was carried out at 21 GHz in the presence of coaxial probes in 6.6-, 10.65-, and 18-GHz waveguide sections to compute the power coupled . Probe or to the higher order modes in the output section

2606

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

TABLE I POWER (IN DECIBELS) IN DOMINANT AND HIGHER ORDER MODES FOR TAPERED SECTIONS IN SECTION

D

Fig. 3 Schematic of OMT at a single frequency band.

post discontinuities generally couple power in the higher order and modes. The analysis results are shown in Table I for all frequencies. The Table I shows that the power is coupled to higher order modes and also to the lower frequency ports, which effectively mode. The return loss reduces the power in the desired also deteriorates to the order of 10 dB at 18 and 21 GHz due to the presence of coaxial probes at 6.6 and 10.65 GHz. In the presence of probes, the cross-polar performance of a corrugated horn at 18 and 21 GHz deteriorates to around 11 dB, as compared to 27 dB for the configuration of Fig. 2 where no probes are considered at lower frequency bands. It has been found through simulation and also through antenna pattern measurement that the cross-polar performance at 18 and 21 GHz gets improved if the depth of the probe at 6.6 and 10.65 GHz is reduced from its optimum value for maximum power coupling and impedance matching. Radiation pattern performance is further improved if the higher frequency and lower frequency ports of same polarization are decoupled. However, with the reduction of the depth of the probes, the impedance matching gets deteriorated at 6.6- and 10.65-GHz ports, though there is an improvement of cross-polar performance at 18 and 21 GHz. Thus, the design challenge for this type of multifrequency mode transducer is to ensure mode purity in the output at all the frequency bands and at the same time section to achieve optimum power coupling, impedance matching, and port-to-port isolation. The overall design of the multifrequency OMT is presented in Section II-D. D. Design of Multifrequency OMT The current design of the mode transducer is based on coupling from primary cascaded circular waveguide sections to output rectangular waveguides WR-137 for 6.6 GHz, WR-75 for 10.65 GHz, and WR-42 for 18 and 21 GHz. The schematic of the mode transducer (a circular-to-rectangular waveguide end launcher) at 6.6 GHz is shown in Fig. 3. The orthogonal ports at the same frequency band have been and the angular spacing separated by an axial distance of of 90 to achieve the desired isolation between the two ports. As described in Section II-C, the reduction of the depth of the probes in the lower frequency waveguide sections from their resonant depths (quarter-wavelength) improves the cross-polar performance at higher frequency bands due to reduced power in the higher order modes.

The probe depths were reduced from resonant depths from 11.6 to 7.5 mm in the 6.6-GHz section, from 7 to 4.2 mm in the 10.65-GHz section, and from 3.6 to 2.5 mm in the 18-GHz section. The undesirable effect of the reduction in the depths of the probes is that the real part of the impedance seen by the coaxial probe is reduced with a reactive impedance, which results in the deterioration of return loss at that frequency. For example, the simulated return loss with reduced depth probe is only 4.5 dB, as compared to a full-depth probe, where it is better than 17 dB at 6.6 GHz. The real part of the impedance seen by the coaxial probe of reduced depth is transformed to the rectangular waveguide impedance by multisection ridge waveguide sections [10], [11] by properly optimizing the heights and widths of the ridge sections. The reactance due to the reduced depth probe was cancelled by using a stub pin in the coaxial section, shorting the inner and outer conductor of the coaxial section (like a single stub), as shown in the Fig. 3. The shorting pins at 18 and 21 GHz were not required in the coaxial sections of the mode transducer. The location of the steps of the ridges in the rectangular waveguide with respect to the coaxial section have been found to significantly affect inter-port isolation. For example, a displacement of 0.25 mm of the step from its optimum position of 0.5 mm from the onset of the coaxial section reduced the isolation of the 18-GHz signal with a 6.6-GHz port from 41 to 10.8 dB. Step locations were optimized for best isolation between lower and higher frequencies. Modal power distribution and coupling of power to other ports have been computed in the presence of optimized mode transducers consisting of optimized step transformers and lower depth probes giving a best return loss at 6.6 and 10.65 GHz. The simulated results for the optimized mode transducers are presented in Table II, which shows that the maximum power is mode at all the frequencies. The confined in the dominant return loss at 18 and 21 GHz with optimized mode transducers also improved to the order of 15 dB, as compared to 10 dB for the case of full depth coaxial probes present at lower frequencies, as described in Section II-C. The return loss at 6.6 and 10.65 GHz was optimized for better than 17 dB. Table II shows improved port-to-port isolation and reduced coupling to higher order modes than shown in Table I. At 18 GHz, the simulated radiation patterns of a horn fed by the OMT of optimized step transformers and reduced depth probes in the lower frequency sections are given in Fig. 4. Simulated results show 9 dB better cross-polar performance of the

SHARMA et al.: MULTIFREQUENCY WAVEGUIDE OMT

2607

TABLE II POWER (IN DECIBELS) IN DOMINANT AND HIGHER ORDER MODES IN THE PRESENCE OF MODE TRANSDUCERS IN SECTION

D

Fig. 4 Patterns of corrugated horn at 18 GHz with optimized mode transducers at 6.6 and 10.65 GHz.

Fig. 5 Return loss for 6.6-GHz circular-to-rectangular waveguide mode transducer for both orthogonal ports.

horn fed with the OMT of reduced depth probes than the full depth probes in the lower frequency sections. This improvement is due to the higher isolation with lower frequency ports and less coupling to higher order modes. The simulated and measured return loss and isolation between orthogonal ports is presented in Fig. 5 at 6.6 GHz. The measured isolation between orthogonal ports at 6.6 GHz is better than 36 dB at the specified bandwidth of 250 MHz. At 10.65 GHz. 15-dB return-loss bandwidth of 300 MHz is achieved by using circular-to-ridged rectangular waveguide mode transducer. Measured decoupling of 18 dB was achieved for the 10.65-GHz signal with the 6.6-GHz coaxial probe. An isolation of better than 29 dB was achieved between orthogonal ports over the band. The measured results for an 18-GHz OMT are shown in Fig. 6. The measured isolation between orthogonal ports at

Fig. 6

Return loss and isolation for 18-GHz OMT.

Fig. 7

Photograph and drawing of the eight-port OMT with orthogonal ports.

18 GHz is of the order of 25 dB over the band. The measured isolation of the 18-GHz signal is better than 30 and 20 dB with 6.6- and 10.65-GHz ports, respectively, for same polarization. At 21 GHz, with the probe depth of 3.1 mm, 15-dB return-loss bandwidth obtained was 360 MHz. The measured isolation between orthogonal ports is of the order of 25 dB over the band. The isolation of the 21-GHz signal with 6.6 and 10.65 GHz was better than 20 dB over the band. The measured isolation of the 21-GHz signal with the 18-GHz port was only from 7 to 10 dB over the band, which could not be improved due to the comparable size of the OMT at 21 GHz to that of 18 GHz. The poor isolation adds to increased insertion loss at 21 GHz. The measured insertion loss of the OMT is 0.5, 0.7, 1.1, and 1.6 dB at 6.6, 10.65, 18, and 21 GHz, respectively. The photograph and drawing of the developed eight-port OMT with orthogonal ports at all the frequency bands is shown in Fig. 7. A common aperture conical corrugated horn [3] yielding good pattern symmetry and cross-polar performance when mode was tested with the excited with a pure dominant current OMT at all four frequency bands. The horn [3] was designed to feed an offset parabolic reflector of focal length to a diameter ratio of 1.8, requiring an edge illumination angle of 13.65 . The measured co-polar and cross-polar radiation patterns of the horn fed with this OMT are presented in Figs. 8–11 for one polarization. Similar patterns are achieved for orthogonal polarization. The measured patterns at 21 GHz showed slight asymmetry due to a larger ratio of higher order modes to dominant mode power, as compared to 18 GHz. A

2608

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

III. CONCLUSION

Fig. 8 Radiation patterns of the horn at 6.6 GHz.

Fig. 9

Radiation patterns of the horn at 10.65 GHz.

A novel design of four frequency-band OMT to feed a common corrugated horn has been presented. In the multifrequency environment, the methods of controlling power in the higher order modes and improving isolation of higher frequencies with lower frequency ports has been described. Modal analysis has been performed to estimate the effects of symmetrical step, taper, and asymmetrical probe discontinuities in the main waveguide, particularly at higher frequencies. An optimum configuration of a multifrequency OMT yielding a desired isolation of orthogonal ports, isolation of higher frequencies with lower frequency ports of the same polarizamode has tion, and maximum power in the dominant been obtained. Optimum radiation performance of the horn excited with the presented OMT has been achieved for all four frequency bands for both polarizations. It was not possible to fabricate the OMT device as a single piece. This was fabricated in a number of pieces and assembled to make the eight-port device. The slight deviation of the measured data from simulated data may be attributed to fabrication tolerances and minor assembly and alignment errors. The modal-analysis-based design approach presented in this paper may be applied to the design of multifrequency OMTs at other frequency bands. ACKNOWLEDGMENT The authors thank Dr. K. N. Shankara, Space Applications Centre (SAC), Ahmedabad, India, for his support. The authors also acknowledge and are thankful for the help provided by the Engineers of Microwave Sensors Antenna Division, Antenna Systems Group, SAC. REFERENCES

Fig. 10 Radiation patterns of the horn at 18 GHz.

Fig. 11 Radiation patterns of the horn at 21 GHz.

larger ratio of higher order mode to dominant mode power is due to the poor isolation of 21 GHz with the 18-GHz port.

[1] E. G. Njoku, J. M. Stacey, and F. T. Barath, “The seasat scanning multi-channel microwave radiometer (SMMR): Instrument description and performance,” IEEE J. Ocean. Eng., vol. OE-5, no. 2, pp. 100–115, Apr. 1980. [2] S. B. Sharma, “Antenna system for the multi-frequency scanning microwave radiometer: MSMR,” IEEE Antennas Propag. Mag., vol. 42, no. 3, pp. 21–29, Jun. 2000. [3] S. B. Sharma and V. K. Singh, “Design of common aperture hybrid mode corrugated horn for multifrequency scanning microwave radiometer,” IETE Tech. Rev., vol. 16, no. 1, pp. 47–52, Jan.–Feb. 1999. [4] J. Uher, J. Boernemann, and U. Rosenberg, Waveguide components for antenna feed systems: Theory and CAD. Norwood, MA: Artech House, 1993. [5] S. J. Skinner and G. L. James, “Wide band orthomode transducers,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 2, pp. 294–300, Feb. 1991. [6] W. J. English, “The circular waveguide step discontinuity mode transducer,” IEEE Trans. Microw. Theory Tech., vol. MTT-21, no. 10, pp. 633–636, Oct. 1973. [7] K. Tomiyasu, “Conversion of TE by a large conical junction,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 5, pp. 277–279, May 1969. [8] W. W. S. Lee and E. K. N. Yung, “The input impedance of a co-axial line fed probe in a cylindrical waveguide,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 8, pp. 1468–1473, Aug. 1994. [9] S. B. Sharma, S. B. Chakrabarty, and V. K. Singh, “Moment method analysis of a slot coupled circular waveguide orthomode transducer,” Microwave Opt. Technol. Lett., vol. 34, no. 4, pp. 285–289, Aug. 30, 2002. [10] T.-S. Chen, “Calculation of parameters of ridge waveguides,” IRE Trans. Microw. Theory Tech., vol. MTT-5, no. 1, pp. 12–17, Jan. 1957. [11] S. Hopfer, “Design of ridged waveguides,” IRE Trans. Microw. Theory Tech., vol. MTT-3, no. 10, pp. 20–29, Oct. 1955.

SHARMA et al.: MULTIFREQUENCY WAVEGUIDE OMT

Shashi Bhushan Sharma was born in Moradabad, India, in 1947. He received the B.E. degree electronics and communication and M.E. degree in microwave engineering from the University of Roorkee, Roorkee, India, in 1970 and 1972, respectively, and the Ph.D. degree in microwave engineering from Gujarat University, Ahmedabad, India, in 1987. He possesses over 32 years of academic and diversified research and development experience in the design and development of antenna systems for satellite communication and remote sensing. He is currently the Group Director of the Antenna Systems Group (ASG), Space Applications Centre (SAC), Indian Space Research Orgainzation (ISRO), Ahmedabad, India. He has authored or coauthored over 100 publications. Dr. Sharma was the recipient of the 1992 Dr. Vikram Sarabhai Research Award in the field of electronics, telematics, and automation for his outstanding contributions to the development of various types of antenna systems for ground, airborne, and spaceborne systems.

Vijay Kumar Singh was born in Bahraich District, Uttar Pradesh, India, on August 21, 1967. He received the B.Tech. degree in electronics and telecommunication engineering from the Jhuggilal Kamlapati Institute of Applied Physics and Technology, Allahabad University, Allahabad, India, in 1990, the M.Tech. degree in electronics engineering (microwaves) from the Institute of Technology, Banaras Hindu University, Varanasi, India, in 1992, and is currently working toward the Ph.D. degree at Gujarat University, Ahmedabad, India. Since, 1993, he has been with the Antenna Systems Group (ASG), Space Applications Centre (SAC), Indian Space Research Orgainzation (ISRO), Ahmedabad, India. Working as Project Manager for Radar Imaging Satellite (RISAT), as well as Oceansat-II missions, he is currently involved in the design and development of spaceborne active phased-array antennas and scanning scatterometer antennas, respectively. His area of interest is multimode couplers, transducers, wide-band multifrequency feeds, reflectors and dual-polarized microstrip antennas for satellite remote sensing application.

2609

Soumyabrata Chakrabarty was born on January 3, 1966, in the Karimganj District, Assam, India. He received the B.E. degree in electronics and telecommunication engineering (with honors) from Gauhati University, Guwahati Assam, India, in 1988, the M.E. degree in electronics and telecommunication engineering from Jadavpur University, Calcutta, India, in 1992, and the Ph.D. degree in engineering from the Indian Institute of Technology, Kharagpur, India, in 1996. He is currently with the Antenna Systems Group (ASG), Space Applications Centre (SAC), Indian Space Research Orgainzation (ISRO), Ahmedabad, India, as Senior Scientist/Engineer and Deputy Divisional Head of the Microwave Sensors Antenna Division, where he has been involved in the development of antennas related to microwave remote sensing. His area of interest is computational electromagnetics, microwaves, and millimeter-wave antennas.

2610

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Synthesis of Gyrotron Phase-Correcting Mirrors Using Irradiance Moments Michael A. Shapiro, Member, IEEE, James P. Anderson, and Richard J. Temkin, Fellow, IEEE

Abstract—A new approach using the moments of field amplitudes has been applied for phase-correcting mirror synthesis of a 110-GHz gyrotron internal mode converter. The synthesized mirrors have smooth profiles in contrast to the mirrors synthesized using the previously employed iteration method. The design has been checked using a physical optics propagation code with the result of a Gaussian output amplitude at the gyrotron window position. Index Terms—Gaussian beam, irradiance moments, mirror synthesis, mode converter, quasi-optical mirrors.

I. INTRODUCTION

I

N HIGH-POWER gyrotrons [1], [2], a higher order mode of a cylindrical cavity is excited by an electron beam gyrating in an axial magnetic field. A quasi-optical internal mode converter [3] is used in high-power millimeter-wave gyrotrons to convert the operating mode to a Gaussian beam. The mode converter design is an intense area of research, especially for application in gyrotrons operating at higher order waveguide modes [4]–[11]. The mode converter includes a launcher, formed as a helical or step-wise cut of a circular waveguide, and a system of quasi-optical mirrors. In order to reduce diffraction losses, the launcher has been modified by Denisov et al. [4]. This dimpled-wall launcher, smoothly corrugated in both axial and azimuthal directions, modulates the RF wall current such that this current has low amplitude at the launcher edges. The launcher design can be carried out using the theory of smoothly irregular waveguides [5]. In [6], the circular waveguide mode converter design of a 110-GHz gyrotron at the Massachusetts Institute of Technology (MIT), Cambridge, is presented. A system of four quasi-optical mirrors is employed in this mode converter. A physical optics code was employed to simulate propagation of a quasi-optical beam through the mirror system to the gyrotron window. An improved approach including mirror synthesis technique was employed to design the mirrors of the mode converter [7], [8]. This approach has been realized in the 110-GHz gyrotron in which the first two toroidal (with two curvature radii) mirrors were used and mirrors 3 and 4 (Fig. 1) were synthesized [9]. The radiation from mirror 2 was measured in a cold test and the phase distribution was retrieved from the amplitude data using the iteration method explained in [9], [12], and [13]. Using the

Manuscript received October 1, 2004; revised April 23, 2005. M. A. Shapiro and R. J. Temkin are with the Plasma Science and Fusion Center, Massachusetts Institute of Technology, Cambridge, MA 02139 USA (e-mail: [email protected]; [email protected]). J. P. Anderson is with the Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, MA 02420 USA. Digital Object Identifier 10.1109/TMTT.2005.852752

Fig. 1.

Gyrotron internal mode converter.

measured amplitude and retrieved phase, mirrors 3 and 4 were synthesized [9]. It has been found that the iteration method of phase retrieval from the amplitudes at three planes does not converge if the amplitudes are not aligned [14]. This failure to converge was overcome using the first-order moments of the amplitude distribution to provide the coordinates of the beam energy center. In [15], the amplitude data were aligned using the first-order moments and then the phase was retrieved using the iteration method. Higher order irradiance moments contain complete information about the amplitudes and, therefore, they can be utilized for phase retrieval [16]. The irradiance moments of the amplitude data at several planes have been used to retrieve the phase of a gyrotron output beam [17]. The results of such phase retrieval are in good agreement with the iteration method results. In this paper, we will demonstrate a new application of the irradiance moment method, namely, that the irradiance moments can be used for direct mirror synthesis as well. II. MIRROR SYNTHESIS A Gaussian amplitude and flat phase distribution are desirable at the output window of a gyrotron. Typically, the ratio of Gaussian beam waist radius at the window to the window radius is 0.6 to provide good transmission through the window. The Gaussian amplitude and radius of the spherical phase front of the beam coming out of mirror 4, therefore, can be determined from the window beam waist using simple formulas of Gaussian optics. The amplitude and phase distributions of the beam impinging onto mirror 3 are also known. They are calculated using the coupled mode equations in the launcher

0018-9480/$20.00 © 2005 IEEE

SHAPIRO et al.: SYNTHESIS OF GYROTRON PHASE-CORRECTING MIRRORS USING IRRADIANCE MOMENTS

2611

Fig. 2. Iteration method for synthesis of phase correctors. Fig. 3. Method of irradiance moments for synthesis of phase correctors.

and the physical optics code propagating the launcher output beam through toroidal mirrors 1 and 2. Mirrors 3 and 4 are tilted phase-correcting mirrors. Their profiles are synthesized to convert the incident beam to a Gaussian beam. Within the approximation of quasi-optics, these mirrors are equivalent to thin phase-correcting lenses (or phase correctors). Furthermore, an equivalent schematic including the two phase correctors set on an axis can be presented (Fig. 2). The amplitude distributions and plane II are known. Planes I at plane I and II in Fig. 2 correspond to those depicted in Fig. 1. The phase distribution to the left-hand side from plane I and the phase distribution to the right-hand side from plane II are known. The phase distributions to the right-hand side from plane I and to the left-hand side from are to be determined. As shown in [7], these plane II phase distributions can be found using an iterative procedure that includes numerical beam propagation back and forth and between planes I and II. The known amplitudes are used in each iteration. The solution for the phases and is found and the iterations converge when the calculated amplitudes at planes I and II match the and , respectively. It is assumed amplitudes in the schematic (Fig. 2) that the beam phase fronts are flat before the beam is transmitted through the phase correctors. Once the solution of the synthesis problem, i.e., the functions and are found, the phase corrector profiles in planes I and II can be altered to take into account nonflat input and output phase distributions

III. METHOD OF IRRADIANCE MOMENTS The schematic of synthesis of phase correctors using the irradiance moments is presented in Fig. 3. The moments of the amplitude are employed in plane I instead of the actual amplitude. The moments are determined by the equation

(4)

is the amplitude at the fixed axial coordinate where , in this case, at plane I. The integral in the denominator of (4) is conserved, i.e., does not depend on , because it represents the total power in the microwave beam. The complex field amincludes the phase distribution as plitude at plane II follows: (5) If the amplitude and phase at of the moments as a function of

are determined, the variation is expressed as [18], [19]

(6) where

(1)

(7)

(2)

Equation (6) is approximate and derived within the quasi-optical Fresnel approximation when the transverse dimensions of the electromagnetic beam are large compared to the wavelength. At plane II, we require that the field amplitude is Gaussian as follows:

and

The profiles of the mirrors can then be found. In the mode converter configuration (Fig. 1), planes I and II are set in the centers of mirrors 3 and 4 perpendicular to the ray connecting them. The can be found using the following equamirror profile tion [8]: (3) where is the wavenumber, is the incidence angle, is the coordinate along the mirror in the plane of propagation, is the is the phase corrector profile orthogonal coordinate, and [see (1) and (2)].

(8) is the Gaussian beam radius. The moments at where plane I are connected analytically using (6) with the amplitude and phase at plane II if the amplitude is Gaussian [see (8)] and the phase distribution is polynomial as follows: (9)

2612

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

where is the dimension of the square plane and are the polynomial coefficients. In the irradiance moment method, the phase corrector at plane . If II (Fig. 3) is determined by the polynomial coefficients the phase distribution (9) is a quadratic polynomial, the amplitude remains Gaussian along the propagation though the amplitude distribution is not necessary axially symmetrical, but generally of an elliptical cross section. If the phase is not quadratic, i.e., contains aberrations, the amplitude distribution does not remain Gaussian. Initial phase aberrations result in amplitude distortion. To synthesize the phase corrector at plane II, we first specify at plane II and calculate the irthe Gaussian beam radius at plane I from the known amplitude radiance moments using (4). Using (4), (6), and (7), we derive equations . These equations are nonlinear and the solution can be for found using the Newton method. We take the first-guess poly, linearize the moments (6) near nomial coefficients , and then determine from the system of linear equations (10)

Fig. 4. Amplitude distribution at plane I employed in phase corrector synthesis using the irradiance moment method.

M

TABLE I IRRADIANCE MOMENTS 

AT

PLANE I

where the matrix elements are the derivatives at and can be found analytically as follows:

(11) In the next iteration, the coefficients are utilized as and the linear equations (10) are solved. The procedure conwhen the error verges to a solution (12) is close to 0. If the polynomial coefficients for the phase corrector at plane II are found, the field with the Gaussian amplitude and polynomial phase can be propagated to plane I and, therefore, the phase at plane I can be determined. distribution

and (6), however, the measurement planes are 12.7 12.7 cm cm). These dimensions are large enough for the (i.e., approximation to be utilized. Data at cm, including a small sidelobe at the 24-dB level, were excluded in order to simplify this analysis. The non-Gaussian amplitude (Fig. 4) at plane I should be converted to a Gaussian amplitude with the racm at plane II. The distance between the planes dius of the is 23.4 cm. In this example, the irradiance moments plane I amplitude (up to the fourth order) are as listed in Table I. Integrals (6) and (11) were taken analytically for a Gaussian amplitude (8) and polynomial phase (9). The software Maple V.8 was utilized for analytical manipulations and numerical solution of the system of linear equations (10). The solution for the phase at plane II is found as follows:

IV. NUMERICAL EXAMPLE We apply the explained procedure to the phase corrector synthesis for the 110-GHz gyrotron internal mode converter. Fig. 4 at plane I. This presents a contour plot of the amplitude , amplitude, as well as the incident phase distribution were calculated using a physical optics code [6]. The data are in decibels and descending from 3 dB down to 24 dB in 3-dB increments. Integration over the infinite planes is assumed in (4)

(13) The polynomial coefficients are listed in Table II. The error (12) of 10 indicated very good convergence. Fig. 5 (left) is the contour plot of the phase corrector profile determined from (13). It is a smooth

SHAPIRO et al.: SYNTHESIS OF GYROTRON PHASE-CORRECTING MIRRORS USING IRRADIANCE MOMENTS

 POLYNOMIAL COEFFICIENTS 8

2613

TABLE II FOR THE PHASE DISTRIBUTION AT PLANE II

Fig. 7. (left) Phase distribution at plane I determined using the irradiance moment method. (right) Phase distribution at plane I determined using the iteration method.

Fig. 5. (left) Plane II phase distribution synthesized using fourth-order aberrations. The phase contours are indicated in radians. (right) Plane II phase distribution synthesized using the iteration method.

Fig. 8. Window pattern for the mode converter with mirrors 3 and 4 synthesized using the irradiance moment method. The ideal Gaussian amplitude is plotted with dashed lines.

to be smoother and less noisy than that found using the iteration method. To find the phase corrector profile at plane II [see (2)], we use the phase distribution of the Gaussian beam propagated from the window to the mirror 4 position (14) where Fig. 6. Amplitude distribution at plane I as a result of propagation of the beam with the Gaussian amplitude (8) and the phase (9) at plane II determined using the irradiance moment method.

(15)

profile compared to that simulated using the iteration method and plotted in Fig. 5 on the right-hand side. The beam with the Gaussian amplitude and the phase at plane II was propagated to plane I using a fast Fourier transformation (FFT) code [9]. As a result, the amplitude at plane I was found close to the original amplitude (Fig. 4) used for calculation of the moments. This amplitude is is found plotted in Fig. 6. Also, the phase distribution and plotted in Fig. 7 (left) in comparison to the phase calculated using the iteration method Fig. 7 (right). Similar to the phase distribution at plane II (Fig. 5), the phase at plane I was found

is the is the Gaussian beam phase front curvature radius, is the distance between the window and mirror 4, and Gaussian beam waist radius at the window. The phase corrector profile at plane I [see (1)] was determined as well. To check the accuracy of synthesis of mirrors 3 and 4, we propagate the beam from mirror 2 through mirrors 3 and 4 to the window. Fig. 8 is a contour plot of the amplitude (in decibels) at the window. The ideal Gaussian amplitude contours are shown as dashed lines. This window pattern is similar to that calculated using both mirrors 3 and 4 synthesized using the iteration method [9]. The phase distribution at the window plane

2614

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

was calculated and found close to a flat phase distribution. A coupling coefficient of 99.2% to an ideal Gaussian beam with a waist radius of 1.5 cm and flat phase front was calculated. V. DISCUSSION AND CONCLUSIONS The method of applying moments of field amplitudes is useful to the alignment of measured data and improves the procedure of phase retrieval from measured amplitudes. The higher order moments can substitute for the amplitude data and may be used for phase retrieval. In this paper, we demonstrate that the moments can be used for direct synthesis of phase-correcting mirrors. As a numerical example, the irradiance moment method is applied to the mirror synthesis for a 110-GHz gyrotron internal mode converter. Two synthesized mirrors (mirrors 3 and 4) are employed in this gyrotron. According to the design, the output gyrotron beam from mirror 4 has a Gaussian amplitude distribution and a spherical phase distribution. Using this requirement, the mirror synthesis problem can be solved analytically using the irradiance moment method for the mirror 4 profile. The mirror 3 profile can then be found numerically. Mirror 4 of a simple profile (expressed as a fourth-order polynomial) has been synthesized and the design checked using an independent propagation code. The mirror 3 profile was found numerically and appeared to be smooth compared to the mirror synthesized using the iteration method. A Gaussian field distribution was calculated at the gyrotron window. The phase-correcting mirrors synthesized using the irradiance moments are easy to fabricate because the surface is smooth. This is advantageous in comparison to the mirrors synthesized using the iteration method, which have to be smoothed out using the phase unwrapping and filtering procedures. The order of the irradiance moments employed for mirror synthesis is determined by the complexity of the incident beam. The fourth-order irradiance moments and phase polynomials are found to be adequate for synthesis of mirrors 3 and 4. The method of irradiance moments fails when the wave beam forms sidelobes. Typically, in continuous wave (CW) gyrotron experiments, the efficiency of coupling to a desirable Gaussian beam is higher than 98%, but the overall mode converter efficiency is approximately 95% because of diffraction losses in the launcher and phase-correcting mirrors. Diffraction losses can be reduced using an advanced launcher [11] generating a nearly Gaussian beam. In this case, the method of irradiance moments can be extremely useful for mirror design because fabrication errors can be reduced. The irradiance moment method can also be useful for gyrotron external mirror systems, which match the gyrotron output beams to transmission lines. In these mirror systems, which are employed for quasi-Gaussian beam transmission and conversion, third-order moments and cubic mirror profiles may be utilized. REFERENCES [1] G. S. Nusinovich, Introduction to the Physics of Gyrotrons. Baltimore, MD: The Johns Hopkins Univ. Press, 2004.

[2] M. V. Kartikeyan, E. Borie, and M. K. A. Thumm, Gyrotrons: High Power Microwave and Millimeter Wave Technology (Advanced Texts in Physics). Berlin, Germany: Springer-Verlag, 2004. [3] S. N. Vlasov, L. I. Zagryadskaya, and M. I. Petelin, “Transformation of a whispering gallery mode, propagating in a circular waveguide, into a beam of waves,” Radio Eng. Electron. Phys., vol. 12, no. 10, pp. 14–17, 1975. [4] G. G. Denisov, A. N. Kuftin, V. I. Malygin, N. P. Venediktov, D. V. Vinogradov, and V. E. Zapevalov, “110 GHz gyrotron with built-in high efficiency converter,” Int. J. Electron., vol. 72, no. 5 and 6, pp. 1079–1091, 1992. [5] B. Z. Katsenelenbaum, L. M. Del Rio, M. Pereyaslavets, M. Sorolla Ayza, and M. Thumm, Theory of Nonuniform Waveguides: The Cross Section Method, ser. Electromagnetic Waves. London, U.K.: IEE, 1998. [6] M. Blank, K. Kreischer, and R. J. Temkin, “Theoretical and experimental investigation of a quasi-optical mode converter for a 110-GHz gyrotron,” IEEE Trans. Plasma Sci., vol. 24, no. 3, pp. 1058–1066, Mar. 1996. [7] B. Z. Katsenelenbaum and V. V. Semenov, “Synthesis of phase correctors shaping a specified field,” Radio Eng. Electron. Phys., vol. 12, pp. 223–230, 1967. [8] A. A. Bogdashov, A. V. Chirkov, G. G. Denisov, D. V. Vinogradov, A. N. Kuftin, V. I. Malygin, and V. E. Zapevalov, “Mirror synthesis for gyrotron quasi-optical mode converters,” Int. J. Infrared Millim. Waves, vol. 16, no. 4, pp. 735–744, 1995. [9] D. R. Denison, T. S. Chu, M. A. Shapiro, and R. J. Temkin, “Gyrotron internal mode converter reflector shaping from measured field intensity,” IEEE Trans. Plasma Sci., vol. 27, no. 2, pp. 512–519, Feb. 1999. [10] J. A. Lorbeck and R. J. Vernon, “A shaped-reflector high-power converter for a whispering-gallery mode gyrotron output,” IEEE Trans. Antennas Propag., vol. 43, no. 12, pp. 1383–1388, Dec. 1995. [11] J. M. Neilson and R. Bunger, “Surface integral equation analysis of quasi-optical launchers,” IEEE Trans. Plasma Sci., vol. 30, no. 3, pp. 794–799, Jun. 2002. [12] A. V. Chirkov, G. G. Denisov, and N. L. Aleksandrov, “3D wavebeam field reconstruction from intensity measurements in a few cross sections,” Opt. Commun., vol. 115, pp. 449–452, 1995. [13] A. P. Anderson and S. Sali, “New possibilities fro phaseless microwave diagnostics. Part I: Error reduction techniques,” in Proc. Int. Elect. Eng., vol. 132, Aug. 1985, pp. 291–298. [14] D. R. Denison, “Gyrotron mode converter mirror shaping based on phase retrieval from intensity measurements,” Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., MIT, Cambridge, MA, Jun. 1999. [15] M. A. Shapiro, T. S. Chu, D. R. Denison, M. Sato, T. Shumozuma, and R. J. Temkin, “Design of correcting mirrors for a gyrotron used at Large Helical Device,” Fusion Eng. Des., vol. 53, pp. 537–544, 2001. [16] M. R. Teague, “Irradiance moments: Their propagation and use for unique retrieval of phase,” J. Opt. Soc. Amer., vol. 72, no. 9, pp. 1199–1209, 1982. [17] J. P. Anderson, M. A. Shapiro, R. J. Temkin, and D. R. Denison, “Phase retrieval of gyrotron beams based on irradiance moments,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1526–1535, Jun. 2002. [18] S. N. Vlasov, V. A. Petrishchev, and V. I. Talanov, “Averaged description of wave beams in linear and nonlinear media (method of moments),” Izv. Vyssh. Uchebn. Zaved. Radiofiz., vol. 14, no. 9, pp. 1353–1363, 1971. [19] S. N. Vlasov and V. I. Talanov, Wave Self-Focusing. Nizhny Novgorod, Russia: Inst. Appl. Phys., Russian Acad. Sci., 1997.

Michael A. Shapiro (M’01) received the Ph.D. degree in radio physics from the University of Gorky, Gorky, Russia, in 1990. In 1995, he joined the Plasma Science and Fusion Center, Massachusetts Institute of Technology (MIT), Cambridge, where he is currently Head of the Gyrotron Research Group. His research interests include vacuum microwave electron devices, high-power gyrotrons, dynamic nuclear polarization spectroscopy, high gradient linear accelerator structures, quasi-optical millimeter-wave components, and photonic-bandgap structures.

SHAPIRO et al.: SYNTHESIS OF GYROTRON PHASE-CORRECTING MIRRORS USING IRRADIANCE MOMENTS

James P. Anderson received the B.S. degree in electrical engineering from the University of Wisconsin–Madison, in 1995, the M.S. degree in electrical engineering from the University of Maryland at College Park, in 1997, and the Ph.D. degree in electrical engineering from the Massachusetts Institute of Technology (MIT), Cambridge, in 2005. While with the University of Maryland at College Park, he studied novel electromagnetic cavity designs for high-power gyroklystron amplifiers. While with the Plasma Science and Fusion Center, MIT, he conducted experimental research on high-power gyrotron oscillators and theoretical work on quasi-optical mode converters. He is currently with the MIT Lincoln Laboratory, Lexington, where he is involved with the examination of the use of gyrotron amplifiers for radar applications.

2615

Richard J. Temkin (M’87–F’94) received the B.A. degree from Harvard University, Cambridge, MA, in 1966, and the Ph.D. degree in physics from the Massachusetts Institute of Technology (MIT), Cambridge, in 1971. From 1971 to 1974, he was a Post-Doctoral Research Fellow with the Division of Engineering and Applied Physics, Harvard University. Since 1974, he has been at MIT, initially with the Francis Bitter National Magnet Laboratory, and then with the Plasma Science and Fusion Center (PSFC) and Department of Physics. He currently serves as a Senior Scientist with the Physics Department, and as Associate Director and Head of the Waves and Beams Division , PSFC. His research interests include novel vacuum electron devices such as the gyrotron and free electron laser, advanced high-gradient electron accelerators, quasi-optical waveguides and antennas at millimeter wavelengths, plasma heating, and electron spin resonance spectroscopy. He has authored or coauthored over 200 journal papers and book chapters and has edited six books and conference proceedings. Dr. Temkin is a Fellow of the American Physical Society and The Institute of Physics, London, U.K. He was the recipient of the Kenneth J. Button Prize and Medal of The Institute of Physics, London, U.K., and the Robert L. Woods Award of the Department of Defense for Excellence in vacuum electronics research.

2616

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Lumped-Element Quadrature Power Splitters Using Mixed Right/Left-Handed Transmission Lines Dan Kuylenstierna, Student Member, IEEE, Sten E. Gunnarsson, and Herbert Zirath, Member, IEEE

Abstract—This paper presents the design of lumped quadrature power splitters (LQPSs) based on unit cells of right-handed (RH) and left-handed (LH) synthetic transmission lines (TLs). The LQPSs include a lumped Wilkinson splitter, with phase-adjusting RH/LH TLs at the outputs. Two topologies, considered to be advantageous with regards to size and electric characteristics, are studied in detail. For these two, closed-form design equations are derived and the performances are analyzed by circuit simulations. The theory and simulation results are experimentally validated by monolithic-microwave integrated-circuit prototypes designed for a center frequency of 2.5 GHz. Both prototypes have performance that agree well with theory and design simulations. Within the frequency range of 2–3 GHz, the maximum amplitude and phase errors are less than 0.3 dB and 3 , respectively. All reflections and the isolation are better than 10 dB. The effective areas of the two prototypes are 900 700 m2 and 720 520 m2 , respectively. Index Terms—Left-handed (LH)/right-handed (RH) material, lumped elements, monolithic microwave integrated circuit (MMIC), 90 -hybrids, quadrature power splitter (QPS), RF integrated circuit (RFIC), synthetic transmission lines (TLs).

I. INTRODUCTION

Q

UADRATURE hybrids, also known as 90 hybrids, are important devices in wireless communication systems. For instance, they are widely used in image-reject mixers (IRMs), balanced amplifiers, and reflection-type phase shifters. In the low-gigahertz region, distributed hybrids consume too much area to be cost effective and, consequently, lumped-element designs are attractive for applications in RF integrated circuits (RFICs) and monolithic microwave integrated circuits (MMICs). Furthermore, large bandwidths are often desirable. Distributed hybrids can be transformed into lumped versions if the conventional transmission lines (TLs) are replaced by synthetic TLs [1]. A number of broad-band, one octave or larger, lumped element 180 hybrids [1], [2] and baluns [3]–[5] have been demonstrated. However, it seems like the design of broad-band 90 hybrids is more challenging. Especially crucial are amplitude balanced quadrature hybrids that are required in IRMs. Numerous designs have been proposed Manuscript received October 5, 2004; revised February 11, 2005 and April 18, 2005. This work was carried out in part by the competence Chalmers Center for High-Speed Technology under a project supported by Ericsson AB, and supported in part by the Swedish Foundation for Strategic Research. D. Kuylenstierna and S. E. Gunnarsson are with the Microwave Electronics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, 412 96 Göteborg, Sweden. H. Zirath is with the Microwave Electronics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, 412 96 Göteborg, Sweden and also with the Microwave and High Speed Electronics Research Center, Ericsson AB, 431 84 Mölndal, Sweden. Digital Object Identifier 10.1109/TMTT.2005.852751

[6]–[11], but until today, no design with reasonable size and losses exhibits bandwidth comparable to that of state-of-the art lumped 180 hybrids [1] and baluns [3]–[5]. Consequently, the lumped-element 90 hybrid, often required at the IF port in any frequency-translating circuit, is often the bottleneck for the signal bandwidth. However, many applications such as IRMs [12] do not require true hybrids. It is enough to have a quadrature power splitter (QPS) [13]–[16], i.e., an out-of-phase power splitter with 90 phase difference between the two outputs. This paper concentrates on passive lumped quadrature power splitters (LQPSs) that may also be used as combiners, which is required in IRMs. The LQPS in [16] is one of few published LQPSs, and that paper includes very little information about the design. Some more information is given in [17], which presents an out-of-phase power splitter with 180 phase difference between the outputs. This study show how different out-of-phase power splitters may be synthesized with right-handed (RH) and left-handed (LH) TLs [18], [19]. For derivation of analytical expressions, the RH/LH TLs are treated as symmetric unit cells ( or T networks) according to Parisi’s method [1]. Closed-form design equations for LQPSs are particularly derived. This paper is outlined as follows. Section II presents the background theory including the synthesis of out-of-phase power splitters and derivation of closed-form design equations for the LQPSs. Section III presents the experimental work, including design and measurements of two different MMIC LQPSs. The measured results are discussed and compared to results of other quadrature hybrids published in the open literature. II. THEORY A. Synthesis of Lumped Out-of-Phase Power Splitters A lumped element out-of-phase power splitter [17] may be composed with the unit cells of synthetic TLs shown in Fig. 1. The power splitter is accomplished as a lumped Wilkinson splitter (LWS) consisting of two synthetic quarter-wave impedance transformers and a decoupling resistor [20]. The phase-adjusting circuits are realized by dual LH/RH TLs attached to the two outputs of the LWS. Combining the unit cells in Fig. 1, eight different LWSs and four different pairs of phase adjusters are possible. In total, this results in 32 different combinations. Two of these, chosen for their compactness and electric characteristics, are shown in LQPS Fig. 2(a) and (b), respectively. Note that the in Fig. 2(a) is of the same topology as the 180 out-of-phase power splitter reported by Nagi [17], however, here it is considered as a quadrature (90 ) power splitter for which it is more

0018-9480/$20.00 © 2005 IEEE

KUYLENSTIERNA et al.: LUMPED-ELEMENT QPSs USING MIXED RH/LH TLs

2617

TABLE I TRIGONOMETRIC FUNCTIONS FOR COMPONENT VALUES OF UNIT CELLS

Fig. 1.

Unit cells of synthetic TLs. (a) RH . (b) RH . (c) LH . (d) LH .

specific case, the trigonometric functions and both equal unity, something that simplifies the design. The LQPSs in this paper include lines of other electrical lengths. Using (1) and (2) together with Table I, it is possible to calculate the component values of the LQPSs in Fig. 2. Firstly, the component values of the LWSs are (3) (4) (5) LWSs are treated by Noriega et al. in [20] and are not further discussed here. This paper concentrates on the design of the RH/LH TL phase-adjusting pairs. The component values of the . phase adjusters are fixed by the condition Using the trigonometric relation may . Using (1), (2), and Table I, then be expressed in terms of the component values of the LQPSs in Fig. 2 can now be written

Fig. 2. Three different LQPSs. (a) R L . (c) Reduced W

W

R L

. (b)

W

R L

(6)

.

(7)

suitable since the amplitude and phase errors are smaller over a LQPS in Fig. 2(b) includes larger bandwidth. The in Fig. 2(a), one extra inductor, compared to the but for properly chosen component values in parallel with can be replaced with an effective capacitor , as in Fig. 2(c). How to chose the component values is derived in Section II-B.

(8) (9) (10) (11)

B. Analysis of LQPSs Component values of the synthetic TLs in Fig. 1 are derived by Parisi in [1]. In a compact way, they can be written as follows: (1) and (2) where is the characteristic impedance, is the center freand are two trigonometric functions quency, and depending on the type of unit cell, LH or RH and or T. Table I gives the functions and for the four different unit cells shown in Fig. 1. The lumped-element baluns in [4] and [5] and the 180 Wilkinson divider in [17] are designed using synthetic TLs that are a quarter-wavelength long at the center frequency. In that

Any

fulfills the phase requirement phase

(12)

However, for maximally flat phase error, resulting in maximum , it is also required that phase bandwidth (13) For the and LQPSs in Fig. 2(a) and (b), respectively, (13) is fulfilled if the electric lengths of the RH . and LH phase adjusters are the same, i.e., LQPS in Fig. 2(c), in parFor the reduced is replaced by the effective capacitance allel with (14)

2618

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

(c)

(d)

Fig. 3. Theoretical (ideal circuit elements) performance of the W R L and reduced W R L LQPS. (a) Reflections and isolation of the W R L LQPS. (b) Transmission (left-hand-side axis) and phase balance (right-hand-side axis) of the W R L LQPS. (c) Reflections and isolation R L LQPS. (d) Transmission (left-hand-side axis) and of the reduced W phase balance (right-hand-side axis) of the W R L LQPS.

The electric lengths of the RH and LH phase adjusters then for which the replacement is possible differ. The smallest and solving (14) for , which is found by setting . This is the optimum gives with regard to small chip area, but not with regard to since (13) is not fulfilled. Solving the circuit equations for the reduced LQPS in Fig. 2(c) and differentiating gives (15) Setting the derivative in (15) equal to zero and solving for gives (16) Consequently, the component values of the reduced LQPS are given by (3)–(9) with . and reTo validate the design equations of the LQPSs, the circuits have been simulated duced with ideal components in the commercial circuit simulator ADS (see Fig. 3). As predicted by theory, the phase error reaches its local minimum at the center frequency of 1 GHz, to which the simulations are normalized. Furthermore, the amplitude balance is excellent. The relatively narrow bandwidth for the reflections and the isolation is determined mainly by the LWS. Larger bandwidth to the price of an increased size might be achieved with a second-order LWS. III. EXPERIMENTS AND RESULTS For experimental verification, the and reduced LQPS are fabricated in a commercial GaAs

Fig. 4. MMIC LQPSs. (a) W R L LQPS. (c) Cross section of the substrate.

LQPS. (b) Reduced

W

R L

process (WIN PP15-20 0.15- m power pseudomorphic high electron-mobility transistor (pHEMT) process). A cross section of the substrate is shown in Fig. 4(c). The circuits are designed for a center frequency of 2.5 GHz, chosen for application in an IRM with this IF frequency. A. Design The component values are calculated with (3)–(11) with and for and the reduced , respectively. However, the process used for fabrication did not provide any scalable inductor model, only discrete inductance values were available. The exact values obtained from the analytical formulas could not be found among these standard inductors. To compensate for the deviations, the values of the capacitors have been adjusted by an optimization routine in ADS. In the design of the reduced LQPS, it was not possible to find the inductance required for the 50- impedance level in both the RH and LH phase adjusters. To compensate for the imbalance in the impedance level, the LWS was designed for an unequal split. An additional inductor of 0.21 nH was placed in series with the main inductor in one of the branches of the LWS. Despite the required optimization, design equations (3)–(11) are useful as a starting point. It should be mentioned that the optimization routine was entirely on a circuit basis, and no timeconsuming EM simulations were performed. and reduced Photographs of the fabricated LQPSs are shown Fig. 4(a) and (b), respectively. Note the additional inductor in the LWS of the reduced LQPS in Fig. 4(b). The values of the components nH, nH, nH, in Fig. 4 are nH, pF, pF, pF,

KUYLENSTIERNA et al.: LUMPED-ELEMENT QPSs USING MIXED RH/LH TLs

2619

Fig. 6.

Fig. 5. Measurements (symbols) and design simulations (lines) of the W R L LQPS. (a) Transmission. (b) Reflections and isolation. (c) Balance parameters, phase balance  (left-hand-side axis) and amplitude balance A (right-hand-side axis).

pF, pF, pF, pF, pF, pF, and pF. The sizes of the two circuits are 900 700 m for the LQPS and 720 520 m for the reduced LQPS. B. Results and Discussion The fabricated circuits were measured with an Advantest R3860A four-port vector network analyzer (VNA). Figs. 5 and 6 show the results from measurements and design simuand reduced LQPSs, lations of the

Measurements (symbols) and design simulations (lines) of the reduced LQPS. (a) Transmission. (b) Reflections and isolation. (c) Balance parameters, phase balance  (left-hand-side axis), and amplitude balance A (right-hand-side axis).

W

R L

respectively. In contrast to the theoretical simulations in Fig. 3, the design simulations in Figs. 5 and 6 also include parasitic elements. Considering Figs. 5 and 6, it is seen that both circuits produce quadrature-balanced outputs at ports 2 and 3 well. Between ), the maximum amplitude error is 2–3 GHz ( less than 0.3 dB, and all reflections and the isolation between the outputs are better than 10 dB. The insertion loss is in the order of 1 dB, mainly due to the relatively low- value of the inductors. It would have been possible to use inductors with wider strips (and, thus, larger value), however, this would also have increased the size of the circuits. In summary, the measured results agree well with the design simulations. The discrepancies

2620

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

above 3 GHz may be explained by the approaching self-resonance frequency of the inductors. In the vicinity of this resonance frequency, the inductor model is not accurate. It is also seen that the model slightly overestimates the center frequency compared to the measurements. The reduced center frequency may be explained by positive mutual coupling between the inductors in the LWS. Due to the effectively increased inductance, the center frequency is then reduced. Comparing these results with other lumped circuits for generation of quadrature-balanced signals, it should be mentioned more than that the bandwidth of the LQPSs are limited by , while for lumped-element quadrature hybrids, it is normally the other way around. First-order lumped branch-line hybrids [6] and transformer coupled hybrids [8], [9] are amplitude balanced only at the center frequency or at two frequencies shifted around the center frequency if the device is over-coupled. Second-order lumped branch-line hybrids [6], [10] and transformer coupled hybrids [11] may have larger amplitude bandwidth. However, they suffer from an increased size and an amplitude error that is still in the order of 1 dB [10] for a 50% bandwidth. Recently demonstrated composite right/left-handed (CRLH) couplers are capable of tight coupling levels, however, amplitude balance better than 0.5 dB has not been demonstrated over large bandwidths [21], [22]. IV. CONCLUSION Lumped-element quadrature power splitters have been synthesized using unit cells of RH and LH synthetic TLs. The design theory has included closed-form design equations for all components involved. The proposed theory has been verified by circuit simulations. Well quadrature-balanced signals with reflections and isolation better than 10 dB have been demonstrated over a 40% bandwidth. Two different experimental prototypes have been designed and fabricated using a commercial GaAs MMIC process. The measured response from the prototypes agree well with the design simulations. The developed design theory is considered attractive for wide-band quadrature generation in MMICs operating below 10 GHz. ACKNOWLEDGMENT The authors would like to thank S. Gevorgian, P. Linnér, and B. Hansson, all of the Microwave Electronics Laboratory, Department of Microtechnology and Nanoscience (MC2), Chalmers University of Technology, Göteborg, Sweden, for their encouragement in this study. Advantest is acknowledged for providing the four-port VNA and the Taiwanese foundry WIN semiconductors for processing our chips in a fast and professional way. This study was carried out in part at the Chalmers Center for High-Speed Technology (CHACH), Göteborg, Sweden. REFERENCES [1] S. J. Parisi, “180 lumped element hybrid,” in IEEE MTT-S Int. Microwave Symp. Dig., Jun. 1989, pp. 1243–1246.

[2] T. Hirota, A. Minakawa, and M. Muraguchi, “Reduced-size branch-line and rat-race hybrids for uniplanar MMIC’s,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 3, pp. 270–275, Mar. 1990. [3] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits., vol. 35, no. 9, pp. 1368–1382, Sep. 2000. [4] D. Kuylenstierna and P. Linnér, “Design of broad-band lumped element baluns,” in IEEE MTT-S Int. Microwave Symp. Dig., 2004, pp. 899–902. , “Design of broad-band lumped-element baluns with inherent [5] impedance transformation,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2739–2745, Dec. 2004. [6] R. W. Vogel, “Analysis and design of lumped and lumped-distributedelement directional couplers for MIC and MMIC applications,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 253–262, Feb. 1992. [7] F. Ali and A. Podell, “A wide-band GaAs monolithic spiral quadrature hybrid and its circuit applications,” IEEE J. Solid-State Circuits., vol. 26, no. 10, pp. 1394–1398, Oct. 1991. [8] R. C. Frye, S. Kapur, and R. C. Melville, “A 2 GHz quadrature hybrid implemented in CMOS technology,” IEEE J. Solid-State Circuits., vol. 38, no. 3, pp. 550–555, Mar. 2003. [9] J. Hogerheiden, M. Ciminera, and G. Jue, “Improved planar spiral transformer theory applied to a miniature lumped element quadrature hybrid,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 4, pp. 543–545, Apr. 1997. [10] Y. C. Chiang and C. Y. Chen, “Design of a wide-band lumped element 3-dB quadrature coupler,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 3, pp. 476–479, Mar. 2001. [11] W. S. Tung, H. H. Wu, and Y. C. Chiang, “Design of wide-band quadrature hybrid using planar transformer coupling method,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1852–1856, Jul. 2003. [12] J. M. Mourant and S. Jurgiel, “A broad-band planar image reject mixer,” in IEEE MTT-S Int. Microwave Symp. Dig., 1994, pp. 1637–1640. [13] H. Kamitsuna and H. Ogawa, “Ultra wide-band MMIC active power splitters with arbitrary phase relationships,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 9, pp. 1519–1523, Sep. 1993. [14] H. Simon and R. A. Périchon, “A MMIC broad-band 90 power divider using a new all-pass active filter,” in Proc. 30th Eur. Microwave Conf., 2000, pp. 344–347. [15] J. Staudinger and W. Seely, “An octave bandwidth 90 coupler topology for MMICs,” Microwave J., vol. 33, no. 11, pp. 117–130, Nov. 1990. [16] F. M. Bogaart and R. Pyndian, “A 10–14 GHz MMIC vector modulator with less than 0.1 dB and 0.8 amplitude and phase error, in,” in IEEE MTT-S Int. Microwave Symp. Dig., 1990, pp. 465–468. [17] H. S. Nagi, “Miniature lumped element 180 Wilkinson divider,” in IEEE MTT-S Int. Microwave Symp. Dig., 2003, pp. 55–58. [18] L. Liu, C. Caloz, C. C. Chang, and T. Itoh, “Forward coupling phenomena between artificial left-handed transmission lines,” J. Appl. Phys., vol. 92, no. 9, pp. 5560–5565, Nov. 2002. [19] G. V. Eleftheriades, “Planar negative refractive index media using periodically L–C loaded transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2702–2712, Dec. 2002. [20] F. Noriega and P. J. Gonzales, “Designing LC Wilkinson power splitters,” RF Design, vol. 25, no. 8, pp. 18–24, Aug. 2002. [21] C. Caloz, A. Sanada, and T. Itoh, “A novel composite right/left handed coupled line directional coupler with arbitrary coupling level and broad bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 980–991, Mar. 2004. [22] I. H. Lin, C. Caloz, and T. Itoh, “A branch line coupler with two arbitrary operating frequencies using left-handed transmission lines,” in IEEE MTT-S Int. Microwave Symp. Dig., 2003, pp. 325–328.

Dan Kuylenstierna (S’04) was born in Göteborg, Sweden, in 1976. He received the M.Sc. degree in physics and nanoscale science from the Chalmers University of Technology, Göteborg, Sweden, in 2001, and is currently working toward the Ph.D. degree in microwave electronics at the Chalmers University of Technology. His main scientific interests are periodic structures, lumped elements, metamaterials, and use of these to shrink the size of passive MMICs. Mr. Kuylenstierna was the recipient of the Second Prize in the Student Paper Award Competition of the 2004 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), Fort Worth, TX. He was also the recipient of the 2005 IEEE MTT-S Graduate Fellowship Award.

KUYLENSTIERNA et al.: LUMPED-ELEMENT QPSs USING MIXED RH/LH TLs

Sten E. Gunnarsson was born in Nyköping, Sweden, in 1976. He received the M.Sc. degree in electronic engineering from the Lund University of Technology, Lund, Sweden, in 2003, and is currently working toward the Ph.D. degree at Chalmers University of Technology, Göteborg, Sweden He is currently with the Microwave Electronics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology. His main research interest concerns the design of MMICs in general and mixers in particular for 60-GHz wireless systems. Mr. Gunnarsson was the recipient of the Second Prize in the Student Paper Award Competition of the 2005 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), Long Beach, CA.

2621

Herbert Zirath (S’84–M’86) received the M.Sc. and Ph.D. degrees from the Chalmers University of Technology, Gothenburg, Sweden, in 1980 and 1986, respectively. He is currently a Professor of High Speed Electronics with the Department of Microtechnology and Nanoscience, Chalmers University of Technology. In 2001, he became the Head of the Microwave Electronics Laboratory (MEL). He currently leads a group of approximately 70 researchers in the area of highfrequency semiconductor devices and circuits. He is also currently with Ericsson AB, Mölndal, Sweden, as a Microwave Circuit Expert on a part-time basis. He has authored or coauthored over 220 papers in international journals and conference proceedings and one book. He hold four patents. His main research interests include InP-high electron-mobility transistor (HEMT) devices and circuits, SiC- and GaN-based transistors for highpower applications, device modeling including noise and large-signal models for field-effect transistor (FET) and bipolar devices, and foundry related MMICs for millimeter-wave applications based on both III–V and silicon devices.

2622

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Dielectric Slab Rotman Lens for Microwave/Millimeter-Wave Applications Jaeheung Kim, Member, IEEE, Choon Sik Cho, Member, IEEE, and Frank S. Barnes, Life Fellow, IEEE

Abstract—A new form of a Rotman lens is proposed for microwave/millimeter-wave applications such as a collision-avoidance radar. The proposed lens can be described as a dielectric slab fed by slot lines. The new form is expected to show lower loss and lower mutual coupling than the conventional Rotman lenses fabricated with conducting plates at millimeter-wave frequency. Taking the field distribution inside the dielectric slab into account, the TE0 mode was chosen to excite the dielectric slab lens. The dielectric Rotman lens consists of a dielectric slab, tapered slot structure, and the transitions between the antipodal slots and microstrip lines for subminiature A connectors. The conventional design equations have been modified for use in designing the dielectric slab Rotman lens with a high dielectric material. A prototype was implemented with nine beam ports and nine array ports. Measurements from 10 to 20 GHz show that mutual coupling can be lowered at higher frequency. The obtained efficiency of the dielectric slab lens system is approximately 30%. The efficiency of the lens is comparable to that of the conducting plate lenses even though there is a spillover loss from the dielectric slab. Index Terms—Dielectric slab waveguide, Rotman lens.

I. INTRODUCTION

A

T THE microwave and millimeter-wave frequencies, it is possible to build wide-band communication systems and high-resolution radar systems. High-frequency technologies in the military area are migrating to various commercial applications. Among these high-frequency technologies, electronic scanning antennas provide numerous applications including communication systems and collision-avoidance systems. A Rotman lens is very attractive because of its simple design and compact size for numerous electronic scanning applications. The multiple detectors or sources mounted on the focal arc of the lens provide a convenient way for either the detection of spatially separated multiple targets or the generation of multiple beams. Thus far, two types of Rotman lenses have been reported. One type is described as air-filled (hollow) parallel conducting plates fed by coaxial probes, which was demonstrated by Rotman and Turner, the inventors of the lens [1]. The other type is dielectric-filled parallel conducting plates (a so-called microwave substrate) fed by microstrip lines, Manuscript received October 25, 2004; revised April 28, 2005. J. Kim is with the Department of Electrical and Electronic Engineering, Kangwon National University, Chunchon 200-701, Korea (e-mail: [email protected]). C. S. Cho is with the Department of Information and Telecomunication Engineering, Hankuk Aviation University, Goyang 412-791, Korea (e-mail [email protected]). F. S. Barnes is with the Department of Electrical and Computer Engineering, University of Colorado at Boulder, Boulder, CO 80309 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852750

which was introduced by Archer [2]. Both types of Rotman lenses have been implemented mostly in the microwave band. At the millimeter-wave frequencies, their loss increases and their physical structures become more difficult to build. The conductor loss becomes dominant since the surface resistance is inversely proportional to the conductivity and skin depth. In this paper, a new type of Rotman lens is proposed to reduce the conductor loss and the mutual coupling between ports. The lens is described as a dielectric slab fed by slotlines and takes a complementary form with respect to the substrate Rotman lens. A dielectric slab can be used to guide electromagnetic waves with a very low loss in the lower region of the millimeter-wave band [3], [4]. However, since a dielectric slab does not support was chosen to excite the lens the TEM mode propagation, on the basis of both its field distribution and possible feeding structures. Non-TEM excitation has been used to feed the parallel conducting plate type lens using the rectangular wave guide by Peterson and Rausch [5]. Since the transverse and longitudinal components of non-TEM, TE, and TM modes in the slab vary not only with space, but also with frequency, this frequency dependency can cause the beam angle to deviate with frequency. However, this beam angle deviation is not very serious when the lens is excited in low-order TE or TM modes. II. DESIGN OF THE DIELECTRIC SLAB ROTMAN LENS A. Mode for the Dielectric Slab Rotman Lens In order to find an appropriate mode for the excitation of the dielectric slab lens, it is necessary to consider the field distributions of modes, the feed structure, and the effective dielectric constant. With the geometry of a slab waveguide, as shown in Fig. 1, the field components inside a dielectric slab are expressed and for TM modes, as follows. For TE modes, by . by For the efficient excitation of the lens, the polarization should be matched between the modes in the lens and feed structure. Slotline structures have been considered for feeding the lens because the polarization between the slots and slab can be horizontally aligned to excite the electric fields of the TE modes. and have been studied. The two lowest TE modes The power that can be confined and propagated inside the slab is limited by the frequency and the thickness of the slab. The mode is concentrated near electric-field distribution of the the center of the slab, whereas the electric field of the mode is concentrated in the vicinity of the interfaces between mode has more of its the slab and air. This means that the mode. Thus, the mode power in the air than does the mode for the same reason that a is more favorable than the

0018-9480/$20.00 © 2005 IEEE

KIM et al.: DIELECTRIC SLAB ROTMAN LENS FOR MICROWAVE/MILLIMETER-WAVE APPLICATIONS

Fig. 1.

2623

Geometry of a dielectric slab.

single-mode optical fiber has lower loss than does a multimode fiber [6]. The lens can be efficiently coupled in the mode by placing tapered slot structures in the center of the slab. Theremode was chosen to excite the dielectric slab. fore, the and a thickFor a dielectric slab with a permittivity ness mm, and at the frequency of 15 GHz, the propof the and modes can be calcuagation constants lated from the explicit equations as follows [7]: (1)

Fig. 2. Normalized distributions of the electric-field component (E ) parallel to the slab with " = 10:2 and t = 5:715 mm for TE and TE at 15 GHz.

(2) (3) (4) (5) for even modes and for where odd modes. mode is calculated as The propagation constant of the rad/m from (5) and then the effective dielectric constant can be calculated as the ratio to the propagation conrad/m. The effecstant in free space mode is tive index of refraction for the . In the same way, the propagation conmode are stant and the effective index of refraction for the obtained as rad/m and . Norof the lowest two TE malized electric-field distributions and across the dielectric slab with modes and mm are shown in Fig. 2. B. Design of the Dielectric Slab Rotman Lens The dielectric Rotman lens consists of a dielectric slab, tapered slot structure, and the transitions between the antipodal slots and microstrip lines for high-performance subminiature A (SMA) connectors. The original design equations were modified for use in designing the dielectric slab Rotman lens with a high dielectric material [8]. In Fig. 3, there are two curves, i.e., the circular focal arc and array curve. The array curve is defined by the set of the that are specified relative to the origin . points The position on the straight line along which the antenna elements are supposed to be placed is similarly determined by the , measured relative to the horizontal axis . point and are the points of a perfect focus for radiation at angles of , and 0 , respectively. For simplicity, the parameters are normalized by the focal length and then defined as

Fig. 3.

Geometry and design parameters of a Rotman lens.

also . The lens geometry was calculated from the modified design equations taking the propagation constant (or the effective dimode into account. From (6)–(8), electric constant) of the we can calculate the length of the delay lines , and the coordinates of the array curve ( and ), respectively, for a given , the scanning angle set of design parameters, the focal angle , the ratio of the on axis to off axis focal length , the effective dielectric constant of the slab at design frequency , and the effective dielectric constant of the delay line

(6) (7) (8)

2624

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

TABLE I DESIGN PARAMETERS OF DIELECTRIC SLAB ROTMAN LENS

where

The peripheral parts of the lens, the tapered slot structure, and the transition were designed on the basis of widely used design methods [9]–[11]. The focal length was determined from the path-length error and the array size in the same way as developed in [12]. The path-length error causes a focusing error, which mainly results in beam broadening. An appropriate value for the focal length was chosen to avoid serious path-length error for a given array size. The other design parameters were decided using design tradeoffs [13] and all the parameters are summarized in Table I. As shown in Fig. 4, the lens consists of nine beam ports and nine array ports. Among them, beam ports 1, 2, 8, and 9 and array ports 1 and 9 are supposed to be dummy ports, which are always terminated with 50- loads. In general, the dummy ports are not used to generate beams because the power levels of beams at them are relatively low. For an electronic scanning antenna, the effective illuminated aperture varies with the incident angle incident angle . It is the of waves by factor of so-called cosine law of illumination [14]. For example, the power levels of beams in 60 are 3 dB lower than that of the center beam. However, they must be well matched and terminated to avoid internal reflection from them. As an alternative way, an absorbing layer of material can be applied instead of the dummy ports [15]. The diameter of the lens is approximately 11 cm. If the lens were designed at 77 GHz for a collision-avoidance radar, the dimension would be reduced by a factor of 1/5. Therefore, the projected diameter of the lens at 77 GHz would be approximately 2.2 cm. In accordance with the design parameters, the geometry of the lens was calculated and then drawn using AutoCad to print a mask film for photoetching.

Fig. 4. Geometry of the dielectric slab Rotman lens fed with the antipodal tapered slot structures (a) Top and bottom views (black: conductor on the top; dashed: conductor on the bottom). (b) Side view.

Both sides of a substrate RO3010 ( mm) were photo-etched. To make a thicker lens medium, the substrates with a thickness of 2.54 mm were cut and carved to fit on the area of the lens medium, then attached to both sides of the etched substrate using a few drops of the cyano-acrylate glue, as shown in Fig. 5. If the frequency were increased to the millimeter-wave band, this process would be accomplished by applying a coating of a high dielectric material such as BST (BaSrTi). III. MEASUREMENTS OF THE DIELECTRIC SLAB ROTMAN LENS Three important factors in the lens performance are the reflection, mutual coupling between the beam ports, and transmission from the beam ports to the array ports, and vice versa. A major effect of the mutual coupling is the change of the impedance seen at an element due to the presence of nearby elements—that makes the impedance matching more difficult. The fraction of transmitted power from a beam port to array ports is the efficiency of the lens. To see how the beam ports mutually couple to each other, the coupling coefficients were measured from 10 to 20 GHz. In addition to that, the transmission coefficients were measured from one of the beam ports to all the array ports in the same frequency range. For beam port 5, the three kinds of coeffi, refleccients are shown in Fig. 6(a). The first coefficient is tion coming out of beam port 5, and the second one is the cou-

KIM et al.: DIELECTRIC SLAB ROTMAN LENS FOR MICROWAVE/MILLIMETER-WAVE APPLICATIONS

2625

Fig. 6. (a) Power fraction reflected from beam port 5 (jS j), power fraction ), and coupled from beam port 5 to all the other beam ports (6jS j; power fraction transmitted form beam port 5 to all the array ports (6jS j). , and 6jS j. (b) jS j; 6jS j;

Fig. 5. Fabricated lens on RO3010 (" = 10:2; t = 0:635 mm) with additional layers of the lens medium (t = 5:715 mm) (a) Top view. (b) Bottom view (antipodal tapered slot structures are hidden by the additional dielectric layer).

pled power from beam port 5 to all the other beam ports, namely, . The third one is , which is the transmitted power from beam port 5 to all the array ports. For the mutual coupling between the beam ports, we observed the same phenomenon described in [16], which explains that the coupling between tapered slot structures decreases asymptotically with frequency. The coefficients were measured with terminating all ports, except the two ports under test, terminated with 50- loads. The total coupling with the other beam ports is less than 20 dB at 15 GHz and, overall, the total coupling decreases from 10 dB at 10 GHz to 20 dB at 20 GHz. This result shows that the dielectric lens can be improved in terms of the mutual coupling

as the frequency increases. The transmitted power from beam port 5 to all the array ports ranges from 8 to 5 dBm. In other words, the fraction of the power transmitted from the beam port to all the array ports, namely, the efficiency of the lens, is approximately 15%–30% in the range from 10 to 20 GHz. As shown in Fig. 6(b), a similar result was also measured at beam port 6. Another prototype of the lens was also fabricated together with a nine tapered slot antenna (TSA) array to test its radiation pattern. The TSA has a broad-band characteristic, as well as a high efficiency for millimeter applications and it can be directly connected to the lens through slotlines [8]. The TSA would be a good partner to a new lens at millimeter-wave frequency since both of them have broad-band characteristics and a direct and easy connection between them is secured. Beam patterns were measured at every 3 from 90 to 90 at 13 GHz at every single port. The distance between the horn antenna for transmitting and the antenna under test was approximately 3 m. As shown in Fig. 7, the measured beam widths were approximately 15 , 16 , 15 , 15 , and 16 at ports 3–7, respec12 , 0 , tively, and the measured beam directions were 33 12 , and 33 at ports 3–7, respectively. Beam angle deviation,

2626

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

tric loss, the overall loss will be reduced at this frequency. In the aspect of fabrication, if the dielectric rods were used as a feeding line between the lens and antennas or receivers, its architecture would be more complex and difficult to implement in terms of the mode coupling, insertion loss, and feed structure. The dielectric slab Rotman lens system including the TSA can be fabricated in a monolithically integrated form using recently developed fabrication technologies such as laser ablation, high dielectric film coating of BST (BaSrTi), and multilayer low-temperature co-fired ceramics (LTCCs). REFERENCES

Fig. 7. Beam patterns of the lens system at 13 GHz at which the actual sweeper power was 7.26 dBm (beam patterns of the dummy ports, B1, B2, B8, and B9 are not shown).

especially at ports 3 and 7, can be seen in Fig. 7. This deviation results from both the path-length error and propagation dispersion. In optics, the path-length error increases with the off-center angle and the propagation dispersion can be caused from the propagation of non-TEM fields whose transversal and longitudinal components vary with frequency, as well as space. A decrease in power level with respect to the beam angle mainly results from the variation of the actual illuminated aperture, as described before. The overall scanning capability of the lens is shown to be 30 to 30 . IV. CONCLUSION In this paper, a new type of a Rotman lens was proposed and demonstrated to work at frequencies above 10 GHz. The mutual couplings between TSAs decrease rapidly with frequency [16] and the feed structure to the dielectric slab lens takes the same form as that of the TSA. This means that the mutual couplings between ports of the dielectric slab Rotman lens can be lowered at higher frequency. Although it is not possible to compare this new type of Rotman lens with the two types previously reported because there is no exact counterpart, this dielectric slab lens can be compared with other types of lenses in terms of the efficiency from the papers published thus far. The lens implemented in the form of parallel conducting waveguide shows its efficiency approximately 30% at 2 GHz [17]. The other previous lens is a substrate-type lens whose efficiency is approximately 40% at 5.8 GHz [18]. Therefore, it can be concluded that the dielectric slab lens is comparable to the conducting plate lens in terms of efficiency even though it has a spillover loss. In addition, it is clear from the measurements that the proposed dielectric Rotman lens is feasible and the goal of reducing the conductor loss, as well as the mutual coupling, can be achievable. If the design approach proposed in this paper is applied at the millimeter-wave frequency band such as 77 GHz, compared to the conventional Rotman lens, the radiation loss and conductor loss will be reduced, whereas the dielectric loss will increase. However, since the conductor loss is dominant over the dielec-

[1] W. Rotman and R. F. Turner, “Wide-angle microwave lens for line source applications,” IEEE Trans. Antennas Propag., vol. AP-11, no. 11, pp. 623–632, Nov. 1963. [2] D. H. Archer, “Lens-fed multiple beam arrays,” Microwave J., pp. 171–195, Sep. 1984. [3] J. Weinzierl et al., “Dielectric waveguides at submillimeter wavelengths,” in Proc. IEEE 6th Int. Terahertz Electronics Conf., 1998, pp. 166–169. [4] C. Yeh et al., “Communication at millimeter-submillimeter wavelengths using a ceramic ribbon,” Nature, vol. 404, pp. 584–588, Apr. 2000. [5] A. F. Peterson and E. O. Rausch, “Scattering matrix integral equation analysis of the design of a waveguide Rotman lens,” IEEE Trans. Antennas Propag., vol. 47, no. 5, pp. 870–878, May 1999. [6] B. E. A. Saleh and M. C. Teich, Fundamentals of Photonics. New York: Wiley, 1991, ch. 8. [7] J. F. Lotspeich, “Explicit general eigenvalue solutions for dielectric slab waveguides,” Appl. Opt., vol. 14, no. 2, pp. 327–335, Feb. 1975. [8] J. Kim, “Developments of Rotman lenses at micro/millimeter-wave frequencies,” Ph.D. dissertation, Dept. Elect. Eng., Univ. Colorado at Boulder, Boulder, CO, 2002. [9] R. Janaswamy and D. H. Schaubert, “Characteristic impedance of a wide slotline on low-permittivity substrate,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 8, pp. 900–902, Aug. 1986. [10] Y. H. Choung and W. C. Wong, “Microwave and millimeter-wave slotline transition design,” Microwave J., pp. 77–89, Mar. 1994. [11] R. N. Simons, R. Q. Lee, and T. D. Perl, “Non-planar linearly tapered slot antenna with balanced microstrip feed,” in IEEE AP-S Int. Symp. Dig., 1992, pp. 2109–2112. [12] J. Kim and F. S. Barnes, “Scaling and focusing of the Rotman lens,” in IEEE AP-S Int. Symp. Dig., 2001, pp. 773–776. [13] R. C. Hansen, “Design trades for Rotman lens,” IEEE Trans. Antennas Propag., vol. 39, no. 4, pp. 464–472, Apr. 1991. [14] M. Born and E. Wolf, Principles of Optics, 7th ed. Cambridge, U.K.: Cambridge Univ. Press, 1999, ch. 5. [15] L. Musa and M. S. Smith, “Microstrip port design and sidewall absorption for printed Rotman lenses,” in Proc. Inst. Elect. Eng., vol. 136, Feb. 1989, pp. 53–58. [16] R. Q. Lee and R. N. Simons, “Measured mutual coupling between linearly tapered slot antennas,” IEEE Trans. Antennas Propag., vol. 45, no. 8, pp. 1320–1322, Aug. 1997. [17] P. C. Sharma et al., “Two-dimensional field analysis for CAD of Rotman-type beam-forming lenses,” Int. J. Microwave Millimeter-Wave Computer-Aided Eng., vol. 2, no. 2, pp. 90–97, 1992. [18] S. F. Peik and J. Heinstadt, “Multiple beam microstrip array fed by Rotman lens,” in IEEE 9th Int. AP-S Conf., 1995, pp. 348–351.

Jaeheung Kim (M’76) received the B.S. degree in electronic engineering from Yonsei University, Seoul, Korea, in 1989, and the M.S. and Ph.D. degrees in electrical and computer engineering from the University of Colorado at Boulder, in 1998 and 2002, respectively. From 1992 to 1995, he was with the DACOM Corporation, where he was involved with wireless communication systems. In 2002, he joined the Department of Electrical and Electronic Engineering, Kangwon National University, Chunchon, Korea. His research interests include beam-forming arrays and high-efficiency active circuits.

KIM et al.: DIELECTRIC SLAB ROTMAN LENS FOR MICROWAVE/MILLIMETER-WAVE APPLICATIONS

Choon Sik Cho (S’98–M’99) received the B.S. degree in control and instrumentation engineering from Seoul National University, Seoul, Korea, in 1987, the M.S. degree in electrical and computer engineering from the University of South Carolina, Columbia, in 1995, and the Ph.D. degree in electrical and computer engineering from the University of Colorado at Boulder, in 1998. From 1987 to 1990, he was with LG Electronics, where he was involved with communication systems. From 1999 to 2003, he was with Curitel and Telson Electronics, where he was principally involved with the development of mobile phones. In 2004, he joined the Department of Information and Telecommunication Engineering, Hankuk Aviation University, Goyang, Korea. His research interests include the application of optics, the design of RF integrated circuits (RFICs)/monolithic microwave integrated circuits (MMICs) especially for power amplifiers and oscillators, and the computational analysis of electromagnetics.

2627

Frank S. Barnes (S’54–M’58–F’70–LF’98) received the B.S. degree in electrical engineering from Princeton University, Princeton, NJ, in 1954, and the M.S. Engineer and Ph.D. degrees from Stanford University, Stanford, CA, in 1956 and 1958, respectively. In 1959, he joined the University of Colorado at Boulder after taking a Fulbright Fellowship to Baghdad, Iraq, and was with the Colorado Research Corporation. From 1964 to 1980, he served as Chairman of the Department of Electrical and Computer Engineering. In 1981, he was the Acting Dean of the College of Engineering and Applied Science. In 1997, he became a Distinguished Professor. His interests include the effects of electric and magnetic fields on biology, lasers, optical fibers, electron devices, and the interdisciplinary aspects of telecommunications. Dr. Barnes is a Fellow of the American Association for the Advancement of Science (AAAS) and the International Communication Forum (ICF). He has served as head of the Electrical Engineering Department Heads Association. He is a member of the Accreditation Board for Engineering and Technology (ABET) Board of Directors. He is editor of the IEEE Student Journal and the IEEE TRANSACTIONS ON EDUCATION. He is vice president of publications for IEEE, chairman of the IEEE Electron Device Society and president of the IEEE Bioelectromagnetics Society. He was the recipient of the Curtis McGraw Research Award presented by the American Association for Engineering Education (ASEE), The Leon Montgomery Award presented by the International Communications Association, the IEEE 2003 Education Society Achievement Award, and the Distinguished Educator Award presented by the ASEE. He was elected to the National Academy of Engineering in 2001 and was the recipient of the 2004 Bernard Gordon Prize for innovations in engineering education from the academy.

2628

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

H

Full-Wave Design of -Plane Contiguous Manifold Output Multiplexers Using the Fictitious Reactive Load Concept José R. Montejo-Garai, Jorge A. Ruiz-Cruz, and Jesús M. Rebollar

Abstract—In this paper, a new procedure for the design of contiguous band manifold output multiplexers in -plane configuration is presented. Such a configuration eliminates the slots between the T-junctions and the filters allowing high power handling, as well as dramatically reducing the risk of multipactor. This paper pursues the division of the design into tasks with low computational effort. The approach comprises: 1) the singly terminated synthesis of the channel filters and their corresponding full-wave responses; 2) the manifold design using fictitious reactive loads simulating the phase response of every channel out of its corresponding band; and 3) the final full-wave optimization of the whole structure using the simulated-annealing method. In order to validate the above pro-band multiplexer has been designed, cedure, a five-channel manufactured, and measured. Index Terms— -plane, mode matching, multiplexer, simulated annealing, singly terminated filter.

I. INTRODUCTION

T

HE INCREASE in capacity and complexity demanded for satellite communication channels can be satisfied by making the most effective use of frequency bands [1]. This requires some key equipments such as the output multiplexers to be made with numerous channels and very narrow guard bands in between. In addition, since more and more RF power is used, it is essential to reduce the insertion-loss level. Among the different multiplexing concepts [2], the most preferred solution in satellite high-power applications is the so-called manifold-type multiplexer. It consists of bandpass filters for each channel and a common waveguide where the filters are connected at suitable distances. This waveguide is short-circuited at one end with the common output to feed the antenna system located at the other end. This kind of multiplexing provides the most compact implementation with the lowest insertion losses in all channels. The price to pay is its involved design and sensitivity. Over the last three decades, several techniques have been proposed to design manifold multiplexes [3]–[15]. At first, the use of equivalent circuits led the design process [3]–[9] with full-wave methods incorporated progressively [10]–[15]. At the current time, the powerful computer-aided design (CAD) Manuscript received October 25, 2004; revised April 1, 2005. This work was supported by the Comisión Interministerial de Ciencia y Tecnología, Spain under Project TIC2001-3430. The authors are with the Departamento de Electromagnetismo y Teoría de Circuitos, Escuela Técnica Superior Ingenieros Telecomunicación, Universidad Politécnica de Madrid, 28040 Madrid, Spain. Digital Object Identifier 10.1109/TMTT.2005.852749

techniques implemented in commercial software,12 are essential to cope with the new demanding specifications. However, the state-of-the-art of actual CAD tools does not allow the design of multiplexers using the brute-force method, where an initial geometry is assumed and then optimized until the specifications are fulfilled. On the contrary, it is very important to divide the whole design into at least two main tasks: the design of the channel filters and the manifold (distances between channels and the short circuit). In both assignments, the use of circuital models can help in the first stage before using the full-wave tools. Manifold-multiplexer design begins with the choice of the channel filter type, where two main options can be considered [16]. The first one is to use doubly terminated prototypes, which give eventual contiguous channel responses having increased the rejection characteristics. The second one is to make use of singly terminated prototypes where the filter response is achieved only after the multiplexing is accomplished and, therefore, no increased rejection is predictable. Double-terminated filters are not suitable for the design of contiguous multiplexers because their input impedance shows a large and rapidly varying reactance in the adjacent passband that cannot be easily compensated for. On the contrary, singly terminated filters have that impedance behavior in their bandpass, allowing the reactance of the adjacent channels to be offset [3], [5]. For this reason, this configuration is selected. In this paper, two major contributions are presented. On the one hand, the fictitious reactive load concept is introduced to make the design of the manifold easier, drastically reducing the computational effort to calculate the distance between channels. The knowledge of the phase response of the input reflection coefficient of every channel filter out of its own bandpass is the key to compensating for the reactive component of the input impedance of the multiplexer. Therefore, the use of dummy channels [2], [5] at the edges of the continuous channel to compensate for asymmetric distortions is avoided. On the other hand, a systematic design of contiguous band output manifold multiplexers based on the division of the whole process into tasks with low computational effort is detailed. As a result, the process is simplified, the time design is shortened, and the cost is reduced. 1HFFS, Ansoft Corporation, Palo Alto, CA. [Online]. Available: http://www.ansoft.com 2CST Microwave Studio, Darmstadt, Germany. [Online]. Available: http://www.cst.com

0018-9480/$20.00 © 2005 IEEE

MONTEJO-GARAI et al.: FULL-WAVE DESIGN OF

-PLANE CONTIGUOUS MANIFOLD OUTPUT MULTIPLEXERS

2629

TABLE I DIMENSIONS (IN MILLIMETERS) OF THE FIVE FOURTH-ORDER SINGLY TERMINATED CHANNEL FILTERS

Fig. 1. Layout of a fourth-order Chebyshev singly terminated filter (a = 19:05; p = 4:0 mm).

In addition, the -plane configuration is chosen for the whole multiplexer structure because of its attractive performance: • its capability to handle high power levels since there are no slots or other critical dimensions and, consequently, the risk of multipactor effect is drastically reduced; • cost saving of the milling process because the structure is simple and compact formed in one solid block where all the cavities and irises have the same height and a flat cover is placed to form the rectangular section that can also be used to transfer the heat to a cooling plate. II. SINGLY TERMINATED FILTER DESIGN As previously stated, in the case of contiguous band multiplexers, it is imperative to implement the channels as singly terminated filters as a result of their suited impedance characteristic. In order to fit the final specifications of the multiplexer all the channels (five in this study) are synthesized as all-pole fourth-order Chebyshev filters with 100-MHz bandwidth and 26-dB return loss. The central frequency for the low channel is 11.0 GHz and the spacing between channels is 160 MHz. Following [17], the circuital values of the low-pass prototype are calculated. By means of classical circuital transformations a synchronously tuned distributed model is obtained where all the intercavity couplings are different. Moreover, the input impedance is normalized in order to represent the equivalent reflection coefficient. The circuital response, as well as the theoretical coupling values, are taken as the reference, using the mode-matching technique, to obtain the geometrical dimensions. Every intercavity coupling is implemented as an inductive iris. Since the coupling value is known in advance, by fixing the thickness value, the width is calculated (Fig. 1, and dimensions). This process is repeated for all the irises. Afterdimension) corresponding wards, the length cavities (Fig. 1, to the mode are modified using the phase values ) of the reflection coefficients of the two irises making up a cavity is the wavelength at central frequency] as follows: (1) [

(1) Since the channel filters are narrow-band (0.9%), this simple method allows the geometrical dimension of the filters (Table I) to be calculated without any optimization process. As an example, Fig. 2 shows the comparison between the circuital and full-wave responses for channel 3 with the data in Table I.

Fig. 2. Circuital and full-wave responses of the singly terminated channel 3.

III. MANIFOLD DESIGN Once the channel filters are designed, they must be multiplexed onto the manifold through -plane T-junctions. In view of the band throughout which the channels are spread, the chosen configuration is one where the channels are arranged in ascending order of frequency from the short-circuited end of the manifold arranged in sequence from alternate sides of the manifold to minimize its total length. In this stage, the goal is to calculate the distances between the filters and the short circuit and, to do that, the fictitious reactive load concept is introduced. Since the full-wave response of the singly terminated channel filters has been previously calculated, they are replaced by their input reflection coefficient (Fig. 3). In this way, the computational effort is drastically reduced. In order to highlight the significance of the phase variation for the input reflection coefficient of a channel in its adjacent bands, channel 3 has been designed in both configurations, i.e., singly and double terminated. Fig. 4 shows the phase of the input

2630

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 5.

Fig. 3. Manifold loaded with the fictitious reactive loads replacing the singly terminated channel filters.

H -plane T-junction and the connection geometry to the channel.

In order to take into account the interaction between channels when they are put together on the manifold, a parametric characterization of their behavior out of their corresponding band is accomplished. Since the input reflection coefficient phase variation of every channel in the other bands has already been calculated, it can be modeled by a two-order polynomial (2) that is enough to assume an approximated 30 phase variation as follows: (2) is the phase of the channel in the channel frequency , is the frequency, and are the coefficients of the polynomial. In this way, the th channel is modeled as a fictitious reactive load defined by its reflection coefficient (3) as follows: (3)

Fig. 4. Phase variation of the input reflection coefficient response of channel 3 in its adjacent bands for the singly and double terminated configurations.

TABLE II PHASE VARIATION OF THE INPUT REFLECTION COEFFICIENT FOR CHANNEL 3 IN THE OTHERS FOR THE SINGLY AND DOUBLE TERMINATED CASES

reflection coefficient of channel 3 in the bands corresponding to channels 2 and 4. The numerical values are detailed in Table II. As can be observed, the greater variation corresponds to channels 2 and 4. For the double terminated case, it is approximately 42 and for the singly terminated case, it is approximately 30 . This reduction of approximately 30% reveals the advantage of using the singly terminated configuration.

Since an -plane structure is used, there are no slots to connect every filter to the manifold. Therefore, an iris and two connection lengths will be added to every T-junction as necessary degrees of freedom to compensate for the reactance of the adjacent channels (Fig. 5). Regarding this point, the first and last channels are the most critical because both only have an adjacent one and the phase compensation is more difficult. The strategy to calculate the distance between the channels and the short circuit and T-junctions with their connection is based on the fictitious reactive load concept. A full-wave design of the manifold using the mode-matching technique is carried out. The input reflection coefficient of the manifold is optimized using the loads defined in (3), in nine frequency points for every channel, using 20 unknowns; three for every T-junction (Fig. 5 dimensions, since and are fixed), the distance between channels (initially a half-wave length is considered) and to the short circuit. The simulated annealing method [18] has been chosen because of its suitability for optimization problems, especially those where a desired global extreme is hidden among poorer local extremes. The first goal is a 15-dB input reflection level in the passband for all the channels, which are progressively incremented until the value used to the synthesis of the channel family modes filter 26 (dB) is achieved. Since only the

MONTEJO-GARAI et al.: FULL-WAVE DESIGN OF

-PLANE CONTIGUOUS MANIFOLD OUTPUT MULTIPLEXERS

Fig. 7. Five-channel

2631

H -plane multiplexer without cover.

Fig. 6. Input reflection response of the manifold loaded with the fictitious reactive loads. TABLE III

FIVE-CHANNEL

Ku-BAND MULTIPLEXER SPECIFICATIONS

are required ( odd and even), the computational cost is very low. Fig. 6 shows the result of this stage. IV. MULTIPLEXER DESIGN Once the geometrical dimensions of the manifold have been calculated, the fictitious reactive loads are replaced by the real filters in order to consider the higher mode interactions into the structure. With the aim of obtaining the final dimensions of the multiplexer, a full-wave optimization is carried out. In this step, the geometrical dimensions of the first cavity of all the channels are incorporated (35 unknowns). In the next step, the geometrical dimensions of the second cavities are added (45 unknowns). In addition, the reject level at the central frequency of every channel is considered in the optimization. The variation in the third and fourth cavities is finally taken into account (65 unknowns). A convergence study shows that 40 modes in the channels and 20 in the T-junctions are enough to guarantee an input reflection coefficient level under 23 dB in the useful bandwidths. The manufacturing process has been carried out by means of controlled milling with an accuracy of 0.02 mm. The sensitivity analysis points out that with this accuracy tuning screws may be necessary in the filters, but not in the manifold. In spite of this fact, the power-handling capability is not reduced because the screws would be only inserted a few tenths of a millimeter. The multiplexer specifications are collected in Table III. In order to achieve them and bearing in mind the multiplexing process, the channel filter bandwidth specification was 100 MHz and the return-loss level was 26 dB.

Fig. 8.

Comparison between the simulated and measured transmission.

V. RESULTS Using the aforementioned procedure, a five-channel mul-band operation has been designed, tiplexer prototype for manufactured, and measured. The input–output ports are standard WR75. The structure has been manufactured in brass using a lowcost milling technique and is formed in one block where all the cavities and irises have the same height (Fig. 7). To compensate for the mechanical tolerances ( 0.02 mm), tuning screws have been used only in the first two cavities of the fourth and fifth channels. Figs. 8 and 9 show the comparison between the full-wave simulation using the mode-matching method and the measurements for the transmission and input reflection, respectively. As can be observed, the agreement is good and, in addition, the specifications detailed in Table III are fulfilled. Due to the brass, the insertion losses at the central frequency of the higher channel is approximately 0.8 dB.

2632

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

[12] D. Wolk, J.-M. Mari, and D. Rosowsky, “The 14 channel C -band output multiplexer assembly for Intelsat 805/806,” in AIAA Int. Communication Satellite System Conf., Washington, DC, 1996, Paper A96-0982-CP, pp. 101–107. [13] A. Morini, T. Rozzi, and M. Mongiardo, “Efficient CAD of wide-band contiguous channel multiplexers,” in IEEE MTT-S Int. Microwave Symp. Digest, San Francisco, CA, Jul. 1996, pp. 1651–1654. [14] Y. Rong, H. Yao, K. A. Zaki, and T. Dolan, “Millimeter-wave Ka-band H -plane diplexers and multiplexers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2325–2330, Dec. 1999. [15] L. Accatino and M. Mongiardo, “Hybrid circuit-full-wave computeraided design of a manifold multiplexers without tuning elements,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2044–2047, Sep. 2002. [16] G. G. Connor and M. J. Perren, “Design and performance of a Ku-band 8 channel contiguous OMUX for satellite applications,” in IEE Microwave Filters and Multiplexers Colloq. Dig., vol. 64, London, U.K., 1990, pp. 12/1–12/5. [17] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance Matching Network and Coupling Structures. New York: McGraw-Hill, 1965. [18] W. H. Press et al., Numerical Recipes in Fortran. The Art of Scientific Computing. Cambridge, U.K.: Cambridge Univ. Press, 1992. Fig. 9.

Comparison between the simulated and measured input reflection.

VI. CONCLUSION A new procedure for the efficient design of contiguous manifold multiplexers that uses fictitious reactive loads to simulate the phase response of the channels out of their corresponding bands has been presented. The above concept is the key to drastically reduce the computational effort. The -plane configuration with the main characteristics of high power handling and low cost has been used. This procedure has made possible -band five-channel contiguous output multithe design of a plexer. The experimental results fulfill the specifications and are in good agreement with the theoretical simulations. REFERENCES [1] C. Kudsia, R. Cameron, and W. C. Tang, “Innovations in microwave filters and multiplexing networks for communications satellite systems,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 6, pp. 1133–1149, Jun. 1992. [2] C. S. Barham, “Review of design and performance of microwave multiplexers,” Marconi Rev., vol. XXXV, no. 184, pp. 1–23, First Quarter, 1972. [3] G. Matthaei and E. Cristal, “Theory and design of diplexers and multiplexers,” in Advances in Microwaves. New York: Academic, 1967. [4] A. E. Atia, “Computer-aided-design of waveguide multiplexer,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 3, pp. 332–336, Mar. 1974. [5] M. H. Chen et al., “A contiguous band multiplexer,” COMSAT Tech. Rev., vol. 6, no. 2, pp. 285–307, Fall 1976. [6] J. D. Rhodes and R. Levy, “A generalized multiplexer theory,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 2, pp. 99–111, Feb. 1979. [7] , “Design of general manifold multiplexer,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 2, pp. 111–122, Feb. 1979. [8] D. J. Rosowsky and D. Wolk, “A 450-W output multiplexer for direct broadcasting satellites,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1317–1323, Sep. 1982. [9] R. G. Egri, “A contiguous-band multiplexer design,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, 1983, pp. 86–88. [10] M. Guglielmi, “Simple CAD procedure for microwave filters and multiplexers,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1347–1352, Jul. 1994. [11] H. Zeh and D. Rosowsky, “15 channel contiguous Ku-band high power output multiplexer,” in AIAA Int. Communication Satellite System Conf., San Diego, CA, 1994, Paper A94-1033-CP, pp. 837–842.

José R. Montejo-Garai was born in Vitoria-Gasteiz, Spain, in 1965. He received the Ingeniero de Telecomunicación and Ph.D. degrees from the Universidad Politécnica de Madrid, Madrid, Spain, in 1990 and 1994, respectively. Since 1989, he has been with the Grupo de Electromagnetismo Aplicado y Microondas, Universidad Politécnica de Madrid, as an Assistant Professor until 1996, when he became an Associate Professor. His research interests include the analysis and characterization of waveguide structures, advanced synthesis theory, and CAD for microwave and millimeter-wave passive devices, filters, multiplexers, orthomode transducers, beam-forming networks, etc. He has designed numerous passive microwave devices for communication satellites.

Jorge A. Ruiz-Cruz was born in Madrid, Spain. He received the Ingeniero de Telecomunicación degree from the Universidad Politécnica de Madrid (UPM), Madrid, Spain, in 1999, and is currently working toward the Ph.D. degree at UPM. In 1999, he joined Radiación y Microondas Sociedad Anonima (RYMSA). Since 1999, he has been with the Grupo de Electromagnetismo Aplicado y Microondas, UPM. In 2002, he was with the Politecnico di Milano, Milan, Italy. In 2003, he was with the University of Maryland at College Park. His current research interests are the CAD of microwave passive devices and circuits.

Jesús M. Rebollar was born in Beasain (Gipuzkoa), Spain, in 1953. He received the Ingeniero de Telecomunicación and Doctor degrees from the Universidad Politécnica de Madrid, Madrid, Spain, in 1975 and 1980, respectively. Since 1976, he has been with the Grupo de Electromagnetismo Aplicado y Microondas, Universidad Politécnica de Madrid, as an Assistant Professor until 1982 and an Associate Professor until 1988, when he became a Professor of teoría electromagnética. His research interests include electromagnetic-wave propagation in waveguide structures, interactions of electromagnetic fields with biological tissues, and particularly CAD for microwave and millimeter-wave passive devices: filters, multiplexers, polarizers, orthomode transducers, beam forming networks, etc. He has designed numerous above components for communication systems on board satellites.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

2633

Large-Signal Diode Modeling—An Alternative Parameter-Extraction Technique Yew Hui Liew, Member, IEEE and Jurianto Joe, Member, IEEE

Abstract—An alternative numerical optimization method of large-signal equivalent-circuit diode modeling using dc and small-signal -parameter measurements is described. In general, there are two ways to extract the equivalent-circuit parameters to model a nonlinear device such as a diode. They are based on numerical optimization or noniterative analytical procedure. The former is usually better in approximating the device response. Nevertheless, it requires arbitrary selection of a voltage-dependent -parameter set to obtain the voltage-independent parameters. In this alternative numerical optimization method, an arbitrary selection of a voltage-dependent -parameter set to obtain the voltage-independent parameters is not required. Validation of this parameter-extraction technique is done via modeling a forward-biased tunnel diode and a reverse-biased varactor diode. The models are further verified by implementing them in designing and developing an oscillator and a voltage-controlled oscillator. Index Terms—Diodes, modeling, oscillators, tunnel diodes, varactors, voltage-controlled oscillators (VCOs).

I. INTRODUCTION

D

IODES ARE ubiquitous in modern day RF and microwave circuits. Their high-frequency response is superior to three-terminal devices, which makes them irreplaceable in the highest frequency applications [1]. The general equivalent circuit of a packaged diode is well established, as depicted in Fig. 1 [2], [3], but heretofore, extracting the values of the equivalent-circuit parameters are based on numerical optimization or noniterative analytical procedure. Generally, numerical optimization method can better approximate the electrical device response than noniterative analytical procedure [4]. In the current numerical optimization method, however, the procedure to obtain the optimum values for the voltage-independent parameters is rather arbitrary simply because, in the curve-fitting process, the voltage-dependent parameters will take on different values when curve fitted to the different sets of voltage-dependent -parameter measurement data (with each set of -parameter measurement data being frequency dependent and measured at a particular bias point), but the voltage-independent parameters can only take on one set of values to curve fit to all the measurement data. Hence, there exist a dilemma as to which set of voltage-dependent -parameter measurement data to use to optimize the voltage-independent parameters to attain an optimal solution [5], [6]. Manuscript received November 6, 2004; revised March 8, 2005. Y. H. Liew is with the Singapore Design Center, Mobile Devices Business, Motorola, Singapore 569088 (e-mail: [email protected]). J. Joe is with Cellonics Inc. Pte. Ltd., Singapore 117674 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2005.852747

Fig. 1. Packaged diode large-signal equivalent circuit.

In this paper, an alternative numerical optimization method that could be used to extract a large-signal equivalent circuit is introduced. This method, nonetheless, is limited to devices that has two voltage-dependent parameters. The advantage of this method is that it does not require arbitrary selection of a voltage-dependent -parameter set. It splits the parameter-extraction process into a two-step procedure. In the first stage, the values for the voltage-independent parameters are not optimized for a best curve fit to any particular set of voltage-dependent -parameter measurement data, but rather optimized to cancel their total effects on all sets of voltage-dependent -parameter measurement data simultaneously using the negative of their component values with proper optimization criteria. These negative-valued components, though not physical, are mathematical quantities that are necessary to obtain optimized voltage-dependent parameters that will be derived in the succeeding stage. The objective of this paper, therefore, is to elucidate this alternative technique that can be effectively utilized to obtain the equivalent circuit of a large-signal diode model from the measured dc and voltage-dependent small-signal -parameter data. II. EXTRACTING DIODE MODEL PARAMETERS In order to extract the large-signal equivalent-circuit model of the diode, besides the terminal dc I–V measurement data, a series of voltage-dependent small-signal -parameter measurement data is required; these -parameter data can be either one- or two-port measurements. In addition, a circuit simulator software that can handle negative values of L, C, and R is also required; in this case, ADS2003C from Agilent Technologies, Palo Alto, CA, is used. The parameter-extraction methodology described in this paper is divided into two main steps. Firstly, the total effects contributed by the voltage-independent components on the measurement results are systematically subtracted using the negative of their component values through optimization. In this process, their parameter values are extracted. These component values are used in a subsequent stage to obtain optimized

0018-9480/$20.00 © 2005 IEEE

2634

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 2. Schematic to cancel the effect of the voltage-independent components in Fig. 1.

Fig. 3.

Y -parameter of voltage-dependent components in Fig. 2(b).

parameters of the voltage-dependent components to complete the diode modeling process. Diode D in the schematic diagram shown in Fig. 2(a) represents the voltage-dependent small-signal -parameter measurement data of a diode, which is assumed to have the equivalent circuit depicted in Fig. 1. The rest of the components are added to systematically cancel the effect of each voltage-independent component on the measurement results. This deembed- or ding technique is theoretically equivalent to using -parameters in deembedding two-port networks [7], [8], but certainly more convenient and compact in form when used with a simulator. If all the external components added represent the exact negative values to cancel the voltage-independent components, the net result is the voltage-dependent components illustrated in Fig. 2(b). The -parameter of this resultant circuit is diagrammed in Fig. 3 where the real and imaginary parts of the -parameter are the diode dynamic junction conductance and the susceptance due to the diode junction capacitance, respectively; this should explain the series of horizontal lines in Fig. 3(a) and the series of straight lines passing through the origin in Fig. 3(b). Since these results can only be achieved if the added external components exactly cancel the effect of the voltage-independent components, the optimization criteria are, therefore, no longer curve fitting to the measurement data, but rather to obtain a series of straight lines. It should be emphasized here that with this optimization criteria, no one particular set of voltage-dependent measurement data is favored over the other because the voltage-independent parameters obtained are valid for all voltage-dependent data. After obtaining the voltage-independent parameters, the next step is to extract the voltage-dependent parameters. The junction resistance of the diode can be found from the terminal dc I–V measurement. Nonetheless, this data will include the effect of the parasitic series resistance , which will normally be extracted using the approximation method described in [2] or [9]. However, it should be noted that for the diode modeling methodology described here, the value of this resistor, which is voltage-independent, has been extracted in the preceding stage;

Fig. 4.

Tunnel-diode large-signal equivalent circuit.

its effect can thus be simply subtracted out from the terminal dc I–V measurement data. As a result, the I–V characteristics for the voltage-dependent junction resistance can be found readily. Extracting the voltage-dependent junction capacitance is also a straightforward process since its C–V characteristics can be conveniently found from the gradient of the straight lines in . At this juncture, the I–V Fig. 3(b), which is equal to characteristics of the diode junction resistance and the C–V characteristics of the diode junction capacitance have been determined and appropriate mathematical functions can be utilized to model their characteristics via curve fitting [10], which completes the parameter-extraction process. An inherent disadvantage of this modeling procedure is that there can be no more than two voltage-dependent components in the diode equivalent-circuit model, as this would render setting up the optimization goals to extract the voltage-independent parameters impossible since the resultant data, either in - or -parameters (for parallel or series circuits, respectively) will no longer be just a series of straight lines. Sections III and IV will detail the application of this diode modeling procedure in the modeling of tunnel and varactor diodes. The reason these two types of diodes are selected, other than due to the fact that both are widely used in microwave circuits, is to show the generality of this method by implementing it to model forward- and reverse-biased diode. As such, by modeling these two types of diodes, it is hoped that this generalized, yet systematic approach of extracting a large-signal equivalent-circuit diode model can be better appreciated and understood. Additionally, it is also quite easy to validate the accuracy of the extracted models since the tunnel diode can be used to build an oscillator circuit and both the tunnel and varactor diodes can be used to build a voltage-controlled oscillator (VCO). The comparison between simulated and measured results for the oscillator and VCO will be presented. III. ONE-PORT TUNNEL-DIODE MODELING The tunnel diode selected for the modeling process is MP1X7199 from M-Pulse Microwave, San Jose, CA; it is chosen for no particular reason other than availability. Fig. 4 diagrams the equivalent circuit of this diode, which is slightly different from the equivalent circuit of the packaged diode is previously shown in Fig. 1. The parallel resistance added mainly to model the frequency-dependent losses more accurately [11] and the original series-L shunt-C networks, which model the leads of the package are replaced with -networks. The one-port -parameter data of the tunnel diode is measured using the 37317C network analyzer from the Anritsu Corporation, Atsugi, Japan, from 22.5 MHz to 8.6 GHz using

LIEW AND JOE: LARGE-SIGNAL DIODE MODELING

2635

Fig. 5. Measured Y -parameter of tunnel diode. TABLE I OPTIMIZED VOLTAGE-INDEPENDENT PARAMETERS OF FIG. 4

35-dBm port power; the -parameter of the measurement data is plotted in Fig. 5. A one-port measurement setup is used here primarily due to stability reason. It was found that, in a two-port measurement setup, the tunnel diode was oscillating in the TRL-3004 fixture from Inter-Continental Microwave, Santa Clara, CA. This can be explained using the virtual ground concept articulated in [12] where the terminal impedance loading the tunnel diode in one- and two-port measurement and 100 , respectively. For a tunnel setups are 50 diode, which is a voltage-controlled negative resistance device, a smaller terminal impedance would better ensure stability [5], [13], [14]. By following the parameter-extraction techniques described in Section II to deembed the voltage-independent parameters, which is to obtain a series of straight lines, as shown in Fig. 3 using the measured -parameter shown in Fig. 5, the optimized voltage-independent parameter values are listed in Table I. The ADS simulation/optimization setup is quite similar to those described in [15] and, for brevity, are not shown here. With the values of the voltage-independent parameters obtained, the subsequent step is to extract the voltage-dependent parameters of and in Fig. 4. The I–V the tunnel-diode model, namely, characteristics of the junction resistance can be found from the terminal dc I–V measurement data of the tunnel diode by subtracting out the voltage drop due to the series resistance , which was obtained together with the other voltage-independent parameters. Referring to Fig. 6(a), three separate functions [see (2)–(4)] are used to curve fit the junction resistance I–V characteristics. The first and second functions are second-and fifth-order polynomials, respectively, while the third function is an exponential function; the transition between these three functions occur at the peak and valley voltages of the tunnel diode [16] and are realized via step-up and step-down functions [see (4) and (5)] created using left- and right-handed functions described in [10]. The complete junction resistance I–V characteristics is StepDn

StepUp (1) (2)

Fig. 6. Tunnel diode. (a) I–V characteristics of junction resistance. (b) C–V characteristics of junction capacitance.

Fig. 7.

Simulated and measured small-signal S -parameter.

(3) (4) StepDn

(5)

StepUp

(6)

The C–V characteristics of the tunnel-diode junction capacitance is shown in Fig. 6(b) and is curve fitted using a third-order polynomial in (7) as follows:

(7) Fig. 7 diagrams the simulated and measured small-signal -parameter of the tunnel diode. As illustrated, the curves match each other very well over the frequency and voltage range under consideration. To validate the large-signal performance of the tunnel-diode model, a 900-MHz fixed-frequency oscillator is designed using the tunnel diode as the active element; the decision to set the oscillation frequency at 900 MHz is based on the fact that this model is derived from -parameter data that is measured up to 8.6 GHz and, hence, the model should still be accurate at the

2636

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

TABLE II OPTIMIZED VOLTAGE-INDEPENDENT PARAMETERS OF FIG. 9

Fig. 8.

Oscillator circuit.

Fig. 9.

Varactor-diode large-signal equivalent circuit.

tenth harmonic of the oscillation frequency. Fig. 8 shows a photograph of this oscillator circuit. The subminiature A (SMA) connector on the left-hand-side edge of the board is for connecting the dc supply voltage, while the oscillator output is taken from the SMA connector on the bottom edge. The supply voltage is 0.16 V, which biases the tunnel diode at the middle of its negative resistance region [14] [see Fig. 6(a)], while isolation between dc and RF is attained via the butterfly stub. The measured oscillation frequency and output power of this oscillator are 891.9 MHz and 24.78 dBm, respectively, versus the simulated results of 902.3 MHz and 24.61 dBm. This error translates to less than 1.2% and 0.2-dB difference in oscillation frequency and output power respectively, which should be sufficient to prove that this diode model is an accurate large-signal model of the MP1X7199 tunnel diode.

analyzer, respectively. The reason for using a higher port 2 power is purely because it is the minimum output power of the Anritsu 37317C network analyzer used for the measurement. An important point to note here is that this diode can also be measured in a one-port measurement setup, but for discussion purposes, a two-port measurement setup is chosen here to illustrate the flexibility of the modeling technique discussed in this paper. The optimized voltage-independent parameters of the varactor diode are listed in Table II. Again, these values are obtained using the optimization procedures and criteria discussed in Section II. To extract the I–V characteristics of the junction resistance, an approximation will be made here. Since the reverse leakage current of the varactor diode is normally very small and there is no instrument at the authors’ disposal to make this measurement accurately, the I–V characteristics of the varactor diode will be extracted from and curve fitted to forward-bias measurement data, assuming that it is still applicable in the reverse-bias region. Fig. 10(a) shows the measured and modeled junction resistance I–V characteristics of the forward-biased varactor diode. The equation is (8) where (9) (10) (11)

IV. TWO-PORT VARACTOR-DIODE MODELING The varactor diode used for the modeling process is BB833 from Infineon Technologies, Munich, Germany, which, as in the case for the tunnel diode, is chosen based solely on availability. Fig. 9 diagrams a large-signal equivalent-circuit model that is able to accurately model the terminal characteristics of this varactor diode within the frequency and voltage range considered in this paper [17]. Applying the two-step diode modeling procedure discussed in Section II to extract the large-signal equivalent-circuit model of varactor diodes is theoretically similar, but practically much more easier relative to tunnel diodes; this is due to the fact that varactor diodes are passive devices and, hence, will not have any of the deleterious stability issues during -parameter measurements. Consequently, the -parameter measurement is performed using a two-port setup. The diode is measured from 22.5 MHz to 8.6 GHz with 35and 25-dBm port power at ports 1 and 2 of the network

Since curve fitting the normal diode equation to the dc measurement data is widely available in open literature, it will not be elaborated further [2], [9]. As for the C–V characteristics of the junction capacitance, the extraction procedure is exactly similar to that used for the tunnel diode, except for the fact that a fourth-order polynomial equation is used to curve fit the C–V characteristics as follows:

(12) with the final result as diagrammed in Fig. 10(b). The reason for using a polynomial equation to curve fit the C–V characteristics of the reverse-biased junction capacitance of the varactor diode instead of the normal junction capacitance equation is twofold. Firstly, it was found that a polynomial equation can fit the measurement data more accurately within the

LIEW AND JOE: LARGE-SIGNAL DIODE MODELING

2637

Fig. 12.

VCO circuit.

Fig. 13.

Simulated and measured VCO frequency and power.

Fig. 10. Varactor diode. (a) I–V characteristics of junction resistance. (b)C–V characteristics of junction capacitance.

differs by no more than 0.5 dB over the entire tuning range of interest. At 900 MHz, the 10-MHz frequency error translates to less than 1.1%. As such, the varactor-diode model obtained should be an accurate large-signal model of the BB833 varactor diode. Fig. 11.

Simulated and measured small-signal S -parameter.

voltage range under consideration here. Secondly, ADS provides a nonlinear capacitor model, which takes polynomial coefficients as its parameters, making this a very convenient option. The simulated and measured -parameters of the tunnel diode is diagrammed in Fig. 11. As illustrated, the curves match each other very well over the frequency and voltage range under consideration. To validate the large-signal performance of the varactor-diode model, a 900-MHz center frequency VCO is designed where the varactor diode is used as a variable capacitor for frequency tuning and the tunnel diode modeled in Section III is used as the active device. Fig. 12 is a photograph of this VCO circuit. The SMA connectors on the left- and right-hand-side edges of the board are for connecting the 0.16-V dc supply voltage to the tunnel diode and the tuning voltage that reverse biases the varactor diode, respectively, while the SMA connector at the bottom edge of the printed circuit board (PCB) is the RF output of the VCO. The measured oscillation frequency changes from 879.3 to 933.8 MHz and the output power is 25.4 dBm 0.2 dB when the tuning voltage is varied from 1 to 13 V, as shown in Fig. 13. Deviation between simulated and measured oscillation frequency is less than 10 MHz, while output power

V. CONCLUSION It has been shown that, when extracting the large-signal equivalent-circuit model of diodes, the dilemma of which set of voltage-dependent -parameter measurement data to be used to obtain the voltage-independent parameters of the diode is unnecessary by separating the parameter-extraction process into a two-step procedure with proper optimization criteria. Using this modeling technique, the diode equivalent-circuit parameter-extraction process is simplified and reduced to nothing more than a set of systematic mathematical steps. A sole limitation of this modeling procedure is that there can be no more than two voltage-dependent components in the diode equivalent-circuit model. Validation of this alternative numerical optimization technique has been done using a forward-biased tunnel diode and a reverse-biased varactor diode. The differences between simulated and measured oscillation frequency and output power is less than 1.2% and 0.2 dB, respectively, for a 900-MHz oscillator designed using the tunnel diode. On the other hand, the deviation between simulated and measured oscillation frequency and output power is less than 1.1% and 0.5 dB, respectively, for a 900-MHz center-frequency VCO designed using the tunnel diode as the active device and the varactor diode as the tuning element over a tuning range from 1 to 13 V.

2638

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

ACKNOWLEDGMENT The authors would like to thank C. B. B. Sia, Institute for Infocomm Research, Singapore, and G. H. Ng, Motorola MDB, Singapore, for fabricating the PCBs and J. S. Bong, Motorola MDB, for taking the time to review this paper’s manuscript.

[16] Z. Yan and M. J. Deen, “New RTD large-signal DC model suitable for PSPICE,” IEEE Trans. Computer-Aided Des., Integr. Circuits Syst., vol. 14, no. 2, pp. 167–172, Feb. 1995. [17] J. T. Louhi and A. V. Räisänen, “On the modeling and optimization of Schottky varactor frequency multipliers at submillimeter wavelengths,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 4, pp. 922–926, Apr. 1995.

REFERENCES [1] G. I. Haddad and R. J. Trew, “Microwave solid-state active devices,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 760–779, Mar. 2002. [2] S. A. Maas, Nonlinear Microwave Circuits. Piscataway, NJ: IEEE, 1997. [3] , “AN1124: Linear models for diode surface mount packages,” Hewlett-Packard, Palo Alto, CA, 1997. [4] F. Filicori, G. Ghione, and C. U. Naldi, “Physics-based electron device modeling and computer-aided MMIC design,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 7, pp. 1333–1352, Jul. 1992. [5] M. R. Deshpande, K. Liu, S. M. El-Ghazaly, V. Nair, A. Cidronali, N. El-Zein, G. Manes, and H. Goronkin, “Tunnel diode nonlinear model for microwave circuits and active antennas,” in IEEE MTT-S Int. Microwave Symp. Dig., 2001, pp. 403–406. [6] K. Liu, S. M. El-Ghazaly, M. R. Deshpande, V. Nair, N. El-Zein, and H. Goronkin, “Active antennas incorporating tunnel diodes—Large signal model approach,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 8, pp. 331–333, Aug. 2001. [7] G. Gonzalez, Microwave Transistor Amplifiers: Analysis and Design, 2nd ed. Englewood Cliffs, NJ: Prentice-Hall, 1997. , “AN1364-1: De-embedding and embedding S -parameter net[8] works using a vector network analyzer,” Agilent Technol., Palo Alto, CA, 2001. [9] M. J. Deen, “Simple method to determine series resistance and its temperature dependence in AlAs/GaAs/AlAs double barrier resonant tunnelling diodes,” Electron. Lett., vol. 28, no. 13, pp. 1195–1197, 1992. [10] T. R. Turlington, Behavioral Modeling of Nonlinear RF and Microwave Devices. Boston, MA: Artech House, 2000. [11] T.-S. Horng, S.-M. Wu, H.-H. Huang, C.-T. Chiu, and C.-P. Hung, “Modeling of lead-frame plastic CSP’s for accurate prediction of their lowpass filter effects on RFICs,” IEEE Trans. Microw. Theory Tech., vol. 49, pp. 1538–1545, Sep. 2001. [12] S. Alechno, “Analysis method characterizes microwave oscillators: Oscillator analysis, part 1,” Microwaves RF, vol. 36, pp. 82–86, Nov. 1997. [13] L. O. Chua and G.-Q. Zhong, “Negative resistance curve tracer,” IEEE Trans. Circuits Syst., vol. CAS-32, no. 6, pp. 569–582, Jun. 1985. [14] C. Kidner, I. Mehdi, J. R. East, and G. I. Haddad, “Power and stability limitations of resonant tunneling diodes,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 7, pp. 864–872, Jul. 1990. [15] Y. H. Liew and J. Joe, “Design of small-signal amplifier using HP MDS: Part I,” Microwave J., vol. 42, pp. 72–90, Nov. 1999.

Yew Hui Liew (S’98–M’96) received the B.E.E. degree from the University of Technology Malaysia, Skudai, Malaysia, in 1995, and the M.Sc. degree in electrical engineering from the National University of Singapore, Singapore, in 1999. From 1995 to 1997, he was a Research and Development Engineer with Motorola RPG, Penang, Malaysia, where he was involved in two-way radio development. From 1998 to 1999, he was with the Center for Wireless Communications, Singapore, where he was involved with active integrated microstrip arrays. He is currently with the Singapore Design Center, Mobile Devices Business, Motorola, Singapore, where he is involved in cellular phone design. He is listed in Marquis’ Who’s Who in Science and Engineering. Mr. Liew was the recipient of the Association of South East Asian Nations (ASEAN) Postgraduate Scholarship in 1998.

Jurianto Joe (S’86–M’89) received the B.Sc. degree from the University of Texas at Arlington, in 1988, and the M.Sc. and Ph.D. degrees in electrical engineering from the University of Wisconsin–Madison, in 1990 and 1996, respectively. From 1991 to 1996, he was with the High Power Millimeter Wave Laboratory, University of Wisconsin–Madison, where he conducted research on moderate-power sheet-beam-compatible rectangular grating traveling-wave tubes (TWTs). From 1996 to 2000, he was a Member of Technical Staff with the Center for Wireless Communications, Singapore. He was involved in various areas such as rectennas, active antennas, and nonlinear circuits for communication applications. He invented a new method for digital communications using nonlinear circuits called cellonics technology and cofounded Cellonics Inc. Pte. Ltd., Singapore. He is currently a Director with Cellonics Inc. Pte. Ltd., where he continues to exploit cellonics technology. He holds 14 patents with seven pending. He is listed in Marquis’ Who’s Who in Science and Engineering. Dr. Joe was the recipient of the 2001 Singapore National Technology Award for his work related to the application of nonlinear circuits in digital communications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

2639

A Handset Power Amplifier With High Efficiency at a Low Level Using Load-Modulation Technique Joongjin Nam, Jin-Ho Shin, and Bumman Kim, Senior Member, IEEE

Abstract—A new monolithic-microwave integrated-circuit power amplifier for cellular handsets has been implemented using the load-modulation concept of the Doherty amplifier, which has a high efficiency at a low power level. In order to get a compact module, the 4 transmission line for the load modulation is replaced by a passive high-pass -network, and the load-modulation circuit is also modified to function as a power-matching circuit of the main amplifier. The amplifier has two modes of operation, low- and high-power modes, controlled by a control voltage. At the high power mode, both the main and auxiliary amplifiers are operational and, at the low power mode, only the main amplifier generates output power enhancing the efficiency. For the code-division multiple-access environment, the amplifier at the low-power mode provides power-added efficiency (PAE) of 39.8% and an adjacent channel power ratio (ACPR) less than 49.8 dBc at 23.1 dBm, and the high-power mode PAE of 37.9% and ACPR of 46.4 dBc at 28 dBm. The efficiency is improved by approximately 18.8% at out = 23 dBm by the load-modulation technique. For the advanced mobile phone system-mode operation, the amplifier delivers 26.1 dBm with PAE of 53% and 30.8 dBm with 48.7% at the low and high modes, respectively. Index Terms—Advanced mobile phone system (AMPS), cellular, code division multiple access (CDMA), Doherty amplifiers, handset, InGaP/GaAs HBT, load modulation, 4 transmission line, power amplifiers (PAs).

I. INTRODUCTION

T

HERE ARE increasing demands on highly efficient linear amplifiers for mobile handsets. The power amplifiers (PAs) usually deliver a high efficiency only near the maximum rated power level ( 28 dBm), and the efficiency drops drastically as the output power level is reduced. For the code-division multiple-access (CDMA) system, the power level for usual operation is less than 20 dBm and the PAs operate mostly at the low-efficiency region. Since the PAs in a mobile handset consume a large portion of battery power, it is desirable to have a high efficiency at the backed-off power region to extend battery lifetime [1], [9], [11]. There are many efficiency enhancement techniques at the low-power region such as Khan, envelope tracking, linear amplification using nonlinear components (LINC), and load modulation [1], [7], [10]. The load-modulation scheme, which is described by Doherty, is a simpler circuit than the others, and

Manuscript received November 15, 2004; revised February 6, 2005. This work was supported by the Ministry of Education, Korea, under Brain Korea 21 projects. J. Nam and B. Kim are with the Department of Electric Engineering, Pohang University of Science and Technology, Pohang, Gyeongbuk 790-784, Korea (e-mail: [email protected]). J.-H. Shin is with Future Communication Integrated Circuit Inc., Bundang, Gyeunggi-do 463-020, Korea. Digital Object Identifier 10.1109/TMTT.2005.852745

Fig. 1.

Operational diagram of the load-modulation circuit.

the most promising solution for the handset applications [1], [2], [9]. In the load-modulation amplifier, only the main amplifier generates output at a low-power level. At a high-power level, the main and auxiliary amplifiers generate output together. Therefore, the PA delivers a high efficiency at a low-power level while maintaining a comparable efficiency at a high-power level. transmission line The classical Doherty amplifier uses a for the load modulation, but it is not suitable for handset amplifier application due to the large size [1], [4], [6], [8]. To apply transthe load-modulation technique to a handset PA, the mission line is replaced by a lumped LC -network [4]. Based on the circuit, we have demonstrated a linear PA with a high efficiency at the back-off region using GaAs HBT technology. II. OPERATION OF PA BASED ON THE DOHERTY CONCEPT Fig. 1 shows an operational diagram of the load-modulation technique suggested by Doherty. Let be the main amplifier’s current source, is the auxiliary amplifier’s current source, and transformer is an ideal transmission line with charthe . When both currents flow into load acteristic impedance of , the effective impedances seen from each side of the load and the load impedance seen by the main amplifier are given by

0018-9480/$20.00 © 2005 IEEE

(1) (2)

2640

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

(3)

where

(4)

When the auxiliary amplifier is turned off, i.e., impedances of and are given by

, the

(5) , and when the auxiliary amplifier is fully turned on, i.e., generating a current comparable to that of the main amplifier, and are given by the impedances of (6) From (5) and (6), we can see that the load impedance seen by the main amplifier and the auxiliary amplifier are modulated. If , the load impedance of the main amwhen and the load impedances of both plifier is when . amplifiers are equal to at the load is a sum of the powers from The power the main amplifier and auxiliary amplifier . at is described by where

(7)

Here, we have assumed that the two current sources deliver the same maximum power. , the When the auxiliary amplifier is turned off, i.e., output power is obtained from (5) and (7) as follows: (8) When the auxiliary amplifier is fully tuned on and two amplifiers generate the same power, i.e., is given by (9) From (5), (6), (8), and (9), we can describe the relationship between the output power at and as follows:

dBm

dB

dBm

Fig. 2. Load line variations for the main and auxiliary amplifiers. (a) Main amplifier. (b) Auxiliary amplifier.

III. IMPLEMENTATION OF THE LOAD-MODULATION PA IN MMIC FORM For the conventional Doherty amplifier [4], the main amplifier line for the load modulation. The output matching needs a network and load-modulation network are separated, and the additional matching network is needed for matching to the system impedance. However, the line is too big to be employed in a miniaturized amplifier module for a mobile handset. The line can be approximated by one of the four lumped component equivalents shown in Fig. 3 [5]. The lumped component values in this figure are given by

(10) (11)

Equation (11) indicates that the maximum power with the auxiliary amplifier turned off is 6 dB lower than that when both the amplifiers are fully turned on. Therefore, a high efficiency at the lower power operation can be achieved by generating power from the main amplifier only with lower bias. For the highpower operation, the main and auxiliary amplifiers are higher biased, and both amplifiers generate full power. The load lines and biases of the two amplifiers are depicted in Fig. 2. For a linear operation with good efficiency, the amplifiers are biased at class AB.

F H where

is frequency at which the line is

(12a) (12b) length [Hz] (13)

In this study, the high-pass -network is employed because the capacitor can function as a dc block and the inductor can be used for a dc bias. Due to the multiple functions of the elements, we can reduce the number of matching elements and save cost and total module size.

NAM et al.: HANDSET PA WITH HIGH EFFICIENCY AT A LOW LEVEL

2641

Fig. 3. Equivalent-circuit topology of =4 transmission line using lumped elements. (a) =4 transmission line. (b) Low-pass  -network. (c) High-pass  -network. (d) Low-pass T-network. (e) High-pass T-network.

Fig. 5. MMIC chip and PCB board. (a) MMIC chip. (b) Test board module.

Fig. 4. Matching schematic of the load-modulation amplifier.

Fig. 4 is the matching circuit schematic of the load-modulatransmission line is replaced by the hightion amplifier. The pass -network, but the inductor used for dc bias is replaced by

a transmission line because the inductor cannot support high dc current at a high-power region. To simplify the circuit topology and miniaturize the module, the impedance levels of the main are designed to be amplifier and auxiliary amplifier for output load matching. One side of the high-pass -network is designed to have

2642

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

Fig. 7. RF power and linearity performances of the PA. (a) Gain and PAE for the two modes of operations. (b) ACPR at offsets of 885 kHz and 1.98 MHz.

Fig. 6. Schematic for the MMIC chip of the PA. (a) Auxiliary amplifier block. (b) Main amplifier block.

and the other side is designed to have (main amp’s optimum load impedance) by adjusting suit(system impedance). ably from (13), which is unequal to Therefore, the modulation circuit functions as a dual-purpose circuit for load modulation and power matching, miniaturizing the module. There are other important design issues for the amplifier. When the auxiliary amplifier is turned off, the output of the auxiliary amplifier circuit should be impedance high, close to an open circuit to prevent any power loss through the amplifier path. When the auxiliary amplifier is turned on, should be power matched to the auxiliary amplifier and is matched to . The matching circuit of the auxiliary amplifier may need a multisection topology to satisfy the above two conditions. We have designed the off-state impedance more than 600 , which is near open [3], [4]. The amplification chain paths for the main and auxiliary amplifiers have different phase delays, and the input matching networks of the two amplifiers have to compensate the phase difference. The inductor in the input network is used for the phase compensation, and the capacitor and base ballasting resistor, which are not shown in Fig. 4, are used for input matching. Since the

input networks of the main and auxiliary amplifiers are parallel combined, they matched to 100 , respectively, for 50- input matching. Fig. 5 shows a photograph of the monolithic-microwave integrated-circuit (MMIC) chip and module on a printed circuit board (PCB) for test. The chip is fabricated using a commercial InGaP/GaAs HBT foundry process and the chip size is as small as 1 mm 1 mm. Fig. 6 shows the schematic of the MMIC chip, and the PA is designed for cellular and advanced mobile phone system (AMPS) band operations at 824 to 849 MHz. The amplifier has two modes of operation controlled by the bias: high-power and low-power modes. The main and auxiliary amplifiers are two stage, and the driver amplifiers of both paths consisted of 2 m 40 m 6 cells. As discussed in (11), the sizes of the power stages are equal with 2 m 40 m 32 cells to get the maximum rated powers of 22 and 28 dBm at the low- and high-power modes. Inter-stage consisted of a series capacitor and shunt inductor, which also work for dc blocking and dc biasing, respectively. The ballasting resistor and capacitor are used at each unit cell in order to improve the amplifier stability, to prevent the thermal runaway, and to match the network. Mode control switches are also integrated in the MMIC chip ). and controlled by a mode control voltage source ( is available from the baseband controller of a handset. In the

NAM et al.: HANDSET PA WITH HIGH EFFICIENCY AT A LOW LEVEL

Fig. 8. Measured spectra at the peak powers of the high and low modes. (a) High-power mode @ P = 28 dBm. (b) Low-power mode @ P = 23:1 dBm.

low-power mode operation, the auxiliary path’s drive and power stages are turned off by the mode control switch. At the same time, the quiescent bias of the power stage of the main amplifier is reduced, but the drive amplifier’s quiescent bias point is not changed. At the high-power mode, all the transistors in the amplifiers are fully turned on and operated in class AB. This operation is similar to a conventional PA. The input matching circuits, load-modulation circuit, and output matching circuit are realized on a PCB to tune the phase delay and reduce RF output loss. Stack diodes are attached to prevent electrostatic discharge (ESD) at the input and output of each path and voltage source terminals. IV. MEASUREMENT RESULTS Fig. 7 shows the gain, PAE, and adjacent channel power ratio (ACPR) for two modes of operation of the amplifier using a

2643

Fig. 9. Measured dc current versus P control.

for the load-modulation PA by mode

Fig. 10.

for AMPS mode operation.

Gain and efficiency versus P

reverse-link IS-95A signal with a chip rate of 1.2288 Mc/s at is 2.85 V, which is the low-power 836.5 MHz. When mode, the auxiliary amplifier is turned off, and only the main amplifier contributes to the output power. The total quiescent V. The PAE of the ambias current is 23 mA with of 23 dBm, and ACPR is below 50 dBc plifier is 39.8% at and 53.5 dBc at 885 kHz and 1.98-MHz offsets, respectively. is 0 V, which is the high-power mode, the auxilWhen iary amplifier is turned on and both the main and auxiliary amplifiers contribute to the output power. The total quiescent bias V. The amplifier has efficiencurrent is 67 mA with and dBm, cies of approximately 21%, 37.8% at respectively, with ACPRs of below 46.5 and 56 dBc at 885 kHz and 1.98-MHz offsets, respectively. The data shows that the efdBm ficiency is improved approximately 18.8% at by the load-modulation technique. The gain of the PA is 24.8 dB at 23 dBm in the low-power mode, and 29.8 dB at 28 dBm in the high-power mode. The control currents for the high- and low-power modes are 0 mA and less than 0.5 mA, respectively. Fig. 8 shows the CDMA spectra at the peak powers of the high and low modes, respectively, which indicate proper operations. Fig. 9 shows the measured dc currents and the probability ) of the distribution function (PDF) versus output power ( PA. There is a significant reduction in current consumption at a

2644

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 8, AUGUST 2005

lower power with comparable consumption at a high power operation. The average current is calculated by the equation in [9]. The average current of this PA is 42 mA in urban and 58.6 mA in suburban CDMA environments, which is reduced by 58%–64% compared to the standard PA in [9]. Fig. 10 shows one-tone continuous signal measurements of the amplifier for AMPS mode operation. The amplifier delivers 26.2 dBm with PAE of 53.4%, 30.8 dBm with 48.7% in the lowand high-power modes, respectively. V. CONCLUSION It has been shown that a handset PA based on the load-modulation technique can deliver highly efficient operation at low output power levels, backed off from the maximum output power, while maintaining high-power RF performances. In transmission line for order to get a compact module, a the load-modulation circuit is replaced by a passive high-pass -network. Each amplifier is designed for matching at 100 for the combined 50- impedance, and the load-modulation circuit is modifies to function as a matching circuit of the main amplifier, reducing the matching components. For the CDMA environment, the amplifier at the low-power mode exhibits PAE of 39.8% and ACPR less than 50 dBc at 23 dBm and the high-power mode PAE of 37.8% and ACPR of 46.5 dBc at 28.0 dBm. The efficiency is improved by approximately 18.8% dBm by the load-modulation technique. For the at AMPS mode operation, the amplifier delivers 26.2 dBm with a PAE of 53.4% and 30.8 dBm with 48.7% in the low and high modes, respectively. These data clearly show that the new amplifier based on the load modulation to boost efficiency at the low-power level can be a viable circuit approach for handset application. ACKNOWLEDGMENT The authors thank FCI Inc., Bundang, Gyeonggi-do, Korea, for fabrication of the PA and technical assistance. REFERENCES [1] S. C. Cripps, RF Power Amplifier for Wireless Communications. Norwood, MA: Artech House, 1999. [2] W. H. Doherty, “A new high efficiency power amplifier for modulated waves,” in Proc. IRE, vol. 24, Sep. 1936, pp. 1163–1182. [3] J. Nam, Y. Kim, J. Shin, and B. Kim, “A CDMA and AMPS handset power amplifier based on load modulation technique,” in Proc. 34th Eur. Microwave Conf. Dig., Amsterdam, The Netherlands, Oct. 2004, pp. 523–526. [4] Y. Yang, J. Yi, Y. Y. Woo, and B. Kim, “Optimum design for linearity and efficiency of microwave Doherty amplifier using a new load matching technique,” Microwave J., vol. 44, no. 12, pp. 20–36, Dec. 2001. [5] P. Vizimuller, RF Design Guide Systems, Circuits, and Equations. Norwood, MA: Artech House, 1995. [6] J. Cha, Y. Yang, B. Shin, and B. Kim, “An adaptive bias controlled power amplifier with a load-modulated combining scheme for high efficiency and linearity,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 1, Jun. 2003, pp. 81–84.

[7] S. C. Cripps, Advanced Techniques in RF Power Amplifier Design. Norwood, MA: Artech House, 2002. [8] Y. Yang, J. Cha, B. Shin, and B. Kim, “A fully matched n-way Doherty amplifier with optimized linearity,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 986–993, Mar. 2003. [9] T. Fowler, K. Burger, N. Cheng, A. Samelis, E. Enobakhare, and S. Rohlfing, “Efficiency improvement techniques at low power levels for linear CDMA and WCDMA power amplifiers,” in IEEE Radio Frequency Integrated Circuits Symp. Dig., Jun. 2002, pp. 41–44. [10] P. B. Kenington, High-Linearity RF Amplifier Design. Norwood, MA: Artech House, 2000. [11] M. Iwamoto, A. Williams, P. Chen, A. Metzgerm, C. Wang, L. E. Larson, and P. M. Asbeck, “An extended Doherty amplifier with high efficiency over a wide power range,” in IEEE MTT-S Int. Microwave Symp. Dig., vol. 2, May 2001, pp. 931–934. [12] Y.-J. Jeon et al., “A highly efficient CDMA power amplifier based on parallel amplification architecture,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 401–403, Sep. 2004.

Joongjin Nam was born in Uljin, Korea, in 1972. He received the B.S. degree in electronic engineering from Kwangwoon University, Seoul, Korea, in 1998, the M.S. degree in electrical engineering from Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2000, and is currently working toward the Ph.D. degree at POSTECH. His current research interests include highly linear and efficient RF PA design and large-signal modeling of microwave devices.

Jin-Ho Shin, photograph and biography not available at time of publication.

Bumman Kim (S’77–M’78–SM’97) received the Ph.D. degree in electrical engineering from Carnegie–Mellon University, Pittsburgh, PA, in 1979. From 1978 to 1981, he was engaged in fiber-optic network component research with GTE Laboratories Inc. In 1981, he joined the Central Research Laboratories, Texas Instruments Incorporated, where he was involved in development of GaAs power field-effect transistors (FETs) and MMICs. He has developed a large-signal model of a power FET, dual-gate FETs for gain control, high-power distributed amplifiers, and various millimeter-wave MMICs. In 1989, he joined the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, where he is a Namko Professor with the Department of Electrical Engineering, and Director of the Microwave Application Research Center involved in device and circuit technology for RF integrated circuits (RFICs). He was a Visiting Professor of electrical engineering with the California Institute of Technology, Pasadena, in 2001. He has authored over 200 technical papers. Dr. Kim is a member of the Korean Academy of Science and Technology and the Academy of Engineering of Korea. He is an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and a Distinguished Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Manuscripts for review should be submitted in pdf format as an email attachment to the Editor at [email protected]. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free preprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes. To comply with the IEEE copyright policy, authors are required to sign an IEEE Copyright Form before publication. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS.

Digital Object Identifier 10.1109/TMTT.2005.852810

Digital Object Identifier 10.1109/TMTT.2005.855805

Digital Object Identifier 10.1109/TMTT.2005.855806

Digital Object Identifier 10.1109/TMTT.2005.855807

EDITORIAL BOARD Editor: M. STEER Associate Editors:A. CANGELLARIS, A. CIDRONALI, K. ITOH, S. MARSH, A. MORTAZAWI, Y. NIKAWA, J. PEDRO, Z. POPOVIC, V. RIZZOLI, D. WILLIAMS REVIEWERS M. Abdul-Gaffoor M. Abe R. Abou-Jaoude M. Abouzahra A. Abramowicz L. Accatino R. Achar D. Adam E. Adler M. Adlerstein K. Agarwal D. Ahn H.-R Ahn M. Aikawa C. Aitchison M. Akaike C. Akyel A. Akyurtlu B. Albinsson F. Alessandri A. Alexanian C. Algani W. Ali-Ahmad F. Alimenti B. Allen D. Allsopp D. Allstot R. Alm B. Alpert A. Alphones A. Altintas A. Alvarez-Melcom M. Alzona S. Amari L. Andersen B. Anderson Y. Ando O. Anegawa K.-S. Ang I. Angelov R. Anholt Y. Antar G. Antonini D. Antsos K. Anwar I. Aoki R. Aparicio K. Araki J. Archer P. Arcioni F. Arndt R. Arora U. Arz M. Asai P. Asbeck K. Ashby H. Ashok J. Atherton A. Atia I. Awai K. Aygun S. Ayuz Y. Baeyens T. Bagwell Z. Baharav I. Bahl D. Baillargeat S. Bajpai J. Baker-Jarvis E. Balboni S. Banba J. Bandler I. Bandurkin R. Bansal D. Barataud I. Barba F. Bardati I. Bardi S. Barker D. Barlage J. Barr D. Batchelor B. Bates H. Baudrand S. Beaussart R. Beck D. Becker K. Beilenhoff B. Beker V. Belitsky D. Belot H. Bell T. Benson M. Berroth G. Bertin S. Best W. Beyenne A. Beyer S. Bharj K. Bhasin P. Bhattacharya Q. Bi M. Bialkowski E. Biebl P. Bienstman R. Bierig R. Biernacki S. Bila L. Billonnet T. Bird B. Bishop G. Bit-Babik D. Blackham B. Blalock M. Blank P. Blondy P. Blount D. Boccoli B. Boeck F. Bögelsack L. Boglione R. Boix J. Booske N. Borges de Carvalho V. Boria V. Borich O. Boric-Lubecke E. Borie J. Bornemann R. Bosisio H. Boss S. Bousnina P. Bouysse M. Bozzi E. Bracken P. Bradley R. Bradley T. Brazil G. Brehm K. Breuer B. Bridges L. Briones T. Brookes S. Broschat E. Brown G. Brown R. Brown S. Brozovich S. Bruce

S. Bryan H. Bu D. Budimir T. Budka M. Bujatti C. Buntschuh J. Burghartz P. Burghignoli O. Buric-Lubecke D. Butler Q. Cai M. Calcatera C. Caloz E. Camargo R. Cameron N. Camilleri R. Camisa S. Cammer C. Campbell R. Campbell M. Campovecchio F. Canavero A. Cangellaris F. Capolino A. Cappy J.-L. Carbonero G. Carchon J. Carlin G. Carrer R. Carter F. Casas A. Cassinese J. Catala R. Caverly M. Celik M. Celuch-Marcysiak Z. Cendes B. Cetiner J. Cha N. Chaing H. Chaloupka M. Chamberlain C.-H. Chan C.-Y. Chang C. Chang F. Chang H.-C. Chang K. Chang H. Chapell W. Chappell W. Charczenko K. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu C.-C. Chen C.-H. Chen D. Chen H.-S. Chen J. Chen J.-I. Chen J. Chen K. Chen S. Chen W.-K. Chen Y.-J. Chen Y.-K. Chen Z. Chen K.-K. Cheng S. Cherepko W. Chew W.-C. Chew C.-Y. Chi Y.-C. Chiang T. Cho D. Choi J. Choi C.-K. Chou D. Choudhury Y. Chow C. Christopoulos S. Chung R. Cicchetti A. Cidronali T. Cisco J. Citerne D. Citrin R. Clarke J. Cloete E. Cohen L. Cohen A. Coleman R. Collin F. Colomb B. Colpitts G. Conciauro A. Connelly D. Consonni H. Contopanagos F. Cooray I. Corbella J. Costa E. Costamagna A. Costanzo C. Courtney J. Cowles I. Craddock G. Creech J. Crescenzi S. Cripps D. Cros T. Crowe M. Crya R. Culbertson C. Curry W. Curtice Z. Czyz S. D’Agostino C. Dalle G. Dambrine K. Dandekar A. Daryoush B. Das N. Das M. Davidovich M. Davidovitz B. Davis I. Davis L. Davis G. Dawe H. Dayal F. De Flaviis H. De Los Santos P. De Maagt D. De Zutter B. Deal A. Dec J. Deen J. Dees J. DeFalco D. Degroot C. Deibele J. Del Alamo A. Deleniv M. DeLisio S. Demir J. DeNatale E. Denlinger N. Deo

A. Deutsch Y. Deval T. Dhaene A. Diaz-Morcillo G. D’Inzeo C. Diskus B. Dixon T. Djordjevic M. A. Do J. Doane J. Dobrowolski W. Domino S. Dow C. Dozier P. Draxler R. Drayton A. Dreher F. Drewniak S. Dudorov S. Duffy L. Dunleavy V. Dunn J. Dunsmore A. Dutta D. Duvanaud A. Duzdar S. Dvorak L. Dworsky M. Dydyk L. Eastman J. Ebel R. Egri R. Ehlers T. Eibert H. Eisele B. Eisenstadt G. Eisenstein G. Eleftheriades I. Elfadel S. El-Ghazaly F. Ellinger T. Ellis B. Elsharawy R. Emrick N. Engheta B. Engst Y. Eo H. Eom N. Erickson J. Eriksson C. Ernst M. Eron L. Escotte M. Essaaidi J. Everard G. Ewell A. Ezzeddine M. Faber C. Fager D.-G. Fang N. Farhat M. Farina W. Fathelbab A. Fathy A. Fazal E. Fear R. Feinaugle M. Feldman P. Feldman A. Ferendeci C. Fernandes A. Fernandez A. Ferrero I. Fianovsky J. Fiedziuszko I. Filanovsky P. Filicori D. Filipovic A. Fliflet P. Focardi B. Fornberg K. Foster P. Foster G. Franceschetti A. Franchois M. Freire R. Freund A. Freundorfer F. Frezza R. Fujimoto V. Fusco G. Gabriel T. Gaier Z. Galani I. Galin D. Gamble B.-Q. Gao M. Garcia K. Gard R. Garver G. Gauthier B. Geller V. Gelnovatch P. Genderen G. Gentili N. Georgieva W. Geppert J. Gerber F. Gerecht F. German S. Gevorgian R. Geyer O. Ghandi F. Ghannouchi K. Gharaibeh G. Ghione D. Ghodgaonkar F. Giannini A. Gibson S. Gierkink J. Gilb B. Gilbert B.Gimeno E.Glass A. Glisson M. Goano E. Godshalk J. Goel M. Goldfarb C. Goldsmith P. Goldsmith M. Golio R. Gómez R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath R. Gordon P. Gould K. Goverdhanam J. Graffeuil L. Gragnani B. Grant G. Grau A. Grebennikov B. Green T. Gregorzyk I. Gresham E. Griffin

J. Griffith A. Griol G. Groskopf C. Grossman T. Grzegorczyk M. Guglielmi P. Guillon K.-H. Gundlach A. Gupta K. Gupta R. Gupta F. Gustrau R. Gutmann W. Gwarek R. Haas J. Hacker G. Haddad S. Hadjiloucas C. Hafner M. Hagmann S. Hagness H.-K. Hahn A. Hajimiri D. Halchin A. Hallac B. Hallford K. Halonen R. Ham K. Hamaguchi M. Hamid J.-H. Han A. Hanke V. Hanna V. Hansen G. Hanson Y. Hao L. Harle M. Harris L. Hartin H. Hartnagel J. Harvey H. Hasegawa K.-Y. Hashimoto K. Hashimoto J. Haslett G. Hau S. Hay H. Hayashi J. Hayashi L. Hayden B. Haydl S. He T. Heath J. Heaton I. Hecht G. Hegazi P. Heide E. Heilweil W. Heinrich G. Heiter M. Helier R. Henderson R. Henning D. Heo J. Herren K. Herrick N. Herscovici J. Hesler J. Heston M. Heutmaker C. Hicks R. Hicks A. Higgins M. Hikita D. Hill G. Hiller W. Hioe J. Hirokawa T. Hirvonen V. Ho W. Hoefer R. Hoffmann M. Hoft J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii D. Hornbuckle J. Horng J. Horton K. Hosoya R. Howald H. Howe J.-P. Hsu Q. Hu C.-C. Huang C. Huang F. Huang H.-C. Huang J. Huang P. Huang T.-W. Huang A. Huber D. Huebner H.-T. Hui A. Hung C. Hung H. Hung I. Hunter J. Hurrell M. Hussein B. Huyart I. Huynen H.-Y. Hwang J. Hwang K.-P. Hwang J. Hwu C. Icheln T. Idehara S. Iezekiel P. Ikonen K. Ikossi K. Inagaki A. Ishimaru T. Ishizaki Y. Ismail K. Itoh T. Itoh F. Ivanek A. Ivanov T. Ivanov C. Iversen D. Iverson D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob M. Jacob H. Jacobsson D. Jaeger N. Jaeger N. Jain R. Jakoby G. James R. Janaswamy

Digital Object Identifier 10.1109/TMTT.2005.855804

V. Jandhyala W. Jang R. Jansen J. Jargon B. Jarry P. Jarry A. Jelenski W. Jemison S.-K. Jeng M. Jensen E. Jerby G. Jerinic T. Jerse P. Jia D. Jiao J.-M. Jin J. Johansson R. Johnk W. Joines K. Jokela S. Jones U. Jordan L. Josefsson K. Joshin J. Joubert R. Kagiwada T. Kaho M. Kahrs D. Kajfez S. Kalenitchenko B. Kalinikos H. Kamitsuna R. Kamuoa M. Kanda S.-H. Kang P. Kangaslahtii B. Kapilevich K. Karkkainen M. Kärkkäinen A. Karpov R. Karumudi A. Kashif T. Kashiwa L. Katehi A. Katz R. Kaul S. Kawakami S. Kawasaki M. Kazimierczuk R. Keam S. Kee S. Kenney A. Kerr O. Kesler L. Kettunen M.-A. Khan J. Kiang O. Kilic H. Kim I. Kim J.-P. Kim W. Kim C. King R. King A. Kirilenko V. Kisel A. Kishk T. Kitamura T. Kitazawa M.-J. Kitlinski K. Kiziloglu R. Knerr R. Knöchel L. Knockaert K. Kobayashi Y. Kobayashi G. Kobidze P. Koert T. Kolding N. Kolias B. Kolner B. Kolundzija J. Komiak A. Komiyama G. Kompa B. Kopp B. Kormanyos K. Kornegay M. Koshiba T. Kosmanis J. Kot A. Kraszewski T. Krems J. Kretzschmar K. Krishnamurthy C. Krowne V. Krozer J. Krupka W. Kruppa H. Kubo C. Kudsia S. Kudszus E. Kuester Y. Kuga W. Kuhn T. Kuki M. Kumar J. Kuno J.-T. Kuo P.-W. Kuo H. Kurebayashi T. Kuri F. KurokI L. Kushner N. Kuster M. Kuzuhara Y.-W. Kwon I. Lager R. Lai J. Lamb P. Lampariello M. Lanagan M. Lancaster U. Langmann G. Lapin T. Larsen J. Larson L. Larson J. Laskar M. Laso A. Lauer J.-J. Laurin G. Lazzi F. Le Pennec J.-F. Lee J.-J. Lee J.-S. Lee K. Lee S.-G. Lee T. Lee K. Leong T.-E. Leong Y.-C. Leong R. Leoni M. Lerouge K.-W. Leung Y. Leviatan R. Levy L.-W. Li

Y.-M. Li L. Ligthart C.-L. Lin J. Lin G. Linde S. Lindenmeier A. Lindner C. Ling H. Ling D. Linkhart P. Linnér D. Lippens F. Little A. Litwin L. Liu Q.-H. Liu S.-I. Liu Y.-W. Liu O. Llopis S. Lloyd C. Lohmann J. Long U. Lott D. Lovelace K. Lu L.-H. Lu S. Lu W.-T. Lu V. Lubecke S. Lucyszyn R. Luebbers L. Lunardi S. Luo J. Luy C. Lyons G. Lyons Z. Ma S. Maas G. Macchiarella S. Maci T. Mader M. Madihian A. Madjar M. Magana T. Magath C. Mahle S. Mahmoud I. Maio M. Majewski M. Makimoto J. Malherbe J. Mallat R. Mallavarpu D. Malocha L. Maloratsky V. Manasson C. Mann H. Manohara R. Mansour S. March V. Mark F. Marliani R. Marques G. Marrocco S. Marsh J. Martens L. Martens J. Marti A. Martin E. Martinez A. Massa D. Masse K. Masterson A. Materka K. Matsunaga A. Matsushima R. Mattauch M. Mattes G. Matthaei P. Mayer W. Mayer J. Mazierska J. Mazur G. Mazzarella K. McCarthy P. McClay T. McKay J. McKinney R. McMillan R. McMorrow D. McPherson D. McQuiddy E. McShane F. Medina D. Meharry C. Meng H.-K. Meng W. Menzel F. Mesa R. Metaxas P. Mezzanotte K. Michalski E. Michielssen A. Mickelson V. Mikhnev R. Miles E. Miller M. Miller P. Miller R. Minasian J. Mink S. Mirabbasi J. Miranda D. Mirshekar T. Miura S. Miyahara H. Miyashita M. Miyazaki K. Mizuno S. Mizushina C. Mobbs M. Mohamed A. Mohammadian A. Mohan A. Mondal T. Monediere R. Mongia M. Mongiardo C. Monzon C. Moore J. Morente M. Morgan A. Morini J. Morsey A. Mortazawi H. Mosallaei J. Mosig A. Moulthrop G. Mourou A. Moussessian M. Mrozowski J.-E. Mueller T. Mueller J. Muldavin M. Muraguchi V. Nair K. Naishadham T. Nakagawa M. Nakatsugawa

M. Nakhla C. Naldi J. Nallatamby S. Nam T. Namiki G. Narayanan T. Narhi M. Nasir A. Natarajan J. Nath B. Nauwelaers J. Navarro J. Nebus D. Neikirk B. Nelson A. Neto E. Newman H. Newman M. Ney E. Ngoya C. Nguyen T. Nichols K. Niclas E. Niehenke S. Nightingale Y. Nikawa P. Nikitin A. Niknejad N. Nikolova K. Nikoskinen M. Nisenoff T. Nishikawa G. Niu S. Nogi T. Nojima T. Nomoto A. Nosich B. Notaros K. Noujeim D. Novak T. Nozokido G. Nusinovich E. Nyfors D. Oates J. Obregon J. O’Callahan M. Odyneic H. Ogawa K.-I. Ohata T. Ohira H. Okazaki V. Okhmatovski A. Oki M. Okoniewski G. Olbrich A. Oliner S. Oliver J. Olsson F. Olyslager A. Omar B.-L. Ooi A. Orlandi R. Orta S. Ortiz J. Osepchuk J. Ou W. Ou T. Oxley R. Paglione T. Palenius W. Palmer D.-S. Pan S.-K. Pan C. Panasik R. Panock C. Papanicolopoulos J. Papapolymerou S. Parisi D.-C. Park H. Park D. Parker T. Parker R. Parry D. Pasalic W. Pascher M. Pastorino S. Patel P. Pathak A. Pavio J. Pavio T. Pavio J. Pearce W. Pearson J. Pedro B. Pejcinovic S.-T. Peng R. Pengelly J. Pereda L. Perregrini M. Petelin A. Peterson D. Peterson O. Peverini U. Pfeiffer A.-V. Pham J. Phillips L. Pierantoni B. Piernas J. Pierro P. Pieters B. Pillans M. Pirola W. Platte A. Platzker C. Pobanz A. Podell R. Pogorzelski P. Poire R. Pollard G. Ponchak Z. Popovic M. Pospieszalski V. Postoyalko N. Pothecary D. Pozar S. Prasad D. Prather R. Pregla D. Prescott M. Prigent S. Pritchett Y. Prokopenko S. Prosvirnin J. Pulliainen L. Puranen D. Purdy J. Putz Y. Qian T. Quach D. Quak P. Queffelec R. Quere F. Raab V. Radisic L. Raffaelli M. Raffetto C. Railton O. Ramahi S. Raman

J. Randa R. Ranson T. Rappaport J.-P. Raskin P. Ratanadecho J. Rathmell C. Rauscher J. Rautio J. Rayas-Sánchez H. Reader G. Rebeiz B. Redman-White E. Reese R. Reid H.-M. Rein J. Reinert I. Rekanos R. Remis K. Remley L. Reynolds A. Reynoso-Hernandez E. Rezek A. Riddle E. Rius J. Rius B. Rizzi V. Rizzoli I. Robertson P. Roblin S. Rockwell A. Roden A. Rodriguez M. Rodwell H. Rogier A. Rong Y. Rong J. Roos D. Root N. Rorsman L. Roselli A. Rosen J. Rosenberg U. Rosenberg F. Rotella E. Rothwell L. Roy J. Roychowdury T. Rozzi J. Rubio R. Ruby A. Rudiakova M. Rudolph A. Ruehli P. Russer D. Rutledge A. Rydberg D. Rytting T. Saad C. Saavedra K. Sabet M. Sachidananda G. Sadowniczak A. Safavi-Naeini A. Safwat M. Sagawa M. Salazar M. Salazar-Palma A. Sanada M. Sanagi A. Sangster W. Sansen K. Sarabandi T. Sarkar C. Sarris P. Saunier S. Savov D. Schaubert I. Scherbatko G. Schettini F. Schettino M. Schetzen B. Schiek M. Schindler M. Schlechtweg E. Schmidhammer L. Schmidt D. Schmitt F. Schmückle F. Schnieder J. Schoukens D. Schreurs G. Schreyer W. Schroeder H. Schumacher J. Schutt-Aine F. Schwering W. Scott F. Sechi A. Seeds J. Sercu R. Settaluri J. Sevic O. Sevimli D. Shaeffer L. Shafai O. Shanaa Z. Shao M. Shapiro A. Sharma V. Shastin P. Shastry R. Shavit T. Shen T. Shibata A. Shibib H. Shigesawa Y.-C. Shih T. Shiozawa M. Shirokov W. Shiroma Y. Shoji N. Shuley M. Shur P. Siegel D. Sievenpiper B. Sigmon A. Sihvola C. Silva M. Silveira M. Silveirinha K. Silvonen W. Simbuerger R. Simons F. Sinnesbichler J. Sitch N. Skou Z. Skvor R. Sloan D. Smith G. Smith P. Smith C. Snowden R. Snyder R. So H. Sobol E. Sobolewski A. Sochava N. Sokal V. Sokolov

M. Solano K. Solbach M. Solomon B.-S. Song M. Sorolla Ayza R. Sorrentino C. Soukoulis N. Soveiko E. Sovero J. Sowers T. Sowlati R. Sparks S. Spiegel P. Staecker D. Staiculescu J. Stake D. Stancil P. Starski J. Staudinger P. Stauffer P. Steenson A. Stelzer J. Stenarson K. Stephan M. Stern C. Stevens S. Stitzer M. Stone B. Strassner P. Stuart M. Stubbs M. Stuchly R. Sturdivant A. Suarez N. Suematsu T. Suetsugu Y. Suh F. Sullivan C. Sun L. Sundstrom S. Sussman-Fort K. Suyama J. Svacina D. Swanson B. Szendrenyi A. Szu W. Tabbara A. Taflove G. Tait Y. Tajima Y. Takayama M. Taki Y. Takimoto S. Talisa K. Tan W.-C. Tang E. Taniguchi R. Tascone J. Taub J. Tauritz D. Teeter F. Teixeira M. Tentzeris S.-A. Teo K. Thakur H. Thal W. Thiel H.-W. Thim B. Thompson G. Thoren M. Thumm N. Tilston W. Tinga I. Tittonen G. Tkachenko M.-R. Tofighi T. Tokumitsu K. Tomiyasu P. Tommasino A. Toropainen M. Toupikov I. Toyoda C. Trask S. Tretyakov R. Trew A. Trifiletti C. Trueman P. Truffer A. Truitt C.-M. Tsai R. Tsai J. Tsalamengas L. Tsang H.-Q. Tserng J. Tsui M. Tsuji T. Tsujiguchi R. Tucker J. Tuovinen C.-K. Tzuang T. Ueda K. Uehara S. Ueno J. Uher A. Uhlir T. Ulrich Y. Umeda T. Uwano N. Uzunoglu R. Vahldieck M. Vaidyanathan P. Vainikainen M. Valtonen N. Van der Meijs D. Van der Weide P. Van Genderen E. Van Lil C. Van Niekerk M. Vanden Bossche G. Vandenbosch A. Vander Vorst D. Vanhoenacker-Janvie K. Varian L. Vegni G. Venanzoni I. Vendik S. Verdeyme V. Veremey R. Vernon J. Verspecht L. Verweyen H. Vickes A. Victor L. Vietzorreck A. Viitanen F. Villegas D. Vinayak C. Vittoria S. Vitusevich D. Viveiros E. Viveiros J. Volakis V. Volman J. Vuolevi K. Wagner K. Wakino P. Waldow A.-A. Walid T. Walid

D. Walker V. Walker P. Wallace J. Walsh C. Wan A. Wang B.-Z. Wang C. Wang E. Wang H. Wang J. Wang K.-C. Wang L. Wang T.-H. Wang W. Wang Y. Wang Z. Wang K. Warnick K. Washio T. Watanabe R. Waterhouse R. Waugh D. Webb J. Webb K. Webb R. Webster S. Wedge C.-J. Wei R. Weigel T. Weiland A. Weily S. Weinreb J. Weiss S. Weiss A. Weisshaar C. Weitzel K. Weller T. Weller C.-P. Wen W. Weng M. Wengler S. Wentworth C. Westgate C. Whelan J. Whelehan L. Whicker J. Whitaker P. White S. Whiteley K. Whites W. Wiesbeck G. Wilkins A. Wilkinson D. Williams B. Wilson J. Wiltse P. Winson K. Wong K.-L. Wong T. Wong J. Woo J. Wood G. Woods G. Wrixon B.-L. Wu H. Wu K.-L. Wu R.-B. Wu T. Wu Y.-S. Wu R. Wylde G. Xiao H. Xin H.-Z. Xu S.-J. Xu Y. Xu Q. Xue A. Yakovlev S. Yamamoto C.-H. Yang F. Yang H.-Y. Yang Y. Yang H. Yano H. Yao K. Yashiro S. Ye J. Yeo K. Yeo S.-P. Yeo S.-J. Yi W.-Y. Yin H. Ymeri S. Yngvesson T. Yoneyama C.-K. Yong H.-J. Yoo J.-G. Yook R. York N. Yoshida S. Yoshikado A. Young L. Young G. Yu M. Yu A. Zaghoul K. Zaki J. Zamanillo P. Zampardi J. Zapata J. Zehentner Q.-J. Zhang R. Zhang A. Zhao L. Zhao L. Zhu N.-H. Zhu Y.-S. Zhu Z. Zhu R. Zhukavin R. Ziolkowski H. Zirath A. Zolfaghari T. Zwick