IEEE MTT-V055-I05 (2007-05) [55, 05 ed.]

  • Commentary
  • FANTOMASPING

Table of contents :
010 - 04195657......Page 1
020 - 04195658......Page 3
030 - [email protected] 4
040 - [email protected] 13
050 - [email protected] 20
060 - [email protected] 29
070 - [email protected] 36
080 - [email protected] 48
090 - [email protected] 57
100 - [email protected] 71
110 - [email protected] 81
120 - [email protected] 90
130 - [email protected] 97
140 - [email protected] 107
150 - [email protected] 116
160 - [email protected] 123
170 - [email protected] 132
180 - [email protected] 142
190 - [email protected] 149
200 - [email protected] 157
210 - [email protected] 165
220 - [email protected] 174
230 - [email protected] 183
240 - [email protected] 193
250 - [email protected] 201
260 - [email protected] 209
270 - [email protected] 220
280 - [email protected] 231
290 - [email protected] 237
300 - [email protected] 245
310 - [email protected] 254
320 - [email protected] 264
330 - [email protected] 271
340 - 04195665......Page 278
350 - 04195674......Page 279
360 - 04195659......Page 280

Citation preview

MAY 2007

VOLUME 55

NUMBER 5

IETMAB

(ISSN 0018-9480)

PAPERS

Linear and Nonlinear Device Modeling Pruning the Volterra Series for Behavioral Modeling of Power Amplifiers Using Physical Knowledge ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ .... A. Zhu, J. C. Pedro, and T. R. Cunha Modeling Superconducting Transmission Line Bends and Their Impact on Nonlinear Effects .. ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .. J. Mateu, C. Collado, and J. M. O’Callaghan Analytic Large-Signal Modeling of Silicon RF Power MOSFETs ........ P. Fioravanti, O. Spulber, and M. M. De Souza Smart Antennas, Phased Arrays, and Radars A High-Directivity Combined Self-Beam/Null-Steering Array for Secure Point-to-Point Communications .... ......... .. .. ........ ......... ......... ........ ...... G. S. Shiroma, R. Y. Miyamoto, J. D. Roque, J. M. Cardenas, and W. A. Shiroma

813 822 829

838

Active Circuits, Semiconductor Devices, and ICs Polar SiGe Class E and F Amplifiers Using Switch-Mode Supply Modulation . ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... .. J. N. Kitchen, I. Deligoz, S. Kiaei, and B. Bakkaloglu A 23-dBm 60-GHz Distributed Active Transformer in a Silicon Process Technology ... ..... U. R. Pfeiffer and D. Goren A Mixed-Signal Approach Towards Linear and Efficient -Way Doherty Amplifiers .. ......... ........ ......... ......... .. .. ........ ......... ......... ........ ...... W. C. E. Neo, J. Qureshi, M. J. Pelk, J. R. Gajadharsing, and L. C. N. de Vreede

866

Signal Generation, Frequency Conversion, and Control GaInP/GaAs HBT Sub-Harmonic Gilbert Mixers Using Stacked-LO and Leveled-LO Topologies ..... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... . T.-H. Wu, S.-C. Tseng, C.-C. Meng, and G.-W. Huang

880

Millimeter-Wave and Terahertz Technologies Design of High-Performance Millimeter Wave and Sub-Millimeter Wave Quasi-Optical Isolators and Circulators ..... .. .. ........ ......... ......... ........ ......... ......... ........ ......... R. I. Hunter, D. A. Robertson, P. Goy, and G. M. Smith Millimeter-Wave Transition From Waveguide to Two Microstrip Lines Using Rectangular Patch Element ..... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ...... H. Iizuka, K. Sakakibara, and N. Kikuma

845 857

890 899

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Wireless Communication Systems RF Front-End Passive Circuit Implementation Including Antenna for ZigBee Applications ..... ........ ......... ......... .. .. ........ ......... ......... ... C.-S. Yoo, J.-K. Lee, D. Kim, S.-D. Park, K.-H. Won, N.-K. Kang, K.-S. Seo, and W.-S. Lee Wideband Design of the Fully Integrated Transmitter Front-End With High Power-Added Efficiency . ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... .. H. Kim and Y. J. Yoon Weighted Polynomial Digital Predistortion for Low Memory Effect Doherty Power Amplifier . ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... . S. Hong, Y. Y. Woo, J. Kim, J. Cha, I. Kim, J. Moon, J. Yi, and B. Kim Adaptive Digital Feedback Predistortion Technique for Linearizing Power Amplifiers . ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ .. Y. Y. Woo, J. Kim, J. Yi, S. Hong, I. Kim, J. Moon, and B. Kim Field Analysis and Guided Waves Hybrid -Parameters for Transmission Line Networks With Linear/Nonlinear Load Terminations Subject to Arbitrary Excitations ..... ......... ........ ......... ......... .... .... ......... ......... ........ ......... ..... Y. Bayram and J. L. Volakis Compact Waveguide-Based Power Divider Feeding Independently Any Number of Coaxial Lines ..... ......... ......... .. .. ........ ......... ......... ..... J. Pollak, M. Moisan, Z. Zakrzewski, J. Pelletier, Y. A. Arnal, A. Lacoste, and T. Lagarde CAD Algorithms and Numerical Techniques An Efficient Scheme for Processing Arbitrary Lumped Multiport Devices in the Finite-Difference Time-Domain Method ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ...... C.-C. Wang and C.-W. Kuo Genetic Algorithm in Reduction of Numerical Dispersion of 3-D Alternating-Direction-Implicit Finite-Difference Time-Domain Method . ........ ......... ......... ........ ......... ......... ........ ......... ......... .. Y. Zhang and S.-W. Lü Robust Formulations of the Cauchy Method Suitable for Microwave Duplexers Modeling ...... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .. D. Traina, G. Macchiarella, and T. K. Sarkar A 3-D Spectral-Element Time-Domain Method for Electromagnetic Simulation ........ ......... J.-H. Lee and Q. H. Liu Filters and Multiplexers Modeling and Fabrication of CMOS Surface Acoustic Wave Resonators ........ ........ A. N. Nordin and M. E. Zaghloul Dual-Band Filter Design With Flexible Passband Frequency and Bandwidth Selections ..... ... H.-M. Lee and C.-M. Tsai A Direct Synthesis Approach for Microwave Filters With a Complex Load and Its Application to Direct Diplexer Design . ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... . K.-L. Wu and W. Meng Experimental Analysis of Passive Intermodulation at Waveguide Flange Bolted Connections ... ........ ......... ......... .. .. ........ ......... ......... ........ ......... C. Vicente, D. Wolk, H. L. Hartnagel, B. Gimeno, V. E. Boria, and D. Raboso Packaging, Interconnects, MCMs, Hybrids, and Passive Circuit Elements Generalized Coupled-Mode Approach of Metamaterial Coupled-Line Couplers: Coupling Theory, Phenomenological Explanation, and Experimental Demonstration ........ ......... ......... ........ ......... ...... H. V. Nguyen and C. Caloz Design, Fabrication, and Measurement of Benzocyclobutene Polymer Zero-Level Packaging for Millimeter-Wave Applications ... ......... ........ ......... ......... . ........ ......... ......... ........ .. S. Seok, N. Rolland, and P.-A. Rolland A New Methodology for the On-Wafer Characterization of RF Integrated Transformers ........ ........ ......... ......... .. .. ........ ......... ......... ........ ..... I. Cendoya, J. de Nó, B. Sedano, A. García-Alonso, D. Valderas, and I. Gutiérrez Signal Integrity Analysis of the Traces in Electromagnetic-Bandgap Structure in High-Speed Printed Circuit Boards and Packages ....... ......... ........ ......... ......... ........ ......... ......... ...... M.-S. Zhang, Y.-S. Li, C. Jia, and L.-P. Li

906 916 925 932

941 951

958 966 974 983 992 1002 1010 1018

1029 1040 1046 1054

Biological, Imaging, and Medical Applications Rigorous Characterization of Resonant Hot Spot Conditions in a Stratified Tissue Model ....... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... .. D. Razansky, P. D. Einziger, and D. R. Adam Arctangent Demodulation With DC Offset Compensation in Quadrature Doppler Radar Receiver Systems .... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ...... B.-K. Park, O. Boric-Lubecke, and V. M. Lubecke The Human Body Characteristics as a Signal Transmission Medium for Intrabody Communication ... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ....... N. Cho, J. Yoo, S.-J. Song, J. Lee, S. Jeon, and H.-J. Yoo

1080

Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

1087

1063 1073

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $20.00 per year for electronic media only or $40.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE J. S. KENNEY, President L. BOGLIONI D. HARVEY S. M. EL-GHAZALY J. HAUSNER M. HARRIS K. ITOH

J. MODELSKI, President Elect L. KATEHI T. LEE B. KIM J. LIN N. KOLIAS

K. G. GARD, Secretary A. MORTAZAWI B. PERLMAN V. J. NAIR A. ROSEN

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

W. SHIROMA R. SNYDER

N. KOLIAS, Treasurer K. VARIAN K. WU R. WEIGEL R. YORK

Distinguished Lecturers K. TOMIYASU L. YOUNG

G. BOECK W. HOEFER T. ITOH

B. KIM J. LASKAR V. LUBECKE

J. C. RAUTIO D. ROOT D. RYTTING

Past Presidents M. SHUR P. SIEGEL A. SUAREZ

K. VARIAN (2006) K. C. GUPTA (2005) R. J. TREW (2004)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: A. D. BROWN Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: C. SEABURY Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: K. ALAVI Central & South Italy: S. MACI Central No. Carolina: T. IVANOV Chicago: Z. LUBIN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. EYE Dayton: A. TERZOUOLI, JR. Denver: M. JANEZIC Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: K. O’CONNOR

Foothill: C. ANTONIAK France: P. EUDELINE Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: C. MADSEN Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: J. KRALOVEC Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: D. REYNOLDS New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: S. E. WHEATLEY Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: P. WAHID Ottawa: Q. YE Philadelphia: J. NACHAMKIN Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: S. M. CICCARELLLI/J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorod: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: J. J. SOWERS Seattle: K. POULSON Seoul Council: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH Singapore: O. B. LEONG

Editors-In-Chief DYLAN WILLIAMS NIST Boulder, CO 80305 USA Phone: +1 303 497 3138 Fax: +1 303 497 3970 email: [email protected] AMIR MORTAZAWI Univ. of Michigan Ann Arbor, MI 48109-2122 USA Phone: +1 734 936 2597 Fax: +1 734 647 2106 email: [email protected]

South Africa: P. W. VAN DER WALT South Australia: H. HANSEN South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: S. BOUMAIZA Spain: L. FE HARO Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West: I. ISAYEV Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKHMATOVSKI Yugoslavia: B. MILOVANOVIC

Associate Editors

DANIEL DE ZUTTER ZOYA POPOVIC YOSHIO NIKAWA Universiteit Gent Kokushikan Univ. Univ. of Colorado, Boulder Belgium Japan USA email: [email protected] email: [email protected] email: [email protected] KENJI ITOH JOSÉ PEDRO SANJAY RAMAN Mitsubishi Electronics Univ. of Aveiro Virginia Polytech. Inst. and State Univ. Japan Portugal USA email: [email protected] email: jcp.mtted.av.it.pt email: [email protected] JENSHAN LIN Univ. of Florida USA email: [email protected] M. GOLIO, Editor-in-Chief, IEEE Microwave Magazine G. E. PONCHAK, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

RICHARD SNYDER RS Microwave Company USA email: [email protected] RUEY-BEEI WU National Taiwan Univ. Taiwan, R.O.C. email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers JOHN BAILLIEUL, Vice President, Publication Services and Products LEAH H. JAMIESON, President and CEO LEWIS TERMAN, President-Elect PEDRO RAY, Vice President, Regional Activities CELIA L. DESMOND, Secretary DONALD N. HEIRMAN, President, IEEE Standards Association DAVID GREEN, Treasurer PETER STAECKER, Vice President, Technical Activities MICHAEL R. LIGHTNER, Past President JOHN MEREDITH, President, IEEE-USA MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer MATTHEW LOEB, Corporate Strategy & Communications DONALD CURTIS, Human Resources RICHARD D. SCHWARTZ, Business Administration ANTHONY DURNIAK, Publications Activities CHRIS BRANTLEY, IEEE-USA JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities CECELIA JANKOWSKI, Regional Activities SALLY A. WASELIK, Information Technology BARBARA COBURN STOLER, Educational Activities IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $85.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2007 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188.

Digital Object Identifier 10.1109/TMTT.2007.898308

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

813

Pruning the Volterra Series for Behavioral Modeling of Power Amplifiers Using Physical Knowledge Anding Zhu, Member, IEEE, José Carlos Pedro, Fellow, IEEE, and Telmo Reis Cunha, Member, IEEE

Abstract—This paper presents an efficient and effective approach to pruning the Volterra series for behavioral modeling of RF and microwave power amplifiers. Rather than adopting a pure “black-box” approach, this model pruning technique is derived from a physically meaningful block model, which has a clear linkage to the underlying physical behavior of the device. This allows all essential physical properties of the PA to be retained, but significantly reduces model complexity by removing unnecessary coefficients from the general Volterra series. A reduced-order model of this kind can be easily extracted from standard time/frequency-domain measurements or simulations, and may be simply implemented in system-level simulators. A complete physical analysis and a systematic derivation are presented, together with both computer simulations and experimental validations. Index Terms—Behavioral model, power amplifiers (PAs), Volterra series.

I. INTRODUCTION EHAVIORAL modeling for RF and microwave power amplifiers (PAs) has received much attention from many researchers in recent years. It provides a convenient and efficient way to predict system-level performance without the computational complexity of full simulation or the physical analysis of nonlinear circuits, thereby significantly speeding up system design and verification process. As wireless communication is evolving towards broadband services, we increasingly encounter frequency-dependent behavior, i.e., memory effects, in RF PAs. To accurately model a PA, we have to take into account both nonlinearities and memory effects. The Volterra series is a multidimensional combination of a linear convolution and a nonlinear power series [1]. It provides a general way to model a nonlinear dynamic system so that it can be employed to characterize a nonlinear PA with memory effects. However, since all nonlinearities and memory effects

B

Manuscript received August 9, 2006; revised December 22, 2006. This work was supported by the Science Foundation Ireland under the Principal Investigator Award. This work was supported in part by the Network of Excellence TARGET under the Sixth Framework Program funded by the European Commission, and in part by the Portuguese Science Foundation under the ModEx Project. A. Zhu is with the School of Electrical, Electronic and Mechanical Engineering, University College Dublin, Dublin 4, Ireland (e-mail: anding.zhu@ucd. ie). J. C. Pedro and T. R. Cunha are with the Institute of Telecommunications, University of Aveiro, 3810-193 Aveiro, Portugal (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895155

are treated equally in the classical Volterra model, the number of coefficients to be estimated increases exponentially with the degree of nonlinearity and memory length of the system. Therefore, it has been very difficult to find a practically convenient procedure for extracting full Volterra kernels of order greater than five, which restricts the practical use of the general Volterra model to the characterization of relatively weakly nonlinear PAs. To overcome the modeling complexity, various model-order reduction approaches have been proposed to simplify the Volterra model structure. For example, in the Wiener- or Hammerstein-like models [2]–[4], memory effects are represented by linear filters, while nonlinearity is characterized by static/memoryless polynomials in a cascade arrangement. However, in a Wiener system, the th-order Volterra kernel must be proportional to the -folded product of their linear elements; while a Hammerstein model requires that the Volterra kernels are only nonzero along their diagonals and each kernel diagonal is proportional to the impulse response of the linear subsystem. All off-diagonal coefficients are set to zero in a memory polynomial model [5], while near-diagonality reduction-based models [6] only keep the coefficients on and near the main diagonal lines. Polyspectral models [7] are again based on filter/static-nonlinearity cascades, where the multidimensional nonlinear filters are approximated by 1-D versions. In the modified/dynamic Volterra series [8]–[11], high-order dynamics are normally omitted since they are considered to have little effect on the output of a PA. Orthonormal basis functions, like the Laguerre [12] and Kautz [13] functions, were employed as the basis for the Volterra expansion to efficiently model long-term memory effects. However, it was found difficult to locate the pre-decided poles. Although these simplified models have been employed to characterize PAs with reasonable accuracy under certain conditions, there is no systematic way to verify if the model structure chosen is truly appropriate to the PA under study. Indeed, because behavioral models developed to date have been mainly based on a pure “black-box” approach, or were mostly constructed from “blind” nonlinear system identification algorithms (where the amplifier was considered to be a complete, or very general nonlinear system), we cannot guarantee that the relevant conditions are satisfied when doing a specific model truncation. In particular, little or no PA physical knowledge was taken into account during the model development or model-order truncation. In this paper, we seek to construct a behavioral model for RF PAs from a physical, rather than a pure “black-box” perspective, so that we may have a clear idea on how to select a proper model

0018-9480/$25.00 © 2007 IEEE

814

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

structure for a specific PA, and have an insight on how to prune it in a physically meaningful way. To achieve this, we first investigate the physical properties of a broad range of real amplifiers, i.e., the origins of their nonlinearities and short/long-term memory mechanisms. These physical behaviors are then summarized and abstracted to form a functional block model, which is sufficiently simple, but includes all essential characteristics of the PA. Since this model is not as “general” as the complete “black box” normally used in general nonlinear system identification, it follows that it becomes a special case of the Volterra series from which we are able to find the coefficients those are either redundant or unrelated to the actual PA physical characteristics and, thus, can be removed. This provides us a new, efficient, and effective way to prune the general Volterra series for PA behavioral modeling. Rather than following the trial-and-error procedures used in previous modeling techniques, this model pruning strategy is directly linked to the physical behavior of the device. It thus allows us to significantly simplify the model structure and, therefore, dramatically reduces model complexity while guaranteeing that all essential physical properties of the PA are still captured. A reduced-order model of this kind has a much smaller number of coefficients, while it still has the same properties as the classical Volterra series, e.g., linearity in model parameters. Hence, it can be easily extracted from standard time/frequency-domain measurements or simulations, and simply implemented in systemlevel simulators. This paper is organized as follows. In Section II, we discuss nonlinear behavior and memory effects mechanisms in a real PA, and then present a simplified block model for the PA. Based on this block model, a new model pruning approach is proposed in Section III. Model validation through both computer simulations and experimental tests is given in Section IV, with a conclusion presented in Section V. II. PA REPRESENTATION In a wireless system, the distortion induced by a PA can be considered to arise from various origins such as voltage-dependent current sources, which are known as the device I/V characteristics, and nonlinear capacitances usually modeled as voltage-dependent charge sources, i.e., the device’s Q/V characteristics. Due to the very high ratio between the operating frequency and the information bandwidth, these intrinsic nonlinearities of the device are normally treated as memoryless, or only capable of generating short-term memory effects. However, beyond these fast dynamics, the device and the circuits in which it is embedded can also generate much longer memory effects. In the first case, we have the so-called low-frequency dispersion, which includes both electrothermal nonlinear dynamics and charge carrier trapping effects. In the second case, we have the bias networks, which can involve very long time constants, and also resonances of the input and output matching networks, i.e., lightly damped impulse response tails. Fortunately, except in very wideband systems, under normal operation, the frequency of the information signal delivered by wireless PAs is much lower than the carrier frequency, and its bandwidth occupies only a negligible fraction of the PA available

Fig. 1. Simplified circuit schematic of an FET-based PA.

bandwidth so that the matching networks can be considered almost flat. In other words, the device’s input and output terminating impedances are memoryless to slowly varying complex envelopes, except where their bias networks are concerned. In fact, if the PA suffers any bias variations determined by the input amplitude modulation, the dc supply voltage will then vary according to the slow dynamics of the bias networks. To understand this process, we can start by the simplified schematic model of a single-stage PA shown in Fig. 1. In this circuit schematic, the active device, in this case, a field-effect transistor (FET), was assumed as showing no internal feedback, or source resistance i.e., negligible gate–drain capacitance and , and its input and output linear and inductance resistance and capacitance components were lumped into the input and output matching networks. The nonlinear active device is thus represented by its nonlinear output current source , which is dependent on the input , and the output , control voltages, i.e., . The input control signal is simply a linearly filtered replica of the input voltage , but the determination of the output control excitation is much more complex because of the signal voltage nonlinearity and its interaction with the output matching and bias networks. to represent the impedance shown by In fact, if we use current source, those matching and bias components to the we obtain the following equations for the PA operation in the frequency domain: (1) (2) (3) denotes the conventional time-to-frequency Fourier where transform. Although the model of Fig. 1 seems to be a cascade model, the interaction between the static nonlinearity and the output dynamic linear filter can be viewed as a feedback process. Indeed, while the dependence of on and of on can be described by a linear and nonlinear transfer function, respectively, the deon involves the following feedback pendence of process. Due to its nonlinear dependence on , incorporates linear and nonlinear frequency components involving all types of fundamental, harmonic, and baseband mixing products. Flowing through the output impedance , these mixing products will be converted into voltage components with both short- and long-term memory, just as flowed through a linear filter of if the current variable producing a voltage output . transfer function This voltage output is then nonlinearly remixed back with the original drain–source current because also depends on .

ZHU et al.: PRUNING VOLTERRA SERIES FOR BEHAVIORAL MODELING OF PAs USING PHYSICAL KNOWLEDGE

Fig. 2. Conceptual feedback model of the PA.

In conclusion, as was first explained in detail in [14], and then followed by other researchers [15], these nonlinearity-memory interactions in the PA can be modeled by a conceptual feedback block model shown in Fig. 2. It uses a general static nonlinearity, as the feedforward path, to represent the nonlinear trans, and a linear filter in the feedback formation of loop to represent the action of the dynamic output impedance . This emulates the interactions between the PA’s memoryless nonlinearities and the memory effects imposed by the linear dynamic circuitry in which they are embedded, even if this network is simply an equivalent circuit, as is the case of the electro-thermal dynamics. Beyond the core nonlinearity and the dynamic feedback loop, the functional block diagram of Fig. 2 also includes one input and one output filter and , which represents the input and output matching networks of the and , respectively. PA Since this block model is only a conceptual view, it may not be amenable for direct extraction from practical measurement data sets. However, as discussed in [14], the most important advantage of this feedback structure is that it is sufficiently simple to allow a rigorous Volterra series analysis, while still keeping the PA’s essential nonlinear dynamic characteristics. Furthermore, from this model, we can see that, although a PA is a nonlinear dynamic system showing a very complex nonlinear dynamic behavior, it is not as “general” as a pure “black-box” and, therefore, it can be considered as a particular case of the general Volterra series. Hence, it should be possible to prune the Volterra series, retaining only the specific coefficients’ subsets that are necessary for representing the referred feedback block, but deleting all other ones, as proposed in the following. III. PRUNING THE VOLTERRA MODEL In the discrete time domain, a Volterra series can be written as (4) where represents the contribution of the th-order nonlinearity, and (5) where and represents the input and output, respecis called the th-order Volterra kernel. tively, and In real applications, as is assumed in (4) and (5), the Volterra series is normally truncated to finite nonlinear order and

815

[1]. To derive a Volterra model for finite memory length the PA in Fig. 2, a common approach is the harmonic probing method, usually conducted in the frequency domain [16]. That method is straightforward for the first few nonlinear orders, but it quickly becomes cumbersome when high-order nonlinearities are involved. In this paper, we directly derive the Volterra model in the discrete time domain. Before proceeding, however, we first make several simplifications and assumptions for the block model in Fig. 2. The first simplification is that we remove the two linear filter and . This is reasonable because these filters blocks stand for the input and output matching networks, which, under the PA’s normal operation, and as explained in Section II, behave in a memoryless way to the slowly varying complex envelopes in which we are interested. Second, it is assumed that, although the model of Fig. 2 is a system with infinite memory due to its dynamic feedback path, it can still be represented by a feedforward finite memory system such as a truncated Volterra series. This can be justified for at least two reasons. Firstly, from a physical point-of-view, it is obvious that the PA output does not depend on the input’s infinitely remote past. Second, it is known that the result of the convolution of the feedback linear dynamic filter impulse response with the excitation has a time duration that is longer than the one of the original excitation (it is, in fact, the sum of the length of the excitation and the length of the filter impulse response), similar to the way in which the feedforward nonlinearity creates spectral widening from its input excitations due to the convolution of spectra. Hence, to guarantee that the feedback system can, in fact, be modeled with finite memory, we need to truncate the system’s output memory span, as we would truncate the frequency domain output harmonic content of the nonlinearity. For that, we first assume that the memory span of the overall system can be truncated to , in which all necessary previous input information is taken into account. Second, we consider that the impulse response of the feedback filter has that same memory span, even if, for that purpose, some of its coefficients are set to zero after its own natural memory span (assuming ). In this sense, we can conclude that, in the discrete time domain, to truncate the feedback loop to an approximated feedforward system, we could assume that the components at the output of the nonlinear block only enter the filter once since the second or following entries would be out of the system’s memory span. From a physical point-of-view, this memory span truncation is reasonable since the items after second entries would either be mixed up to generate higher order components or become far away from the current input, producing an impact on the current output that should be negligible. Moreover, it is also consistent with the cascaded nonlinearity–linear filter-nonlinearity structure presented in [17] and [18], which, as discussed in [2], can be understood as an unfolded, or feedforward, version of the feedback structure of Fig. 2. This leads to the conclusion that, in the discrete time domain, all output items with delays, e.g., , or products with delayed terms, e.g., , will not enter the filter again since they (or part of them) have already passed through the feedback loop so that only items without any delays, such as will enter the filter and be fed back to the input.

816

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

passes the feedback loop, the filter will When create tails to these nonlinear terms. For example, for the , the output will be second-order term (7) where is the coefficient of the filter . These tails will be remixed with the original RF signal to create nonlinear distortions and memory effects. This happens to other high-order terms in the same way. From (6) and (7), we conclude that the output of the filter can be formulated as

Fig. 3. Equivalent PA block model in the discrete time domain.

The third assumption we make on the model of Fig. 2 is that is flat at the fundamental frequency the feedback filter is asband because the bandwidth of the PA excitation sumed to be narrow compared to the linear system’s frequency . That must be true because, as explained above, response in typical wireless systems, the relative excitation bandwidth is very small, and it is much smaller than the one imcan be posed by the PA filters’ quality factor . Since considered flat, and it is related to by , where is a constant [14], this implies that must also be flat at the fundamental frequency band. This rebehaving as a memoryless block to any composults in , whose frequency falls in the system’s nents of the output fundamental frequency band. In other words, for that first zone are a conoutput, the frequency domain coefficients of stant and its time domain impulse response is a unique Dirac delta function. Therefore, we can separate this fundamental frequency response—a mere scalar operation—from the remaining , and merge it into the memfrequency bands of the filter oryless block. The new static nonlinearity block can then be represented by a th-order polynomial function, while the rest are used to form a new filter of the characteristics of , whose impulse response to the fundamental frequency is can be represented by zero. In the discrete time domain, a transversal finite impulse response (FIR) filter with memory length . In summary, the block model of Fig. 2 can be transferred to the equivalent model in the discrete time domain, as shown in Fig. 3, from which we now develop an equivalent Volterra series representation. As discussed earlier, the impulse response of the feedback to the fundamental frequency is zero, which means filter that the original input signal will not enter the filter at the output, and considering the system has finite memory and its memory span is equal to the memory length of the feedback filter, the delayed terms at the output will not enter the filter again. This has the consequence that the input signal of the feedwill include only terms that are nonlinear and back filter , i.e., without any delays, such as (6) where

represents the scalar factor of

.

(8) which can be considered as a linear combination of . The error signal then becomes (9) , which is also a linear function of . Finally, when passes the memoryless block plus in the feedforward path, the polynomial function becomes a series of multinomial operations to the individual input items , in which these items are mixed together to generate the whole set of PA nonlinear distortions and memory effects. For instance, the contributions to the samples third-order distortion will come from: 1) three mixed together by the third degree polynomial term and 2) one mixed with one by the second degree . Note that only remixing components polynomial term are taken into account here. The components that are arising , such as directly from the first degree polynomial term in this case, are omitted. This is because the fundamental parts generated from these terms are zero when they is zero at the fundamental pass the feedback filter since frequency band so that they do not affect the output in the first zone. The higher order distortions can be derived in the same way. will be a sum of product terms In conclusion, the output of the multinomial functions. The coefficients, corresponding to these items, will be products of the coefficients of the polynomial function , and the coefficients of the feedback filter , scaled by the indices of the multinomial functions. These coefficients cannot be easily identified directly since products are involved. However, they can be regrouped and generalized to form equivalent Volterra kernels in the classical Volterra format. For example, can be transferred to , which corresponds to the input item . Some samples of these Volterra kernels and their corresponding input items are listed in Table I. From that table, we can immediately derive the contributions for different order nonlinearities as follows. • First order (10)

ZHU et al.: PRUNING VOLTERRA SERIES FOR BEHAVIORAL MODELING OF PAs USING PHYSICAL KNOWLEDGE

817

TABLE I INPUT ITEMS AND THEIR CORRESPONDING COEFFICIENTS

• Third order (11) • Fifth order

Fig. 4. Sample of the pruned Volterra model implementation.

(12) and so on. Compared to (5), we can see that now the general multidimensional convolutions are reduced to 1-D or 2-D ones so that only a small subset of Volterra kernels appears in (10)–(12). The remaining coefficients are considered to be either zero and unrelated to the PA output behavior or merged into the coefficients on the list, which are redundant with the ones already present in (10)–(12). Hence, the total number of coefficients increases only almost linearly with the nonlinearity order or memory length. This significantly reduces the modeling complexity. For example, in the full Volterra model, a fifth-order expansion with memory length 8 would lead to a total number of coefficients of 59 049 or 1287, considering symmetry, while the new pruned model only involves 117 parameters.

While the reduced-order model has much smaller number of coefficients, it still has the same properties as in the classical Volterra series, e.g., the output of the model is also linear with respect to the coefficients, so that it can be extracted directly by employing linear estimation algorithms in the discrete time domain. Furthermore, because the number of coefficients is dramatically reduced, the model extraction becomes much easier. Model implementation is also significantly simplified since only a limited number of multiplier products and convolutions are needed, as shown in Fig. 4. This model can be systematically extended to higher orders without any further difficulties because its input items are simple products from multinomial functions, as shown in Table I. Finally, note that, in the derivation above, only real RF signals were considered. For handling complex envelope signals, these Volterra coefficients have to be transformed to a low-pass equivalent format, as is explained in the Appendix. IV. MODEL VALIDATION Here, we verify the new behavioral model through both computer simulations and experimental tests.

818

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

A. Computer Simulations In this first test, we designed an equivalent-circuit PA model and simulated it with the Agilent’s Advanced Design System (ADS) [19] simulation software package. This is a GaAs MESFET class-A PA operating at 2 GHz, excited by 3GPP W-CDMA signals of 3.84-Mc/s chip rate. The reason for using computer simulations was that this virtual test setup enabled us to easily control the PA nonlinearity and memory effects, and also allowed us to eliminate noise and measurement errors, which may mask the actual model accuracy. This PA was simulated by a co-simulation of Ptolemy and the Circuit-Envelope Simulator in ADS 2004A [19]. Although the proposed model can be employed to represent a wide range of the PA’s nonlinear characteristics and memory effects, as the general Volterra model, in this test, we only concentrated on memory effects arising from the bias networks. Other memory effects, such as self-heating and trapping effects, were not considered since the MESFET nonlinear model did not include them. To investigate the capability of our model in representing PA memory effects, we simulated the amplifier circuit under two different bias networks, which were: 1) ideal, in which the dc feed is close to the ideal short circuit and 2) nonideal, in which the dc feed shows a nonnegligible impedance to the envelope frequency components. The resulting dynamic AM/AM plots are shown in Fig. 5. From these plots, we can see that the PA did not present any significant memory under ideal bias networks, while memory became evident (AM–AM plots showing distinct hysteresis loops) when the bias impedance increased, something to be expected from a real PA. As discussed in Section II, these memory effects were mainly present in the nonlinear operating region since they arise from remixing the original input with low/high-frequency harmonics and intermodulation products fed back from the output. Fifty sets of time-domain envelope waveforms were captured from the input and output of the PA under different output power levels, and with a sampling rate of 30.72 MHz. These data were then used for model extraction and model validation. The model was truncated to fifth-order nonlinearity with memory length from three to eight, and was extracted via a least squares (LS) algorithm in the discrete time domain. A sample of the output time domain complex envelopes’ magnitude and phase are shown in Fig. 6(a) and (b), respectively. These results clearly show that the modeled data indeed fitted the desired outputs very well. The normalized mean square errors (NMSEs) were calculated for various validation data, and the average of them was approximately 43 dB, which indicates that the relative errors between the modeled and simulated time domain outputs were less than 0.005%. For comparison, a fifth-order complex polynomial (memoryless) model was also extracted for this PA, whose output waveforms are shown in Fig. 6. Although the phase part was fitted well, errors appeared in the magnitude. The NMSE for this model only reached 29 dB. To show the model accuracy in the frequency domain, the spectra of modeled errors are plotted in Fig. 7. There we can see that the error signal spectrum of the new model is almost close to the noise floor, while significant errors are generated in the output predicted by the memoryless

Fig. 5. Sample AM/AM plots for the PA with: (a) ideal bias networks and (b) nonideal bias networks.

model. For reference, the spectrum of the simulated output is also plotted in Fig. 7. B. Experimental Tests To make this modeling technique closer to the “real” world, we also tested a commercial LDMOS class-AB PA in our laboratory. Its schematic diagram is depicted in Fig. 8. This PA, operated at 2.14 GHz, and was excited by W-CDMA signals of a 3.84-Mc/s chip rate and with 8.2-dB peak-to-average power ratio (PAPR). The average output power of the PA is 10 W, and its AM/AM characteristics were close to the ones seen in the first simulated PA circuit. The test bench setup used the ADS–electronic signal generator (ESG)–VSA connected solution [20]. The modulated W-CDMA data files were first created at baseband, downloaded to the arbitrary waveform generator, as complex in-phase (I) and quadrature (Q) signals, and were then fed to the IQ modulator present in the ESG. This generator was used to produce the RF test signal to the PA. The output of the PA was then down-converted and sampled by the vector signal analyzer (VSA). To eliminate noise and measurement errors, 30 repeated

ZHU et al.: PRUNING VOLTERRA SERIES FOR BEHAVIORAL MODELING OF PAs USING PHYSICAL KNOWLEDGE

819

Fig. 8. Schematic diagram of the tested PA.

Fig. 6. Sample time domain complex envelope output waveforms of modeled and simulated: (a) magnitude and (b) phase.

Fig. 9. Sample time domain complex envelope output waveforms of modeled and measured: (a) real part and (b) imaginary part.

Fig. 7. Sample frequency domain output and modeled error spectra.

measurements were performed, and around 150 000 sampling data, with a sampling rate of 30 MHz, were captured from the PA input and output envelope signals. These data were pre-processed, via averaging and alignment, before they were used for model extraction and model validation. The model was extracted in the same way as in the previous verification tests via simulation. The time domain waveforms of real and imaginary parts of the PA output complex envelopes are shown in Fig. 9(a) and (b), respectively. They indicate that the measured data points were again well fitted by the modeled ones. The average NMSE was,

in this case, 38.2 dB, which was a little higher than that of the simulation because of noise and measurement errors. The output waveforms predicted by the memoryless polynomial model are also plotted in Fig. 9, and the NMSE for that model was only 24 dB, which indicates the occurrence of significant modeling errors. The model performance when predicting PA gain and the adjacent channel power ratios (ACPRs) are shown in Table II. We can see that the measured results were accurately predicted by the proposed model. Although in the above validation tests we only demonstrated the model working up to fifth-order nonlinearity and eight timedelay memory lengths, this model can be easily extended to higher orders and longer memory lengths. This is because, by employing the model pruning approach proposed in Section III, the number of coefficients of the model can be kept reasonably small even if higher orders and longer term memory are involved

820

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

TABLE II GAIN AND ACPR PERFORMANCE

(a7) (a8) (a9)

since this number increases almost linearly with the order of the nonlinearity or memory length.

The higher order kernels can be derived in the same way.

REFERENCES V. CONCLUSION An efficient and effective Volterra model pruning method for RF PAs has been presented in this paper. The advantage of this model reduction approach is that it allows efficient reduction of the model complexity, while keeping all essential physical properties of a real PA since it was derived from a functional block model, which has a clear linkage to the device’s physical behavior. Both computer simulation and experimental verification tests indicated that this model can be employed to model a PA with very high accuracy, but with a much smaller number of coefficients than the commonly used general Volterra models. APPENDIX In system level analysis and design, most simulators use baseband complex envelope signals to evaluate the system performance since modulation techniques are normally employed to carry useful information. For handling these carrier-modulated signals, the real bandpass Volterra coefficients and their corresponding inputs have to be transformed into the complex enbevelope format. For example, the real kernel comes the complex kernel where indicates a complex conjugate transform need be made to its corresponding , namely, its corresponding input is input term , where represents the complex conjugate transform. The details of the transforms are as follows. • First order (a1) • Third order (a2) (a3) • Fifth order (a4) (a5)

(a6)

[1] M. Schetzen, The Volterra and Wiener Theories of Nonlinear Systems, reprint ed. Melbourne, FL: Krieger, 1989. [2] J. C. Pedro and S. A. Maas, “A comparative overview of microwave and wireless power-amplifier behavioral modeling approaches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1150–1163, Apr. 2005. [3] C. P. Silva et al., “Optimal-filter approach for nonlinear power amplifier modeling and equalization,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2000, pp. 437–440. [4] H. Ku, M. Mckinley, and J. S. Kenney, “Quantifying memory effects in RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2843–2849, Dec. 2002. [5] J. Kim and K. Konstantinou, “Digital predistortion of wideband signals based on power amplifier model with memory,” Electron. Lett., vol. 37, no. 23, pp. 1417–1418, Nov. 2001. [6] A. Zhu and T. J. Brazil, “Behavioral modeling of RF power amplifiers based on pruned Volterra series,” IEEE Microw. Wireless Compon. Lett., vol. 14, pp. 563–565, Dec. 2004. [7] C. Silva, A. Moulthrop, and M. Muha, “Introduction to polyspectral modeling and compensation techniques for wideband communications systems,” in 58th ARFTG Conf. Dig., San Diego, CA, Nov. 2001, pp. 1–15. [8] D. Mirri et al., “A nonlinear dynamic model for performance analysis of large-signal amplifiers in communication systems,” IEEE Trans. Instrum. Meas., vol. 53, no. 2, pp. 341–350, Apr. 2004. [9] E. Ngoya et al., “Accurate RF and microwave system level modeling of wideband nonlinear circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2000, vol. 1, pp. 79–82. [10] A. Zhu, J. Dooley, and T. J. Brazil, “Simplified Volterra series based behavioral modeling of RF power amplifiers using deviation reduction,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 1113–1116. [11] A. Zhu, J. C. Pedro, and T. J. Brazil, “Dynamic deviation reductionbased Volterra behavioral modeling of RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [12] A. Zhu and T. J. Brazil, “RF power amplifiers behavioral modeling using Volterra expansion with Laguerre functions,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 963–966. [13] M. Isaksson and D. Rönnow, “A Kautz–Volterra behavioral model for RF power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 485–488. [14] J. C. Pedro, N. B. Carvalho, and P. M. Lavrador, “Modeling nonlinear behavior of bandpass memoryless and dynamic systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, vol. 3, pp. 2133–2136. [15] E. Ngoya and A. Soury, “Envelope domain methods for behavioral modeling,” in Fundamentals of Nonlinear Behavioral Modeling for RF and Microwave Design. Norwood, MA: Artech House, 2005, ch. 3, pp. 37–86. [16] J. C. Pedro and N. B. Carvalho, Intermodulation in Microwave and Wireless Circuits. Norwood, MA: Artech House, 2003. [17] J. Vuolevi, T. Rahkonen, and J. Manninen, “Measurement technique for characterizing memory effects in RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1383–1389, Aug. 2001. [18] J. Vuolevi and T. Rahkonen, Distortion in RF Power Amplifiers. Norwood, MA: Artech House, 2003. [19] Advanced Design System (ADS) 2004A. Agilent Technol., Palo Alto, CA [Online]. Available: http://eesof.tm.agilent.com/ [20] “Connected simulation and test solutions using the advanced design system,” Agilent Technol., Palo Alto, CA, Applicat. Notes 1394, 2000.

ZHU et al.: PRUNING VOLTERRA SERIES FOR BEHAVIORAL MODELING OF PAs USING PHYSICAL KNOWLEDGE

Anding Zhu (S’00–M’04) received the B.E. degree in telecommunication engineering from North China Electric Power University, Baoding, China, in 1997, the M.E. degree in computer applications from Beijing University of Posts and Telecommunications, Beijing, China, in 2000, and the Ph.D. degree in electronic engineering from University College Dublin (UCD), Dublin, Ireland, in 2004. He is currently a Lecturer with the School of Electrical, Electronic and Mechanical Engineering, UCD. His research interests include high-frequency nonlinear system modeling and device characterization techniques with a particular emphasis on Volterra-series-based behavioral modeling for RF PAs. He is also interested in wireless and RF system design, digital signal processing, and nonlinear system identification algorithms.

José Carlos Pedro (S’90–M’95–SM’99–F’07) was born in Espinho, Portugal, in 1962. He received the Diploma and Doctoral degrees in electronics and telecommunications engineering from the Universidade de Aveiro, Aveiro, Portugal, in 1985 and 1993, respectively. From 1985 to 1993, he was an Assistant Lecturer with the Universidade de Aveiro, and a Professor since 1993. He is currently a Senior Research Scientist with the Instituto de Telecomunicações, Universidade de Aveiro, as well as a Full Professor. He coauthored Intermodulation Distortion in Microwave and Wireless Circuits (Artech House, 2003) and has authored or coauthored several papers appearing in international journals and symposia. His main scientific interests include

821

active device modeling and the analysis and design of various nonlinear microwave and opto-electronics circuits, in particular, the design of highly linear multicarrier PAs and mixers. Dr. Pedro is an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and is a reviewer for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was the recipient of the 1993 Marconi Young Scientist Award and the 2000 Institution of Electrical Engineers (IEE) Measurement Prize.

Telmo Reis Cunha (M’05) was born in Porto, Portugal, in 1973. He received the Diploma and Doctoral degrees in electronics and computer engineering from the Universidade do Porto, Porto, Portugal, in 1996 and 2003, respectively. From 1997 to 2001, he was with the Observatório Astronómico, Universidade do Porto, where he was involved with diverse national and international research projects in the areas of satellite navigation and system integration. From 2001 to 2004, he was a Technical Director and Research Engineer with Geonav Ltd., a private company located near Porto, Portugal. Since 2004, he has been an invited Auxiliary Professor with the Universidade de Aveiro, and also a Research Engineer with the Instituto de Telecomunicações. His current main research interests include behavioral modeling applied to RF and microwave devices. Dr. Cunha was the recipient of the 1997 Fundação António de Almeida Prize. He was also the recipient of the 2001 Best Presentation Award for his presentation at the ION–GPS Conference, Salt Lake City, UT.

822

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Modeling Superconducting Transmission Line Bends and Their Impact on Nonlinear Effects Jordi Mateu, Member, IEEE, Carlos Collado, Member, IEEE, and Juan M. O’Callaghan, Senior Member, IEEE

Abstract—This paper reports on a numerical technique to obtain the current distribution in the annular bent sections of planar layouts. This is used to obtain the linear and nonlinear circuit distributed parameters modeling a superconducting strip bend and its impact on intermodulation distortion. As an example, we analyze a superconductive open-loop resonator and assess the linear and nonlinear contribution of its bends in its overall linear and nonlinear performance. These simulations are very useful for optimizing the resonators of a filter in order to minimize its nonlinear distortion. Index Terms—Circuit model, current distribution, nonlinear effects, superconductor, transmission line.

I. INTRODUCTION

L

OW LOSSES of high temperature superconductive (HTS) thin films allow the fabrication of very compact and highperformance microwave filters [1], [2]. These planar devices often include narrow strip topologies with numerous multicoupled transmission lines [3] and bends. This usually leads to high current densities in the superconductor even at low input power [4], which not only affects the linear response of the filter, but may also give rise to undesirable nonlinear effects like intermodulation distortion (IMD) [5], [6]. An accurate modeling of these bends, particularly the current distribution in their cross section, is thus necessary to be able to model the linear and nonlinear response of superconducting devices, especially filters. In straight transmission lines, there are well-known procedures to find the current distribution in the cross section of the line and calculate its inductance and resistance per unit length from it [7]–[9]. If the line is made from superconductor materials, this current distribution is known to change with the current through the line due to the properties of the superconductor (i.e., the current dependence of its penetration depth) [10]. This gives rise to a dependence of and on the current

Manuscript received September 14, 2006; revised January 11, 2007. This work was supported in part under the Fulbright Program and by the Spanish Government (CICYT) under Grant MAT-2005-05656-C03 and Grant TEC-2006-13248-C04-02/TCM and under the Ramón y Cajal Program through RyC-001125. J. Mateu is with the Department of Signal Theory and Communications, Universitat Politècnica de Catalunya, Barcelona 08034, Spain, and also with the Centre Tecnològic de Telecomunicacions de Catalunya, Universitat Politècnica de Catalunya, 08860-Castelldefels, Barcelona, Spain (e-mail: [email protected]. edu). C. Collado and J. M. O’Callaghan are with the Department of Signal Theory and Communications, Universitat Politècnica de Catalunya, Barcelona 08034, Spain (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895166

of the line, which provokes nonlinear effects. The calculation of the dependence of the current distribution on total current and its effects on and are well established for straight superconducting transmission lines [6], [10], but not for bent segments of lines. The goal of this paper is to fill in this void, i.e., adapt the methods used in straight transmission lines to find the current distribution in a cross section of an annular bent transmission line, find its impact on and , and in the case of superconducting lines, find how and depend on current and how this impacts IMD in a typical resonator that could be used in a superconducting filter. In Section II, we describe the Weeks–Sheen method [7], [8] used to calculate and in normal and superconducting straight transmission lines and our extension for the linear modeling of annular bent sections. We will refer to the latter as the radial Weeks–Sheen method. Although this method is actually applied to annular bent sections, we will use the single term bend to refer to them throughout this paper. We also describe a cross check of this method where we analyze a copper microstrip bend and compare our results with those obtained with two alternative methods of the inductance per unit length in a normal conductor. Section III shows how to consider the nonlinear effects existing in superconducting materials using the distribution of the current density in a cross section of the bend obtained from the radial Weeks–Sheen method. Finally, in Section IV, we use this approach to predict the effects of bends in the linear and nonlinear response of a half-wave square-shaped open-loop resonator. II. WEEKS–SHEEN METHOD FOR CURVED TRANSMISSION LINES A. Theoretical Background To evaluate the resistance and inductance per unit length of the strip, i.e., and , one needs to know the volume current density distribution over the cross section of the line. This can be done using the Weeks et al. method [7], later modified by Sheen et al. [8] for superconductive transmission lines. This section shows the basics of the Weeks–Sheen method to illustrate how it is modified to be able to undertake the analysis of bent regions. The cross section of the strip is meshed in smaller transmission lines resulting in a system of coupled transmission line equations. Fig. 1(a) shows a schematic diagram illustrating the meshing of a straight elemental segment of length . The meshing distribution is usually performed based on a priori intuition of the current distribution profile; i.e., choosing the smallest patches where the current distribution changes sharply

0018-9480/$25.00 © 2007 IEEE

MATEU et al.: MODELING SUPERCONDUCTING TRANSMISSION LINE BENDS AND THEIR IMPACT ON NONLINEAR EFFECTS

Fig. 1. Meshing of the cross section of the strip. (a) For a straight segment of defines the curvature of the the strip. (b) For a bent segment of the strip. r bend, w and t define the width and thickness of the strip, respectively.

823

Fig. 2. Outline of one individual patch segment corresponding to a bent segment of the structure of Fig. 1(b).

the ground plane patches. Thus, (1) may be solved by inverting the impedance matrix [9], this reduces the required number cells of the meshing and, therefore, reduces the computation time. The resulting multicoupled transmission lines should satisfy the telegrapher’s equation [7] (1) where is the vector containing the variation of the voltage of each patch relative to a reference patch—usually located in ground plane—as a function of the length of the segment , and is the vector containing the current in each line. is the matrix of self and mutual impedances per unit length between patches (2) with and being resistances and inductances per unit length. The matrices may be calculated following the procedure discussed in detail by [8] (or [7] for normal conductors). Here, we just point out the expressions used to calculate the elements of and . We write the elements in these matrices as and , respectively, where and indicate the corresponding row and column. The resistive elements are given by (3) where for and for , and indicate the area and the complex conductivity of the patch th. The (where complex conductivity can be written as , being the superconducting penetration is somehow more complicated depth). The calculation of , only existing in and can be split in a kinetic inductance ( . The the superconducting case) and a partial inductance partial inductance includes the internal and external inductance corresponding, respectively, to the energy stored inside and outside of each conductor segment, due to the magnetic field, and can be obtained from [8, eqs. (11) and (12)]. The kinetic inductance can be obtained from the imaginary part of the superconducting impedance as [8] (4) As done in [8], we assume that the line voltages are quasistatic, thus the voltages in the patches of the signal line are set to a constant value and 0 for patches of the ground plane. From a practical point-of-view, this implies that the term takes a constant value for the patches in the signal strip and is 0 for

(5) with being the admittance matrix . This gives us the current flowing through each line, which may be used to calculate the current density distribution . By an algebraic addition of the elements from the admittance matrix corresponding to the signal line, that are in parallel, one obtains the admittance and resistance per of the line and, thus, its inductance unit of length [8]. B. Radial Weeks–Sheen Method The purpose here is to modify the conventional Weeks–Sheen method to obtain the distributed parameters describing a bent elemental segment. Fig. 1(b) shows a schematic of a meshed bent elemental segment. Unlike the straight elemental segment of Fig. 1(a), in a bent region [see Fig. 1(b)], the elemental length may be different for each line resulting from the meshing. We to define the best elemental sement use, therefore, the angle of Fig. 1(b). To analyze this structure, we first begin by considering a single segment of the meshed region. Fig. 2 outlines the th and can be related segment. The length of this segment is and the radius of the with the angle defining the bent region th patch segment as . Considering the geometrical parameters defining each of the segments of a bend, (1) can be rewritten as (6) where is the voltage drop in a segment of length and is the current flowing through the th segment. The total number of segments is defined by the meshing. By considering , (6) can then be written as (7) which in matrix form is (8) is diagonal and is a vector containing where the matrix the radius of each segment of the bend . Note that since the cross section of a straight elemental segment

824

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

[see Fig. 1(a)] is equal to the cross section of a bent elemental segment [see Fig. 1(b)], the values of the matrix should also be equal. Thus, we can define the equivalent matrix, which characterizes the cross section of the bent segment as (9) The resulting equation of a multicoupled bent transmission lines is (10) By following the same procedure used to solve (1), we may obtain the current flowing through each patch of the bent segment from (10) as follows: (11) where is the equivalent admittance matrix of a bent reis constant for each segment belonging gion and the term to the signal strip. From , we obtain the inductance and resistance of the bent transmission line per unit angle. The inductance and resistance per unit length and can be and by the radius straightforwardly obtained by dividing defining the curvature of the bend . is defined from the middle of the bend, thus its minimum value would be . C. Cross-Check for a Normal Conductor Bend Here, we apply the radial Weeks–Sheen method for a normal conductor to be able to compare the results with existing techniques. This can be done by considering a real conductivity [7], which allows us to neglect (4) in the impedance matrix calculation. The microstrip bend has a cross section with 0.5 mm of width and 0.43 mm of substrate thickness. Two different approaches, contained in a commercial software package [12], have been used to obtain the inductance per unit length of a copper bend as a function of its radius. The first approach consists of a microstrip circuit model for curved bends based on perturbation techniques [13]. Its results are shown in the squares in Fig. 3. In this case, we see how , smoothly rethe effects of the bend start for ducing the distributed inductance as the radius decreases. The second approach performs electromagnetic simulation of the planar structure by using techniques based on the method of moments [12]. The results are shown in triangles in Fig. 3. Finally, the dashed line in Fig. 3 shows the results using the method we propose. The latter two methods show very good agreement for and predict a weak dependence of the whole range of on for values of and a sharp decrease . for smaller values of III. NONLINEAR SUPERCONDUCTING BENDS

Fig. 3. Variation of the distributed inductance of a cooper microstrip bend (L) as a function of r . Squares represent the results obtained using the circuit model based on [13], triangles correspond to the full-wave simulation results and the dashed line corresponds to the simulation with our technique.

of normal fluid condutor as

. In this equation, the conductivity and the penetration depth of the superdepend on temperature and current density

(12) describes the form of the nonlinwhere the function earity and relates the relative magnitudes of the real and imaginary components of the nonlinear conductivity [6]. To evaluate these deviations, we use an iterative procedure [10], which, from the current distribution of the current iteration and of the next iteration using (12). From updates these new values, we recalculate the current distribution and repeat this procedure until convergence is achieved. By running this procedure for several values of voltage in the signal strip , we determine the nonlinear current dependence of the inducand resistance per unit of length. Note that tance the nonlinear current dependence of the distributed inductance is only due to the variation of the kinetic part of the inductance. We assume a quadratic nonlinear dependence of the superfluid density on the current density, i.e., ( being a characteristic current density that sets the strength of nonlinearities), which is a very good approximation [10]. In this case, the for weak nonlinear effects and ] can resulting distributed parameters [ be obtained from closed-form equations and also follow a quadratic dependence on the current flowing through the line

(13) where the nonlinear terms and from the following expressions [14]:

can be found

A. Calculation of the Nonlinear Parameters In a superconducting case, the nonlinear dependence of the superfluid density on the current density gives rise to a nonlinear complex conductivity [6],

(14)

MATEU et al.: MODELING SUPERCONDUCTING TRANSMISSION LINE BENDS AND THEIR IMPACT ON NONLINEAR EFFECTS

825

where is a geometrical factor , which depends on the current density distribution over the cross section

(15) The nonlinear dependence of the distributed resistance and inductance using (13)–(15) has been verified using the above outlined iterative procedure [10]. This procedure may show divergence for high current densities or strong nonlinear effects. Although the range of validity may be improved using a more robust iterative procedure, we estimate the validity of this method . for In Section III, we will evaluate these quantities for a straight and bent segment of a strip, such as the ones shown in Fig. 1. B. Modeling of a Microstrip Bend Here, we use the above-described procedure to obtain the linear and nonlinear distributed parameters ( and ) in a microstrip superconducting bent transmission line as a function of its radius. The cross section used for this example is a microstrip of the signal line is 0.5 mm, the structure where the width of the superconducting strip and ground plane is thickness 270 nm, and the thickness of the dielectric substrate is 0.43 mm. The material is YBCO on MgO. The surface resistance of the material at 77 K and 10 GHz is 0.7 m and the penetration depth at 77 K is 230 nm. The simulations are performed at 2 GHz since it is a frequency of interest in wireless communication applications. Note that the topology of the structure and properties of the material considered for this simulation are commonly used in superconducting filter designs [15]. Fig. 4 depicts the current density distribution in the cross section for a straight transmission line [see Fig. 4(a)] and for a bent [see Fig. 4(b)]. The curtransmission line with rent density distribution in a straight line segment has a symmetric profile, whereas in the bent segment, as we expect, the current density distribution is higher at the inner part. As we will show below, this has consequences on both the linear and nonlinear parameters defining the circuit model of the line. To evaluate the effects of the bend in the linear parameters defining the bent transmission line, Fig. 5 shows the linear inand resistance per unit length as a funcductance tion of the ratio between the radius of the bend and the width . Note also that and in Fig. 5 of the line are normalized by the inductance and resistance of a straight segment. These results show a reduction of the inductance and an increment of the resistance when the radius decreases. Note that, to guarantee a less than 10% deviation should with respect to the straight-line values of , be kept above 1. This condition is slightly more stringent for . We have also assessed the impact of the bends in the nonlinear performance of a superconducting transmission line. To do this, we assume the quadratic nonlinear behavior of Section II-A and . determine how the geometric factor changes with

Fig. 4. Volume current density distribution over the signal strip of microstrip topology. (a) For a straight elemental segment. (b) For a bent elemental segment with r =w = 0:8.

Fig. 5. (left) Variation of the distributed inductance of a bend (L ) as a func. (right) Variation of the distributed resistance of a bend (R ) as tion of r a function of r . Both are normalized by the distributed parameters in a straight segment, L and R , respectively.

Fig. 6 depicts the dependence of on the radius of the bent segment. We see that the nonlinearities may increase by a factor of , which is likely to affect 20 when the radius gets close to

826

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 6. Nonlinear geometrical factor 0 (9) as a function of the radius of the bent segment normalized by 0 in a straight segment.

tance from the open ends of the resonator and the other two at a distance from the center. The cross section and parameters of the resonator are the ones used in Section IV-A. The length of the resonator has been adjusted to operate on its first resonant mode (i.e., half-wave resonator) at 2 GHz. That is the current distribution, which follows a sinusoidal distribution along the resonator. To analyze this structure, we have split the resonator in straight regions and bent regions (see the inset of Fig. 7). The equivalent-circuit model of the whole resonator consists of concatenating many elemental RLCG cells, corresponding to a straight or a bent region. The equivalent circuit can now be solved either using a circuit analysis tool (note that it should be able to apply nonlinear analysis, such as harmonic-balance techniques [18]) or by developing the closed-form expression, which gives the IMD products generated along the resonator of length . We have obtained this expression by following the procedure detailed in [6]. Unlike [6], in this case, we should consider the dependence of the and ) on their location along the circuit parameters ( resonator. To do that, we assume a spatial sinusoidal distribution of the fundamental and IMD frequencies. For quadratic nonlinearities [see (13)], the nonlinear voltage at IMD frequency in an elemental segment of the resonator is (16) and where tals. Now the

Fig. 7. Open-loop resonator IMD products (in decibels) as a function of the r =w normalized by the IMD in a straight resonator. Solid, dashed, and dotted lines correspond to x = =40, =20, and =10, respectively. Variation of the normalized quality factor (Q =Q ) as a function of r =w . Note that, in this layout, the effect of the gap length is neglected.

the overall nonlinear performance of the device containing the bend. The effects shown above are very important from an engineering point-of-view since it is necessary to predict them for a proper design of resonator and filter topologies. Note also that this is relevant for materials characterization since many planar devices used to obtain linear and nonlinear parameters to characterize the superconducting materials use planar patterns containing bends [16], [17]. Here, it has been shown how the distributed parameters in a bent segment deviate from the ones expected in a straight segment. Section IV goes one step further, showing the application of these results to evaluate the effects of bent regions in practical microwave devices. IV. OPEN-LOOP RESONATORS WITH BENDS Here, we analyze how the linear and nonlinear performance of an open-loop resonator is affected by the radius of the bend and by the position where the bends are located. The inset of Fig. 7 outlines the topology of the open-loop under study. It contains four bends, two of them are placed at a dis-

are the current of the fundamenpower generated at IMD frequency will be dissipated in the resonator (dielectric losses are assumed negligible [9]) and coupling loads , where is accounts the coupling coefficient [19] and, thus, the term for the dissipation on the input and output, assuming equal coupling. Note that these integrals should consider the value of the linear and nonlinear distributed parameter at each position , the power at the IMD of the resonator. Once we know frequency coupled to the load is (17)

This expression has been verified by simulating the equivalent circuit of the whole resonator of the inset of Fig. 7, which consists of cascading many RLCG elemental cells, using a circuit analysis simulator [12]. The results of this analysis are shown in Fig. 7. The right-hand axis indicates the quality factor of the half-wave open-loop resonator normalized by the quality factor in a half-wave straight decreases. resonator. The quality factor decreases when , the quality factor drops more We see that, for , it degrades more than 30%. than 10%, and for The quality factor is barely affected by the position of the bents. The left-hand axis in Fig. 7 indicates the IMD of the open-loop resonator normalized by the IMD that occurs in a straight resonator. These results show how the nonlinearities rapidly indecreases. When , the IMD crease when

MATEU et al.: MODELING SUPERCONDUCTING TRANSMISSION LINE BENDS AND THEIR IMPACT ON NONLINEAR EFFECTS

increases more than 4 dB, and for , it increases more than 10 dB. As occurs with the quality factor, the IMD is not strongly affected by the position of the bends. This may be explained by assuming a sinusoidal distribution along the strip. When increases (or decreases), the two bends closer to the ends have a stronger (or weaker) contribution, whereas the other two bends have a weaker (or stronger) contribution. Note that these effects depend on the resonator topology. The resonant frequency of the resonator would also be affected by the bent segments contained in the structure. This can be concluded from the deviation of the distributed inductance as a function of the radius in Fig. 3. However, the bends would also introduce an additional distributed capacitance [19], which will also affect the resonant frequency of the structures, thus we cannot obtain the frequency shift in the resonator only from the deviation of the inductance due to the bent segments. Note that this would not occur for the quality factor since the losses coming from the dielectric (which are also affected by the bent section) are negligible [6]. Although the frequency shift is a very important designing parameter, in practice, this can usually be tuned by making the resonator slightly longer or shorter, whereas the quality factor and IMD are parameters that strongly depend on the shape of the resonator (and material properties) and cannot be tuned for a given geometry.

V. CONCLUSION The radial Weeks–Sheen method proposed in this paper has been shown to be consistent with other methods of analyzing normal conducting bends of planar microwave circuits. Unlike the methods used in the comparison, the radial Weeks–Sheen method is also applicable to superconductors and can be used to predict the linear and nonlinear effects of a bend. We have analyzed a typical microstrip geometry and we found that, to keep the inductance per unit length in the bend within 10% of should be kept higher than 1 its value in a straight line, in both a superconducting and a normal-metal strip (Figs. 3 and 5). This condition is slightly more stringent for the resistance . per unit length of a superconducting strip When analyzing the nonlinear effects of bends in an open-loop resonator at 2 GHz (Fig. 7), we found that when , decreases approximately 10% with respect to that of a straight-line resonator, and IMD increases by 2–3 dB depending on the position of the bends. In any case, both IMD degrade significantly for lower values of , and which would make them inadequate for high-performance superconducting filters. While this paper and its conclusions have an obvious relevance for microwave engineering purposes, they may also be of interest for testing superconductors since many test devices consist of planar circuits containing strip bends.

ACKNOWLEDGMENT The authors would like to thank Dr. R. Taylor and R. Clarke, both with Microwave and Materials Designs Pty. Ltd., Brisbane, Australia, for fruitful discussions and comments.

827

REFERENCES [1] J.-S. Hong and M. J. Lancaster, “Compact microwave elliptic function filter using novel microstrip meander open-loop resonators,” Electron. Lett., vol. 32, pp. 563–564, 1996. [2] H. Su and M. J. Lancaster, “Highly miniature HTS microwave filters,” IEEE Trans. Appl. Supercond., vol. 11, no. 1, pp. 349–352, Mar. 2001. [3] J. Mateu, C. Collado, and J. M. O’Callaghan, “Nonlinear model of coupled superconducting lines,” IEEE Trans. Appl. Supercond., vol. 15, no. 2, pp. 976–979, Jun. 2005. [4] D. E. Oates, S.-H. Park, D. Agassi, G. Koren, and K. Irgmaier, “Temperature dependence of intermodulation distortion in YBCO: Understanding nonlinearity,” IEEE Trans. Appl. Supercond., vol. 15, no. 2, pp. 3589–3595, Jun. 2005. [5] M. I. Salkola, “Nonlinear characteristics of a superconducting receiver,” Appl. Phys. Lett., vol. 88, pp. 012501/1–012501/3, 2006. [6] C. Collado, J. Mateu, and J. M. O’Callaghan, “Analysis and simulation of the effects of distributed nonlinearities in microwave superconducting devices,” IEEE Trans. Appl. Supercond., vol. 15, no. 1, pp. 26–39, Mar. 2005. [7] W. T. Weeks, L. L. Wu, M. F. McAllister, and A. Singh, “Resistive and inductive skin effect in rectangular conductors,” IBM J. Res. Dev., vol. 23, pp. 652–660, 1979. [8] D. M. Sheen, S. M. Ali, D. E. Oates, R. S. Whiters, and J. A. Kong, “Current distribution, resistance, and inductance for superconducting strip transmission lines,” IEEE Trans. Appl. Supercond., vol. 1, no. 2, pp. 108–115, Jun. 1991. [9] A. Porch, M. J. Lancaster, and R. G. Humphreys, “The coplanar resonator technique for determining the surface impedance of YBaCO thin film,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 2, pp. 306–314, Feb. 1995. [10] T. Dahm and D. Scalapino, “Theory of intermodulation in superconducting microstrip resonator,” J. Appl. Phys., vol. 81, no. 4, pp. 2002–2006, 1997. [11] J. C. Booth, J. Bell, D. Rudman, L. Valle, and R. Ono, “Geometry dependence of nonlinear at microwave frequencies,” J. Appl. Phys., vol. 86, no. 2, pp. 1020–1025, 1999. [12] Advanced Design System. Agilent Technol., Palo Alto, CA, 2005. [13] A. Weisshaar and V. K. Tripathi, “Perturbation analysis and modeling of curved microstrip bends,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 10, pp. 1449–1454, Oct. 1990. [14] J. C. Booth, K. Leong, S. A. Schima, C. Collado, J. Mateu, and J. M. O’Callaghan, “Unified description of nonlinear effects in high temperature superconductors,” J. Supercond. 2006. [15] J.-S. Hong, M. J. Lancaster, D. Jedamzik, and R. B. Greed, “On the development of superconducting microstrip filter for mobile communication applications,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1656–1663, Sep. 1999. [16] Y. Wang, H. T. Su, F. Huang, and M. J. Lancaster, “Wide-band superconducting coplanar delay line,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2348–2354, Jul. 2005. [17] Y. Wang, H. Su, F. Huang, and M. J. Lancaster, “Measurements of YBCO surface resistance using coplanar line resonator techniques from 20 MHz to 20 GHz,” IEEE Trans. Appl. Supercond., submitted for publication. [18] S. A. Maas, Nonlinear Microwave Circuits. Boston, MA: Artech House, 1998. [19] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications, ser. Microw. Opt. Eng. New York: Wiley, 2001. Jordi Mateu (M’03) was born in Llardecans, Spain, in 1975. He received the Telecommunication Engineering and Ph.D. degrees from the Universitat Politècnica de Catalunya (UPC), Barcelona, Spain, in 1999 and 2003, respectively. Since October 2006, he has been Research Fellow with the Department of Signal Theory and Communications, UPC. From May to August 2001, he was Visiting Researcher with Superconductor Technologies Inc., Santa Barbara, CA. From October 2002 to August 2005, he was Research Associate with the Telecommunication Technological Center of Catalonia, Catalonia, Spain. Since September 2004, he has held several Guest Researcher appointments with the National Institute of Standards an Technology (NIST), Boulder, CO, where he was a Fulbright Research Fellow from September 2005 to October 2006. In July 2006, he was a Visiting Researcher with the Massachusetts Institute of Technology (MIT) Lincoln Laboratory. From September 2003 to August

828

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

2005, he was a Part-Time Assistant Professor with the Universitat Autònoma de Barcelona. His primary research interests include microwave devices and system and characterization and modeling of new electronic materials including ferroelectrics, magnetoelectric, and superconductors. Dr. Mateu was the recipient of the 2004 Prize for the best doctoral thesis in fundamental and basic technologies for information and communications presented by the Colegio Oficial Ingenieros de Telecomunicación (COIT) and the Asociación Española de Ingenieros de Telecomunicación (AEIT). He was also the recipient of a Fulbright Research Fellowship, an Occasional Lecturer Award for visiting MIT, and a Ramón y Cajal Contract.

Carlos Collado (M’05) was born in Barcelona, Spain, in 1969. He received the Telecommunication Engineering sand Ph.D. degrees from the Technical University of Catalonia (UPC), Barcelona, Spain, in 1995 and 2001, respectively. In 1998, he joined the faculty of UPC, where he has been teaching courses on the theory of electromagnetism, microwave laboratory, and high-frequency devices and systems. In 2004, he was a Visiting Researcher with the University of California at Irvine. Since April 2005, he has been an Associate Professor with UPC. His primary research interests include microwave devices and systems, electrooptics applications, and superconducting devices.

Juan M. O’Callaghan (SM’01) received the Telecommunication Engineering degree from the Universitat Politècnica de Catalunya (UPC), Barcelona, Spain, in 1987, and the M.S. and Ph.D. degrees from the University of Wisconsin–Madison, in 1989 and 1992, respectively. He is currently a Full Professor with UPC. He was an intern with the Systems Research Center, Honeywell, Bloomington, MN, where he was involved with noise measurement methods for field-effect transis-band. From 2003 to 2006 he was tors (FETs) at Manager for MERIT, a consortium of European universities delivering a joint master’s program in information technologies within the Erasmus Mundus Program. He is currently Vice-Dean of Academic Affaires with Telecom BCN, the telecommunication engineering school of UPC. He has authored or coauthored over 40 papers in peer-reviewed international magazines. He holds three patents. His research interests include microwave devices and materials and microwave photonics. He has been involved with noise characterization, large-signal properties of GaAs FETs, and advanced microwave materials such as superconductors and ferroelectrics.

Ka

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

829

Analytic Large-Signal Modeling of Silicon RF Power MOSFETs Paolo Fioravanti, Member, IEEE, Oana Spulber, and Maria Merlyne De Souza, Member, IEEE

Abstract—This paper provides novel analytic expressions and methodology for predicting the large-signal gain of RF power MOSFETs. The expressions are derived from a model that includes input and output matching impedances, source inductance, and gate resistance. Using the load line concept superimposed on a nonlinear current generator, this paper demonstrates reasonably accurate predictions of gain and gain compression point. Index Terms—Circuit analysis, impedance matching, microwave power amplifiers, semiconductor device model. Fig. 1. Transistor model including matching impedances, gate resistance, source inductance, and a nonlinear voltage-controlled current generator. In [2], R and g were not considered and I (V ) was assumed linear.

I. INTRODUCTION

H

ARMONIC-BALANCE (HB) simulations are the only viable approach to provide accurate RF performance estimation of devices in various applications. In the absence of HB, analytic expressions can provide a quicker alternative. Unfortunately, two-port and circuit derived [1] power gain expressions for conventional MOSFETs are not appropriate for Si RF power MOSFETs due to the substantial structural differences between these two applications. The most reliable analytical approaches available to date for Si RF power MOSFETs have been proposed in [2] and [3]. These approaches considerably simplify the initial phases of circuit design through analytic expressions and methods for the prediction of optimum matching impedances, power gain, and gain compression. These expressions permit faster development of circuital applications and prediction of device performance. This information is particularly valuable due to the large effect that the matching impedance causes on power gain and gain compression of Si RF power MOSFETs. On the other hand, the expressions in [2] neglect the effect of the gate resistance of the device yielding inaccurate power gain, whereas the gain compression in [3] does not consider the effect of the matching impedances, limiting the usefulness of the prediction. This paper describes an extension of [2] to deduce matching impedances and, for the first time, to include them in the determination of the gain compression. Manuscript received October 27, 2006; revised February 6, 2007. P. Fioravanti was with the Emerging Technologies Research Centre, De Montfort University, Leicester LE1 9BH, U.K. He is now with Research and Development, Theta Microelectronics, 15125 Athens, Greece. O. Spulber was with the Emerging Technologies Research Centre, De Montfort University, Leicester, LE1 9BH, U.K. She is now with International Rectifier, Newport NP10 8YJ, U.K. M. M. De Souza is with the Emerging Technologies Research Centre, De Montfort University, Leicester LE1 9BH, U.K. (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895403

This paper is organized as follows. The background work of [2]–[4] is described in Section II. In Section III, an improved power gain expression is proposed to include the effect of the gate resistance. The expression is then extended to the general case of a nonlinear current generator. In Section IV, two procedures for the determination of gain compression from the nonlinear current generator are presented. The accuracy of the proposed expressions and procedures is verified in Section V via comparison with HB simulations and measurements. II. BACKGROUND The effect of the load impedance on load–pull contours was first analytically described by Cripps, who in 1983 demonstrated simplified equations that lead to a good agreement with experiment [4]. Following Cripps, a power gain expression was derived in [2] based on the transistor model of Fig. 1 under the assumption of a linear current generator, zero gate resistance, and zero drain conductance. The power gain and optimum source and load impedance were given as (1)

(2) (3) where is the angular frequency, is the source inductance, is the gate-to-source capacitance, is the gate-to-drain is the drain-to-source capacitance, is the capacitance, transconductance, is the load line optimum resistance, is the optimum load impedance, and is the optimum source

0018-9480/$25.00 © 2007 IEEE

830

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

impedance. The value of the model parameters are extracted at the application frequency and bias. The transconductance value considered in (1)–(3) varies with bias, reducing from the maxin class A to in class B. imum Another known expression that permits the calculation of the power gain is

Using (5)–(8), the optimum load and source resistance are now given as

(9)

(4) is the amplifier load resistance, and where are the transconductance values associated with the intrinsic MOSFET and the junction field-effect transistor (JFET) resistance of Si RF power MOSFETs [3]. Equations (1)–(3) may be considered as an improvement with respect to (4) because the latter does not include matching impedances or the source inductance. III. THEORETICAL ANALYSIS Here, a new power gain expression is proposed to include the effect of the gate resistance on the model in Fig. 1. The gain expressions are first derived for a linear current generator and subsequently adapted for the nonlinear case. A. Effect of Gate Resistance on Power Gain The expression in (1) overestimates the power gain, as can be concluded from the results in [2]. Hence, to overcome this limitation, in this study the model was modified to include the impact of . Proceeding as in [2], the optimum load impedance is determined by forcing the current generator to see a real . As pointed out by Cripps [4], output impedance of value [5], is the load line optimum resistance associated with the maximum voltage and current swings. The optimum source is determined as the conjugate match of the tranimpedance sistor’s input impedance. Circuit analysis of Fig. 1 reveals that

(10) The power and gain expressions are derived by defining the input power as the power delivered to the transistor under conjugate match conditions and the output power as the power dissipated by the load-line resistance. These definitions yield (11)–(13), shown at the bottom of this page. It can be easily verified that (9), (10), and (13) coincide with and S. the expressions in [2] for B. Power Gain Expressions in the Nonlinear Case Equation (13) can be used only for the determination of power gain at small input signal levels, i.e., where the output is linearly proportional to the input. This occurs in the ideal transistor case: the derivation implicitly assumes a constant value of the transconductance and a linear drain current to input voltage relationship. In this case only, the fundamental component of the remains proportional to the input signal device current through the transconductance value (14) However, real devices have transconductance values that are neither constant, nor linearly dependent on the input voltage. In the nonlinear current generator case, (14) cannot be considered valid. The fundamental component of the current ( ) has to be determined from the actual current waveform (15)

(5) (6) (7) (8)

is the device output characteristic, is the gate where is the input RF gate signal. bias voltage, and is demonstrated graphically The determination of needs to be exin Fig. 2. The device output characteristic tracted from the – curves. Conventionally this is carried . However, in a real amplifier, the out considering a constant device operates along the load line depending upon the class of

(11) (12) (13)

FIORAVANTI et al.: ANALYTIC LARGE-SIGNAL MODELING OF SILICON RF POWER MOSFETs

831

is required in The extraction of the output characteristic ) of the power order to explicitly relate input ( ) to output ( amplifier. The goal is to obtain an expression for the current . This is achieved by along the load line as – charextrapolating the intersection point between the values. acteristics and load line for varying A prerequisite for the correct determination of the load line characteristic is represented by the accurate extraction of the value to be used. However, when a nonideal RF power device is considered, even the identification of the knee voltage is problematic. The following describes a numerical ap. proach for the accurate determination of An accurate extraction of the knee voltage is essential to maximize the ideal maximum linearly delivered power (16) Fig. 2. Amplification principle for a MOSFET in common source configuration: output drain current signal determination from the input gate voltage signal through the output trans-characteristic.

where is twice the amplitude of the maximum output voltage swing. can be expressed as Since (17) is the maximum allowed gate voltage for the where device, the determination of corresponds to finding the value that maximizes (18) To obtain expressions for the input and load currents and voltages, has to be replaced with in (5)–(8) yielding

(19) (20)

Fig. 3. Ideal power amplifier model and load line superimposed to the I –V characteristics in class A. The load line is the loci of output current and voltage that the amplifier configuration forces on the transistor. The ideal = Constant. load line is determined under the constraint of an imposed V In this case, the optimum load line is a line passing through the knee point of the I –V characteristic (V ,I ) and the bias point (V ,I ). In class A, the slope of the load line coincides with 1=R , where =I , with V = 2(V V ). R =V

0

0

operation. It follows that the correct estimation of power gain and gain compression can be achieved only if the output current in (15) is extracted along the load line. characteristic The superimposition of the load line on the – curves of the device permits the extrapolation of the output characteralong the trajectory defined by the load line itistic self. The optimum load line is the line through the knee point – characteristic ( , ) and the bias point of the , ), as shown in Fig. 3. Due to the nonlinear be( is not the havior of the device, Fig. 3 also shows that maximum current available from the device, but the current at – characteristics. the knee of the

(21) (22) The optimum source and load impedances, input and output power, and power gain can then be calculated once the funda) is known. However, it is mental component of the current ( not possible to provide an explicit formula for these parameters. They are defined as (23) (24) (25) (26) The values of optimum load and source impedance are evaluated at the 1-dB compression point, which corresponds to

832

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

the maximum linear power. The input signal amplitude at the 1-dB compression point is determined from the third-order power series expansion of the current signal, as described in [5]. In the single tone case, it can be stated as (27) with

B. FSA For a single-tone signal, it is relatively easy to calculate from (15) using the approach of Fourier series as given by (29)–(34). However, in the case of two closely spaced signals, the above approach is limited by the accuracy of numerical calculation and long computational times. Hence, a Fourier spectrum analysis is required. The decomposition of the current signal using the Fourier transform permits the identification of the signal spectrum and the identification of the frequency components of the current signal as

(28) The extraction of the optimum impedance will be clarified with a practical example in Section V. IV. METHODOLOGY FOR RF PERFORMANCE EVALUATION The gain compression cannot be estimated from (13) since the approach does not take device nonlinearity into account. Here, an approach to predict gain compression based on the identificafrom the output tion of the fundamental current component is proposed. The determinadrain current waveform has been described in Section III-B. tion of Here, two approaches based on the Fourier analysis of the current signal waveform are presented: the Fourier fundamental approach (FFA), which is only suitable for single tone input signals, and the Fourier spectrum approach (FSA), which is also suitable for multitone input signals. A. FFA In the case of a periodic input waveform, it is possible to express the generator current waveform by its Fourier series expansion

(29) with (30) (31) (32) (33) (34) where represents the amplitude of the signal of the th multiple of the fundamental frequency, is the period, and is the angular frequency of the periodic input signal. For a single tone input of angular frequency , the determination of the current ) is carried component at the fundamental frequency ( out using (29)–(34).

(35) The generator current component at the fundamental fre) is, therefore, determined. The Fourier transform quency ( is carried out by applying the computationally efficient fast Fourier transform (FFT) algorithm. C. Calculation of the Power Gain Characteristic The FFA and FSA permit the determination of the nonlinear power gain characteristic by repetitive application. Due to the dependence of in dependence on the input signal amneeds to be determined point by point plitude, the value of via the application of the FFA or FSA. Once has been calculated for a sweep of input signal amplitudes, the application of (19)–(26) yields the complete resolution of the equivalent cir. cuit of Fig. 1 at the fundamental frequency V. RESULTS In order to provide a benchmark for the assessment of the accuracy of the proposed expressions, HB simulations have been used. The simulations are carried out on the 28-V Polyfet SP2041 in Agilent’s Advanced Design System (ADS) [6] using the publicly available Polyfet model. The model predictions shown in Figs. 4 and 5 permit adequate reconstruction of characteristics and -parameters. In this study, measured model components related to the package have been removed to achieve direct correspondence between the calculated and simulated impedance values. Alternatively, impedance transformation can be carried out to include the effect of the package [2]. Finally, a comparison is made between measured data and prediction. A. Extraction of the Device Parameters Values The data used for the prediction of power gain based on the proposed equations and approach is represented from the set of – characteristics and the value of the model elements. The – data extracted from ADS dc simulations is used for the determination of the fundamental current component . The values of the model elements are extracted at the apV from the results of a small signal plication voltage 1Polyfet RF Devices, Camarillo, CA. [Online]. Available: http://www. polyfet.com

FIORAVANTI et al.: ANALYTIC LARGE-SIGNAL MODELING OF SILICON RF POWER MOSFETs

Fig. 4. ADS simulated versus measured I Polyfet SP204.

–V

characteristics for the

833

Fig. 6. Deembedding of source inductance and gate resistance from the smallsignal parameters circuit. (a) and (b) Measured s-parameter matrix S is converted in the impedance matrix Z . Z refers to the equivalent circuit, which includes R and L . R and L values are determined from the impedance matrix Z using (36) and (37). Their values are deembedded from the impedance matrix in (c), after [7]. The impedance matrix Z corresponds to the equivalent circuit in which R and L have been removed. The admittance matrix Y (without the R and L contribution) is obtained from the Z -parameter matrix in (d). The admittance matrix Y is then used for model parameter extraction by using (38)–(41).

The voltage

value in class A is calculated for a fixed supply V and V as (42)

B. Optimum Source and Load Impedance Fig. 5. ADS simulated versus measured s-parameters for the Polyfet SP204. TABLE I PARAMETERS FOR THE POLYFET SP204

simulation. Table I indicates the values used in the calculations throughout Section V. At high frequency, considering capacitances as shorts, circuit analysis shows that (36) (37) and are extracted as the asymptotic values of and , respectively. Their values are then deembedded from the impedance matrix, as shown in [7]. After deembedding, the model parameter values can be extracted as (38) (39) (40) (41)

) and load ( ) impedance The optimum source ( values for class A bias are extracted from load– and source–pull simulations using ADS as the values leading to the maximum 1-dB gain compression point. The optimum load impedance is is determined first. As in real load–pull measurements, identified as the load impedance yielding the highest possible level of power delivered to the load for a constant input power level. An impedance tuner is used as the amplifier load. As the tuner impedance is varied, the corresponding power delivered to the load changes. Keeping the input power constant ensures that the variation of delivered power is associated only with the variation of the impedance. Measuring the delivered power for many tuner impedance values permits the identification of the loci of constant delivered power as a function of the load impedance. The load–pull contours as shown in [7] and described in Fig. 6. The optimum source impedance is determined analogously. In this case, the impedance tuner is placed on the input side and the optimum load impedance is placed at the output side of the amplifier. Keeping the input power constant ensures that the variation of power delivered to the load is associated only with the variation of the tuner impedance. The loci of constant delivered power as a function of the load and source impedance are shown in Figs. 7 and 8. The optimum source impedance is determined as the value associated with the maximum delivered power level. The following five different ways of calculating the optimum impedance values are now assessed. The first three assume a linear current generator in the equivalent model: (i) without the inclusion of gate resistance; ( ) as described in Section III; (ii) including (iii) including and , as described in Section III.

834

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 7. Load–pull delivered power contours in the class A single-tone simulation.

Fig. 9. Calculated optimum source and load impedances in the single-tone is deinput signal case. The data refer to the application of the FSA. V termined with (23) after the determination of the power series coefficient c = 1:2866 [V ] and c = 0:0379 [V ] from the fit of the load line output transfer characteristic.

0

TABLE II POWER GAIN AT SMALL INPUT LEVELS, OPTIMUM LOAD AND SOURCE IMPEDANCE VALUES

Fig. 8. Source–pull delivered power contours in the class A single-tone simulation.

The following two methods consider the nonlinear current generator: (iv) by using the FFA; (v) by using the FSA. In (iv) and (v), the calculations are carried out considering the output characteristic extracted along the load line rather than the approach used to date. fixed An example of determination of the optimum load and source impedance values is demonstrated for the nonlinear current generator cases (iv) and (v) with the device biased in class A at V. The FSA is considered for this task. The calculated impedance values are shown in Fig. 9. The source impedance displays a strong dependence on the input signal level, while the optimum load impedance is appreciatively constant. It can be shown that this behavior follows from the existence of the and in the circuit. The optimum feedback elements values for the source and load impedance are extracted in correspondence to the 1-dB compression point. The value at which gain compression occurs is calculated by using (27), yielding a value of 2.43 V. The calculated optimum impedance values are compared with those from ADS simulations. The power delivered to the load, shown in Figs. 7 and 8, obtained by HB simulation in

ADS, is used to assess the accuracy of the calculated impedance values. The validation is based on the assumption that optimum impedance predictions correspond to a level of delivered power that is close to the maximum value. The impedances calculated using all five approaches for class A have been highlighted in Figs. 7 and 8, in the impedance plane of the delivered power contours, and are reported in Table II. The calculated optimum load impedance remains practically constant in all cases. On the other hand, the introduction of the gate resistance in the equivalent device model leads to differences in the calculated optimum source impedance values. The errors in delivered power level are smaller than 0.1 dBm, corresponding to a maximum error of 0.2% on the dBm value and 2.28% on the value in watts. The cause of the errors in source and load impedance prediction can be identified in the model simplification and in the limitations of the load line approximation, where the device output characteristic has been extracted along the ideal load line instead of along the actual load cycles, shown in Fig. 10. The gate resistance causes an increase of the real part of the calculated source impedance. It produces a substantial improvement in the prediction of the power gain value at small input signal levels. The drain conductance does not considerably affect power gain or impedance calculation, but adds to the generality of the model.

FIORAVANTI et al.: ANALYTIC LARGE-SIGNAL MODELING OF SILICON RF POWER MOSFETs

835

TABLE III ADS HB SIMULATED AND CALCULATED 1-dB COMPRESSION POINT IN THE SINGLE-TONE CLASS A CASE

Fig. 10. ADS HB simulated output signals superimposed the I –V curves and to the ideal load line in the single-tone input signal case.

On the other hand, a good prediction of the fundamental component is achieved when is used in the FFA or FSA. This implies that the load line extraction permits a good prediction of the actual load line cycles. This observation is acknowledged in Fig. 10, where the device load cycles determined by ADS HB simulation remain close to the load line for input power dBm. For input powers above 35 dBm, the load levels cycles deviate from the ideal load line. It is important to notice that, at this power level, the device is already beyond the 1-dB gain compression point, which, in this case, corresponds to an input power level of 32 dBm. For the sake of completeness, the method proposed in [3] that makes use of an rms value of transconductance is also evaluated in Fig. 11. This method, referred to as the empirical approach (EA), extends the gain calculation to high input levels by subwith its rms value stituting (43)

based on the empirical assumption that the generator current can be described as Fig. 11. Calculation of the fundamental component of the generator current in the single-tone input signal case.

Overall, the results in Figs. 7 and 8 show that the calculated impedance values are almost independent of the nonlinearity of the current. The linear load line approximation of [2] is accurate for the estimation of the optimum matching impedances. In fact, since gain compression starts occurring only when the load cycles deviate from the ideal load line, the load line based and of (2) and (3) and (23) and (24) yields extraction of a good prediction of the actual values of the optimum load and source impedances. C. Fundamental Generator Current Component A comparison of calculated and ADS values of as a function of is shown in Fig. 11. has been extracted from simulation by probing the device model internal current. This has been possible by the lumped-element topology of the model used. output characteristic extracted at the When a constant is used, the fundamental current quiescent drain voltage component appears miscalculated regardless of the approach used: if an FFA is used, the saturation value of the fundamental component is considerably higher than in HB simulations.

(44) This expression is empirical in that it is not based on any justifiable physical or mathematical analysis; it can be thought of as derived from a linearization of the more general (15). As such, its validity surely holds at small input signal levels, but not necessarily at large-signal levels. If the EA is used, it leads to a calculated fundamental current component that does not saturate, as shown in Fig. 11. This nonphysical behavior is observed even if the output characteristic extracted along the load line is used. It can be shown that yields inaccurate prediction of both the miscalculation of power gain and gain compression. D. Power Gain in the Single-Tone Input Signal Case Here, the calculation of the power gain is carried out for a sweep of the input signal amplitude. The calculations are compared with the HB ADS simulation. The output characteristic extracted along the load line is used. Power gain is compared for the FFA and FSA. The small-signal power gain and 1-dB gain compression point values are compared in Table III for the class A case. A good prediction of power and 1-dB compression point is achieved in the FFA and FSA. Table III also reports the dc gain and the dB value for the EA case. The large errors for both dB and dc gain derive from the miscalculation of reported in Section V-C.

836

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 12. Comparison of the analytically calculated (FFA and FSA) and ADS HB simulated power gains in the one-tone input signal case. The bias is varied from class A to class B.

A verification of the proposed Fourier-analysis-based methods is carried out in Fig. 12 for different biases varying from class A ( A), mid-class AB ( A), deep-class AB ( A), and class B ( A). For each bias considered, the load line characteristic and the optimum impedance values have been determined as described above. In Fig. 12, the calculated results for the FFA closely match the ADS values in class A and mid-class AB. In deep-class AB, the precision of prediction reduces. This is a consequence of the load line approximation used in this study and of the load line current extraction method. At small gate voltages, due to the small currents involved, the extraction of becomes challenging. This causes the power calculation to be less accurate in the small conduction angle deep AB and B classes. The inaccurate extraction of is localized in correspondence with the turn-on knee voltage. Consequently, when the signal is extracted in this area, the predictions are affected. Since in class B and deep-class AB the input signal has a dc component close to , the miscalculation of the load line at the turn-on knee causes large errors in the predictions, especially at low input signal levels. For increasing amplitudes of the input signals the prediction improves: increasing portions of the signal are extracted in correspondence with well characterized portions of the load line. Similar considerations also hold for the FSA. However, the calculated results in this case show a considerable improvement of prediction in class B with respect to the FFA. The generally improved predictions of the FSA with respect to the FFA derive from the implicit assumption of the FFA of time-continuous functions. When a calculator is being used, such assumptions cannot be verified. The integrations in the FFA are, in fact, carried out by calculating the area of small tetragons, practically decomposing the signal in samples by using time windows of small time duration. Such a procedure causes the introduction of spectral leakage, which, in turn, yields a degradation of the prediction of the fundamental component of the signal. This problem is bypassed in the FSA case

Fig. 13. Comparison of the analytically calculated (FSA) and measured power gain in the single-tone input signal case. The bias is varied from class AB to class B.

as it relies on the application of the FFT, an algorithm for the determination of the discrete Fourier transform. Although constant capacitance values have been considered, the overall prediction of the power gain at the fundamental frequency is good. This is in agreement with the work in [8], where a constant capacitance model has been shown to produce good fundamental power predictions in the two-tone input signal case. A verification of the approach with measurements has also been carried out. In Fig. 13, the power gain calculated with the FSA is compared with measurements in class AB ( mA and mA) and class B ( mA) for a Polyfet SP204 device. Measured – characteristics have been used in the extraction of the load line characteristic. The calculated power gain matches quite well with measurements in class AB, at least up to the 1-dB gain compression point. On the other hand, the prediction in class B is not satisfactory. In this case, an accurate extraction of the load line is complicated by measurement errors. Furthermore, the quality of the impedance match in the measurement setup cannot cancel out the device capacitive content completely; hence, the load to the current generator is not purely resistive. This yields a deviation of the load cycles from the ideal load line, affecting the accuracy of the prediction. E. Model Simplification and HB Approach The methods described and the equations presented all assume the application of a known signal directly to the current generator of Fig. 1. Constant values for the device capacitances are also considered in the analysis, making the current generator the only source of nonlinearity. In reality, the capacis unknown, but itors cannot be considered constant and needs to be determined from the known amplifier input signal . The calculation is simple in the ideal linear case. However, when the device nonlinearity is considered, an HB approach is required. This corresponds to the resolution in both the time and frequency domains of the equation (45)

FIORAVANTI et al.: ANALYTIC LARGE-SIGNAL MODELING OF SILICON RF POWER MOSFETs

837

(46) Linear side

as the conjugate which is derived considering the impedance match of the device input impedance. Equation (45) can be rewritten highlighting linear and nonlinear parts according to the HB methodology [9] shown in (46) at the top of this page. The solution of (45) requires an HB nonlinear optimization approach, which is beyond the scope of analytical modeling. VI. CONCLUSIONS An analytic formulation of the large-signal input and output power of Si RF power MOSFETs has been presented. Improved power gain and optimum matching impedance expressions, which include the effect of the gate resistance, have been provided. The inclusion of the gate resistance has been shown to considerably improve the accuracy of predicting the power gain. The effects of a realistic nonlinear current generator have also been considered. The extension of the current generator to the nonlinear case permits extension of the methodology for the prediction of gain compression. The methodology has been demonstrated for A, B, and AB classes of operation for the single-tone input case. ACKNOWLEDGMENT The authors thank J. Citrolo, Polyfet RF Devices, Camarillo, CA, for supplying the devices used in this study. REFERENCES [1] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits. Cambridge, U.K.: Cambridge Univ. Press, 1998. [2] J. Walker, “Analytic expressions for the optimum source and load impedance and associated large-signal gain of an RF power transistor,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 3, pp. 1725–1728. [3] M. Trivedi, P. Khandelwal, and K. Shenai, “Performance modeling of RF power MOSFET’s,” IEEE Trans. Electron Devices, vol. 46, no. 8, pp. 1794–1801, Aug. 1999. [4] S. Cripps, “A method for the prediction of load–pull contours in GaAs MESFETs,” in IEEE MTT-S Int. Microw. Symp. Dig., 1983, pp. 221–223. [5] ——, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 1999. [6] “EEsoft ADS Version 2003c. Help Guide,” Agilent Technol., Palo Alto, CA, 2003. [7] G. Dambrine, A. Cappy, F. Heliodore, and E. Playez, “A new method for determining the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 7, pp. 1151–1159, Jul. 1988.

Nonlinear side

[8] C. Fager, J. C. Pedro, N. B. De Carvalho, and H. Zirath, “Prediction of IMD in LDMOS transistor amplifiers using a new large-signal model,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2834–2842, Dec. 2002. [9] R. Gilmore and L. Besser, Practical RF Circuit Design for Modern Wireless Systems. Norwood, MA: Artech House, 2003.

Paolo Fioravanti (S’05–M’07) was born in Rome, Italy, in 1974. He received the Laurea degree in electronics engineering (with a specialization in control systems) from the University of L’Aquila, L’Aquila, Italy, in 2001, and the Ph.D. degree in power microelectronics from the De Montfort University, Leicester, U.K., in 2006. He carried out the final thesis for the Laurea degree as an experimental project with the Electronic Controls and Drives Research Group, De Montfort University. His doctoral research concerned large-signal design of Si RF power MOSFETs. In 2002, he has joined the Emerging Technology Research Centre, De Montfort University. He is currently an Integrated Circuit Designer with Research and Development, Theta Microelectronics, Athens, Greece.

Oana Spulber was born in 1975. She received the B.Eng degree in electrical engineering and computing sciences from Politechnica University, Bucharest, Romania, and the Ph.D. degree in high-voltage power semiconductor devices from De Montfort University (DMU), Leicester, U.K., in 2003. She was a Post-Doctoral Research Fellow with DMU until July 2005. She is currently a Device Engineer with International Rectifier, Newport, U.K. Her research interests include MOS-gated power switches, trench-gate technologies, super-junction devices, and RF MOSFETs.

Maria Merlyne De Souza (M’00) was born in Goa, India, in 1964. She received the B.Sc degree in physics and mathematics from the University of Bombay, Bombay, India, in 1985, the B.E. degree in electronics and communications engineering from the Indian Institute of Science, Bangalore, India, in 1988, and the Ph.D. degree from the University of Cambridge, Cambridge, U.K., in 1994. She is one of the founding members of the Emerging Technologies Research Centre, De Montfort University, Leicester, U.K., and since 2003, holds a Chair in electronics and materials. She has authored or coauthored over 140 papers in journals and conferences. She serves on the Editorial Board of Microelectronics Reliability. Her main research interests include ultra-shallow junctions, reliability, functional materials, high-k gate dielectrics, RF power and power semiconductor devices and technologies, and large-area electronics. Dr. De Souza has served on the Technical Program Committee of the IEEE International Reliability Physics Symposium (IRPS).

838

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

A High-Directivity Combined Self-Beam/Null-Steering Array for Secure Point-to-Point Communications Grant S. Shiroma, Student Member, IEEE, Ryan Y. Miyamoto, Member, IEEE, Justin D. Roque, Student Member, IEEE, Joseph M. Cardenas, Member, IEEE, and Wayne A. Shiroma, Member, IEEE

Abstract—A high-directivity combined self-beam/null-steering array for secure point-to-point binary phase-shift keying communications is introduced. The system provides high directivity and reduced probability of interception using just two antenna elements. Using quadrature phase-shift keying modulators allows for compact single-layer fabrication. The 2.4-GHz prototype is tested at interrogation angles of 0 , 10 , and 20 , and demonstrates high signal-to-interference ratio directivity, completely disabling interception 20 from the direction of the interrogator. The system should find various applications where secure communications are required.

+

Index Terms—Digital communication, microwave receivers, phase conjugation, phased arrays, transponders. Fig. 1. Point-to-point communication link using self-beam/null-steering arrays on two separate RF layers. The self-beam/null-steering arrays generate highly directive SIR patterns.

I. INTRODUCTION OINT-TO-POINT communication systems are of interest due to their enhanced security. A common way of realizing a point-to-point wireless communication link involves the use of encryption or other digital signal processing (DSP) techniques [1]–[4], but this increases cost and complexity. A point-to-point system that uses two highly directive antennas and a redirection device is reported in [5]. However, the large aperture size that is required to generate a narrow beam and the proper placement of the redirection device makes this solution impractical for compact mobile systems. A self-steering high signal-to-interference ratio (SIR) directivity communication link can be achieved by combining two types of self-steering arrays: self-beam-steering and self-nullsteering arrays [6], [7]. Fig. 1 shows two identical transponders, A and B, which have these combined arrays. When A interrogates B, B’s self-beam-steering array points a beam with its desired data towards the interrogator and its self-null-steering

P

Manuscript received November 14, 2006; revised February 12, 2007. This work was supported in part by Pipeline Communications and Technology Inc. G. S. Shiroma and W. A. Shiroma are with the Department of Electrical Engineering, University of Hawaii at Manoa, Honolulu, HI 96822 USA (e-mail: [email protected]). R. Y. Miyamoto and J. M. Cardenas were with the Department of Electrical Engineering, University of Hawaii at Manoa, Honolulu, HI 96822 USA. They are now with Oceanit Laboratories Inc., Honolulu, HI 96813 USA. J. D. Roque was with the Department of Electrical Engineering, University of Hawaii at Manoa, Honolulu, HI 96822 USA. He is now with the Intermediate Maintenance Facility, Pearl Harbor Naval Shipyard, Honolulu, HI 96860 USA. Digital Object Identifier 10.1109/TMTT.2007.895405

array points a null towards the interrogator, while simultaneously sending a jamming signal in all other directions. Since the jamming signal is nulled in the direction of the interrogator, demodulation of the data by A is possible without suffering from the jamming signal. In all other directions, the jamming signal power exceeds that of the data signal, thereby disabling interception. Generating a null within a beam has been investigated [8]–[12]. In these cases, the purpose of the null is to suppress an interfering signal in one direction while receiving the desired signal in another direction. A retrodirective array with a null-forming subarray is described in [13], but as in the previous cases, the null is used to suppress an interfering signal during reception rather than suppressing a transmitting jamming signal in the direction of communication. The shortcoming of the design in [6] is that it requires two sets of arrays, making the system relatively large and nonplanar. This paper describes a single-layer integrated self-beam/nullsteering array. The system is able to provide the same super-high directivity performance as the previous design, while reducing the number of antenna elements and circuitry layers by half. Section II discusses the design of the integrated beam/null array and includes a data transmission analysis to determine the probability of interception. Implementation of the self-steering array is discussed in Section III with the measured results shown in Section IV.

0018-9480/$25.00 © 2007 IEEE

SHIROMA et al.: HIGH-DIRECTIVITY COMBINED SELF-BEAM/NULL-STEERING ARRAY FOR SECURE POINT-TO-POINT COMMUNICATIONS

839

Fig. 3. Data transmission model used to simulate the effect of the jamming signal on the data signal.

Fig. 2. Schematic of the two-element combined beam/null transmitting array. The data signal is applied in-phase while the jamming signal is applied 180 out-of-phase through a pair of QPSK modulators. Steering of the beam/null is accomplished by varying the phase of the LO signal through a pair of phase shifters.

Fig. 4. Baseband waveforms of data and jamming signals.

II. CONCEPT A. Integrated Beam/Null Transmitting Array The design in [6] uses two RF circuit layers to generate the beam and null radiation patterns. The data signal is applied to the beam-steering layer, while the jamming signal is applied to the null-steering layer. This paper improves the design by having these two layers share a single microwave front end by using binary phase-shift keying (BPSK) modulation for both the data and jamming signals. These two signals can then be modulated using a single quadrature phase-shift keying (QPSK) modulator. This reduces the overall cost significantly as the microwave front end is the most expensive part of the system. Fig. 2 shows the schematic of the two-element combined beam/null transmitting array. The modulating data sets are applied through the in-phase (I) and quadrature (Q) ports of the QPSK modulator. The data signal is applied in-phase to the I channels, while the jamming signal is applied 180 out-ofphase to the Q channels. The antiphasing of the jamming signal is easily obtained from the inverting and noninverting outputs of the jamming source. The result is a null in the jamming signal and a peak in the data signal at broadside. Steering of the beam/null can be accomplished by varying the phase of the local oscillator (LO) signal through a pair of phase shifters. To provide effective jamming (i.e., prevent separation using a QPSK demodulator), the carrier phases of the data and jamming signals must be received in-phase at the receiver. This is confirmed by solving for the array factors of both layers and

showing that they are purely real. When a two-element array is spaced a half-wavelength apart and fed in-phase, the array factor through the I channel is given by

(1) The array factor for differential feeding through the Q channel is

(2) Note that both (1) and (2) are pure real, which confirms that the carrier of both data and jamming signals are in-phase. B. Data Transmission Analysis Fig. 3 shows the simulation setup used for the data transmission analysis. The simulation is performed using Agilent Technologies’ Advanced Design System (ADS). To observe the effect of the jamming signal alone, the model assumes an infinite system bandwidth and zero noise. Both the information and jamming data are composed of a random bit sequence at 150 kb/s, as shown in Fig. 4. An in-phase LO signal is applied to the QPSK modulators so that the peak of the data signal is at broadside.

840

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 5. (a) Simulated radiation pattern of the data and jamming signal with the peak and null at 0 . (b) Calculated SIR pattern. (c) BER pattern with a < beamwidth of  .

10

030   +30

BER

Fig. 6. Recovered baseband waveforms at 

The observation angle ( ) is controlled by changing the phase shift ( ) of the transmitted signal. Once the array spacing is defined in terms of wavelength, this phase shift can be expressed as a function of the observation angle. In this simulation, the array spacing was set to a half free-space wavelength. The signals from the two paths are then combined and demodulated using a BPSK demodulator. Finally, the recovered baseband signal is evaluated by a bit error rate tester (BERT). Fig. 5(a) shows the simulated radiation patterns of the data and jamming signals where the peak of the data signal and null of the jamming signal are set to broadside. Fig. 5(b) shows the SIR versus observation angle as defined by (3) is the power of the data signal and is that of where the jamming signal. The graph shows that the jamming signal overwhelms the information signal at angles where or . Fig. 5(c) shows the bit error rate (BER) versus observation angle. The information data is recoverable only at . angles between This jamming effect can be clearly seen by observing the recovered baseband waveforms at different angles, as shown in Fig. 6. At broadside, the jamming signal is completely canceled out and the received signal is identical to the original data

= 0 , 15 , 30 , 45

, and

90

.

signal. However, as the observation point is moved away from broadside, the jamming effect is clearly observed as a second signal superimposed on the original information signal. At 30 , the amplitude of the jamming signal is equal to that of the information signal, making it impossible to distinguish between the two. The received signal at 90 only contains the jamming signal. C. Data Beamwidth Fig. 5(c) shows that a two-element array with equal-power data and jamming signals will have a data beamwidth ( ) of 60 . While this system reduces the chance of interception when compared to a conventional two-element array, the data beamwidth can be further reduced by either reducing the power of the data signal relative to the jamming signal or increasing the power of the jamming signal relative to the data signal. As shown in Fig. 7, reducing the power of the data signal causes the points of intersection between the data and jamming signals to move together, reducing the data beamwidth. When the power of the data signal is reduced to 5 dB of the jamming signal, the two radiation patterns intersect at , resulting in a data beamwidth of 38 or a 37% reduction over the equal power case. When the power of the data signal is

SHIROMA et al.: HIGH-DIRECTIVITY COMBINED SELF-BEAM/NULL-STEERING ARRAY FOR SECURE POINT-TO-POINT COMMUNICATIONS

841

Fig. 9. Single phase-conjugating element of the self-beam/null-steering array.

Fig. 7. Data and jamming signal radiation patterns showing a decrease in data beamwidth (as represented by the intersection of the data and jamming signals) for different scaling of data signal power. (a) Data signal scaled to 5 dB of the jamming signal. (b) Data signal scaled to 10 dB of the jamming signal.

0

0

Fig. 8. Graph of data beamwidth versus the ratio of the power of the data signal to the power of the jamming signal.

reduced by 10 dB, the data beamwidth is 22 , which is a 63% reduction. Fig. 8 shows a plot of the data beamwidth versus , where is the ratio of the data signal maximum (i.e., at 0 ) to the jamming signal maximum (i.e., at 90 ). In theory, the optimal solution to minimize interception would be to minimize the data beamwidth by having a data signal that is much smaller than the jamming signal (i.e., ). However, in practice, the minimum ratio small is limited by the depth of the null generated by the prototype circuit, as described by dB

dB

Null dB

(4)

where is the minimum SIR required for detection of the data signal by the receiver, and Null is the depth of the null generated by the jamming circuit.

Fig. 10. Layout of the self-beam/null-steering array.

842

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 11. Measurement setup for the BER versus receive angle ( ) radiation patterns. For the radiation patterns of the data and jamming signals, the receive antenna is connected directly to the spectrum analyzer.

Fig. 13. Measurement setup for the bistatic radiation patterns. The interrogator horn is fixed at 0 , 20 , and 10 , while a second receive horn is swept from  .

060   60

+

0

Fig. 12. Digital oscilloscope sample of the recovered baseband signal containing both the data and jamming signals.

III. SELF-STEERING ARRAY IMPLEMENTATION A high-directivity self-steering array is realized by integrating the beam/null transmit array with a phase-conjugating circuit. Fig. 9 shows a schematic of the phase-conjugating element, which is achieved through a dual-mixing process, as in [14] and [15]. The layout of the two-element self-beam/nullsteering array is shown in Fig. 10. The 2.375-GHz interrogating signal is received by an -band quasi-Yagi antenna connected to a Narda 4923 circulator that allows both the transmit and receive circuits to share one antenna. The -band quasi-Yagi antenna is a 2 : 1 scaled version of the -band design described in [16]. The received signal then passes through a M/A-COM M53C downconverting mixer, followed by a COM DEV 162963 surface acoustic wave bandpass filter. The 2.375-GHz RF signal contains a geometry phase depending on the direction of the interrogator. By choosing an LO frequency of 3.2 GHz, which is higher than the frequency of the RF signal, the resulting 825-MHz IF signal will contain a conjugate of the original geometry phase. Since the phase-conjugated IF signal is then applied to the transmit beam/null array, the direction of the beam/null will be steered towards the direction of the interrogator. The modulator is an Analog Devices AD8345 quadrature modulator. The modulated signal is upconverted (Hittite

Fig. 14. Radiation patterns with interrogator fixed at  jamming signals. (b) SIR. (c) BER.

=0

. (a) Data and

HMC422MS8) to 2.425 GHz and passes through the circulator to the antenna. The bandpass filter prevents any leakage of the 2.425-GHz transmit signal from passing through the downconverter and entering the modulator. For the prototype circuit, both elements are fabricated on a single layer of Rogers Duroid 6010 (thickness: 0.635 mm, ). Wilkinson power dividers are used to split the upconverting and downconverting LO signals between the two elements. The quasi-Yagi antennas are fabricated on Rogers Duroid ). 6010 (thickness: 2.54 mm,

SHIROMA et al.: HIGH-DIRECTIVITY COMBINED SELF-BEAM/NULL-STEERING ARRAY FOR SECURE POINT-TO-POINT COMMUNICATIONS

Fig. 15. Radiation patterns with interrogator fixed at  jamming signals. (b) SIR. (c) BER.

= 010

. (a) Data and

IV. MEASUREMENT AND RESULTS Fig. 11 shows the measurement setup used to test the prototype self-beam/null steering array. The 2.375-GHz interrogator signal is provided by a signal generator (Hewlett-Packard E4433B) connected to a horn antenna. The interrogator is received by the self-beam/null-steering array and retransmitted at 2.425 GHz with the information and jamming data. The information data is generated by the BERT (Tektronics PB200), while a separate BERT transmitter (Tektronics GB1400T) is used to generate the jamming data. A second horn antenna receives the 2.425-GHz signal from the test array, where it is amplified by a low-noise amplifier (L3 Microwave, DBL-0218N308-2MH), followed by a true-time-delay phase shifter [17] (based on M/A-Com MA46505-1088 varactor diodes). A downconverting mixer (Hittite HMC-422MS8G), low-pass filter, and noninverting amplifier are used to demodulate the received signal and send the information data back to the BERT. The phase shifter is used to control the phase of the received signal so that it is in-phase with the LO signal, which allows for maximum baseband signal amplitude during demodulation. This is done by using a digital oscilloscope (HP 54503A) to monitor the demodulated signal so that the phase shifter can be adjusted for maximum performance. Fig. 12 shows a sample of the recovered baseband signal from the digital oscilloscope. In this case, the recovered signal contains a component of both the data and jamming signal.

Fig. 16. Radiation patterns with interrogator fixed at  jamming signals. (b) SIR. (c) BER.

= +20

843

. (a) Data and

The self-steering properties of the array is confirmed through a bistatic radiation pattern measurement. In the bistatic measurement, the position of the 2.375-GHz interrogating horn is fixed, while a second receiving horn is mounted on a computercontrolled rotational arm, measuring the 2.435-GHz signal from (Fig. 13). Bistatic measurements were conducted for interrogating angles of 0 , 20 , and 10 . Fig. 14(a) shows the radiation patterns of the information and jamming signals when an interrogator is placed at . This measurement is performed by connecting the receive horn directly to a spectrum analyzer, as shown in Fig. 11. By ” type string at a slightly different data rate using a “1010 for the data and jamming signals, each spectrum may be independently observed on the spectrum analyzer. The measurements clearly show both beam and null are self-steered toward the interrogator, effectively pointing the peak of the SIR at the interrogator. The prototype circuit produced a jamming signal with a null depth of 25 dB. According to (4), for an SIR of 20 dB, the power of the data signal should be scaled to 5 dB of the jamming signal and would result in a data beamwidth of 40 (Fig. 8). Fig. 14(b) shows the SIR versus the observation angle, which is calculated based on the measured radiation patterns. Due to the high directivity of the null, the SIR pattern has a much higher directivity than a conventional two-element array. Fig. 14(c) shows the BER versus the observation angle. As expected, the information was only recovered between angles of 20 .

844

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

The radiation patterns when the interrogator is placed at 10 and 20 are shown in Figs. 15 and 16. For interrogation angles of 0 , 10 , and 20 , interception is disabled 20 from the direction of the interrogator. V. CONCLUSION A high-directivity self-beam/null-steering array was introduced for secure point-to-point BPSK modulation. The null and beam arrays were successfully combined into one single-layer array using QPSK modulators. The two-element 2.4-GHz prototype shows high SIR directivity, while BER measurements confirm that interception is disabled 20 from the direction of the interrogator. ACKNOWLEDGMENT The authors would like to thank E. Taketatsu and N. W. Karo, both with Pipeline Communications and Technology Inc., Honolulu, HI, for valuable discussions. REFERENCES [1] J.-I. Takada, K. Sakaguchi, S. Suyama, K. Araki, M. Hirose, and M. Miyake, “A super resolution spatio-temporal channel sounder for future microwave mobile communication system development,” in IEEE APCCAS Dig., Nov. 1998, pp. 101–104. [2] Y. Sanada, J.-I. Takdada, and K. Araki, “A novel cumulant based MUSIC like DOA estimation algorithm with multicarrier modulation,” IEICE Trans. Commun., vol. E81-B, no. 12, pp. 2318–2325, Dec. 1998. [3] M. Tangemann and R. Rheinschmitt, “Comparison of upgrade techniques for mobile communication systems,” in IEEE SUPERCOMM/ICC Dig., 1994, pp. 201–205. [4] S.-S. Jeon, Y. Wang, Y. Qian, and T. Itoh, “A novel smart antenna system implementation for broadband wireless communications,” IEEE Trans. Antennas Propag., vol. 50, no. 5, pp. 600–606, May 2002. [5] C. Uhlik and M. Dogan, “Antenna array for point-to-point microwave radio system,” U.S. Patent 7 027 837, Apr. 11, 2006. [6] R. Y. Miyamoto, G. S. Shiroma, and W. A. Shiroma, “A high-directivity transponder using self-steering arrays,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 1683–1686. [7] R. Miyamoto, W. Shiroma, G. Shiroma, B. Murakami, A. Ohta, and M. Tamamoto, “Microwave self-phasing antenna arrays for secure data transmission and satellite network crosslinks,” U.S. Patent 7 006 039, Feb. 28, 2006. [8] H. Steyskal, R. Shore, and R. Haupt, “Methods for null control and their effects on the radiation pattern,” IEEE Trans. Antennas Propag., vol. AP-34, no. 3, pp. 404–409, Mar. 1986. [9] H. Steyskal, “Simple method for pattern nulling by phase perturbation,” IEEE Trans. Antennas Propag., vol. AP-31, no. 1, pp. 163–166, Jan. 1983. [10] ——, “Synthesis of antenna pattern with prescribed nulls,” IEEE Trans. Antennas Propag., vol. AP-30, no. 3, pp. 273–279, Mar. 1982. [11] T. Vu, “Simultaneous nulling in sum and difference patterns by amplitude control,” IEEE Trans. Antennas Propag., vol. AP-34, no. 2, pp. 214–218, Feb. 1986. [12] T. Ismail, “Null steering in phased arrays by controlling the element position,” IEEE Trans. Antennas Propag., vol. 39, no. 11, pp. 1561–1566, Nov. 1991. [13] D. Goshi, K. M. K. H. Leong, and T. Itoh, “A retrodirective array with interference rejection capability,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 395–398. [14] D. K. M. Skolnik, “Self-phasing array antennas,” IEEE Trans. Antennas Propag., vol. 12, no. 3, pp. 142–149, Mar. 1964. [15] L. D. DiDomenico and G. M. Rebeiz, “Digital communications using self-phased arrays,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 4, pp. 677–684, Apr. 2001. [16] C. Ha, Y. Qian, and T. Itoh, “A modified quasi-Yagi planar antenna with wideband characteristics in C -band,” in IEEE AP-S Int. Symp. Dig., Jul. 2001, pp. 154–157. [17] A. S. Nagra and R. A. York, “Distributed analog phase shifters with low insertion loss,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1705–1711, Sep. 1999.

Grant S. Shiroma (S’00) received the B.S. and M.S. degrees in electrical engineering from the University of Hawaii at Manoa, in 2002 and 2004, respectively, and is currently working toward the Ph.D. degree in electrical engineering at the University of Hawaii at Manoa. His research interests include microwave circuits and phased arrays. Mr. Shiroma is a member of the 2007 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Steering Committee. He was the recipient of the 2004 IEEE MTT-S Graduate Fellowship Award.

Ryan Y. Miyamoto (S’97–M’03) received the B.S. degree in physical electronics from the Tokyo Institute of Technology, Tokyo, Japan, in 1997, and the M.S. and Ph.D. degrees in electrical engineering from the University of California at Los Angeles, in 1999 and 2002, respectively. He is currently a Senior RF Research Engineer with Oceanit Laboratories Inc., Honolulu, HI, where he has been involved with development of phased arrays for on-the-move satellite communications. Prior to joining Oceanit Laboratories Inc., he was with the University of Hawaii at Manoa. He has authored or coauthored over 30 technical publications in refereed journals and conference proceedings. He holds one U.S. patent. His research interests include phased arrays, smart antennas, and radar systems. Dr. Miyamoto is currently an area editor for IEEE Microwave Magazine. He was a recipient of the 2000 International Symposium on Antennas and Propagation (ISAP) Award.

Justin D. Roque (S’04) received the B.S. and M.S. degrees in electrical engineering from the University of Hawaii at Manoa, in 2004 and 2006, respectively. He is currently with the Intermediate Maintenance Facility, Pearl Harbor Naval Shipyard, Honolulu, HI. His research interests include microwave circuits and phased arrays. Mr. Roque is a member of the 2007 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Steering Committee.

Joseph M. Cardenas (S’04–M’06) received the B.S. degrees in electrical engineering from the University of Hawaii at Manoa, in 2005. He is currently an RF Electrical Engineer with Oceanit Laboratories Inc., Honolulu, HI, where he has been involved with development of phased arrays for on-the-move satellite communications. His research interests include microwave circuits, phased arrays, and nanosatellites. Mr. Cardenas is a member of the 2007 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Steering Committee.

Wayne A. Shiroma (S’85–M’87) received the B.S. degree from the University of Hawaii at Manoa, in 1986, the M.Eng. degree from Cornell University, Ithaca, NY, in 1987, and the Ph.D. degree from the University of Colorado at Boulder, in 1996, all in electrical engineering. In 1996, he joined the University of Hawaii at Manoa, where he is currently an Associate Professor of electrical engineering and Co-Director of the Hawaii Space Flight Laboratory. He also served as a Member of the Technical Staff with Hughes Space and Communications, El Segundo, CA. His research interests include microwave circuits and antennas. Dr. Shiroma is a member of the IEEE MTT-S Administrative Committee and General Chair of the 2007 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

845

Polar SiGe Class E and F Amplifiers Using Switch-Mode Supply Modulation Jennifer N. Kitchen, Student Member, IEEE, Ilker Deligoz, Student Member, IEEE, Sayfe Kiaei, Fellow, IEEE, and Bertan Bakkaloglu, Member, IEEE

Abstract—Two integrated polar supply-modulated class E and F power amplifiers (PAs) in 0.18- m SiGe BiCMOS process are presented. The amplifiers are used to transmit GSM-EDGE signals with an envelope dynamic range of 11 dB and a frequency range of 880–915 MHz. The amplifiers use switch-mode dc–dc buck con), delta verters for supply modulation, where sigma–delta ( ( ), and pulsewidth modulation are used to modulate the PA amplitude signal. A framework has been developed for comparing the three switching techniques for EDGE implementation. The gives the highest efficiency measurement results show that and lowest adjacent channel power, providing class E and F PA efficiencies of 33% and 31%, respectively, at maximum EDGE output power. The corresponding class E and F linearized amplifiers’ output spectra at 400-kHz offset are 54 and 57 dBc, respectively.

plifier. The RF voltage signal is described by its in-phase and quadrature baseband components as

61

1

The input signal can also be represented in polar coordinates as

1

(1) where the RF signal’s amplitude

and phase

are

and

Index Terms—EDGE, polar modulation, power amplifiers (PAs), switching amplifiers.

I. INTRODUCTION

D

UE TO the increasing demand for higher data rate wireless access and the limitations on wireless frequency bands, various linear modulation schemes such as multilevel quadrature amplitude modulation (QAM) and phase-shift keying (PSK) are being utilized to maximize bandwidth efficiency. Linear modulation schemes assign each symbol on the constellation with a unique phase and amplitude, thus requiring linear power amplifiers (PAs) to transmit the signal. The inherent disadvantage with linear PAs is low operating efficiencies, where the efficiency decreases at backed-off powers. Since PAs are operating at backed-off power most of the time, due to the input signal’s high peak-to-average ratio and variable RF transmitter power control, increasing the PA efficiency over a range of operating powers improves the battery life in wireless handsets [1]. Polar modulation, illustrated in Fig. 1, and its variations are gaining momentum as potential methods to increase the amplifier efficiency over a wide range of output powers while maintaining linearity [2], [3]. In a polar PA, the phase and amplitude information of the RF input signal are calculated from its Cartesian coordinates and independently processed through the am-

Manuscript received August 10, 2006; revised December 12, 2006. This work was supported in part by the National Science Foundation under a Graduate Fellowship and by the Connection One Research Center. The authors are with the Connection One Research Center, Department of Electrical Engineering, Arizona State University, Tempe, AZ 85287 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.895407

The RF modulated phase signal is typically processed through the input of a nonlinear high-efficiency PA, while the envelope information modulates the PA’s supply and/or bias voltage. Linear low-dropout (LDO) regulators and switch-mode dc–dc converters can be used for the PA supply modulation [3]–[5]. In a more recent study, combined linear and switch-mode regulator topologies are used to improve supply modulator efficiency and linearity [6]. This paper presents two integrated SiGe switch-mode supply-modulated class E and class F PAs for RF linear transmitters. The highlights of the two amplifier architectures are: 1) monolithic implementation of the switch-mode supply modulator and switch-mode PA and 2) digital noise-shaping supply modulator to increase PA efficiency and minimize the effect of modulator switching noise on the PA performance. ), delta moduIn this paper, sigma-delta modulation ( ), and pulsewidth modulation (PWM) are used lation ( to modulate the PA supply using the input signal’s envelope information . The key advantage of using a digital controller is programmability and flexibility in testing various switching schemes. The amplifiers are integrated on a 0.18- m SiGe BiCMOS process and transmit eight phase–shift keying (8PSK) signals for potential use with the EDGE standard at frequencies between 880–915 MHz. Section II introduces details of the amplifier architectures. The three digital modulation schemes used to control the supply modulator are compared in Section III. System implementation for EDGE modulation is considered in Section IV, and design considerations for the class E and F PAs are discussed in Section V. Section VI shows measurement results for the class E and F amplifier topologies, and conclusions are presented in Section VII.

0018-9480/$25.00 © 2007 IEEE

846

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 1. Diagram of a typical polar modulation transmitter highlighting the presented study.

Fig. 2. Simplified schematic of the class E and F linearized amplifiers.

II. CLASS E AND F LINEARIZED AMPLIFIER ARCHITECTURES A. System Architecture Fig. 2 is a simplified diagram of the implemented polar PA system. Two switch-mode PAs, class E and F, were designed in order to compare the power and efficiency of the SiGe HBT and FET devices and find the topology most compatible with supply modulation. The integrated class E and F linearized PAs have on-chip power devices, drivers, supply switching networks, choke inductors, and portions of the output networks. The envelope information of the RF input signal is digitally modulated using one of three switching schemes: PWM, delta modulation ( ), or sigma-delta modulation ( ). The controller is implemented in the digital signal processor (DSP), which allows for flexibility in choosing the modulator switching speed and control method. The digitally modulated signal ( in Fig. 2) controls the on/off time of the pMOS ( ) and nMOS ( ) power devices in the dc–dc switch-mode buck converter. The output of the converter has a low-pass filter to eliminate the switching harmonics and extract the envelope voltage signal. The envelope information at the drain/collector of the power transistor ( in Fig. 2) is upconverted to the RF carrier frequency.

Fig. 3. Power flow diagram of the linearized amplifier.

B. Efficiency Analysis Fig. 3 illustrates the system power flow. The total efficiency is of the linearized amplifier

where is the switch-mode supply modulator efficiency and is the standalone switch-mode class E or F PA efficiency, which tends to remain high over output power. The following analysis uses a simplified assumption that the standalone PA

KITCHEN et al.: POLAR SiGe CLASS E AND F AMPLIFIERS USING SWITCH-MODE SUPPLY MODULATION

847

efficiency is constant at backed-off output powers. The supply modulator efficiency is described as

(2)

is the PA output power, is the total conwhere duction loss in the switch-mode buck converter, and is the total converter switching loss. The conduction losses are dependent on the PA output power, whereas the switching losses remain constant over output power for a fixed converter switching speed. The efficiency of the presented topology can be estimated over varying output powers given a known maximum at a maximum PA RF supply modulator efficiency of . The switch-mode buck converter output power of is assumed to be optimally designed for equal conduction and . switching loss at the maximum RF output power and into (2), the converter By substituting losses are estimated as

Fig. 4. Theoretical efficiency versus output power levels of the presented polar PA and a class B PA with 60% maximum efficiency.

where is the duty ratio of the supply switching network, which , as is related to the RF output power

Therefore, the efficiency of the linear amplifier is found as

(3) In Fig. 4, the amplifier efficiency is plotted with respect to output power for constant switch-mode PA efficiency of 60% and maximum buck converter efficiency of 90%. Assuming that the majority of the standalone PA losses are due to the power device, the polar PA can be compared with an implementable class B PA having a maximum efficiency of 60%. When comparing the two amplifiers in Fig. 4, the polar PA shows up to a 17% improvement in efficiency at backed-off powers. III. SUPPLY MODULATOR SWITCHING SCHEMES In this study, three digital modulation schemes have been used to control the switching supply modulator, namely: ); and 3) delta ( ) 1) PWM; 2) sigma-delta ( modulation. A. Pulsewidth Modulator Most of the state-of-the-art switch-mode converters are controlled using the PWM technique, as it provides high switching

Fig. 5. (a) PWM generator block diagram. (b) Critical waveforms.

efficiency and a low noise floor. One of the major disadvantages of PWM is high harmonic content at integer multiples of its operating frequency. As shown in Fig. 5(a), in a PWM controller, is created by comthe output switching waveform paring the ramp voltage to the input signal voltage . As shown in Fig. 5(b), duty cycle of the switching waveform is proportional to the amount of time that the ramp voltage is higher than the input voltage. The spectrum of an ideal PWM waveform can be found for a dc input voltage by approximating the PWM switching wave, where form with a train of pulses with a pulsewidth of is the period of the ramp voltage. For a maximum ramp voltage equal to , the output of the PWM modulator with a dc input can be approximated by [7]

848

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 6. PWM input (sinusoid) and output transient waveforms for a 100-kHz input signal frequency and 5-MHz ramp frequency.

where

and

for for The power spectral density (PSD) be estimated as

of

can

Fig. 7. PWM output spectrum for a 100-kHz sinusoidal input voltage and 5-MHz ramp frequency. (a) Spectrum up to 17.5 MHz. (b) Zoomed-in spectrum up to .

f

(4) From (4), the PSD of the PWM modulated dc voltage is a intervals with powers series of impulses that are spaced at function. The relationship of weighted by the (4) illustrates that the modulator efficiency decreases with decreasing input voltages, as the unwanted harmonic content for small duty cycles has high power relative to the desired output signal power. For a varying amplitude input waveform such as a PA enveof (4) is a function of the time lope signal, the duty cycle varying input signal. Assuming a periodic sinusoidal input , where voltage waveform

and Fig. 8. (a)

the PSD of the PWM switcher output can be approximated as

(5) The PSD of (5) is a weighted sum of constant duty cycle discrete tones described in (4). Fig. 6 shows an example of the PWM transient output for a 5-MHz ramp frequency and 100-kHz sinusoidal input with 3.3-V peak-to-peak voltage. Fig. 7 simulates the power spectrum at the output of the digital PWM ( in Fig. 2) for the sinusoidal input signal shown

61M block diagram. (b) Equivalent discrete-time model.

in Fig. 6. The highest noise in the PWM PSD is tonal power with the first tone’s power only 6 dBc below the fundamental frequency power and 12 dBc below the input signal’s dc component. B. Sigma-Delta (

) Modulator

Digital ’s are commonly used in high dynamic range D/A converter applications due to their low noise floor and high linearity. A block diagram modeling a single bit first-order dis) is illustrated in Fig. 8. crete time sigma-delta modulator ( The difference between the output ( ) and sam) is integrated and quantized using a pled input voltage (

KITCHEN et al.: POLAR SiGe CLASS E AND F AMPLIFIERS USING SWITCH-MODE SUPPLY MODULATION

849

61

Fig. 9. M input (sinusoid) and output transient waveforms for a 100-kHz input signal frequency and 5-MHz clock frequency.

comparator acting as a single-bit quantizer. can be described in the discrete time domain with a sampling period of as follows:

61 2

Fig. 10. M output spectrum for a 100-kHz sinusoidal input voltage and 5-MHz clock frequency. (a) Spectrum up to 17.5 MHz. (b) Zoomed-in spectrum up to f = .

where is the white quantization noise introduced by the single-bit quantizer. The -domain representation of the above equation is modeled in Fig. 8(b) and found as [8] (6) Converting (6) to its frequency-domain representation and calculating the PSD of the output gives [8] (7) where is the clock frequency controlling the input sampling rate, and the PSD of the white noise in the single-bit quantizer can be shown as

(8) Equation (7) reveals that the ’s output signal power is the input signal power with added quantization noise power shapes the quantization described by the second term. noise power of the quantizer out to higher frequencies with the highest noise density from the modulator occurring at . Fig. 9 shows an example of the transient output for a 5-MHz clock frequency and 100-kHz sinusoidal input with 3.3-V peak-to-peak voltages. Fig. 10 simulates the power ( in Fig. 2) for spectrum at the output of the digital the sinusoidal input signal shown in Fig. 9. Although has a higher noise floor than the PWM, it does not create high tonal power and the noise remains below 25 dBc from the fundamental frequency power. C. Delta (

) Modulator

Another noise-shaping technique, illustrated in Fig. 11, is ), which is based on predictive quantidelta modulation (

Fig. 11.

1M block diagram.

zation [9]. This work implements using a low-pass filter within the modulator feedback loop. The difference between the ) and the filtered output signal is quantized input signal ( can be using a comparator acting as a single-bit quantizer. described in the discrete time domain as

where is the white quantization noise, is the comparator gain, and is the impulse response of the low-pass filter in the feedback path. Assuming a first-order Butoutput terworth filter in the feedback loop, the PSD of the is estimated as up to

850

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

1

Fig. 12. M input (sinusoid) and output transient waveforms for a 100-kHz input signal frequency and 5-MHz clock frequency.

(9)

is where is the Butterworth filter 3-dB corner frequency, the Butterworth filter passband gain, and is described is inherently large because the by (8). The comparator gain , thus feedback signal closely tracks the envelope signal producing a small error signal at the comparator’s input. For of 1, the first term of a Butterworth filter passband gain (9) approaches unity at frequencies much lower than , thus output power equal to the input signal power with giving a shapes the quantization added quantization noise power. noise power of the single-bit quantizer out to higher frequencies with the high-pass response described by the second term of (9). The maximum noise density is approximately equal to the white . quantization noise density of (8) at Fig. 12 shows an example of the transient output for a 5-MHz clock frequency and 100-kHz sinusoidal input with 3.3-V peak-to-peak voltages. Fig. 13 simulates the power spec( in Fig. 2) for the sinutrum at the output of the digital soidal input signal shown in Fig. 12. Compared to , has a higher noise floor at frequencies close to the fundamental, but has a slower noise floor increase with frequency. The noise remains below 25 dBc from the fundamental power.

1 2

Fig. 13. M output spectrum for a 100-kHz sinusoidal input voltage and 5-MHz clock frequency. (a) Spectrum up to 17.5 MHz. (b) Zoomed-in spectrum up to f = .

TABLE I EDGE E3/GSM 900 TRANSMITTER REQUIREMENTS

IV. SYSTEM IMPLEMENTATION FOR EDGE EDGE E3/GSM 900 transmit requirements are summarized in Table I [10]. The maximum EDGE output power of 23 dBm produces an envelope signal described by the probability distribution function (PDF) of Fig. 14, where the envelope reaches a maximum value of 2.93 V and an rms voltage of approximately of 2.0 V. Assuming a switch-mode class E/F PA efficiency 50%, the buck (step-down) converter must have an output power of 26 dBm (0.398 W) at the maximum EDGE PA output power. Therefore, the effective output impedance of the buck converter is calculated as (10) This value of is required in the following sections to find , , and PWM. the noise power of , , and In order to compare the performance of PWM for supply modulators, a worst case noise analysis is performed for each switching scheme. The most stringent PA

Fig. 14. EDGE envelope voltage distribution for the maximum PA output power.

output spectrum specification for EDGE E3 has the spectral mask shown in Fig. 15. At the lowest EDGE output power of 5 dBm, the PA output PSD should fall below this mask.

KITCHEN et al.: POLAR SiGe CLASS E AND F AMPLIFIERS USING SWITCH-MODE SUPPLY MODULATION

Fig. 15. PA output mask requirement for the lowest EDGE E3 output power of 5 dBm.

851

Fig. 16. Polar PA efficiency versus output power illustrating the change in efficiency curves with varying switching loss P .

C. PWM Buck Converter for EDGE Based on this mask, the PA output spectrum measured with a 30-kHz resolution bandwidth should remain below 46 dBm for frequencies greater than 1.8-MHz offset from the carrier frequency, and below 51 dBm for offset frequencies between 600 kHz–1.8 MHz. The minimum required switching frequen, , and PWM, and the buck converter’s output cies of filter bandwidth are found in Sections IV-A–D using the ACP requirement of Fig. 15. A.

Buck Converter for EDGE

Assuming the buck converter has a first-order low-pass output , the digital controller’s noise filter with a bandwidth of experiences minimum suppression and passes below is assumed to be greater directly to the PA output. If than 1.8 MHz, then the second term of (7), which describes the output noise, must remain below 51 dBm at 1.8 MHz buck converter in a 30-kHz integration bandwidth. The noise must also remain below 46 dBm at the buck converter’s filter bandwidth frequency. For frequencies greater than , noise is attenuated by the buck converter’s low-pass the output filter. Therefore, the minimum operating frequency for a converter bandwidth greater than 1.8 MHz of should be approximately 225 MHz. This minimum frequency is limited by the adjacent channel power (ACP) specification of that meets the Fig. 15 at 1.8-MHz offset. The maximum ACP requirement for offset frequencies greater than 1.8 MHz is found to be 3.26 MHz. B.

Buck Converter for EDGE

and PWM In order to compare the minimum necessary operating frequencies with respect to , an output filter ) of 3.26 MHz is used for all three converters. bandwidth ( case, the power described by the second term of For the (9) integrated over a 30-kHz bandwidth must satisfy the output is spectral mask of Fig. 15. The feedback filter bandwidth around 1 MHz and comparator gain is approximately 50 V/V. clock frequency is limited by the noise specThe minimum ification at 1.8-MHz offset, and is calculated as approximately 140 MHz.

To compare the PWM with the noise shaping converters, the harmonic content created by the PWM should remain below 46 dBm. The PWM’s first harmonic power is approximately 12 dBc from the output carrier power for an EDGE envelope input waveform. Starting at 3.26 MHz, the converter’s output filter provides low-pass filtering with 20-dB/dec rolloff. For frequencies greater than the PA’s 25-MHz output bandwidth, the PA’s bandpass output filter has a minimum rolloff of 20 dB/dec. Therefore, frequency content greater than 25-MHz offset from the carrier frequency is attenuated by a 40-dB/dec rolloff. The relationship for finding the minimum PWM ramp frequency for the minimum EDGE power specification of 5 dBm is estimated as dBm

MHz MHz

dBc

dB dB

MHz

dBm

(11)

Based on EDGE power specifications, this equation yields a ramp frequency of approximately 85 MHz. D. Comparison of Switching Schemes Since the digital controllers’ output bit stream is dependent on the input envelope voltage, the operating frequency of the supply modulator (i.e., clock or ramp frequency) is not necessarily the same as the switching frequency of the modulaoperating frequency of tors’ power devices. Hence, a 225 MHz results in an average switching speed of 93 MHz for operating the EDGE input envelope described in Fig. 14. A frequency of 140 MHz yields an average switching speed of approximately 42 MHz, and the PWM has an average switching frequency of 85 MHz for an operating frequency of 85 MHz. In order to compare the efficiency of the three switching schemes, the nominal switching speed, which gives the nominal of (2), is assumed to be 30 MHz, thus emuswitching loss lating the circuit implementation described in Section V. Since the switching loss is directly proportional to the switching of (2) for , , and PWM can be replaced speed, , , and , respectively. Using (2) with by , gives the efficiencies different values of switching loss, shown in Fig. 16.

852

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

V. CIRCUIT IMPLEMENTATION The two SiGe polar modulated amplifier designs use class E and F switch-mode PAs with a switch-mode dc–dc buck converter modulating the supply of the power device. The same power train design and digital controller are used to generate modulation for both PAs. PWM, , and A. Class E Switch-Mode PA The class E PA of Fig. 2 uses an n-FET device acting as a power switch operating at 900 MHz in conjunction with a lumped element output network to minimize the crossover of output voltage and current waveforms [11]. When accounting for finite drain inductance and monolithic implementation of the was added in parallel with the PA, an additional capacitance FET device in order to resonate with the on-chip choke inductor value of 2.2 nH [12], [13]. The total width for the nMOS power device is 4.5 mm, with a gate length of 0.18 m. The optimized FET layout divides the total width into 625 fingers. Each unit cell contains five gate fingers and is surrounded by substrate contacts. Every five unit cell blocks are isolated using a deep trench isolation ring. The class E PA has a maximum output power of 26 dBm with an efficiency of 55% at a constant supply voltage of 3.3 V. The supply voltage is chosen as the maximum average voltage that keeps the drain node below the breakdown voltage of the device. The impedance transformer and a portion of the PA’s bandpass output filter are applied off-chip to allow for flexibility in tuning.

Fig. 17. Micrograph of the die.

filter with inductor and capacitor values of 820 nH and 2.2 nF, respectively, which make an effective bandwidth of 3 MHz. The filter’s self-resonant frequency, caused by component parasitics, is greater than the PA output bandwidth and is compensated by the PA output filter. The chip is mounted in a quad flat no-lead (QFN) package frame, as shown in Fig. 17, and the class E PA uses its output bondwire inductances as part of its output filter network. The switch-mode supply regulators’ active devices and drivers are implemented on the same chip as the class E and F switch-mode amplifiers, using deep trench isolation as well as guard rings to minimize substrate bounce. The total chip area is 2.1 mm 2.0 mm. VI. MEASUREMENT RESULTS

B. Class F PA

A. Measured PA Efficiency

The class F PA of Fig. 2 is designed with a supply voltage applied to the collector of the HBT by an on-chip choke inductor of 14 nH. An on-board transmission line and a shunt LC network provide the class F operation [12]–[14]. The collector voltage and current are shaped into square and half sine waves, respectively, thus reducing the current–voltage overlap of the transistor and increasing the efficiency. The class F PA input power is chosen to provide switching-like operation of the HBT power device. The class F PA uses an HBT as the power transistor operating at a center frequency of 900 MHz with a measured peak output power of 25.2 dBm and peak efficiency of 51% at a constant 3.6-V supply voltage. The HBT unit cell is sized to have a minimum saturation voltage while maintaining adequate cutoff frequency. In order to satisfy the current density requirements at high output power levels, the PA’s power device is created from 125 parallel HBT unit cells with emitter ballasting to avoid thermal runaway.

The output powers of the class E and F polar PAs are controlled through their switch-mode supply modulators by changing the duty cycle [denoted by in (3)] of the supply modulator’s control waveform. The RF input power, which carries the EDGE phase information, remains constant at 5 dBm. The controller switching speed was held constant at 30 MHz. The efficiency plots of Fig. 18 show the measured drain/collector efficiency of the standalone switch-mode PA output stage ), as well as the power-added efficiency (PAE) of the entire ( system ( ). The PAE includes losses associated with the switch-mode buck converter, switch-mode PA, all drivers, and the PA output filter and matching network.

C. Switch-Mode Buck Supply Modulator The switching supply modulator (Fig. 2) has a complementary pMOS ( ) and nMOS ( ) that allows for the supply to and drivers are conswitch from 3.3 V to ground. The , , or PWM modulators. The trolled using digital buck converter gives approximately equal switching and conduction losses at a switching frequency of 30 MHz and a converter load current of 240 mA. The efficiency of the converter is approximately 81% at an output power level of 28 dBm with a load current of 245 mA. The converter has a low-pass output

B. Measured PA Linearity The PAs were also characterized for linearity by measuring their AM–PM and AM–AM distortion. The distortions are ) measured with respect to the power supply voltage ( of the standalone class E/F PA using a constant RF input power of 5 dBm. Fig. 19(a) shows the AM–PM distortion of the class E and F amplifiers, which are measured over varying dc supply voltage. Fig. 19(b) shows the measured AM–AM distortion from the supply voltage to the RF output, which closely follows an exponential relationship. C. EDGE Measurements The class F and E PAs were tested with EDGE E3 input signals. The maximum EDGE output powers produced by the class F and E PAs are 22.2 and 23.5 dBm, respectively. Figs. 20 and 21 show the output spectrum adjacent channel power ratio (ACPR)

KITCHEN et al.: POLAR SiGe CLASS E AND F AMPLIFIERS USING SWITCH-MODE SUPPLY MODULATION

Fig. 18. Measured efficiency versus output power of: (a) class F linearized amplifier and (b) class E linearized amplifier. Output power is obtained by changing the duty cycle of the supply modulator control waveform.

Fig. 19. (a) Measured AM–PM distortion of class E/F PAs with respect to ). (b) Measured AM–AM distortion charpower supply voltage (V acterized by plotting PA output power with respect to power supply voltage (V ).

at the 400- and 600-kHz offset frequencies for the class F and E PAs at maximum EDGE output powers. The ACPR is measured with respect to the output signal carrier power and plotted

853

Fig. 20. Measured class F output spectrum at: (a) 400- and (b) 600-kHz offset with respect to the switch-mode supply modulator operating frequency.

Fig. 21. Measured class E output spectrum at: (a) 400- (b) 600-kHz offset with respect to the switch-mode supply modulator operating frequency.

with respect to supply modulator operating frequency. The measurements are made using an -law compressed dynamic range envelope signal of 11 dB [15]. The signal is compressed in order to eliminate the effect of RF input signal feedthrough to

854

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

the output at low envelope voltages when the PAs power device has a low drain/collector voltage [3]. In order to compare the PA performance with the EDGE linearity requirements, the PA output signal is decompressed using the -law expansion coefficients. In order to achieve good linearity results, the PA output signal’s envelope and phase information must be synchronized with less than 40-ns misalignment in time. Therefore, a phase equalizer delay of 30 ns is added to the baseband phase information to achieve the optimum ACPR results. Since the supply modulator low-pass filter bandwidth and the EDGE envelope bandwidth remain constant, the delay compensation does not change with the supply modulator operating frequency. The theoretical analysis of Section IV concludes that the output spectrum at the 400- and 600-kHz offsets should decrease with increasing supply modulator operating frequencies. However, transient effects, such as finite rise and fall times of the controller waveform, and increased converter distortion at high frequencies may cause the ACPR to degrade at high converter operating speeds. Moreover, the nonlinearities in the standalone class E/F PAs contribute to ACPR degradation, as well as high EVM results. The AM–PM distortion of Fig. 19(a) verifies that the standalone PA causes phase distortion of the RF input signal, and Fig. 19(b) shows that the PA output amplitude loses its linear relationship to supply voltage around 0.9 V. Both of these nonidealities cause spectral spreading at the polar PA output. As the PA output power decreases to approximately 17 dBm, the ACPR at the 400- and 600-kHz offsets degrades by 4 and 3 dB, respectively, for both the class E and F PAs. For output powers below 17 dBm, the amplifiers have an exponential degradation in linearity due to the high PA AM–PM distortion and increased RF input signal feedthrough. Since the standalone PAs operate within a limited supply voltage dynamic range, the amplifiers do not meet the EDGE specification over all power levels. The linearity at backed-off power and the maximum achievable EDGE output power may be increased by using power devices with higher breakdown voltages. In order to improve linearity when using low supply voltages, the feedthrough path from the PAs’ RF input signal to the output should be eliminated. The efficiencies of the class E and F polar PAs for EDGE transmission are dependent upon the envelope regulator switching scheme and operating frequency. The PAEs for , , and PWM versus digital controller operating frequency are given in Fig. 22. The efficiencies are plotted for the maximum EDGE output power. Fig. 22(a) gives the class F results, whereas Fig. 22(b) shows the class E results. As discussed in Section IV, the digital controller operating frequency is not necessarily equal to the buck converter power transistors’ gives the highest average switching speed. Therefore, efficiency at high operating frequencies because its nominal switching speed is around 30% of the operating frequency. Using the operating frequencies calculated in Section IV, the efficiency versus EDGE output power is plotted in Fig. 23 for the three modulation schemes. These plots closely resemble the predicted efficiencies of Fig. 16. Table II summarizes the amplifiers’ EDGE measurement results at maximum output power, including the peak error

Fig. 22. Measured PAE with respect to the switch-mode supply modulator operating frequency for: (a) class F polar PA and (b) class E polar PA.

Fig. 23. Measured PAE versus EDGE output power for: (a) class F PA and (b) class E PA.

vector magnitude (EVM) and rms error vector magnitude (EVM-rms) measurements. The measurements are tabulated for the switching frequencies that give the highest ACPR performance.

KITCHEN et al.: POLAR SiGe CLASS E AND F AMPLIFIERS USING SWITCH-MODE SUPPLY MODULATION

855

TABLE II EDGE PERFORMANCE SUMMARY

Fig. 25. Highest measured class E and F integrated noise between 3-140-MHz offset from the output carrier frequency with respect to PWM operating frequency.

TABLE III MEASURED TRANSMITTERS’ CHARACTERISTICS

Fig. 24. Measured class E and F integrated noise (resolution bandwidth (RBW) of 30 kHz) at 1.8-MHz offset from the output carrier frequency plotted with respect to the switch-mode supply modulator operating frequency for: (a) and (b) .

1M

61M

D. Far-Out Spectrum Measurements The ACPR measurements at the 400- and 600-kHz offsets are performance measures for the channel frequencies close to the desired output carrier bandwidth. However, and introduce quantization noise that must remain below the EDGE transmit worst case noise specification of 46 dBm between 600-kHz and 1.8-MHz offset from the output carrier bandwidth. and operating frequencies, the noise For a range of over a 30-kHz integration bandwidth at 1.8-MHz offset from the carrier frequency is plotted in Fig. 24. In order to achieve the far-out EDGE spectrum noise requirements for the lowest and must operate at approximately output power, 235 and 165 MHz, respectively. These frequencies closely match the theoretical values predicted in Section IV. The PWM performance is limited by the far-out EDGE spectrum noise requirements, also referred to as spurious emissions. The highest RF output integrated noise between 3–140-MHz

offset from the carrier frequency is plotted in Fig. 25 with respect to the PWM operating frequency. The PWM satisfies the noise requirement using a ramp frequency of approximately 110 MHz for the maximum EDGE output power. The minimum required switching frequencies and corre, , and PWM are summarized sponding PA PAEs for in Table III. VII. CONCLUSION Two switch-mode, i.e., class E and F, polar modulated SiGe PAs with switch-mode amplitude modulators have been presented in this paper. The supply modulators of both amplifiers were digitally controlled using three different modulation schemes. The supply modulation schemes were compared for PA efficiency, linearity, ACPR, and far-out noise floor. The polar modulated PAs were tested using EDGE E3 specifications. In order to meet the EDGE output spectral mask requirements, the EDGE waveform was compressed to an 11-dB dynamic range using -law compression. To the authors’ knowledge, these linear PAs have the best ACPR performance and highest efficiency reported in wireless handset applications for polar modulated PAs using switch-mode supply modulators. ACKNOWLEDGMENT The authors would like to thank Freescale Semiconductor Inc., Tempe, AZ, for packaging and integrated circuit (IC) fabrication on their BiCMOS 6HiP SiGe, M. Burnham, Freescale Semiconductor Inc., for coordinating the fabrication process,

856

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

and J. Griffiths and his team at Freescale Semiconductor Inc., for their technical support and HBT characterization. REFERENCES [1] P. B. Kenington, High-Linearity RF Amplifier Design. Norwood, MA: Artech House, 2000. [2] T. Sowlati et al., “Quad-band GSM/GPRS/EDGE polar loop transmitter,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2179–2189, Dec. 2004. [3] P. Reynaert and M. S. Steyaert, “A 1.75-GHz polar modulated CMOS RF power amplifier for GSM-EDGE,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2598–2608, Dec. 2005. [4] D. K. Su and W. J. McFarland, “An IC for linearizing RF power amplifiers using envelope elimination and restoration,” IEEE J. Solid-State Circuits, vol. 33, no. 12, pp. 2252–2258, Dec. 1998. [5] V. Yousefzadeh, N. Wang, Z. Popovic, and D. Maksimovic, “A digitally controlled DC–DC converter for RF power amplifier,” IEEE Trans. Power Electron., vol. 21, no. 1, pp. 164–172, Jan. 2006. [6] N. Wang et al., “Linearity of -band class-E power amplifiers in EER operation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 1096–1102, Mar. 2005. [7] Y. Shrivastava, S. Y. Hui, S. Sathiakumar, H. S.-H. Chung, and K. K. Tse, “Harmonic analysis of nondeterministic switching models for DC–DC power converters,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 47, no. 6, pp. 868–884, Jun. 2000. [8] V. Comino, M. Steyaert, and G. Temes, “A first-order current-steering sigma–delta modulator,” IEEE J. Solid-State Circuits, vol. 26, no. 3, pp. 176–183, Mar. 1991. [9] H. S. Black, Modulation Theory. New York: Van Nostrand, 1953. [10] Digital cellular telecommunications system (phase 2 ); radio transmission and reception GSM 05.05. 1999, GSM 05.05 v 8.0.0. [11] C. Li and Y. O. Yam, “Maximum frequency and optimum performance of class E power amplifiers,” in Proc. IEEE Circuits Devices Syst., Jun. 1994, vol. 141, no. 3, pp. 174–184. [12] J. D. Kitchen, I. Deligoz, S. Kiaei, and B. Bakkaloglu, “Linear RF polar modulated SiGe class E and F power amplifiers,” in IEEE Radio Freq. Integrated Circuits Symp., 2006, pp. 475–478. [13] J. Desai, I. Deligoz, S. Kiaei, and B. Bakkaloglu, “Fully-integrated, programmable, polar-modulated class E power amplifier,” in Wireless Networks and Emerging Technol., Banff, AB, Canada, Jul. 2006, Paper 510-033. [14] F. H. Raab, “Maximum efficiency and output of class-F power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1162–1166, Jun. 2001. [15] B. Sklar, Digital Communications: Fundamentals and Applications. Englewood Cliffs, NJ: Prentice-Hall, 1988.

X

+

Jennifer N. Kitchen (S’00) received the B.S. degree in electrical engineering from the University of Arizona, Tucson, in 2002, the M.S. degree in electrical engineering from Arizona State University, Tempe, in 2005, and is currently working toward the Ph.D. degree in electrical engineering at Arizona State University. In 2003 and 2004, she was a Summer Intern with Freescale Semiconductor Inc. She is currently a Research Assistant with Arizona State University. Her research interests include efficiency enhancement and linearization techniques for RF PAs in wireless transmitters. Mrs. Kitchen is a National Science Foundation (NSF) Graduate Fellow. She was a Semiconductor Research Corporation Master’s Scholar from 2003 to 2005.

Ilker Deligoz (S’98) was born in Amasya, Turkey, in 1979. He received the B.S. degree in electrical engineering from Bilkent University, Ankara, Turkey, in 2002, the M.S. degree in electrical engineering from Arizona State University, Tempe, in 2005, and is currently working toward the Ph.D. degree in electrical engineering at Arizona State University. From May 2003 to January 2004, he was an Intern for the GSM RFIC Development Group, Intel Corporation, and in 2004, he was an Intern with Freescale Semiconductor Inc., where he was involved with the next-generation Cellular Power Amplifiers Research and Development Groups. He is currently a Research Assistant with Arizona State University. His research interests are RFIC and mixed-signal IC design for communication systems.

Sayfe Kiaei (S’86–M’87–SM’93–F’02) received the Ph.D. degree in electrical engineering from Washington State University, Pullman, in 1987. He is currently a Professor and the Director of the Connection One Center (National Science Foundation (NSF) Industry/University Cooperative Research Center (I/UCRC) Center) and WINTech Programs of the Ira A. Fulton School of Engineering, Arizona State University, Tempe. From 1993 to 2001, he was a Senior Member of Technical Staff with the Wireless Technology Center and Broadband Operations, Motorola. From 1987 to 1993, prior to joining Motorola, he was an Associate Professor at Oregon State University, where he taught courses and performed research in digital communications, very large scale integration (VLSI) system design, advanced CMOS IC design, and wireless systems. He assisted in the establishment of the Industry–University Center for the Design of Analog/Digital ICs (CDADIC) and served as a Co-Director of CDADIC for ten years. He has authored or coauthored over 75 journal and conference papers. He holds several patents. His research interests are wireless transceiver design, and RF and mixed-signal ICs in CMOS and SiGe.

Bertan Bakkaloglu (M’94) received the Ph.D. degree in electrical engineering from Oregon State University, Corvallis, in 1995. He then joined the Mixed Signal Wireless Design Group, Texas Instruments Incorporated, Dallas, TX, where he was involved with analog, RF, and mixed signal front-ends for wireless and wireline communication ICs. He was also involved with system-on-chip designs with integrated battery management and RF and analog baseband functionality as a design leader. In 2001, he joined the Broadband Communications Group, Texas Instruments Incorporated, where he was involved with cable modem analog front-end designs and gigabit Ethernet front-ends. In 2004, he joined the Electrical Engineering Department, Arizona State University, Tempe, as an Associate Professor. He holds three patents. His research interests include RF and PA supply regulators, RF synthesizers, high-speed RF data converters, and RF built-in-self-diagnostic circuits for communication ICs and antennas. Dr. Bakkaloglu has been a Technical Program Committee member for the International Circuits and Systems Symposium (ISCAS) and Steering Committee member for IEEE Microwave Theory and Techniques (MTT)/RFIC conferences.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

857

A 23-dBm 60-GHz Distributed Active Transformer in a Silicon Process Technology Ullrich R. Pfeiffer, Senior Member, IEEE, and David Goren, Member, IEEE

Abstract—In this paper, a distributed active transformer for the operation in the millimeter-wave frequency range is presented. The transformer utilizes stacked coupled wires as opposed to slab inductors to achieve a high coupling factor of at 60 GHz. Scalable and compact equivalent-circuit models are used for the transformer design without the need for full-wave electromagnetic simulations. To demonstrate the feasibility of the millimeter-wave transformer, a 200-mW (23 dBm) 60-GHz power amplifier has been implemented in a standard 130-nm SiGe process technology, which, to date, is the highest reported output power in an SiGe process technology at millimeter-wave frequencies. The size of the output transformer is only 160 160 m2 and demonstrates the feasibility of efficient power combining and impedance transformation at millimeter-wave frequencies. The two-stage amplifier has 13 dB of compressed gain and achieves a power-added efficiency of 6.4% while combining the power of eight cascode amplifiers into a differential 100- load. The amplifier supply voltage is 4 V with a quiescent current consumption of 300 mA.

=08



Index Terms—Distributed active transformer (DAT), millimeter wave, on-chip power combining, power amplifier (PA), silicon germanium (SiGe), wireless communication.

I. INTRODUCTION ISTRIBUTED active transformers (DATs) have recently created some excitement at lower frequencies, e.g., around 2.4 GHz [1], [2], where the DAT topology promises highly efficient, fully integrated, and watt-level power amplifiers (PAs) in a standard low-voltage CMOS process technology. A fully integrated CMOS PA is one of the key building blocks that will enable single-chip integrated transceivers in the future. Unlike other power-combining techniques [3], [4], the DAT topology provides power combining and efficient impedance transformation simultaneously to overcome the low transistor breakdown voltage limitations that exist today.

D

Manuscript was received September 11, 2006; revised February 6, 2007. This work was supported in part by the National Aeronautics and Space Administration under Grant NAS3-03070 and by the Defense Advanced Research Projects Agency under Grant N66001-02-C-8014 and Grant N66001-05-C-8013. U. R. Pfeiffer was with the IBM T. J. Watson Research Center, Yorktown Heights, NY 10598 USA. He is now with the Terahertz Electronics Group, Institute of High-Frequency and Quantum Electronics, University of Siegen, 57068 Siegen, Germany (e-mail: [email protected]). D. Goren is with IBM Haifa Research Laboratories, Mount Carmel, Haifa 31905, Israel, and with the Technion, Israel Institute of Technology, Technion City, Haifa 32000, Israel (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895654

Similarly, at millimeter-wave frequencies, faster bipolar transistor technologies like silicon germanium (SiGe) HBTs suffer the same breakdown voltage limitations due to their continued device scaling [5], [6]. This makes high-power SiGe amplifiers a crucial and challenging building block for many millimeter-wave systems [7]. SiGe HBTs have achieved cutoff GHz [8], rivaling frequencies as high as the high-frequency performance of other III/V semiconductors like InP-based HBTs. Potential applications for SiGe technologies are high-speed communications systems at 60 GHz [9], [10] and beyond, as well as automotive radar systems at and of 77 GHz [11]. The breakdown voltages today’s SiGe process technologies are typically below 2 and 6 V, respectively. For example, if one wants to deliver 23 dBm (200 mW) from a single common-emitter device biased at 1.1 V V swing, V) into a 50- load, one would ( need an impedance transformation ration of approximately ); unlikely to be very efficient for 50 : 3 ( millimeter waves. Recent studies at 60 [7], [10], [12]–[14] and 77 GHz [15], [16] have demonstrated single device output powers as high as 15.5 dBm with a power-added efficiency (PAE) typically lower than 10%. On-chip power combining and balanced device operation has been exploited to enhance the maximum available output power per chip (20 [17], 18.5 [16], 17.5 [18], and 21 dBm [19]). This paper presents a 60-GHz DAT with a small area of 160 160 m . The transformer utilizes ground shielded and stacked coupled wires as opposed to slab inductors to minimize substrate induced losses and to achieve a high coupling factor . The DAT was used in a two-stage 60-GHz PA to of combine the power of four push–pull amplifiers in a standard 130-nm SiGe BiCMOS process technology. The amplifier delivers 200 mW (23 dBm) into a 100- differential load, which, to date, is the highest reported output power in an SiGe process technology at millimeter-wave frequencies. It has 13 dB of compressed gain and achieves a PAE of 6.4%. Throughout the design, scalable and compact equivalent circuit modeling was used without iterative full-wave electromagnetic (EM) simulations. Section II describes the millimeter-wave design aspects of the DAT, e.g., the transformer modeling, circuit architecture, and tuning of the DAT for optimum efficiency. This includes a discussion of parasitic effects that have a considerable influence on the symmetry of the DAT impedance transformation ratio, its large-signal compression, and its stability. Section III describes the experimental results showing the large-signal compression of the PA in the 59–64-GHz frequency range. Finally, conclusions from the results are drawn in Section IV.

0018-9480/$25.00 © 2007 IEEE

858

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

II. MILLIMETER-WAVE DAT DATs, as described in [1], use two single-turn planar slab inductors at 2.4 GHz to form a transformer where the primary inductor is broken up into four quarter sections to facilitate the connection of four synchronized push–pull amplifiers. Each synchronized push–pull amplifier couples magnetically to the same single turn primary inductor in such a way that their alternating magnetic fluxes add constructively to form a uniform circular current in the secondary winding. Since each amplifier on the primary side utilizes only one quarter of the primary inductor length, and not its full length, the impedance (1 : 4) instead of known transformation ratio is for a regular four-turn transformer. Scaling the DAT topology from 2.4 GHz to millimeter-wave frequencies imposes a series of challenges. Coplanar transformers are typically used only at lower frequencies where low coupling factors, substrate and skin effect losses, and inaccuracies caused by model to hardware discrepancies can be tolerated [2]. Monolithic on-chip transformers have been widely used for matching and power-combining purposes in the past up to a few tens of gigahertz, where the tuned circuits used for matching have been formed by the transformer primary inductances and additional capacitors to achieve the bandwidth and efficiency required [20]. Commonly used on-chip transformers are either made of inter-wound spiral inductors or coplanar coupled wires (slab inductors) to promote mutual magnetic coupling. In order to operate any transformer in the millimeter-wave frequency range, its primary inductance has to be reduced substantially, which, in turn, requires the values of additional tuning capacitors to be extremely small. Therefore, it is crucial to have a transformer or DAT structure that allows accurate modeling and the prediction of parasitic effects. The most important design challenges for millimeter-wave DATs are: 1) the DAT requires well synchronized push–pull amplifiers under all operating conditions to maintain the correct load line impedance for each amplifier; 2) tuning of the DAT for low loss and high efficiency requires accurate compact EM modeling, as well as accurate parasitic extraction techniques; and 3) nonidealities of the transformer such as its inter-winding capacitance limit the scaling to higher frequencies and requires optimized 1 : 1 transformer structures. In the following, various design aspects of the DAT are described. This includes a description of the transformer unit cell, the DAT circuit architecture, a description of the input power distribution network, the corner amplifier circuits, the compact EM transformer modeling, the principle of active terminations, the tuning of the DAT, parasitic effects at millimeter waves, as well as scaling of the transformer to higher frequencies. A. Transformer Unit Cell Stacked transformers have an improved coupling factor on silicon substrates than coplanar transformers. They can be effectively shielded from the lossy substrate with perpendicular ground wires. Such wires do not allow longitudinal currents and, therefore, do not change the inductance matrix and resulting magnetic coupling [21], [22]. Stacked transformers can be used for on-chip impedance transformation, power combining, RF filters, and single-ended to differential conversion [23], [24].

Fig. 1. (a) Transformer cross section is shown with its primary and secondary conductor above a ground shield. (b) 3-D view of the transformer from which the ground shields perpendicular slots and side shields can be seen.

The transformer stack-up used in this paper is shown in Fig. 1(a). The transformer is arranged in a “sandwich-like” structure where the primary inductor is stacked vertically above the secondary inductor. Both wires are located above . a ground shield and achieve a coupling factor of Fig. 1(b) shows a 3-D view of the transformer, which uses a ground shield with perpendicular slots. To improve the ability to predict the structures parasitic effects, side bars have been added, which act as a well-defined return path, and a closed environment EM condition for compact modeling at millimeter-wave frequencies. Such modeling is scalable by length and insensitive to close-by metal structures that may be present dependent on the application and circuit layout, an important feature that makes it a parametrized cell that can be used in more complex DAT structures. Eight of these identical unit cell transformers make up the full DAT structure, as will be shown in Section II-B. The primary conductor uses the 4- m-thick aluminum top metal layer (AM), whereas the secondary conductor is on the 1.25- m-thick second aluminum layer (LY). The ground shield with its slots orthogonal to wave propagation and side bars collinear to wave propagation are on a 0.5- m-thick copper layer (MQ). The transformer template provides an extremely compact and optimized structure for millimeter-wave operation. For example, its quality factor for a 80- m-long transformer at 60 GHz is 32. B. DAT Circuit Architecture Fig. 2 shows a 3-D conceptual drawing of the DAT transformer structure. The simplified figure only shows the metal shapes on the first three metal layers and omits the four differential push–pull amplifiers in the corners for better clarity. The DAT uses the thick top-level metal for the primary winding and the second-level metal for the secondary winding. The dc supply current for the push–pull amplifiers is supplied via a connection in the center of the structure. A large via field in the center connects a lower level 4-V power plane to ac grounds in the center of the primary inductors on the top-level metal. Note that the primary side is more susceptible to electromigration than the secondary side of the transformer since their primary inductor carries the amplifiers’ dc current in addition to its primary RF current. The top-level metal is three times as thick

PFEIFFER AND GOREN: 23-dBm 60-GHz DAT IN SILICON PROCESS TECHNOLOGY

859

Fig. 2. Conceptual 3-D drawing of the DAT physical structure.

as the second-level metal and is, therefore, the layer of choice for the primary side, although the amplifiers’ signals have to go all the way up through the metal stack to connect to the primary inductors. The millimeter-wave transformer requires its primary inductance to be small to operate the DAT efficiently at millimeterwave frequencies. Its size is, therefore, only 160 160 m (see Section II-G for the transformer tuning). Generally speaking, a small transformer has some negative mutual magnetic coupling between opposite sides of a wire loop since not all of the magnetic flux can pass entirely through the center of the structure. This is primarily a problem in other, e.g., coplanar and transformer structures, since it makes the 3-D EM modeling dependent on the diameter and shape (square or circular) of a transformer. As a result, one has to perform iterative 3-D EM simulations to optimize the DAT geometry. Unlike the coplanar DAT described in [1], [2], [25], and [26], the millimeter-wave DAT transformer in this paper maximizes the mutual magnetic coupling and simultaneously minimizes the negative mutual induction to a point where it can be neglected. The electrical performance of the DAT transformer structure can, therefore, simply be modeled by the stacked transformer templates described in Section II-A. A simplified schematic of the DAT is shown in Fig. 3. Eight transformer templates can be connected in series on the secondary winding to form a single secondary turn. On the primary side, two of them are connected to a 4-V supply (ac-ground) in the center and the push–pull amplifiers at the opposite ends. The ground shield with the slots orthogonal to wave propagation and the side bars collinear to wave propagation are adapted to accommodate the corners of the structure. The structure maintains its closed environment EM condition, which relaxes the parasitic effects and boundary conditions. The magnetic flux is localized around the two wires so that only a small amount of flux passes through the inner portion of the ring. This provides the ability to use 2-D compact modeling, which is scalable by length and independent of the proximity of other structures in the layout (see Section II-E for EM modeling of the transformer template). The transformer templates are decoupled from each other, which allows them to be treated as independent building blocks. This is specifically an important feature at millimeter-wave frequencies where prior art coupled line transformers require 3-D EM simulations for each circular geometry. The differential input signal to the four synchronized push–pull amplifiers is pre-amplified by a pre-driver fol-

Fig. 3. Schematic of the DAT showing eight transformer templates and the four differential push–pull amplifiers. A pre-driver followed by six inter-leaved Wilkinson power splitters is used to create the phase matched inputs with alternating polarity (not shown).

Fig. 4. Input power distribution network. Six inter-leaved Wilkinson power dividers are used to create the alternating phases for the corner amplifiers. The signal path for the north–east amplifier (PA4) is highlighted here with the divider sections in black and additional interconnects in gray.

lowed by six inter-leaved Wilkinson power splitters (see Section II-C for more details). The impedance transformafor an ideal DAT is , which tion ratio ideally creates a load line impedance for each amplifier of . At millimeter-wave frequencies, the DAT, however, is far from being ideal, which requires the reactive part of the transformer to be tuned for an optimum load line and coupling efficiency (see Sections II-G and H for more details). C. Input Power Distribution Network The input power distribution network is shown in Fig. 4. Six equal-split Wilkinson power dividers (three for each polarization) are used to split the power from a differential driver amplifier in quarters. The network layout is inter-leaved to create

860

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 5. Circuit schematic of the four identical push–pull corner amplifier. Only two of the four amplifiers (PA1 and PA2) require an additional tuning capacitor C.

four signals with alternating phases. Additional wire segments are inserted to ensure an equal wire length of 2.3 mm. Each equal-split Wilkinson power divider is made of 77quarter-wave side-shielded transmission lines with a 100NS resistor (npn sub-collector diffusion resistor). All other interconnects use side-shielded microstrips with a 50- characteristic impedance. Side-shielded microstrip transmission lines have been used throughout the design to avoid any crosstalk in on-chip interconnects. The total loss of the network is approximately 5 dB, where each divider has approximately 2-dB insertion loss. Despite their length and associated losses, on-chip Wilkinson power dividers are favorable at the input of the transformer since they provide a good port isolation, which decouples the inputs of the corner amplifiers from each other for better DAT stability. Although their loss and large size can be tolerated at the input to the DAT, they are rather inadequate for an efficient output power-combining network and cannot be considered for a DAT replacement. Apparently, the input splitter area is 400 times larger than the 160 160 m output transformer and clearly shows the attractiveness of the tiny millimeter-wave DAT for power-combining purposes. D. Corner-Amplifier Circuit The corner amplifiers are made of four identical differential PAs, one in each corner of the DAT transformer. Each PA uses a single-stage push–pull amplifier topology where the differential output is connected to the ends of adjacent primary windings. A simplified amplifier schematic is shown in Fig. 5. The singlestage amplifier uses two cascode gain stages in a differential mode to provide high power gain and high output voltage swing. Note that, in a DAT topology, it is merely a matter of definition which cascode stage forms a differential amplifier (see [1] and [2]). Due to their close proximity to one another, it is beneficial for the design of the input matching network to consider the two corner cascode stages as being a differential amplifier as opposed to the spatially separated amplifiers that span across the length of the primary inductor. The base of the common-base (CB) output devices (T1 and T2) are directly connected together to provide an ac ground

V). This minimizes impact ionization at the base ( and, thus, maximizes the breakdown voltage of the output device [27], [28]. The ac ground provides zero external base re. sistance at RF and the voltage swing is not limited by This allows the output voltage to swing 2.5 V around the 4-V dc supply voltage without causing the device to break down. A low impedance at the base is also important to ensure stable operation of the amplifier. A compact layout with minimized parasitics is, therefore, important and any residual inductance at the base was minimized to improve the stability of the amplifier. Note that, in a balanced configuration without an ac ground, a low impedance at the CB base is difficult to achieve since many bypass capacitors are needed to handle the large base current swing. Such base decoupling is well beyond self-resonance at millimeter-wave frequencies and can cause stability problems. A single inductor with an ac ground is connected across the base from T3 to T4 to supply the bias voltage for the amplifier. The length of the input bias inductor can be smaller than a quarter-wave RF choke since its reactance can be tuned with the input parasitics of the device and the input matching network to form a real 100- differential input impedance for maximum power transfer.

E. Compact EM Modeling The transformer model used for circuit simulations is implemented in a filter resistive capacitive inductive (RLC) network plus dependent sources. This physical model takes the skin and proximity effects between the three transformer conductors up to the third harmonic of the fundamental frequency (180 GHz) into account. Compared to other approaches [29], such modeling enhances its frequency range up to a point where it can be used to simulate nonlinear effects in millimeter-wave amplifier designs. The silicon substrate induced losses and added frequency dependence is being effectively canceled by the shielding effect of the perpendicular wires of the bottom ground shield. The model is designed to describe the transformer operation in all its operation modes, namely, it does not assume in advance that the transformer is being matched to a given input and output impedance. This allows for the correct tuning and matching of the transformer using the model inside a circuit level simulation. The model has been tuned and verified using a 3-D EM solver [30]. For illustrative purposes, it is, however, easier to create an equivalent-circuit model similar to the one shown in Fig. 6. Although this model was not used as part of the design process, it is shown here to illustrate the nonidealities of a transformer including its distributed parasitic capacitance like the and the parasitic capacitance inter-winding capacitance to the ground shield. The equivalent transformer circuit model assumes an ideal transformer with a coupling factor of and a primary inductance . The nonidealities are modeled with a stray inductance of , where is the total primary inductance of the transformer. For an 80- m-long section, is 43.87 pH with fF and fF. At 60 GHz, the differential output impedance of a differential amplifier can

PFEIFFER AND GOREN: 23-dBm 60-GHz DAT IN SILICON PROCESS TECHNOLOGY

Fig. 6. Equivalent transformer model used for illustrative purposes only. A distributed filter RLC network plus dependent sources was used instead for circuit simulations. Additional matching elements (L and C ) can be used on the primary side that add some level of tuning of the otherwise fixed 1 : 4 impedance transformation ration (not used in this paper’s PA).

be modeled by a parasitic capacitance of fF. For additional information on the modeling and design of SiGe HBTs PAs operating at millimeter-wave frequencies, see [7]. F. Active Push–Pull Amplifier Termination In this paper, the term active termination is used to underline that a DAT does not resistively terminate each individual push–pull amplifier. Unlike resistors with a fixed impedance of , the impedance provided by the DAT depends on its impedance transformation ratio, which requires a synchronous operation of the push–pull amplifiers. The push–pull amplifiers need to couple synchronously to the primary inductor to add the induced currents (e.g., magnetic fluxes) constructively. If the amplifiers are out of phase or have an amplitude imbalance, the circular current in the secondary winding will not have its maximum and cause a change of the load line impedance , where are the comby plex secondary currents induced from each push–pull amplifier is the push–pull amplifier output voltage. As such, the and load-line impedance seen by each amplifier may change if the other amplifies go out of phase or change their output voltage or current swings. Strictly speaking, the impedance seen by one to an open or even be negative amplifier could change from depending on how the secondary currents add up. Therefore, under all operating conditions, the amplifiers should maintain their relative phase, voltage, and current swings to maintain the 1 : 4 impedance transformation ratio. This is a challenging task at millimeter-wave frequencies and across various power levels and process variations, as we will see in Section II-H. A change in load impedance will cause nonlinear effects or stability problems if the push–pull amplifiers are not unconditionally stable (see also Section II-J for more details). Interestingly to note, the neighbor push–pull amplifier load the other amplifiers through the transformer with their output impedances. They act like Thévenin voltage sources with being the internal voltage source impedance. The amplifier’s output impedance, therefore, should be rather small to avoid a further degradation of the impedance transformation ratio. Strictly speaking, the impedance transformation ratio is only , where is dominated by the output capacitance ( ) of the differential push–pull amplifiers. We will see in the following Section II-G that the output capacitance ( )

861

Fig. 7. Illustration of the active termination mechanism of the DAT. (a) Collective operation of the four push–pull amplifiers where the alternating primary currents add constructively. (b) Transformation ration of the same transformer where three of the four push–pull amplifiers add destructively.

can be tuned with the primary inductance of the transformer to generate a real load impedance. Fig. 7 illustrates the active termination mechanism. Fig. 7(a) shows the ideal case where the secondary induced currents add constructively with a load-line impedance of . Fig. 7(b) shows a case where three of the four amplifiers are either switched off or add destructively to generate a load-line only. In the case where the other three impedance of amplifiers operate in antiphase with respect to the investigated (not amplifier, the load impedance is negative shown). In other words, only the synchronous operation of the push–pull amplifiers makes them act like a single source with an . The impedance seen at the output internal impedance of , with an output return loss port of the DAT, therefore, is ) that is four times larger than a single push–pull amplifier ( would have. G. Impedance Tuning of the DAT The equivalent-circuit model for the transformer has previously been shown in Fig. 6. It models the nonidealities of the with a stray inductance of transformer for on the primary side of an ideal transformer. The purpose of tuning the DAT is to remove the total primary inductance while resonating it with an additional tuning capacitor. This will transformer. make the transformer look like an ideal Unlike the DAT described in [1] and [2], the millimeter-wave DAT in this paper does not require additional tuning caps to achieve this resonance. The size of the millimeter-wave transformer has been adapted such that its primary inductance is large enough to resonate with the parasitics of the transistor amplifiers directly. While at low frequencies the corner amplifiers output impedance is primarily resistive, at millimeterwave frequencies, it is dominated by its parasitic capacitance ). No additional capacitors are thus required and the scal( able transformer model described in Section II-E was used to find the right size of the transformer. At 60 GHz, the differenis tial output capacitance ( ) is approximately 62 fF and 87.74 pH for a 160- m-long transformer. With the inclusion of additional wiring parasitics, this leads to a resonant frequency at 60 GHz. of

862

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

H. Parasitic Effects at Millimeter-Wave Frequencies The millimeter-wave transformer uses ground-shielded input and output pads with a 0.4-dB insertion loss at 60 GHz. The pads themselves are matched to provide a 50- on-chip impedance [31]. No additional output impedance transformation network is used and the tuned 1 : 4 millimeter-wave DAT should, therefore, provide a 25- load line to all corner amplifiers simultaneously. Unfortunately, the inter-winding and shunt parasitic capacitances of the stacked transformer affect the symmetry of this impedance transformation significantly. On one hand, fF resonates with the inter-winding capacitance pH (80 m) the transformer winding inductance at GHz. At that frequency, the rebecomes high impedance, causing potential staturn loss bility problems if the corner amplifiers are not unconditionally stable. On the other hand, the same inter-winding capacitance causes an asymmetry in the impedance transformation ratio. The impedance seen by the corner amplifiers deviates in the west–east direction from their ideal load lines. For example, the 25 is only seen by PA2 and PA3, while the impedance at PA1 and PA4 is turning inductive with increased capacitive inter-winding coupling. Additional tuning caps have, therefore, been used at the north–east and south–east sides to tune this impedance back to a resistive load. However, their impedance is higher than the desired 25 , which causes an earlier voltage compression for PA1 and PA4 affecting the large-signal compression characteristic of the DAT. A compression asymmetry in turn affects the required alternating phase and amplitude balance and may cause a dynamic change of the impedance transformation ratio required by the active termination principle described in Section II-F. A power dependency of the load-line impedance is a nonlinear effect and can be observed, for example, as a power gain expansion (see the measurement results in Section III). The shunt winding capacitance to ground is only fF for the 80- -long transformer and, thus, adds only a little to the impedance asymmetry. I. Transformer Frequency Scaling As described in Section II-G, the DAT is tuned to resonate directly with the parasitic capacitance of the corner amplifier. Scaling the transformer to higher frequencies may require a smaller sized transformer. At some frequencies though, the minimum size will be limited by the accuracy of the compact transformer modeling described in Section II-E and parasitic effects might be predominant. Likewise, the uses of larger transistors to create more output power requires a change in transformer length. Increasing the length of the transformer in turn causes the distributed inter-winding capacitance to go up, which makes it more difficult to achieve a symmetric load-line impedance across all corners of the DAT. Additionally, it may move the resonance of the inter-winding capacitance with the transformer primary inductance into the desired operating frequency band. J. Transformer Stability Considerations There are two design aspects that need special considerations for stable DAT operation. First, the corner amplifiers have to be unconditionally stable, and second, the transformer has to be designed such that its load-line impedance variation is minimal

with varying operating conditions. Note, among each other, the corner amplifiers act like active terminations, which require precisely phase and amplitude balanced input signals to provide a constant load-line impedance (see Section II-F). If this is not provided, the changing load-line impedance may cause stability problems for push–pull amplifiers that are only conditionally stable. For a stable design of the cascode stage described in Section II-D, it is important to avoid any residual inductance at the base of transistor T1 and T2. While the desired balanced operation helps to provide an ac ground at the base, it is primarily difficult to do so in the common mode. Bypass capacitors are needed to handle the large base current swing, which may not be broadband enough at millimeter-wave frequencies. The inter-winding and shunt parasitic capacitances of the stacked transformer affect the symmetry of the impedance transformation ratio significantly, as described in Section II-H. While PA3 and PA2 see a 25- load-line impedance, the amplifier PA1 and PA4 see an impedance that is slightly higher (approximately 35 ). A common amplifier circuit and layout, therefore, must cover both load lines equally well. Finally, the resonance of the inter-winding capacitance with the transformer primary inductance may be close to the desired operating frereturn loss. quency band (see Section II-I) causing a high III. MEASURED RESULTS The PA was designed in IBM’s advanced bipolar technology SiGe8HP. It is a 0.13- m SiGe BiCMOS technology with cutoff GHz. The five-layer back-end frequencies of the line has three copper layers with two thick aluminum layers for the low-loss interconnects available. In addition to the transformer model being described earlier in Section II-A, the design kit includes interconnect models for side-shielded microstrips up to 110 GHz [32]. Such models are scalable by length and width for simple circuit schematic integration. V and The SiGe HBT breakdown voltages are V, respectively. Fig. 8 shows a chip micrograph of the PA. The input and output pads are laid out in a ground–signal–ground–signal–ground (GSGSG) configuration. The chip has a size of 1.9 1.8 mm including bond pads. The input and output pads use shunt transmission line stubs to resonate the pad capacitance, thereby providing a matched 100- impedance (50 for each microstrip) [31]. Swept power gain compression measurements at 60 GHz require accurate calibration and deembedding techniques at each power level and frequency in order to remove nonlinear effects of the test equipment and any driver amplifier that may precede the device-under-test. To enhance the dynamic range of the measurement, a calibrated thermal power detector was used to calibrate a spectrum analyzer that uses an external harmonic mixer for measurements in the 58–65-GHz frequency range. in deciThe frequency dependent loss (the magnitude of bels) from the spectrum analyzer to the output probe tip (probe included) was calibrated using a second-tier short-open-load (SOL) adapter removal technique with an accuracy of 0.2 dB [31]. Note, any error in the output calibration will affect the measured output power, as well as the measured PAE. The available input power from the source has been calibrated with

PFEIFFER AND GOREN: 23-dBm 60-GHz DAT IN SILICON PROCESS TECHNOLOGY

863

Fig. 9. Large-signal compression at 64 GHz. At 64 GHz, the amplifier achieves a saturated output power of 23 dBm (200 mW), which is well above = 1:7 V of the SiGe technology. the BV

2

Fig. 8. Chip micrograph. The overall size of the chip is 1.9 1.8 mm , while the size of the transformer is only 160 160 m . Most of the area between the driver amplifier and the DAT is taken up by the Wilkinson power divider network.

2

a through measurement on a low-loss differential GSGSG calibration substrate. The large-signal measurements in this paper have been made on-wafer with a differential 100- input impedance. A pure-mode network analyzer concept described in [33] with an external waveguide balun was used to ensure phase and amplitude balanced input signals. This is crucial for the measurements since the amplifier requires ac grounds for optimum performance and a frequency-dependent phase shift may cause some gain and output power variation across the band. Single-ended data can only be measured at the output, while the amplifier is driven differentially. The overall calibration accuracy of this setup is estimated to be within 0.5 dB for both input and output power levels. Fig. 9 shows the measured large-signal compression characteristic at 64 GHz. The figure includes the power gain, output power measured differential, and PAE versus input power. At 64 GHz, the amplifier achieves a saturated output power of 23 dBm (200 mW) into a differential 100- load. This is equivalent to a 6.3–Vpp swing, which is well above V of the SiGe technology. The PA has a the compressed gain of 13 dB with a peak PAE of 6.3%. Fig. 10 shows a summary plot of the measured large-signal gain, saturated output power, and efficiency in the 59–64-GHz frequency band. The highest output power (23.1 dBm) was measured at the center of the band at 61.5 GHz. The maximum gain is at approximately 20 dB, of which 10 dB are provided by the DAT output stage. The driver amplifier provides a net gain of 10–11 dB including the 5-dB loss of the Wilkinson power splitters. The DAT works as expected (conditionally stable) for

Fig. 10. Measured large-signal gain, saturated output power, and efficiency at 59, 61.5, and 64 GHz.

supply voltages between 4.0–4.3 V. At lower supply voltages V), the DAT amplifier shows signs of instabilities ( in the output stage and tends to oscillate at around 52 GHz. Lowering the supply voltage causes a shift in the corner amplifiers output impedance moving it into an unstable operating range (see Section II-J for a detailed discussion of stability considerations at millimeter-wave frequencies). The amplifier shows approximately 1–2-dB gain expansion indicating that the DAT is operating in a nonlinear mode of operation due to the load-line impedance asymmetry described in Section II-H. The driver amplifier design has been previously published in [10]. It is the first amplifier that is going into compression with a saturated output power of 16–17 dBm and approximately 7–8-dB compressed gain. The push–pull amplifiers in the corners have a similar saturated power due to their identical device sizes. As such, the measured results suggests that the DAT is efficiently power combining the four amplifiers while showing 23-dBm (approximately 6 dB higher) total output power compared with a single amplifier design (compare to [10]). This shows that the loss of

864

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

TABLE I COMPARISON OF SiGe MILLIMETER-WAVE PAs

the DAT output transformer is comparable to the loss of regular transmission-line-based output matching networks while power combining the outputs of four amplifiers at the same time. IV. SUMMARY AND CONCLUSION In this paper, a 60-GHz DAT has been presented. The two-stage PA achieves 200 mW (23 dBm), which is equivalent to a 6.3–Vpp swing into a 100- load, which is well above the V of the SiGe technology. The PA has a compressed gain of 13 dB with a peak PAE of 6.3% at 61.5 GHz. The silicon area of the output transformer is only 160 160 m . Stacked coupled wires as opposed to slab inductors have been used to minimize substrate induced losses . As of today, and to achieve a high coupling factor of the presented PA has the highest output power reported at millimeter-wave frequencies in an SiGe process technology. See Table I for a comparison. The amplifier is primarily intended for wireless data communication with a low peak-to-average power ratio. Linear operation of the DAT at millimeter-wave frequencies is limited due to the inter-winding capacitance, which causes an asymmetry in the impedance transformation. A scalable transformer model was used during the design and analysis of the DAT without iterative 3-D EM simulations. The impedance transformation ratio and the active termination of the push–pull amplifiers was investigated showing the importance of unconditionally stable push–pull amplifier design. Detailed millimeter-wave design considerations have been given that will ease the design of DATs at millimeter-wave frequencies. Finally, the measured results show a 4 power enhancement (6-dB increase) compared with a single PA being used in [10]. This shows that the loss of the transformer is comparable to the loss of regular transmission-line-based matching networks and, as such, demonstrates an efficient power-combining technique in a silicon process technology at millimeter-wave frequencies. Further study will investigate the efficiency of the interstage matching and power distribution network currently being dominated by large Wilkinson power divider to reduce the overall chip area and to further enhance the overall PAE. ACKNOWLEDGMENT The authors would like to thank all who contributed to the fabrication of the chip, especially the IBM SiGe Technology

Group, IBM Burlington, Essex Junction VT, B. Welch, Cornell University, Ithaca, NY, for the layout of the input power divider, and R. Carmon, IBM Haifa Research Laboratories, Mount Carmel Haifa, Israel, for EM modeling support. Much appreciation goes to B. Gaucher, M. Soyuer, and M. Oprysko, all with the Communications Department, IBM T. J. Watson Research Center, Yorktown Heights NY, for their support of this study. REFERENCES [1] I. Aoki, S. Kee, D. Rutledge, and A. Hajimiri, “Distributed active transformer—A new power-combining and impedance-transformation technique,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 316–331, Jan. 2002. [2] I. Aoki, S. Kee, D. Rutledge, and A. Hajimiri, “A fully integrated 1.8-V, 2.8-W, 1.9-GHz, CMOS power amplifier,” in Radio Freq. Integr. Circuits Symp., Jun. 2003, pp. 199–202. [3] K. Russell, “Microwave power combining techniques,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 5, pp. 472–478, May 1979. [4] K. Chang and C. Sun, “Millimeter-wave power-combining techniques,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 2, pp. 91–107, Feb. 1983. [5] J.-S. Rieh, D. Greenberg, A. Stricker, and G. Freeman, “Scaling of SiGe heterojunction bipolar transistors,” Proc. IEEE, vol. 93, no. 9, pp. 1522–1538, Sep. 2005. [6] J.-S. Rieh, M. Khater, K. Schonenberg, F. Pagette, P. S. T. Adam, K. Stein, D. Ahlgren, and G. Freeman, “Collector vertical scaling and performance tradeoffs in 300 GHz SiGe HBTs,” in Device Res. Conf., Jun. 2004, vol. 1, pp. 235–236. [7] U. Pfeiffer and A. Valdes-Garcia, “Millimeter-wave design considerations for power amplifiers in a SiGe process technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 57–64, Jan. 2006. [8] M. Khater, J.-S. Rieh, T. Adams, A. Chinthakindi, J. Johnson, R. Krishnasamy, M. Meghelli, F. Pagette, D. Sanderson, C. Schnabel, K. Schonenberg, P. Smith, K. Stein, A. Stricker, S.-J. Jeng, D. Ahlgren, and D. Freeman, “SiGe HBT technology with f =f = 350=300 GHz and gate delay below 3.3 ps,” in IEEE Int. Electron Devices Meeting, Dec. 2004, pp. 247–250. [9] U. Pfeiffer, J. Grzyb, D. Liu, B. Gaucher, T. Beukema, B. Floyd, and S. Reynolds, “A 60-GHz radio chipset fully integrated in a low-cost packaging technology,” in 56th Electron. Compon. Technol. Conf., Jun. 2006, pp. 1343–1346. [10] B. Floyd, S. Reynolds, U. R. Pfeiffer, T. Beukema, J. Grzyb, and C. Haymes, “A silicon 60 GHz receiver and transmitter chipset for broadband communications,” in IEEE Int. Solid-State Circuits Conf., Feb. 2006, pp. 184–185. [11] A. Natarajan, A. Komijani, X. Guan, A. Babakhani, Y. Wang, and A. Hajimiri, “A 77 GHz phased array transmitter with local LO-path phase-shifting in silicon,” in IEEE Int. Solid-State Circuits Conf., Feb. 2006, pp. 182–183. [12] A. Valdes-Garcia, S. Reynolds, and U. R. Pfeiffer, “A 60 GHz class-E power amplifier in SiGe,” in Asian Solid-State Circuits Conf., Nov. 2006, pp. 199–202.

PFEIFFER AND GOREN: 23-dBm 60-GHz DAT IN SILICON PROCESS TECHNOLOGY

[13] U. R. Pfeiffer, D. Goren, B. A. Floyd, and S. K. Reynolds, “SiGe transformer matched power amplifier for operation at millimeter-wave frequencies,” in Eur. Solid-State Circuits Conf., Sep. 2005, pp. 141–144. [14] C. Wang, Y. Cho, C. Lin, H. Wang, C. Chen, D. Niu, J. Yeh, C. Lee, and J. Chern, “A 60 GHz transmitter with integrated antenna in 0.18 m SiGe BiCMOS technology,” in IEEE Int. Solid-State Circuits Conf., Feb. 2006, pp. 186–187. [15] U. Pfeiffer, S. Reynolds, and B. Floyd, “A 77 GHz SiGe power amplifier for potential applications in automotive radar systems,” in Radio Freq. Integr. Circuits Symp., Jun. 2004, pp. 91–94. [16] H. Li, H.-M. Rein, T. Suttorp, and J. Boeck, “Fully integrated SiGe VCOs with powerful output buffer for 77-GHz automotive radar systems and applications around 100 GHz,” IEEE J. Solid-State Circuits, vol. 39, pp. 1650–1658, Oct. 2004. [17] U. R. Pfeiffer, “A 20 dBm fully integrated 60 GHz SiGe power amplifier with automatic level control,” in Eur. Solid-State Circuits Conf., Sep. 2006, pp. 356–359. [18] A. Komijani and A. Hajimiri, “A wideband 77 GHz, 17.5 dBm power amplifier in silicon,” in Custom Integrated Circuits Conf., Sep. 2005, pp. 566–569. [19] E. Afshari, H. Bhat, X. Li, and A. Hajimiri, “Electrical funnel: A broadband signal combining method,” in IEEE Int. Solid-State Circuits Conf., Feb. 2006, pp. 206–207. [20] T. Cheung, J. Long, Y. Tretiakov, and D. Harame, “A 21–27 GHz selfshielded 4-way power-combining PA balun,” in IEEE Custom Integr. Circuits Conf., Oct. 2004, pp. 617–620. [21] T. S. D. Cheung and J. R. Long, “Shielded passive devices for siliconbased monolithic microwave and millimeter-wave integrated circuits,” IEEE J. Solid-State Circuits, vol. 41, no. 5, pp. 1183–1200, May 2006. [22] T. Dickson, M.-A. LaCroix, S. Boret, D. Gloria, R. Beerkens, and S. Voinigescu, “30–100-GHz inductors and transformers for millimeter-wave (Bi)CMOS integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 123–133, Jan. 2005. [23] E. Laskin, S. Nicolson, P. Chevalier, A. Chantre, B. Sautreuil, and S. Voinigescu, “Low-power, low-phase noise SiGe HBT static frequency divider topologies up to 100 GHz,” in IEEE BCTM Dig., Oct. 2006, pp. 235–238. [24] T. Yao, M. Gordon, K. Yau, M. Yang, and S. Voinigescu, “60-GHz PA and LNA in 90-nm RF-CMOS,” in IEEE RFIC Symp. Dig., Jun. 2006, pp. 147–150. [25] I. Aoki, S. A. Hajimiri, D. B. Rutledge, and S. D. Kee, “Distributed circular geometry power amplifier architecture,” U.S. Patent 6 737 948, May 18, 2004. [26] I. Aoki, S. Kee, D. Rutledge, and A. Hajimiri, “Fully integrated CMOS power amplifier design using the distributed active-transformer architecture,” IEEE J. Solid-State Circuits, vol. 37, no. 3, pp. 371–383, Mar. 2002. [27] M. Rickelt and H.-M. Rein, “A novel transistor model for simulating avalanche-breakdown effects in Si bipolar circuits,” IEEE J. Solid-State Circuits, vol. 37, no. 9, pp. 1184–1197, Sep. 2002. [28] R. Singh, D. L. Harame, and M. M. Oprysko, Silicon Germanium: Technology, Modeling, and Design. Piscataway, NJ: IEEE Press, 2003. [29] T. Biondi, A. Scuderi, E. Ragonese, and G. Palmisano, “Wideband lumped scalable modeling of monolithic stacked transformers on silicon,” in IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Sep. 2004, pp. 265–268.

865

[30] High Frequency Structure Simulator (HFSS). ver. 9, Ansoft Corporation, Pittsburgh, PA, 2006. [31] U. R. Pfeiffer, “Low-loss contact pad with tuned impedance for operation at millimeter wave frequencies,” in Proc. 9th IEEE Signal Propag. on Interconnects Workshop, May 2005, pp. 61–64. [32] T. Zwick, Y. Tretiakov, and D. Goren, “On-chip SiGe transmission line measurements and model verification up to 110 GHz,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 65–67, Feb. 2005. [33] T. Zwick and U. R. Pfeiffer, “Pure-mode network analyzer concept for on-wafer measurements of differential circuits at millimeter wave frequencies,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 934–937, Mar. 2005.

Ullrich R. Pfeiffer (M’02–SM’06) received the Diploma degree in physics and Ph.D. in physics from the University of Heidelberg, Heidelberg, Germany, in 1996 and 1999, respectively. In 1997, he was a Research Fellow with the Rutherford Appleton Laboratory, Oxfordshire, U.K., where he developed high-speed multichip modules. In 2000, his research was based on high-integrated real-time electronics for a particle physics experiment at the European Organization for Nuclear Research (CERN), Geneva, Switzerland. From 2001 to 2006, he was a Research Staff Member with the IBM T. J. Watson Research Center, where his research involved RF circuit design, PA design at 60 and 77 GHz, and high-frequency modeling and packaging for millimeter-wave communication systems. Since 2007, he has been the Head of the Terahertz Electronics Group, Institute of High-Frequency and Quantum Electronics, University of Siegen, Siegen, Germany. Dr. Pfeiffer is a member of the German Physical Society (DPG). He was the corecipient of the 2004 and 2006 Lewis Winner Award for Outstanding Paper presented at the IEEE International Solid-State Circuit Conference. He was also the recipient of the 2006 European Young Investigator Award.

David Goren (M’01) received the B.Sc., M.Sc., and Ph.D. degrees in electrical engineering from the Technion, Israel Institute of Technology, Technion City, Haifa, Israel, in 1988, 1991, and 1998, respectively. His doctoral research specialized in semiconductor device physics and microelectronics. In 1997, he joined IBM, where he is currently a Research Staff Member with the IBM Haifa Research Laboratories, Haifa, Israel, involved in the general field of analog and mixed signal design research. He is currently the Technology Leader (and founder) of the IBM On-Chip T-line project, whose products are integrated within IBM technology design kits ever since 2001. Since 1998, he has also been a Lecturer and Graduate Student Advisor with the Technion. He has authored or coauthored 30 papers in IEEE and Applied Physics publications. He holds 12 patents. Dr. Goren was the recipient of the 2003 IBM Outstanding Innovation Award.

866

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

A Mixed-Signal Approach Towards Linear and Efficient N -Way Doherty Amplifiers W. C. Edmund Neo, Student Member, IEEE, Jawad Qureshi, Marco J. Pelk, John R. Gajadharsing, Member, IEEE, and Leo C. N. de Vreede, Senior Member, IEEE

Abstract—A mixed-signal approach for the design and testing of high-performance -way Doherty amplifiers is introduced. In support of this, an analysis of -way power-combining networks is presented—in particular, their optimum design—by examining the relationship between the drive conditions of the active devices and input power. This analysis makes no prior assumption on the network topology and facilitates free-to-choose levels for the high-efficiency power back-off points. By comparing the results of this analysis with prior work, it is shown that very specific drive conditions apply to traditional three-way Doherty amplifier implementations to obtain simultaneously high-efficiency and high-linearity operation. To support these conclusions, a 15-W three-way Doherty amplifier was constructed using Philips GEN4 LDMOS devices featuring three separate inputs to independently drive the main and peaking devices. By testing this three-way amplifier with a custom-built measurement setup, capable of providing multiple digitally controlled coherent RF input signals with high spectral purity, a unique flexible amplifier concept is created resulting in a record-high efficiency for LDMOS-based Doherty amplifiers over a 12-dB back-off power range. Index Terms—Analysis, base station, coherent signals, Doherty, high efficiency, high power, linearity, mixed signal, power amplifier (PA).

I. INTRODUCTION

O

NE OF the main challenges in wireless communication still lies in the development of highly linear and efficient power amplifiers (PA) suitable for third generation (3G) and beyond communication systems. Signals in these applications are typically characterized by a high peak-to-average power ratio (e.g., wideband code division multiple access (WCDMA) 10 dB), requiring high linearity of the transmitting amplifier. Consequently, PAs are typically dimensioned for the peak-power condition, but are operated most of the time at significantly lower power levels (power back-off). As a result, even when using a high-efficiency amplifier class, e.g., (inv.) class B [1], class E [2] or (inv.) class F [3], the peak efficiency might be high, but the average amplifier efficiency can be rather low.

Manuscript received November 14, 2006; revised February 3, 2007. This work was supported by Philips Semiconductors (NXP Semiconductors). W. C. E. Neo, J. Qureshi, M. J. Pelk, and L. C. N. de Vreede are with the Delft Institute of Microelectronics and Submicron Technology, Delft University of Technology, 2628 CT Delft, The Netherlands (e-mail: w.c.e.neo@ewi. tudelft.nl; [email protected]). J. R. Gajadharsing is with the RF Innovation Center, NXP Semiconductors, 6534 AE Nijmegen, The Netherlands. Digital Object Identifier 10.1109/TMTT.2007.895160

For this reason, there is a renewed interest in highly efficient PA architectures that were introduced in the 1950s and 1960s. These PA concepts; e.g., the Doherty power amplifier (DPA) [4]–[8], Linear amplification using nonlinear components (LINC) [9], [10] and envelope tracking (ET) [11], [12] are currently investigated as potential candidates for 3G and beyond base-station applications. Today, due to its improved efficiency, low complexity, and low costs, the two-way DPA has already penetrated the base-station market. An additional advantage of the DPA concept is its potential to bring in redundancy to the system to overcome output stage device failure. Note that with the proper measures, even in this situation, the DPA is still capable to function to a great extent. In view of this, LINC and ET are less forgiving when device failure occurs, but theoretically they do offer an efficiency advantage over two-way DPA implementations, explaining the high interest in these concepts. With the two-way DPA in place, a logic evolution of the market would be the use of three-way or -way Doherty amplifiers to reduce the (theoretical) efficiency performance gap with LINC and ET while maintaining the advantages of the DPA concept. Unfortunately, practical implementations of -way Doherty PAs are still rare and not fully convincing in their efficiency and linearity performance. The main reason for this is the rather difficult design and the delicate fine tuning of the power distribution/combining networks and the sensitive biasing of the main and peaking amplifier stages. To solve for these difficulties, in this study we introduce a mixed-signal approach for the -way Doherty amplifier that overcomes these problems and provides a clear and easy way to control its efficiency and linearity performance. To introduce the mixed-signal concept, we first study the requirements on the power-combing networks in combination with the proper drive conditions of the active devices. Secondly, we compare our conclusions with previously published results in literature. Next we support our discussion through the design and testing of a three-way Doherty amplifier with three separate inputs, facilitating independent control of the drive conditions of the individual amplifier stages for main and peaking amplifier cells. Finally, we conclude our study by optimizing the input signal conditions and comparing the achieved efficiency versus output power with results published in literature. II. GENERAL DESIGN STRATEGY The Doherty amplifier concept is well documented in the literature [4]–[8], [13]. Most of these studies begin with a fixed network topology, which is designed for its optimum element values in order to obtain the desired high-efficiency power back-off point(s). In this paper, we introduce a more rigorous

0018-9480/$25.00 © 2007 IEEE

NEO et al.: MIXED-SIGNAL APPROACH TOWARDS LINEAR AND EFFICIENT

-WAY DOHERTY AMPLIFIERS

867

, and , are the voltages and currents where of the main device at the full output power and the back-off , and , are the corpoint, respectively, while responding voltages and currents for the peaking device (see Fig. 2). Solving (1)–(4) simultaneously for the -parameters yields

Fig. 1. General two-way DPA with ideal voltage-controlled current sources and a lossless power-combining network.

(5) method for the design and dimensioning of the -way DPA. In this analysis method, it is essential to find, for a given set of free-to-choose high-efficiency power back-off points, the proper black-box parameters of the power-combining network and the related functional behavior of the drive currents. It is this combination that should provide a total output power of the DPA that depends linearly on the input power (or proportional with a square of the input voltage), while at the same time, the overall drain efficiency (DE) is maximized at the peak back-off power levels. and To address this problem in the most general way, we first develop a system of equations for the black-box parameters based on linearity and efficiency considerations, which we can solve uniquely by using the properties of lossless reciprocal networks. To introduce this approach in the clearest way, we first apply our analysis method to the well-known two-way DPA and extend it in a later stage to three- and -way DPAs. A. General Analysis of the Two-Way DPA In order to find a general mathematical description of a two-way DPA, we consider Fig. 1, which gives an idealized two-way DPA with voltage-controlled current sources at its input, representing the active devices, and a lossless power-combining network to pass the generated power to the load. In the first part of our analysis, we incorporate the DPA into an intermediate two-port network (Fig. 1) to reload duce the three-port to a two-port problem. This “intermediate” network is still reciprocal, but no longer lossless since it now . includes the dissipating element Next we express the voltages and currents at the output of the main and peak devices (Fig. 1) as a function of the black-box parameters of the intermediate network for two specific drive conditions, namely, the point where the DPA amplifier delivers , as well as the point where the its maximum (full) power main device reaches its high-efficiency condition at the back-off [see Fig. 2(d)]; thus, at the power back-off point , point (1) (2) and at full power

, (3) (4)

The goal at this point is to determine all the voltage and current variables such that we are able to solve for the black-box parameters of the intermediate network. To do this, we first determine the voltages and currents that are already “fixed” by the efficiency requirements. Note that high efficiency requires that the voltage amplitude at the output of the active devices be maximized with respect to their dc supply voltage when an output current is present. For the two-way DPA, the output voltage amplitude of the main device should be maximum at full output power ( ), as well as at a specific back-off power level ( ) [see , , and Fig. 2(d)]. Consequently, the amplitude of must be set to their maximum value, which in class-B operation equals their dc supply voltage, thus, . Furthermore, to guarantee maximum efficiency in power back-off, the peaking amplifier is off until the backed-off point . This leaves , , , and is reached, yielding as the remaining unknowns to solve for. To address the above, we write for the maximum output power delivered by the DPA, assuming a lossless power-combining network (6) Note that, in this equation, high efficiency is only achieved when the current and voltage related to a device (e.g., and ) are in phase, yielding maximum (real) power delivered to the load. Similarly, we can write for the output power at the back-off point

(7) Next we can specify the power back-off/full-power ratio as , yielding (8) When the DPA is backed-off from full power to lower output levels, it is essential that the output power change linearly with the input power or with the square of the input voltage. Consequently, the next condition we enforce relates the output current of the active devices to their input-driving voltage. Here, the most logical choice from an implementation point-of-view

868

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

The final condition to solve for the black-box parameters of the intermediate two-port network is found by making use of the yielding reciprocal property (10) Using (6)–(10), the variables , , , and are uniquely determined and the -parameters of the intermediate network are given by (5). The related -parameters of the twoport network are (11) (12) (13) Note that to keep the above equations to a manageable size, we have set the phase angle between the power waves of port 1 and port 2 to be 90 . Having solved the two-port parameters of the intermediate network, the last remaining step in determining the power-combining network for the two-way DPA is to reintroduce the black-box parameters that involve the third port connected to , , , , and . Note that the the load, namely, original two-port -parameters do not change when going to a three-port network, provided that the loading impedance is identical to the normalization impedance used for the -matrix. The remaining -parameters involving the third port can be found by imposing the well-known lossless three-port -paramusing eter conditions (14) (15)

Fig. 2. ADS simulation results based on the schematic of Fig. 1 as verification of the two-way DPA analysis. The power-combining network is calculated for k = 0:25. The devices operate in class B (shorted higher harmonics at their output) and the design is normalized to 1-W output power and 1-V RF input voltage. (a) Output current for main and peak devices versus normalized RF input voltage. (b) Resulting voltage amplitude at the output of the main and peaking devices as a function of the normalized RF input voltage. (c) Power contributions and resulting total power of the main and peaking devices. (d) Resulting efficiency as a function of the normalized RF input voltage.

is to assume a linear relation between output current and input voltage when the device is turned on. Thus, we can write for the current of the main device (9)

The expansion to the three-port case results in six equations. and due to the reciprocity, there Since remain six unknowns (since each -parameter is, in general, complex) in six independent equations. Consequently, under the condition that the RF signal in port 2 lags with respect to the signal in port 1 by 90 , a unique analytical solution can be found for the three-port -matrix representing the power-combining network of the two-way DPA. The resulting -parameter solurepretion is a function of and , where is the phase of senting the electrical angle of the signals between ports 2 and 3

(16)

(17) (18)

NEO et al.: MIXED-SIGNAL APPROACH TOWARDS LINEAR AND EFFICIENT

-WAY DOHERTY AMPLIFIERS

869

The following equations give the required current characteristics of the active devices as a function of the normalized input drive , which represents the ratio of the actual drive voltage to the maximum drive voltage and is, therefore, dimensionless: (19) (20) With the above, the two-way DPA design problem is fully defined. B. Verification of the Two-Way Doherty Analysis For the verification of the previous analysis, we simulate the schematic of Fig. 1 using Agilent’s Advanced Design System (ADS). For the power-combining network, we use the calculated three-port -parameters with and . Note that this relates to an asymmetrical DPA design [5] with an efficiency peak at 12-dB back-off of the full power. The main and peak devices are modeled with symbolically defined devices (SDDs), which implement the current transfer functions given in (19) and (20). The supply voltage of the active devices is set to 1 V while the maximum current of the main and peaking devices is chosen such that the maximum output power equals 1 W. The ADS simulation results are given in Fig. 2. In this figure, the amplitude of the current of the main and peaking devices is plotted versus input drive voltage. Note that the peaking device is only activated beyond the power back-off point . The resulting RF amplitude for the RF output voltage of the main and peaking devices is given in Fig. 2(b), in which we observe that, at the high efficiency points ( ) and ( ), the voltage amplitude in the main device indeed reaches the level of the supply voltage. Moreover, for the main amplifier device, the voltage amplitude remains constant and equal to the supply voltage between these points, while for the peaking device, there is a linear increase at maximum output power. in voltage amplitude reaching Fig. 2(c) shows the power delivered by the main and peaking devices, as well the total power. In Fig. 2(d), the resulting efficiency is plotted versus input voltage. As expected, the efficiency peaks at full power ( ) and at the chosen back-off point ( ). One can conclude from this result that the analysis method proposed indeed results in the desired DPA behavior. However, unlike previous design methods, no presumptions are made on the network topology. In addition, one can also consider alternative driving conditions for the main and peak amplifiers, as we will see from the extended analysis of the three-way DPA. C. General Analysis of the Three-Way/ -Way DPA Since our approach is based on the use of black-box parameters, extending our analysis to three or even -way DPAs only involves working with larger matrices and solving a larger system of equations. In order to avoid an overcomplicated notation, here we write the expressions for the three-way case. The structure of the equations, however, allows a straightforward expansion to an -way DPA.

Fig. 3. General three-way DPA with ideal voltage-controlled current sources and lossless power-combining network.

The first step of the analysis is again the reduction of the number of network ports by “absorbing” the output load as part of the network. In Fig. 3, this reduces a four-port network problem to a three-port problem. Next we express the RF output voltages through the three-port -parameters and currents of the main, peaking 1 and peaking 2 devices at each of the desired , first efficiency peaks [see Fig. 4(d)], namely, at full power , and second back-off point ; thus we back-off point write

(21)

where represents the three-port -parameters of the intermediate network. The subscripts , , and refer to the main, peaking 1, and peaking 2 device, respectively, while the second subscripts , , and refer to the voltage and current variables when the DPA is delivering full power, when the DPA is backed-off by a factor of , and when the DPA is backed-off by a factor , respectively. Using (21), we can express the -parameters in terms of the voltages and currents, which, due to the size of these expressions, are omitted in this paper. Just like in the two-way DPA, the next step is to determine all the voltage and current variables, to uniquely determine the -parameters of the intermediate three-port network. To maximize the efficiency at full power and the two other back-off and , the RF voltage amplitude at the output of points the relevant devices (main, peaking 1 and peaking 2) has to be maximized at these points. In addition, one should ensure that, befor high efficiency, the devices are only activated yond the proper back-off point. Consequently, assuming class-B

870

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

(24) (25) (26) For the three-way case, this leaves us with the following nine unknown variables to solve for:

(27) Again, the output power of the three-way DPA, assuming a lossless power-combining network, can be expressed as a function of the voltage and current variables (28) Here too, high efficiency is only achieved when the current and voltage related to a specific device (e.g., and ) are in phase, yielding maximum (real) power delivered to the load. Next we specify the power back-off/full-power ratios

(29)

(30) Furthermore, we assume a linear dependency of the current source on the RF input voltage when the device is on. Consequently, (31) (32) (33)

Fig. 4. ADS simulation results based on the schematic of Fig. 3 as validation of the three-way DPA analysis. The power-combining network is calculated for k = 0:5 and k = 0:25. All devices use shorts for the higher harmonics (class B). The design is normalized to 1-W output power and 1-V RF input voltage. (a) Output current for main and peaking devices versus normalized RF input voltage. (b) Resulting RF voltage at the output of the main and peaking devices as a function of the normalized RF input voltage. (c) Power contributions and resulting total power of the main and peaking devices. (d) Resulting efficiency as a function of the normalized RF input voltage.

Similar to the two-way DPA, we use the reciprocal properties , , ) of the intermediate network ( to complete our set of equations. Using (28)–(33), the nine unknown variables listed in (27) can be solved for specified back-off levels and and phase relations between the main and peaking devices. The -parameters of the intermediate network will then be defined. Contrary to the two-way DPA analysis, here we present the intermediate network in -parameters (instead of ) since the resulting equations are more compact and interpretable (34)

operation, the following variables are already fixed due to these considerations:

(35)

(22)

(36)

(23)

(37)

NEO et al.: MIXED-SIGNAL APPROACH TOWARDS LINEAR AND EFFICIENT

-WAY DOHERTY AMPLIFIERS

871

The current source relations are also determined by the above choices and are (38)

(39)

(40) The last step is to reintroduce the fourth port (to which the resistive load is connected) back into the analysis. Taking the reciprocity condition into account, the unknowns at this stage are , , , and . Expanding (10) and (11) for the four-port situation, we obtain eight independent equations. Since there are eight unknowns (the -parameters are complex in general) and eight equations, the remaining -parameters representing the fourth port can be determined. As the system of equations at this stage is fairly large, we turn to the use of numerical techniques and to solve for the -parameters of the last port. For , assuming the current conditions of (38)–(40) with V and W, the four-port -matrix normalized to 1 is found to be the equation shown at the bottom of this page. We will use this -matrix to verify the results of our analysis.

Fig. 5. Principle schematic three-way DPA setup using the classical two-stage quarter-wave length transmission line implementation. The network parameters for k = 0:5 and k = 0:25, assuming 1-V supply voltage and 1-W output power, are Z = 4, Z = 1, and R = 0:5.

this purpose, we consider Fig. 5, which shows the well-known two-stage quarter-wavelength transmission line network soluand representing the tion [14], [15] with parameters lines and with load . characteristic impedances of the The values of these parameters can be calculated using the and [14] back-off ratios (41) (42)

D. Verification of the Three-Way/ -Way Doherty Analysis For the verification of the three-way analysis, we use the schematic of Fig. 4 with the -matrix above for the power-com, , and ). bining network (where Again, the main and peaking devices are modeled with SDDs, using the current dependency given in (38)–(40). The supply voltage of the active devices is set to 1 V, while the maximum current of the main and peaking devices is chosen such that the total maximum output power equals 1 W. The simulation results from ADS are given in Fig. 4. In Fig. 4(a), the amplitude of the main and peaking device current is plotted versus input drive voltage. Fig. 4(b) shows the resulting RF voltage amplitude for the output of the main and peaking devices. Fig. 4(c) gives the power delivered by the main and peaking devices, as well as the total power. In Fig. 4(d), the resulting efficiency is given versus input voltage. III. COMPARISON OF THE GENERAL ANALYSIS WITH THE CLASSICAL THREE-WAY DPA APPROACH Although our general DPA analysis method was verified by ADS simulations, it is still interesting to compare its results with the classical three-way Doherty implementations. For

By reincorporating the load into an intermediate power-combining network, we reduce to a three-port -matrix and can, by inspection and by making use of the network reciprocity, write

where

(43) To maximize the efficiency under full power and the two back-off conditions, the voltage and current variables are chosen as in Section II. In this DPA implementation, the phase relations of the main and peaking amplifiers are determined by the

872

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

network topology and expressed relative to the main amplifier, yielding (44) (45) (46) (47) (48) At this juncture, we are able to substitute the above variables together with the -parameters of the network into (21), and solve for the following nine remaining unknowns: (49) (50) (51) (52) (53) (54) (55) (56) Equation (49) shows that ; this implies that the current provided by the main device should be equal in magnitude when the three-way DPA is delivering full power, as well as when the DPA is at its first back-off level. This, in itself, is a remarkable conclusion, which, to our knowledge, has never before been emphasized in literature. Note that this conclusion has a significant impact on physical implementations of the three-way DPA since it requires the main device to ramp up linearly with the input RF voltage up to the first back-off point, then saturate, and remain saturated and constant up to full power. This undoubtedly causes linearity problems in practical three-way DPA implementations. To verify the above, an ADS simulation was performed using the classical three-way configuration of Fig. 5 for and , yielding , , and for the network parameters. To implement the desired dependency of the current sources, we use SDDs to represent the main and peaking devices, using (49)–(53). Again, we dimension our amplifier for 1-W output power and normalize the RF input voltage and supply voltage to 1 V. The ADS harmonic balance simulation results are given in Fig. 6. Note the saturation of the current of the main device after the first back-off point is reached. Fulfilling this condition provides a similar efficiency performance as found in the general three-way analysis. When the saturation condition for the current of the main device is violated [e.g., see dashed lines in Fig. 6(a) and (d)], both the efficiency and linearity significantly degrade in power back-off. We address these phenomena in more detail in the remainder of this paper.

Fig. 6. ADS simulation results based on the classical three-way DPA. The power-combining network is calculated for k = 0:5 and k = 0:25. All devices use shorted higher harmonics resulting in a class-B-like operation. The main device saturates at the first back-off point. The design is normalized to 1-W output power and 1-V RF input voltage. (a) Output current for main and peaking devices versus normalized RF input voltage. (b) Resulting voltage amplitude at the output of the main and peaking devices as a function of the normalized RF input voltage. (c) Power contributions and resulting total power of the main and peaking devices. (d) Resulting efficiency as a function of the normalized RF input voltage.

IV. DISCUSSION In Sections II and III, Doherty amplifiers were analyzed for their requirements in terms of power-combining network and current profile versus input voltage. In practical two-way DPA implementations, the desired current profile for the main and

NEO et al.: MIXED-SIGNAL APPROACH TOWARDS LINEAR AND EFFICIENT

-WAY DOHERTY AMPLIFIERS

peaking amplifiers is normally approximated by class-AB biasing of the main device and class-C biasing for the peaking device. Although these bias conditions yield only an approximation of the desired current profile, reported implementations show an improved efficiency at an acceptable linearity level compared to single-stage amplifiers. A more detailed inspection of these results demonstrates that most DPA implementations compromise the bias conditions of the main and peaking devices to improve linearity at the cost of efficiency. This is one of the main reasons why reported efficiency numbers for DPA are significantly lower than their theoretical optimum performance. For three-way DPA implementations, the situation is even worse since now three active devices must exhibit a current versus RF input voltage that closely matches the desired current profile. An additional complication is found for the classical three-way DPA approach. Here the current of the main device should ramp up linearly with the RF input voltage and saturate at the first back-off point to a constant amplitude value. It is obvious that such a requirement is not easy to fulfill using conventional DPA techniques in a controllable and reproducible manner. To overcome the implementation problems of -way DPA amplifiers, while achieving maximum control over the individual stages to enforce optimum DPA operation, we propose in this study a mixed-signal approach to individually control the inputs of the main and peaking devices. This approach facilitates arbitrary input drive conditions and “free-to-choose” biasing condition (e.g., class B for both the main and peaking devices) since the intended current dependencies versus input power are no longer obtained through the manipulation of dc bias points of the output stages. In the remainder of this paper, we discuss the design and testing of a mixed-signal three-way DPA amplifier using a dedicated test setup. The resulting configuration outperforms existing DPA implementations in terms of efficiency versus back-off power. V. DPA DESIGN PROCEDURE In principle, based on our above-described analysis, we are able to synthesize arbitrary power-combining networks for the -way DPA. In this study, to highlight the advantages of the mixed-signal approach, we have chosen to design and build a three-way DPA by using the -matrix of the traditional powercombining network as a starting point. Note that this choice allows us to test our new insights in the required drive (current) conditions for optimum three-way DPA behavior and facilitates a clear comparison with existing DPA solutions. Where possible, we will make improvements to this classical approach to fully explore the high-efficiency potential of the three-way DPA. In order to be compatible with our analysis, previously published designs, and the crest factor of signals used in base-station applications, we define the high-efficiency power back-off and ). The points to be 6 and 12 dB (with maximum output power of our amplifier is set to 15 W based on the power-handling capabilities of our test bench, as well as the availability of suitable devices for the amplifier cells. To explain the details of the three-way DPA design, we first present the design of the power-combining network followed by a discussion

873

Fig. 7. Intermediate equivalent schematic of the three-way DPA. Note that at the design frequency, the black-box parameters of this circuit are identical to those of the original circuit of Fig. 5 with the corresponding network parameters.

of the amplifier cell(s) design used for the main and peaking devices. A. Design of the Three-Way Power-Combining Network For our design of the power-combining network, we have taken the following considerations into account. • Matching networks are required to provide the optimum conditions to the device for high efficiency and output power. Implementations of these networks typically act as impedance inverters, demanding a modification of the basic DPA network topology. • To ensure proper operation of the complete three-way DPA, all individual amplifier cells need to be separately tested (in a 50- environment) to determine their maximum power and efficiency. • The transmission lines in the power-combining network must be close to 50 to avoid high voltage standing-wave ratio (VSWR) conditions, which cause bandwidth and loss constraints. • The off-state impedance of the LDMOS devices used for the peaking amplifiers needs to be optimized (increased) to avoid efficiency degradation by parasitic loading of the main device when operating the DPA in power back-off [7]. With the above design constraints, we modify the principle circuit of Fig. 5 in a few steps to a realizable three-way DPA configuration. First we lower the maximum impedance of the transmission lines in the circuit according to the schematic of Fig. 7. The next step is to include the intermediate 50- matching conditions to facilitate independent testing of the individual amplifier stages. Doing so, additional impedance inverters are required to make these intermediate matching conditions compatible with the original network. The resulting principle schematic of the three-way DPA is shown below. In the principle schematic of Fig. 8, the intermediate matching conditions needed for testing in a 50- environment transmission lines. Their are achieved through the use of impedance values are fixed by the maximum power that the individual amplifier cells must provide when implemented , in the three-way DPA. In this case, , and . Assuming class-B operation and again normalizing for the supply voltage and output power, we find the desired loading impedances seen by the current sources, namely,

874

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

TABLE I SIMULATED OPTIMUM LOADING CONDITIONS OF THE ACTIVE DEVICES USED IN THE THREE-WAY DPA DESIGN

Fig. 8. Principle network of a three-way DPA, which facilitates independent testing of the amplifiers in a 50- environment. The arrows indicate the points where additional 50- lines are inserted to improve the off-state impedance. Values for the components are normalized to 50 .

. These loading conditions transmission line are obtained through selection of the , where is impedance, namely, the intermediate 50- testing condition. The impedances of the lines are chosen in such a way that the overall additional black-box parameters at the design frequency remain identical to those of Figs. 5 and 7. B. Design of the Amplifier Cells As discussed above, in a three-way DPA design, the choice of high-efficiency power back-off points in combination with the power-combining network defines the power ratio for the main device and peaking devices 1 and 2. In this case, the ratio is 1 : 3 : 4 (see also Fig. 6). Consequently, we use Philips Gen4 LDMOS devices with gatewidths of 3, 9, and 12 mm to generate the required power levels. The main and both peaking devices are all biased in the class-B configuration (just on the verge of turn on). To further increase the efficiency performance, second-harmonic open conditions were provided at the input and output of the LDMOS devices. The optimum loading condition for the main device was found by using load–pull simulations within ADS. Here, the loading condition that provided the highest efficiency was selected. The design of the peaking amplifier cells is slightly more complicated since now we also have to consider the off-state impedance. Note that the off-state impedance of a peaking amplifier acts like a parasitic loading of the total DPA, lowering its efficiency in power back-off. The off-state impedance is the result of the finite output impedance of the LDMOS device transformed by the output matching network of the peaking amplifier cell and the additionally added lines. By making this transformed impedance as high as possible, the parasitic loading is reduced, and the efficiency of the total three-way DPA in back-off is improved [6], [8]. We have achieved this situation in the load–pull simulation of the peaking devices by focusing on the device loading condition that results in the best combination of peaking cell efficiency and high magnitude of the output reflection coefficient of in the “off” the intermediate matching network state. By inserting a piece of a 50- line at the 50- reference can be rotated, and the effective loading planes, by the peaking amplifiers in off-state at points CC and DD can

Fig. 9. Output-matching network used for the amplifier cells. Harmonic tuning is included using a shorted =4 stub.

be minimized. A consequence of this technique is that the insertion of 50- delay lines at points AA and BB will not only rotate , but will also change the phase of the peaking amplifier when it is active, distorting the desired phase relations in the DPA. Therefore, to correct for this, the phase has to be compensated at the device input by inserting delay lines or by changing the phase of the signals driving the peaking devices. Note that with the proposed mixed-signal approach, the latter is very easy to implement. The final optimum loading conditions from the load–pull simulations yielding high efficiency, output power, and for these devices are given in Table I. The output-matching network used for the amplifier cells is shown in Fig. 9. The second harmonic impedance is implemented through TL1 and TL2. TL1 ensures that node X is shortcircuited at the second harmonic, while TL2 rotates this condition to an effective second harmonic open at the device terminals. Note that the short-circuited quarter-wave stub does not affect the fundamental matching. The optimum loading condition for the fundamental frequency (listed in Table I) is implemented through lines TL3 and TL4 and rotated for its phase by TL2, which includes the package parasitics. The complete layout including the package parasitics was analyzed in detail with ADS. The final layout (Fig. 10) has been verified using the Momentum planar electromagnetic (EM) solver. The layout of the DPA was fabricated using a Teflon-based substrate (Taconic TLT 0.8 mm) and mounted on a heat sink. VI. MIXED-SIGNAL TEST BENCH FOR THE

-WAY DPA

To enforce the optimum operation of the -way DPA and full benefit of its high-efficiency potential, the correct current behavior versus input voltage of the main and peaking devices has to be set. In this study, we achieve this situation by using a mixed-signal approach to control the individual inputs of the

NEO et al.: MIXED-SIGNAL APPROACH TOWARDS LINEAR AND EFFICIENT

-WAY DOHERTY AMPLIFIERS

875

When the PSA is used as a demodulator, the proposed setup can be directly utilized for digital pre-distortion since the downconverted baseband signal can be downloaded to the computer for signal processing and error correction. VII. EXPERIMENTAL RESULTS

Fig. 10. PCB layout of the three-way DPA. The arrows indicate the cut points on the PCB where the amplifier cells can be tested separately before the powercombining network.

First, all individual amplifier cells were tested separately and tuned for their maximum efficiency using 50- drive and load conditions. The peak efficiency was found to be above 60% for all amplifier cells. Next, the amplifier cells were connected through the power-combining network for further testing. Class-B biasing conditions were preferred for both the main and peaking devices to avoid degradation of the DE in power back-off by the quiescence currents and to avoid strong memory effects related to class-C operation. A. Finding the Optimum Input Phase Relations

Fig. 11. Schematic of the implementation.

N -way DPA test bench used for the three-way DPA

main and peaking devices. In such an approach, it is essential that the input signals provided to the -way DPA amplifier cells be fully coherent in order to facilitate the correct summation of the device output currents at all times. This condition, combined with requirements on linearity, modulation bandwidth, and power handling, resulted in the custom test bench for the -way DPAs of Fig. 11. Each of the DPA inputs can be individually controlled though software using the synchronized arbitrary waveform generators (AWGs) with a maximum bandwidth of 500 MHz (Agilent N6030). The large bandwidth of these AWGs allows the use of digital IF (software generated) to avoid the linearity limitations of analog I/Q modulators. The IF frequency in our system is set to 400 MHz to relax the filter requirements after the frequency up-conversion with a local oscillator (LO) signal of 1.74 GHz. Since all the mixers (Minicircuits) share the same LO signal, multiple phase and frequency coherent signals at 2.14 GHz are obtained. The lower sideband and (leaked) LO carrier are removed through high-pass filtering using in-house developed tenth-order elliptical high-pass filters. To obtain the desired RF power levels to drive the LDMOS devices, linear test amplifiers from Milmega are employed. With the above configuration, arbitrary input signals can be generated with very good signal purity (e.g., a two-tone signal of 30-dBm average power with third-order intermoduation (IM3) levels better than 55 dBc). To measure the performance of the -way DPA, its output is connected to a spectrum analyzer (E446A).

In order to find the best input drive conditions for maximum efficiency of the full three-way DPA, the following procedure has been used in order to optimize the power levels at the first back-off point and at the full-power level. • From our study, we know that at the back-off point , the main and peak 1 cell should provide the same power, while the phase of the input signal of peaking amplifier cell 1 should lag behind that of the main cell by 90 . • At maximum power-out of the DPA, the main cell should . deliver the same power as it did at the back-off point Peaking amplifiers 1 and 2 should, respectively, deliver three and four times more power than the main cell with their phases lagging 90 and 180 behind it. Due to inaccuracies in modeling, design, and fabrication, the practical implementation of the three-way DPA will exhibit deviations from the ideal 90 and 180 phase relations above. Moreover, due to the introduction of 50- delay lines, 110 for peak 1 and 148 for peak 2 at the design frequency, in order to improve the off-state impedance of the peaking amplifier cells (see Section V-B), the original phase relations will be shifted for the inputs of the practical three-way DPA implementation. Consequently, a measurement search needs to be done to find the optimum phase and power relations for the peaking amplifiers relative to the main amplifier in order to achieve maximum output power and efficiency. The outcome of this optimization is given in Fig. 12, which shows the measured contours of constant output power and efficiency of the three-way DPA. In this measurement, the input power levels from the individual cells were fixed close to the levels required for maximum output power, while the phases of the input signals of peaking amplifier 1 and 2 were varied relative to the main amplifier cell by software sweeping of the AWGs. We observe that the optimum phase of peak 1 and peak 2 with respect to the main 90 110 corresponds approximately to providing the and 180 148 phase relation for compensating the delay lines. Note that the use of the maximum drive levels was omitted in this experiment since these settings can trigger device failure for particular combinations of phase angles. From these results, the advantage of our proposed mixedsignal approach for the DPA architecture becomes immediately clear. By the direct access and software control of the individual

876

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 13. Measured: (a) transducer gain and (b) efficiency of the three-way DPA using optimized and nonoptimized input drive conditions for the efficiency performance. Fig. 12. Measured contour plots showing the variation of: (a) output power and (b) efficiency as function of the phase angles of the peaking 1 and peaking 2 amplifiers relative to the main amplifier. The reference plane for generating this plot is taken at the input of the amplifier board.

inputs, optimum three-way DPA efficiency performance can be easily achieved. B. Transducer Gain and Efficiency The large-signal transducer gain efficiency and DE was measured for the three-way DPA. The transducer gain in this experiment is calculated as the ratio of the power delivered to the load over the available input power of all three input ports summed together. Measurements were made on two separate three-way DPA boards; on board 1, we used the optimum phase relations for the main and peaking amplifiers found in the previous experiment, as well as the optimum input drive profile (saturation of main device at the first back-off point), as calculated in Section III. To enforce this current profile, we used between the first back-off point (B1) and full power (F), a constant drive power of the main device while ramping up the input powers

of peaking devices 1 and 2. On the second board, two optimum phase relations were also used, but instead of using the optimum drive profile here, we used a linear ramp for the main device [see dashed line in Fig. 6(a) and Fig. 6(b)]. The resulting three-way DPA transducer gain efficiency and DE of the two experiments are given in Fig. 13. To obtain high efficiency, the main device is driven fully into compression before we start driving peak device 1, consequently the gain drops a few decibels to around 30 dBm. For the linearity of the DPA, this is not a problem since we use predistortion (PD) that acts on the envelope of the modulated signals to correct for this phenomenon. The gain variation of the optimized DPA has been measured at a maximum output power over a bandwidth of 100 MHz and is within 1 dB. Note the remarkable high efficiencies for the optimized drive conditions at 12-dB power back-off ( 40%) and peak power (64% at 41 dBm). Using the nonoptimized current profile yields a 15% drop in efficiency performance at the 12-dB back-off point, which is in agreement with the theory of Section III. The DE versus power back-off of the three-way DPA is, according

NEO et al.: MIXED-SIGNAL APPROACH TOWARDS LINEAR AND EFFICIENT

-WAY DOHERTY AMPLIFIERS

877

Fig. 14. Output spectrum for a two-tone test with a tone spacing of 50 kHz. Fig. 15. Output power spectrum of a WCDMA test model 1 signal with a chip rate of 3.84 Mc/s.

to the authors’ knowledge, the highest ever reported for a Doherty-based amplifier concept. C. Linearity Measurements The three-way DPA was driven using a two-tone signal such that the peak power of the two-tone equals the maximum output power of the three-way DPA under single-tone operation. First, a narrow tone spacing of 50 kHz was chosen, as we employ a simple PD algorithm based on a memoryless lookup table, which utilizes the best input drive conditions for high efficiency for a given output power level. The lookup table is constructed using the optimum phase relations found in Section VII-A. Since our simple PD algorithm does not include any memory correction, it is not capable at this stage to linearize signals with large bandwidth. Fig. 14 shows the output power spectrum of this two-tone test with memoryless PD employed. An average output power of 38 dBm was measured at a DE of 54.7% with IM3 levels better than 49 dBc, illustrating the principal capability of this amplifier approach to provide spectrally pure signals with a high efficiency. To investigate the performance of the three-way DPA wideband modulated signals, we subsequently applied a two-tone signal with 5-MHz tone spacing. An average output power of 38 dBm was obtained at a DE of 52.3%. However, the intermodulation products have increased with respect to the narrowband two-tone experiment; we now obtain an IM3 of approximately 38 dBc. This degradation in linearity is expected as our memoryless predistorter does not correct for the in-band gain and phase deviations that occur as a function of frequency. Lastly, to verify the improvements in efficiency that the three-way DPA offers, we tested it with a WCDMA base-station signal (test model 1). The signal contains 64 dedicated physical channels (DPCHs) and has a chip rate of 3.84 Mc/s. The output signal is measured with a root raised cosine filter and a bandwidth equal to the chip rate, as with recommended by the base station conformance testing guide [19]. The DPA is driven such that the peak power of the signal corresponds to the maximum power that the amplifier can deliver (approximately 41.5 dBm). Since WCDMA has a peak-to-average power ratio of 10 dB, the measured average

TABLE II COMPARISON OF OUR DPA WITH PUBLISHED DATA FROM LITERATURE

output power was 31.5 dBm at a DE of 41.6%. The measured ACLR1 and ACLR2 were 29 and 31 dBc, respectively; the related power spectrum is given in Fig. 15. As can be concluded from these results, the simple memoryless PD algorithm used did not yet result in meeting the adjacent channel leakage power ratio (ACLR) specifications ( 45 dBc for ACLR1 and 50 dBc for ACLR2). However, since we have used the very conservative power back-off level of 10 dB (no signal clipping at all) and the fact that there is no fundamental limitation in the use of a more advanced PD algorithm that includes memory effects, this result is a clear indication of the achievable amplifier efficiency for WCDMA signals, which is among the highest values reported for any LDMOS-based DPA in literature. VIII. CONCLUSIONS In this study, we have given a new general analysis method for -way Doherty amplifiers. Using this method, one can high-efficiency power back-off points freely choose and compute the parameters of the ( port) power-combining network with its related current drive conditions without making any presumptions about the network topology. The proposed method can enforce linear slopes for the driving port currents, facilitating a more straightforward implementation

878

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

of future three-way DPAs that are both linear and optimally efficient. This is in contrast to the classical three-way DPA implementation, which requires early saturation of the main amplifying device in order to obtain high efficiency after the first power back-off point. To demonstrate and overcome this last point, we have followed a mixed-signal approach for a classical three-way DPA, which facilitated independent control of the drive conditions for the main and peaking devices and allowed us to experimentally verify our conclusions. The three-way DPA design itself was optimized for testability and efficiency. By optimizing the input drive conditions, a drain peak efficiency of 64% was obtained at the maximum power of 41 dBm, 50% at the 6 dB back-off, and 43% at the 12-dB power back-off. We note that since we have a gain of approximately 12 dB or higher, our power-added efficiency (PAE) figure would differ approximately 6% from our claimed DE figures. To the authors’ knowledge, these are the best results published to date for a LDMOS-based DPA (see Table II). In addition to the single-tone measurements, the first results with two-tone and complex modulated signals have also been included. The currently measured DE for a single-channel WCDMA signal is 41.6% (without any clipping), which is among the best reported values for any LDMOS-based amplifier, regardless of amplifier principle. Based on simulations, we expect that when using LDMOS devices of a newer generation (e.g., GEN6) or GaN devices, these numbers can still be significantly improved. In conclusion, a promising amplifier concept is introduced in this paper: in a low-cost implementation, the amplifier can be fully analog, and by using a power splitter and the bias conditions of the main and peaking devices, the desired current profile can be obtained; alternatively, in a more advanced implementation, it can be a mixed-signal amplifier with a custom driver integrated circuit (IC) for the input signal conditioning. Note that such an IC, which facilitates baseband signal conditioning and direct coherent up-conversion for the signal channels, would eliminate the need for filters and is not only desirable from a cost perspective, but most likely easier to manufacture as well. The resulting flexibility of such a mixed-signal approach allows easy upgrading of the -way Doherty concept over successive technology generations of power devices, while it can relatively easily provide a fail-safe operation mode that utilizes the redundant nature of the mixed-signal DPA to remain linear, even in the case of failure of one of the output stage devices.

[2] N. O. Sokal and F. H. Raab, “Harmonic output of class-E RF power amplifiers and load coupling network design,” IEEE J. Solid-State Circuits, vol. 12, no. 1, pp. 86–88, Feb. 1977. [3] Y. Y. Woo, Y. Yang, and B. Kim, “Analysis and experiments for highefficiency class-F and inverse class-F power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 1969–1974, May 2006. [4] W. H. Doherty, “A new high efficiency power amplifier for modulated waves,” Proc. IRE, vol. 24, no. 9, pp. 1163–1182, Sep. 1936. [5] M. Iwamoto, A. Williams, P. F. Chen, A. G. Metzger, L. E. Larson, and P. M. Asbeck, “An extended Doherty amplifier with high efficiency over a wide power range,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2472–2479, Dec. 2001. [6] Y. Yang, J. Yi, Y. Y. Woo, and B. Kim, “Optimum design for linearity and efficiency of microwave Doherty amplifier using a new load matching technique,” Microw. J., vol. 44, no. 12, pp. 20–36, Dec. 2001. [7] Y. Yang, J. Cha, B. Shin, and B. Kim, “A fully matched -way Doherty amplifier with optimized linearity,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 986–993, Mar. 2003. [8] J. Cha, Y. Yang, B. Shin, and B. Kim, “An adaptive bias controlled power amplifier with a load-modulated combining scheme for high efficiency and linearity,” in IEEE MTT-S Int. Microw. Symp. Dig, 2003, vol. 1, pp. 81–84. [9] B. Shi and L. Sundstrom, “Investigation of a highly efficient LINC amplifier topology,” in Proc. IEEE Veh. Technol. Conf., 2001, pp. 1215–1219. [10] X. Zhang, L. E. Larson, P. M. Asbeck, and P. Nanawa, “Gain/phase imbalance-minimization techniques for LINC transmitters,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2507–2516, Dec. 2001. [11] F. Wang, D. Kimball, J. Popp, A. Yang, D. Y. C. Lie, P. Asbeck, and L. Larson, “Wideband envelope elimination and restoration power amplifier with efficiency wideband envelope amplifier for WLAN 802.11g applications,” in IEEE MTT-S Int. Microw. Symp. Dig, 2005, pp. 645–648. [12] F. H. Raab, “High-efficiency linear amplification by dynamic load modulation,” in IEEE MTT-S Int. Microwave Symp. Dig, 2003, pp. 1717–1720. [13] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Boston, MA: Artech House, 1999. [14] N. Srirattana, A. Raghavan, D. Heo, P. E. Allen, and J. Laskar, “Analysis and design of a high-efficiency multistage Doherty power amplifier for wireless communications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 852–860, Mar. 2005. [15] S. C. Cripps, Advanced Techniques in RF Power Amplifier Design. Boston, MA: Artech House, 2002. [16] W. J. Kim, K. J. Cho, S. P. Stapleton, and J. H. Kim, “Piecewise pre-equalized linearization of the wireless transmitter with a Doherty amplifier,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3469–3478, Sep. 2006. [17] O. Hammi, S. Bousnina, and F. M. Ghannouchi, “A linearized Doherty amplifier using complex baseband digital predistortion driven by CDMA signals,” in Proc. Radio Wireless Conf., 2004, pp. 435–438. [18] Y. Zhao, M. Iwamoto, L. E. Larson, and P. M. Asbeck, “Doherty amplifier with DSP control to improve performance in CDMA operation,” in IEEE MTT-S Int. Microw. Symp. Dig, 2003, pp. 687–690. [19] Base Station Conformance Testing (FDD), TS 25.141(V3.1.0), 3GPP Standard, 1999. [20] J. R. Gajadharsing, O. Bosma, and P. van Westen, “Analysis and design of a 200 W LDMOS based Doherty amplifier for 3G base stations,” in IEEE MTT-S Int. Microw. Symp. Dig, 2004, pp. 529–532.

N

ACKNOWLEDGMENT The authors would like to acknowledge H. de Graaff, NXP, Eindhoven, The Netherlands, for his valuable suggestions for improving the manuscript and Agilent Technology, Palo Alto, CA, for hardware and software support.

REFERENCES [1] M. Spirito, L. C. N. de Vreede, L. K. Nanver, S. Weber, and J. N. Burghartz, “Power amplifier PAE and ruggedness optimization by second-harmonic control,” IEEE J. Solid-State Circuits, vol. 38, no. 9, pp. 1575–1583, Sep. 2003.

W. C. Edmund Neo (S’05) received the B.Eng degree in electrical engineering from the National University of Singapore, Singapore, in 2002, the M.Sc degree in electrical engineering from the Delft University of Technology, Delft, The Netherlands, in 2004, and is currently working toward the Ph.D. degree in electrical engineering at the Delft University of Technology. In 2004, he joined the High Frequency Components and Technology Group, Electrical Engineering Department, Delft University of Technology. His research interest is in the area of novel circuit design techniques for high-efficiency and high-linearity RF PAs.

NEO et al.: MIXED-SIGNAL APPROACH TOWARDS LINEAR AND EFFICIENT

-WAY DOHERTY AMPLIFIERS

Jawad Qureshi was born in Multan, Pakistan. He received the B.S. degree in electrical engineering from the University of Engineering and Technology, Taxila, Pakistan, in 2000, the M.Sc. degree from the Delft University of Technology, Delft, The Netherlands, in 2006, and is currently working toward the Ph.D. degree at the Delft University of Technology. From 2000 to 2004, he was with Avaz Networks, Islamabad, Pakistan, where he was involved in digital design. In 2005, he joined the High Frequency Components and Technology Group, Department of Electrical Engineering, Delft University of Technology, where he was involved with the Doherty PA. His research interest is in the area of RF PA design.

Marco J. Pelk was born in Rotterdam, The Netherlands, in 1976. He received the B.S. degree in electrical engineering from The Hague Polytechnic, The Hague, The Netherlands, in 2000. In 2000, he joined the Laboratory of Electronic Components, Technology and Materials (ECTM), Department of Electrical Engineering, Delft University of Technology, Delft, The Netherlands. From 2000 to 2002, he was involved in the implementation of compact and mixed-level device models for circuit simulation. Since 2002, he has been involved with the design of several components for a novel active harmonic load–pull system. He also supports other activities related to microwave measurements. His current interest is nonlinear device characterization and microwave circuit design.

879

John R. Gajadharsing (A’95–M’04) was born in Paramaribo, Surinam, in 1959. He received the B.Sc. degree in electronic engineering from the Polytechnical Institute, Arnhem, The Netherlands, in 1985. Upon graduation, he joined the Philips Semiconductors (now NXP Semiconductors), Nijmegen, The Netherlands, where he is currently the System Architect for wireless infrastructure with the RF Innovation Center. His current technical interests include advanced linearization techniques, high-efficiency amplifier design, and integrated RF design. Mr. Gajadharsing is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

Leo C. N. de Vreede (M’01–SM’04) was born in Delft, The Netherlands, in 1965. He received the B.S. degree in electrical engineering from The Hague Polytechnic, The Hague, The Netherlands, in 1988, and the Ph.D. degree from the Delft University of Technology, Delft, The Netherlands, in 1996. In 1988, he joined the Laboratory of Telecommunication and Remote Sensing Technology, Department of Electrical Engineering, Delft University of Technology. From 1988 to 1990, he was involved with the characterization and physical modeling of ceramic multilayer capacitor (CMC) capacitors. From 1990 to 1996, he was involved with the modeling and design aspects of high-frequency silicon ICs for wideband communication systems. In 1996, he became an Assistant Professor with the Delft University of Technology, where he was involved with the nonlinear distortion behavior of bipolar transistors at the device physics, compact model, as well as the circuit level with the Delft Institute of Microelectronics and Submicron Technology (DIMES). In Winter 1998–1999, he was a guest of the High Speed Device Group, University of San Diego at La Jolla. In 1999, he became an Associate Professor responsible for the Microwave Components Group, Delft University of Technology. His current interest is technology optimization and circuit design for improved RF performance and linearity.

880

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

GaInP/GaAs HBT Sub-Harmonic Gilbert Mixers Using Stacked-LO and Leveled-LO Topologies Tzung-Han Wu, Student Member, IEEE, Sheng-Che Tseng, Student Member, IEEE, Chin-Chun Meng, Member, IEEE, and Guo-Wei Huang, Member, IEEE

Abstract—This paper discusses and demonstrates the most popular sub-harmonic Gilbert mixers in 2- m GaInP/GaAs HBT technology. High two local oscillators (2LO)-to-RF isolation is important to alleviate the self-mixing problem of the sub-harmonic mixer. The demonstrated GaInP/GaAs HBT stacked-local oscillator (LO) mixer topology has achieved the best 2LO-to-RF isolation when compared with the previous literature. On the other hand, the leveled-LO sub-harmonic mixers have advantages in terms of the high speed and low dc supply voltage at the cost of much larger LO pumping power. Among all the structures, the bottom-LO sub-harmonic mixer has the lowest current consumption and the simplest circuit structure at the expense of the 2LO-to-RF isolation. Index Terms—DC offset, GaInP/GaAs HBT, Gilbert mixer, selfmixing, sub-harmonic mixer, two local oscillators (2LO)-to-RF isolation.

I. INTRODUCTION

M

ORE AND more RF transceiver architectures are proposed because wireless communication applications grow rapidly. The heterodyne system has been used for many years; however, the off-chip image rejection surface acoustic wave (SAW) filter limits the circuit integration of the heterodyne system. The active or passive polyphase filters are used in the low-IF system to filter out the image signal, but the polyphase filters occupy too many valuable integrated circuit (IC) estates [1], [2]. The direct-conversion architecture is proposed to increase the integration level. The direct-conversion system eliminates many bulky and expensive off-chip components such as image-rejection and channel-select filters [3]. The RF frequency is arranged to be equal to the local oscillator (LO) frequency in the direct-conversion system and, thus, the image signal is the RF signal itself. As a result, the image-rejection filter is no longer necessary. The direct-conversion structure reduces the manufacturing cost and improves the circuit integration. Manuscript received August 17, 2006; revised February 13, 2007. This work was supported by the National Science Council of Taiwan, R.O.C., under Contract NSC 95-2752-E-009-001-PAE and Contract NSC 95-2221-E-009-043-MY3, by the Ministry of Economic Affairs of Taiwan, R.O.C., under Contract 95-EC-17-A-05-S1-020, and by the Ministry of Education, Aim for the Top University Program under Contract 95W803. T.-H. Wu, S.-C. Tseng, and C.-C. Meng are with the Department of Communication Engineering, National Chiao Tung University, Hsinchu 300, Taiwan, R.O.C. (e-mail: [email protected]). G.-W. Huang is with National Nano Device Laboratories, Hsinchu 300, Taiwan, R.O.C. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895169

Although the direct-conversion transceiver is highly integrated, many problems such as the dc offset, the LO leakage, the ) signal mismatch, the even-order in-phase and quadrature ( distortion, and the flicker noise arise. Most important of all, the LO frequency of the direct-conversion mixer is too close to the RF frequency so that the self-mixing problem caused by the LO leakage can degrade the transceiver performance. In order to prevent the self-mixing problem, sub-harmonic mixer topologies are proposed [4]–[8]. Conventionally, the passive harmonic mixers have been used for many years. The passive diode mixers using the nonlinear property of the diodes and these mixers have super gain comat the cost of larger conversion loss and pression point larger LO pumping power. On the other hand, sub-harmonic Gilbert mixers usually provide conversion gain at the cost of slower operation speed. Three distinct sub-harmonic Gilbert mixers topologies based on the double-balanced structure have been proposed. The first topology is the three-level stacked-LO structure [4]–[6]. The working principle of the stacked Gilbert cell is to mix down the RF signal with the quadrature LO signals. On the contrary, the top-LO-configuration [7], and the bottom-LO-configuration [8] mixers are the leveled-LO sub-harmonic structures and their operations are based on the transistor’s nonlinearity. In this paper, to the best of our knowledge, all three different types of the subharmonic Gilbert mixers are demonstrated using GaInP/GaAs HBT technology for the first time. The pros and cons of the sub-harmonic Gilbert mixers are discussed in Section II. Ideally, the double balanced sub-harmonic mixer can totally eliminate the two local oscillators (2LO) leakage; however, the 2LO leakage occurs when nonideal effects such as circuit mismatches and the imperfections of the LO signal take place. In addition, the self-mixing problem caused by the 2LO leakage is more pronounced through the substrate coupling. The isolation properties can be improved using the deep -well in the advance CMOS technologies [9] and the deep trench isolation in the SiGe bipolar technology [10]. Compared with the silicon substrate, the GaInP/GaAs HBT technology possesses a perfect semi-insulating substrate and, thus, the high-frequency 2LO leakage signal cannot leak to the RF port through the GaAs substrate. Since the substrate coupling is eliminated in this study, the 2LO-to-RF isolation performances among the Gilbert subharmonic mixer topologies can be fairly investigated. According to our experimental results, the stacked-LO sub-harmonic mixer is the best topology to achieve the highest 2LO-to-RF isolation. It is easy to generate accurate quadrature signals in the GaInP/GaAs HBT technology. Almost all of the published

0018-9480/$25.00 © 2007 IEEE

WU et al.: GaInP/GaAs HBT SUB-HARMONIC GILBERT MIXERS USING STACKED- AND LEVELED-LO TOPOLOGIES

sub-harmonic mixers were fabricated on lossy silicon substrates [5]–[8]. The effectiveness of the quadrature signals is limited by the fabrication variation and the silicon substrate parasitic effect. However, the LO quadrature generator, which is often a polyphase filter [1], can be implemented precisely using GaInP/GaAs HBT technology because of the accurate thin-film resistors with 50- sheet resistance, the 0.36-fF/ m metal–insulator–metal (MIM) Si N capacitors, and the semi-insulating GaAs substrate. It is noticed that a capacitor in the standard silicon process has a smaller area and, thus, suffers more from fabrication variation because of the 1-fF/ m MIM capacitor employed in the silicon process. The resistor has been widely used in the advance silicon technology, but the process variation is typically larger than 20%. For instance, the typical sheet resistance of the P -poly resistor without silicide is 311 per square with 20% error for the 0.18- m CMOS technology. Although the sheet resistance of the P -poly resistor with silicide is 7.8 per square and the low resistance is adequate to implement the quadrature generator, the typical process variation of this on-chip resistor is approximately 30%. On the other hand, the thin-film resistors provided by the GaInP/GaAs HBT technology is accurately fabricated because the thickness of the film can be precisely in situ monitored during the fabrication. In addition, the semi-insulating substrate assures high- on-chip capacitor because the parasitic substrate capacitances and resistances are eliminated by the semi-insulating substrate. The final advantage of the GaInP/GaAs HBT technology is noise corner. The CMOS transistor suffers from the its low noise because the inversion layer is located adjacent to the Si–SiO interface. Many dangling bonds (traps) existing in this interface make the device noise worse. On the other hand, because the passivated ledge is employed over the extrinsic base surface [11], [12] and the DX center trap in the GaInP material is absent, the GaInP/GaAs HBT technology has low flicker noise. noise According to the previous literature [13]–[15], the dominates the low-frequency noise figure in the direct-convernoise sion mixer. The HBT device in this study has very low and the measured slope of the mixer noise figure as a function of the IF frequency validates this characteristic. II. SUB-HARMONIC GILBERT MIXERS DESIGNS Here, the design tradeoffs among three sub-harmonic Gilbert mixers are discussed. Each sub-harmonic mixer topology has its own advantage. The stacked-LO structure [16] requires a smaller LO pumping power, but inevitably needs a larger dc supply voltage. The cascode stacked-LO structure makes the 2LO leakage very difficult to leak to the RF port and, thus, the best 2LO-to-RF isolation is achieved in this structure. On the other hand, the leveled-LO mixers can be potentially operated at higher frequency, but needs a larger LO pumping power. When compared with the stacked-LO mixer, the leveled-LO mixers can operate at higher frequencies because this LO stage operates using the transistor’s nonlinearity. The leveled-LO mixers (both the bottom-LO-configuration and the top-LO-configuration mixers) are likely to be faster than the stacked-LO mixer. The bottom-LO-configuration is the simplest topology, but it provides a smaller conversion gain

881

Fig. 1. Schematic of the 5.2-GHz three-level stacked-LO sub-harmonic GaInP/ GaAs HBT Gilbert downconversion mixer.

and poor 2LO-to-RF isolation. The bottom-LO-configuration provides the minimal port-to-port isolation due to the circuit topology. A. 5-GHz Three-Level Stacked-LO Sub-Harmonic Mixer Design The stacked-LO mixer with two Gilbert cells consumes smaller current because the dc current is reused in the cascode structure. The stacked-LO sub-harmonic Gilbert mixer has two LO ports and one RF port, as shown in Fig. 1. The bottom LO Gilbert cell transistors ( – ) are fed by and LO input signals, while the top LO Gilbert cell tran) are fed by and LO input signals. If sistors ( – the -phase and -phase LO signals are, respectively, defined as and , the equivalent LO signals can be determined as follows: (1) Hence, the down-converted IF output frequency of the stacked-LO Gilbert mixer is the difference of the RF and 2LO frequencies. This topology with two stacked HBT-type Gilbert cells only needs very small LO pumping power when compared with the CMOS technologies. Since the transconductance of the HBT is an exponential function of the base–emitter voltage, the current in the LO Gilbert cell can be commutated by the small twist voltage on the order of several thermal voltage . The stacked-LO cell actually provides a composite switching function, and the simplified stacked-LO sub-harmonic mixer is shown in Fig. 2(a). The switching function of the top and and bottom Gilbert cells in Fig. 2(b) are expressed as , respectively. If the signal is behind by a quarter period, the composite switching function represented is the exclusive OR function of and . As as shown in Fig. 2(b), the stacked-LO cell has a switching function , whose switching frequency is doubled. The corresponding paths in the composite switching half-periods A–D are drawn in Fig. 2(a) and only half of the switching paths are drawn for simplicity. The stacked-LO Gilbert mixer requires quadrature LO signals and, thus, a two-section passive polyphase filter is employed to

882

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 2. (a) Simplified schematic of the three-level stacked-LO mixer. (b) Timing diagram of the stacked-LO Gilbert cells.

generate the accurate LO signals. The LO stages are stacked and biased at the different dc levels; hence, four dc blocking capacitors of 2 pF and biasing resistors of 3 k are used in the top and bottom LO stages, as illustrated in Fig. 1. , the common-base The common-emitter transistor , and the resistors – form the single-to-diftransistor ferential input stage, when and are constructed as a current mirror (or the micromixer) [17], [18]. The common-base possesses a good frequency response, and the transistor micromixer topology achieves the impedance matching at the RF input port. Thus, the chip area is saved. An output buffer consisting of an asymmetric differential amplifier and a common-collector output stage are incorporated to combine the IF output differential signals and to perform the output impedance matching. B. 10-GHz Leveled-LO Sub-Harmonic Mixer Design The leveled-LO sub-harmonic mixer is an appropriate topology for the high-frequency and low-voltage mixer design. Fig. 3(a) and (b) shows the top-LO-configured leveled-LO mixer [7] and the bottom-LO-configured leveled-LO mixer [8]. By feeding LO signals with proper phases, the even harmonic leveled-LO structure can be employed to commutate RF currents at the rate of 2LO frequency [7]. Compared with the stacked-LO sub-harmonic mixer, the leveled-LO structure uses the transistor’s nonlinearity. The 2- m GaInP/GaAs HBT of 40 GHz transistor employed in this study has a maximum (when the current density is 0.2 mA/ m ) and of 13 V. When a step voltage function is stimulated at the base–emitter terminal, the collector output current is generated after a phenomenological time delay . The time delay was employed in the linear model in the literature [19], [20]. In other words, the I–V characteristic transfer function should be expressed in terms , , and . The output collector current follows the of terminal base–emitter and collector–emitter voltages in an adiabatic way only if the operating radian frequency is much less than the reciprocal of the time delay . In general, active circuits operate much slower than the time delay , which is normally on the order of one-third of the transistor transit-time delay

Fig. 3. Schematics of the: (a) top-LO-configured and (b) bottom-LO-configured leveled-LO sub-harmonic mixers.

[19], [20]. Therefore, the transit-time cutoff frequency is still a good practical indication to judge whether the quasi-static model is valid for the second harmonic frequency or not. The stacked-LO mixer basically trades the head room with higher 2LO-to-RF isolation. Since the stacked-LO topology has smaller head room, the reused biasing current of the LO cell and the RF input stage cannot be very large. On the other hand, there are fewer transistor levels stacked together in the leveled-LO topology and, thus, the biasing current can be larger. In other words, the level-LO topology operates at higher transit time cutoff frequency than the stacked-LO topology does. 1) Operation of Leveled-LO Sub-Harmonic Stage: An HBT transistor is a nonlinear device with exponential dependence between the collector current and the base–emitter voltage. The top-LO-configuration is shown in Fig. 3(a). The emitter-coupled – to – forms the pairs consisting of transistor pairs leveled-LO cell when their collectors are connected together. If 0 and 180 differential input signals are injected into the leveled-LO – pair, the fundamental signals are eliminated by shorting the collectors of the differential pair and only the even harmonic currents appear at the collector nodes. Simultaneously, 90 and 270 LO signals are injected into the lev– pair to generate the 2LO signal that is out eled-LO of phase to the 2LO signal generated by leveled-LO – pair. The transistor pairs – and – work together to provide perfect 2LO differential signals [7]. Consequently, this structure can be used for the sub-harmonic mixer, and these emitter-coupled leveled-LO pairs shown in Fig. 3(a) are able to double the LO frequency. As shown in Fig. 3(a) and (b), the difference between these two types of leveled-LO mixers is the location of the LO cell.

WU et al.: GaInP/GaAs HBT SUB-HARMONIC GILBERT MIXERS USING STACKED- AND LEVELED-LO TOPOLOGIES

Fig. 4. Simulated ratio of the modified Bessel function as a function of the LO input voltage V .

The top-LO-configuration mixer consists of four leveled-LO pairs ( – ) above the RF input stage, while the bottom-LOconfiguration mixer contains two leveled-LO cells under the RF input stage. The differential-quadrature LO signals of the double-balanced structure used in this study can be generated by a two-section polyphase filter. In order to discuss the operation mechanism of the leveled-LO topology, the HBT exponential I–V transfer function can be expressed as the modified Bessel function [21], [22]. and form a levAs shown in Fig. 3(a), the transistors and are eled-LO cell. The input LO signals of transistor defined as two out-of-phase signals and , respectively. The output collector currents can be described as follows:

(2a)

(2b) where is equal to the ratio of . in (2a) and (2b) is the modified Bessel function. and are Since the collector nodes of the transistors tightened together, the overall collector current of the levand . It is eled-LO cell is the summation of (3) is the dc-bias component, and is the 2LO where current. Fig. 4 shows the simulated modified Bessel function. is normalized by the dc term The generated 2LO signal , as shown in Fig. 4.

883

used in the conventional The fundamental signal Gilbert mixer is cancelled in the leveled-LO cell. However, is also simulated and normalized to the term of compared with . Generally speaking, the conventional LO Gilbert cell begins to fully switch when the ratio is equal to 4. According to Fig. 4, the value of of is 0.8635, but that of is only 0.5682 when the ratio of is 4 (the value of fully commutation of of the conventional Gilbert cell). Therefore, the must be 13.5 (extra 10.57-dBm pumping power) in order to signals. In other fully steer the 2LO cell when using the words, the leveled-LO cell, which uses the current for the LO switching, definitely requires a much larger LO pumping power than the fundamental active mixer does. 2) RF and IF Stages: A series inductor can easily achieve the input impedance matching of the top-LO-configuration mixer. On the contrary, the impedance matching at the RF input port is difficult to be achieved for the bottom-LO-configuration. The RF input stage of the bottom-LO-configuration is above the leveled-LO cell shown in Fig. 3(b). For the RF stage, the emitter is in series with a high resistance caused by the leveled-LO stage. Large inductance and capacitance are required to match the high input impedance of the RF port. As a result, a brute force matching resistor is shunt at the RF input port to save the chip area at the cost of worse noise figure and smaller conversion gain. In our study, the IF output has a bandwidth of several hundreds megahertz. Since the leveled-LO sub-harmonic mixer is designed for a wider IF output bandwidth, the differential amplifier is not fast enough. As shown in Fig. 3(a) and (b), a unity gain output buffer consisting of a common-collector transistor and a common-emitter transistor preserves the isolation properties of the differential signals in a double-balanced mixer structure and simultaneously drives the spectrum analyzer. Two dc blocking capacitors of 8.1 pF are incorporated to bias the output stage here. Practically, a larger blocking capacitor is able to diminish the dc offset to push the lower boundary of the IF bandwidth in a direct-conversion receiver. Neglecting the substrate leakage, the bottom-LO-configuration inevitably has poor 2LO-to-RF isolation when compared with the top-LO-configuration. Any 2LO leakage power appearing at the collector of the LO transistors ( – ) easily leaks to the base node of the RF transistors ( – ) in the bottom-LO-configuration. On the contrary, the 2LO leakage power is hard to leak to the RF port in the top-LO-configuration because the 2LO leakage power has to pass through more transistors to the RF node (from the collector node of LO transistors ( – ) to the base node of the RF transistors). The cascode topology in the top-LO configuration decreases the signal leakage at the cost of the circuit complexity, and larger biasing currents. The head room problem limits the biasing current in the demonstrated mixers. The emitter areas of the transistors for the stacked-LO sub-harmonic are all 2 6 m excluding the transistors used in the current source and the output buffer. The transistor sizes of the top-LO mixer cores ( – ) are 2 2 m , while the sizes of the transistors – and – in the bottom-LO mixer cores are 2 2 m and 2 4 m ,

884

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 6. Measured LO-IF, 2LO-IF, LO-RF, and 2LO-RF isolations as a function of the LO frequency for the stacked-LO sub-harmonic mixers.

Fig. 5. Die photographs of the: (a) 5.2-GHz stacked-LO sub-harmonic mixer, (b) 10-GHz top-LO-configured sub-harmonic mixer, and (c) 10-GHz bottom-LO-configured sub-harmonic mixer. (a) Stacked-LO configuration. (b) Top-LO configuration. (c) Bottom-LO configuration.

respectively. The device sizes of the mixer core are chosen for the optimal transistor cutoff frequency . The knee voltage of the HBT is around 0.6 V, and the emitter–collector voltages in our study are well designed to prevent the waveform clippings. III. MEASUREMENT RESULTS The die photograph of the 5.004-GHz three-level stacked-LO sub-harmonic Gilbert mixer demonstrated in a 2- m GaInP/ GaAs HBT is shown in Fig. 5(a). The LO and IF frequencies are 2.6 GHz and 400 kHz. The emitter areas of the GaInP/GaAs HBTs are 2 m 6 m for the mixer core and 3 m 9 m for the output buffer. The dc power supply is 3.3 V and the current consumption is only 4 mA including the output buffer. The die size including probing pads is 1 1.5 mm . The die photographs of the 10-GHz top-LO-configured and bottom-LO-configured mixers using the same technology are shown in Fig. 5(b) and (c), respectively. The LO and IF frequencies are 5 GHz and 100 MHz. Their die sizes including probing pads are both 1 1 mm . The chip sizes of the leveled-LO sub-harmonic mixers can be shrunk because of the smaller RC values of the polyphase filter in higher frequencies. As shown in Fig. 5(b) and (c), there are two dc blocking capacitors of 8.2 pF. The core current of the top-LO-configuration mixer and the bottom-LO-configuration mixer are 2 and 0.8 mA, respectively. The circuit topology of the bottom-LO-configured mixer is the simplest and, thus, the dc current can be reduced. An off-chip 180 hybrid and a pair of phase shifters are used to keep the phase accuracy; as a result, the intrinsic performance of the port-to-port isolation in a fully balanced Gilbert mixer is maintained. As shown in Fig. 6, the measured LO-to-IF, LO-to-RF, and 2LO-to-IF isolations are better than 40, 50, and 64 dB for the LO frequencies from 2.5992

Fig. 7. Measured LO-IF, 2LO-IF, LO-RF, and 2LO-RF isolations as a function of LO frequency for the top-LO-configured and bottom-LO-configured sub-harmonic mixers.

to 2.6012 GHz. The 2LO leakage appearing at the RF port is directly measured by the spectrum analyzer [4]. The measured 2LO-to-RF leakage power is less than 83 dBm when the LO input power equals 8 dBm and the LO frequency is 2.6 GHz. The experimental result shows that the stacked-LO sub-harmonic topology and the GaAs semi-insulating substrate effectively reduce the 2LO-to-RF leakages. Fig. 7 illustrates the LO-to-IF, 2LO-to-IF, LO-to-RF, and 2LO-to-RF isolations as a function of LO frequency for the leveled-LO sub-harmonic mixers. The top-LO-configured mixer has 32-dB LO-to-RF isolation, 59-dB 2LO-to-RF isolation, 32-dB LO-to-IF isolation, and 46-dB 2LO-to-IF isolation, while the bottom-LO-configured counterpart has the 23-dB LO-to-RF isolation, 32-dB 2LO-to-RF isolation, 35-dB LO-to-IF isolation, and 48-dB 2LO-to-IF isolation. The 2LO-to-RF isolation of the top-LO-configured mixer is better. The LO-to-RF isolation can be used to indicate the self-mixing problem for the CMOS passive mixer. Compared with the previous literature, the measured performance of the 2LO-to-RF isolations of the stacked-LO sub-harmonic mixers in our study is second to none when the RF frequency is around 5 GHz, as shown in the Table I.

WU et al.: GaInP/GaAs HBT SUB-HARMONIC GILBERT MIXERS USING STACKED- AND LEVELED-LO TOPOLOGIES

885

TABLE I 2LO-TO-RF ISOLATIONS OF ACTIVE GILBERT SUB-HARMONIC MIXERS

Fig. 10. Measured IP and IIP of the stacked-LO sub-harmonic GaInP/GaAs HBT Gilbert downconversion mixer.

Fig. 8. RF-to-IF isolations as a function of RF frequency of the top-LO-configured and bottom-LO-configured sub-harmonic mixers.

Fig. 11. P , IIP , and IIP of the top-LO-configured and bottom-LO-configured sub-harmonic mixers.

Fig. 9. Measured conversion gain as a function of the LO power of the stacked-LO and leveled-LO mixers.

The measured RF-to-IF isolation of the stacked-LO sub-harmonic mixer is 36 dB when the RF frequency is 5.2004 GHz. The RF-to-IF isolations of the top-LO and the bottom-LO subharmonic mixers as a function of RF frequency are shown in Fig. 8. The bottom-LO-configured mixer has better RF-to-IF isolation than the top-LO-configured one does. Fig. 9 shows the measured conversion gain as a function of the LO power of all the sub-harmonic mixers. The conversion gain of the stacked-LO sub-harmonic mixer is 14.5 dB

when LO power is larger than 10 dBm. As shown in Fig. 9, both leveled-LO sub-harmonic mixers require very large LO pumping powers. Compared with the stacked-LO structure, the LO pumping power of the leveled-LO mixer has to be 12 dBm for the optimal conversion gain, while the stacked-LO structure only requires 9 dBm. As discussed in the Section II-B, the leveled-LO mixer inevitably needs more LO pumping powers for the LO current fully switching, and the reason is that the leveled-LO mixer operates using the transistor second-harmonic currents. The leveled-LO cell requires extra 10-dBm LO power to perform the current commutation, as analyzed previously. In addition, the loss of the polyphase filter used in the stacked-LO mixer is 2.4 dB, while that of the leveled-LO mixer is approximately 6.5 dB according to our simulation. The measurement result is closed to our analysis as the polyphase loss is considered. , and As shown in Fig. 10, the measured performances of the 5.2-GHz GaInP/GaAs HBT stacked-LO sub-harmonic mixer are 18, 5, and 13 dBm, respectively. Fig. 11 shows the measured power performances of the lev, eled-LO mixer when the IF frequency is 100 MHz. The , and of the top-LO-configuration are 15, 7.5,

886

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 12. Measured low-frequency noise spectrum of the GaInP/GaAs HBT (AE = 2 6 m ; IC = 0:85 mA, and IB = 1 A).

2

Fig. 13. Measured double-sideband noise figure of the stacked-LO sub-harmonic mixer from 100 kHz to 100 MHz.

and 17 dBm, respectively while the , , and of the bottom-LO-configuration are 4, 5, and 17 dBm. The bottom-LO-configuration mixer attains a wider dynamic range and . because of the higher noise corner of the GaInP/GaAs HBT The measured device used in the stacked-LO mixer is shown in Fig. 12. noise corner can be as low as 400 Hz [11], [12]. As The shown in Fig. 13, the measured double-sideband noise figure of the stacked-LO sub-harmonic mixer is 24 dB from 100 kHz to 100 MHz. The slope of the measured noise figure is kept noise. According to constant without the appearance of the noise and the parasitic previous works [13]–[15], the device capacitance in the current source dominate the low-frequency noise performance of the direct-conversion mixer. Some excellent studies of direct-conversion mixers with low noise are achieved in the CMOS technologies. Reducing the noise pulses in LO switches [14], designing new LO switches [23], [24], and canceling the tail current parasitic capacitance with inductors [25] are useful techniques to improve the noise performance of CMOS direct-conversion mixers. The ledgepassivated GaInP/GaAs HBT devices naturally have better noise performance than the CMOS devices [11], [12]. Moreover, the semi-insulating GaAs substrate eliminates the parasitic capacitance at the tail current [13], [14] and, thus, the in-

direct noise no longer degrades the low-frequency noise performance of the GaInP/GaAs HBT mixers. The double-sideband noise figure in this study is not excellent owing to the extra resistors used in the micromixer input stage and the lacking of the noise figure optimization in our circuit. However, the GaInP/GaAs HBT technology potentially can be used to implement very high-performance direct-conversion mixers with low noise. Recently, the passive CMOS mixers [23], [26]–[28] exhibit excellent noise performance. Although the CMOS device inperformance, the passive CMOS mixer trinsically has poor can achieve excellent noise performance and the corner frequency of the noise figure is as low as 30 kHz. The passive CMOS mixer consists of a low noise input transconductance input stage, CMOS switches, and output filters. The low noise figure can be achieved because the low noise tranconductance input stage [or the low noise amplifier (LNA)] effectively moderates the noise of the following stage [23], [27] and the largenoise by apsized CMOS switch [27], [28] prevents the plying rail-to-rail square wave LO signals [26], [27]. Obviously, the heavily driven square-waved LO pumping signals cannot be employed in the conventional active Gilbert mixer. There might be many advantages using the CMOS passive mixer in terms noise and high ; however, the circuit comof the low plexity and the area wasted by the compensation capacitors of the filters [26] are the tradeoffs of CMOS passive mixers. The measured double-sideband noise figure of the top-LO-configuration sub-harmonic mixer is 24 dB, while the bottom-LO-configuration sub-harmonic mixer exhibits 22-dB double-sideband noise figure when the IF frequency is 50 MHz. Due to the output blocking capacitor, the noise figure is not measured at the low frequencies. The bottom-LO-configuration mixer using fewer transistors has a better double-sideband noise figure, even if the resistor for the input impedance matching may degrade the noise figure, as shown in Fig. 3(b). The noise of the direct conversion mixer basically results noise from the LO switch and the RF input stage. Since the of the GaInP/GaAs HBT device is very small, the noise level is dominated by the RF input stage thermal noise. Our simulation shows a lower noise figure when compared with the experimental results. The discrepancy between the simulation and measurement are caused by the difficulties in modeling the noise parameters of the HBT device such as base access resistance in the Gummul–Poon model. Thus, the simulated noise might be underestimated. The contribution from the RF input stage transistor might be higher in the real circuit because of the inaccuracy in modeling the base access resistance. Table II summarizes the noise contribution of each device used in the active sub-harmonic mixers. For the stacked-LO topology, the mi) produces 51% cromixer input stage ( , , , , and and ) of the top-LO connoises. The RF input stage ( figuration produces 48% noises. Finally, 88% of the noises in the bottom-LO sub-harmonic mixer are caused by the RF input stage ( – ). A low noise input stage is important to improve the noise figure for all the sub-harmonic mixers. The sub-harmonic mixer using top and bottom LO topologies have the conversion gain of 13 and 0 dB, as depicted in Fig. 14. Both mixers have approximately 300-MHz IF bandwidth. When

WU et al.: GaInP/GaAs HBT SUB-HARMONIC GILBERT MIXERS USING STACKED- AND LEVELED-LO TOPOLOGIES

887

TABLE II SIMULATED NOISE CONTRIBUTION OF EACH DEVICE FOR THE ACTIVE SUB-HARMONIC MIXERS

Fig. 15. Measured return loss of the stacked-LO, top-LO-configured, and bottom-LO-configured sub-harmonic mixers.

TABLE III MEASURED PERFORMANCES

Fig. 14. Conversion gain as a function of the IF frequency of the top-LO-configured and bottom-LO-configured sub-harmonic mixers.

the IF frequency is below 20 MHz, the conversion gain rolls off due to the on-chip dc blocking capacitors in the output buffer. The return losses of all are shown in Fig. 15. The measured RF and IF of the stacked-LO mixer are better than 18 and 10 dB, respectively. The measured of the bottom-LO-conof the top-LO-configured figured mixer is below 10 dB. The mixer has a notch at 10 GHz and it is below 10 dB from 8.2 to 12.5 GHz. The inductors used for the impedance matching in the top-LO configuration cause this notch of the RF input return loss. For the leveled-LO mixers, the RF inputs are differential. are obtained by measuring one The experimental results of

of the RF differential ports when the other RF input port is terminated by a 50- load. The measured performances of all three different types of the sub-harmonic Gilbert mixers demonstrated in this study are summarized in Table III. IV. CONCLUSION In this paper, three different types of Gilbert sub-harmonic mixers have been demonstrated using 2- m GaInP/GaAs HBT technology. Since the GaAs semi-insulating substrate eliminates the substrate effect, the intrinsic performance of the 2LO-to-RF isolation can be investigated among these three different sub-harmonic mixer topologies. According to our experiment results and analysis, the stacked-LO sub-harmonic mixer is the best topology to achieve the highest 2LO-to-RF

888

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

isolation. A record-high 2LO-to-RF isolation is accomplished when RF frequency is around 5 GHz. The design tradeoffs of these sub-harmonic topologies have been discussed in this paper. The stacked-LO sub-harmonic mixer can operate with the smallest LO pumping power at the cost of a higher dc voltage supply. On the other hand, the leveled-LO sub-harmonic mixers including the top-LO-configured and the bottom-LO-configured mixers can operate in higher frequencies. In addition, there are still some design tradeoffs in the two leveled-LO mixer topologies. The top-LO-configuration mixer has higher conversion gain and 2LO-to-RF isolation, but the bottom-LO-configuration mixer has advantage in terms of the power consumption, linearity, and RF-to-IF isolation. noise corner of the 2- m GaInP/GaAs The measured HBT device is approximately 400 Hz, as demonstrated in this paper. The measured slope of the low-frequency noise figure noise keeps constant from 100 kHz to 100 MHz, and the corner does not appear. The HBT device used in this study is adequate to achieve high-performance direct-conversion sub-harmonic mixer with a small low-frequency noise figure. REFERENCES [1] F. Behbahani, Y. Kishigami, J. Leete, and A. A. Abidi, “CMOS mixers and polyphase filters for large image rejection,” IEEE J. Solid-State Circuits, vol. 36, no. 6, pp. 873–887, Jun. 2001. [2] J. Crols and M. Steyaert, “A single-chip 900-MHz CMOS receiver front-end with a high-performance low-IF topology,” IEEE J. SolidState Circuits, vol. 30, pp. 1483–1492, Dec. 1995. [3] A. A. Abidi, “Direct-conversion radio transceivers for digital communications,” IEEE J. Solid-State Circuits, vol. 30, no. 12, pp. 1399–1410, Dec. 1995. [4] L. Sheng, J. C. Jensen, and L. E. Larson, “A wide-bandwidth Si/SiGe HBT direct conversion sub-harmonic mixer/downconverter,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1329–1337, Sep. 2000. [5] J. Choma, Jr., “A three-level broad-banded monolithic analog multiplier,” IEEE J. Solid-State Circuits, vol. SC-16, no. 4, pp. 392–399, Aug. 1981. [6] R. Svitek and S. Raman, “5–6 GHz SiGe active I/Q subharmonic mixers with power supply noise effect characterization,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 7, pp. 319–321, Jul. 2004. [7] M. Goldfarb, E. Balboni, and J. Cavey, “Even harmonic double-balanced active mixer for use in direct conversion receivers,” IEEE J. Solid-State Circuits, vol. 38, no. 10, pp. 1762–1766, Oct. 2003. [8] Z. Zhang, Z. Chen, L. Tsui, and J. Lau, “A 930 MHz CMOS DC-offsetfree direct-conversion 4-FSK receiver,” in IEEE Int. Solid-State Circuits Conf., San Francisco, CA, Feb. 2001, pp. 290–291. [9] C. C. Meng, S. K. Xu, T. H. Wu, M. H. Chao, and G. W. Huang, “A high isolation CMFB downconversion micromixer using 0.18-m deep n-well CMOS technology,” in IEEE Radio Freq. Integrated Circuits Symp., Philadelphia, PA, Jun. 2003, pp. 619–622. [10] C. C. Meng, T. H. Wu, T. H. Wu, and G. W. Huang, “A 5.2 GHz 16 dB gain CMFB Gilbert downconversion mixer using 0.35 m deep trench isolation SiGe BiCMOS technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 975–978. [11] D. Costa and J. S. Harris, “Low-frequency noise properties of n-p-n AlGaAs/GaAs heterojunction bipolar transistors,” IEEE Trans. Electron Devices, vol. 39, no. 10, pp. 2383–2394, Oct. 1992. [12] D. Costa and A. Khatibzadeh, “Use of surface passivation ledge and local feedback to reduce amplitude modulation noise in AlGaAs/GaAs heterojunction bipolar transistor,” IEEE Microw. Wireless Compon. Lett., vol. 4, no. 2, pp. 45–47, Feb. 1994. [13] H. Darabi and A. A. Abidi, “Noise in RF-CMOS mixers: A simple physical model,” IEEE J. Solid-State Circuits, vol. 35, no. 1, pp. 15–25, Jan. 2000. [14] H. Darabi and J. Chiu, “A noise cancellation technique in active RF-CMOS mixers,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2628–2632, Dec. 2005. [15] M. T. Terrovitis and R. G. Meyer, “Noise in current-commutating CMOS mixers,” IEEE J. Solid-State Circuits, vol. 34, no. 6, pp. 772–783, Jun. 1999.

[16] T.-H. Wu, C. Meng, and G.-W. Huang, “A high 2LO-to-RF isolation GaInP/GaAs HBT sub-harmonic Gilbert mixer using three-level topology,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 2006, pp. 1505–1508. [17] B. Gilbert, “The MICROMIXER: A highly linear variant of the Gilbert mixer using a bisymmetric class-AB input stage,” IEEE J. Solid-State Circuits, vol. 32, no. 9, pp. 1412–1423, Sep. 1997. [18] J. Durec and E. Main, “A linear class AB single-ended to differential transconverter suitable for RF circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, 1996, pp. 1071–1074. [19] F. J. Crowne, A. Eskandarian, H. B. Sequeira, and R. Jakhete, “Deformable channel model for high-frequency MESFET modeling,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 12, pp. 1199–1206, Dec. 1987. [20] R. Anholt and S. Swirhun, “Equivalent-circuit parameter extraction for cold GaAs MESFETs,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7, pp. 1247–1252, Jul. 1991. [21] K. L. Fong, C. D. Hull, and R. G. Meyer, “A class AB monolithic mixer for 900-MHz applications,” IEEE J. Solid-State Circuits, vol. 32, no. 8, pp. 1166–1172, Aug. 1997. [22] K. L. Fong, C. D. Hull, and R. G. Meyer, “Monolithic RF active mixer design,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 46, no. 3, pp. 231–239, Mar. 1999. [23] E. Sacchi, I. Bietti, S. Erba, L. Tee, P. Vilmercati, and R. Castello, “A 15 mW, 70 kHz 1=f corner direct conversion CMOS receiver,” in IEEE Custom Integrated Circuits Conf., San Jose, CA, Sep. 2003, pp. 459–462. [24] S. Zhou and M. C. F. Chang, “A CMOS passive mixer with low flicker noise for low-power direct-conversion receiver,” IEEE J. Solid-State Circuits, vol. 40, no. 5, pp. 1084–1093, May 2005. [25] T. A. Phan, C. W. Kim, M. S. Kang, C. D. Su, and S. G. Lee, “Low noise and high gain CMOS down conversion mixer,” in IEEE Int. Commun., Circuits, Syst. Conf., Jun. 2004, pp. 1191–1194. [26] N. Poobuapheun, W. H. Chen, Z. Boos, and A. M. Niknejad, “A 1.5 V 0.7–2.5 GHz CMOS quadrature demodulator for multi-band directconversion receivers,” in in IEEE Custom Integrated Circuits Conf., 2006, pp. 797–800. [27] M. Valla, G. Montagna, R. Castello, R. Tonietto, and I. Bietti, “A 72-mW CMOS 802.11a direct conversion front-end with 3.5-dB NF and 200-kHz 1=f noise corner,” IEEE J. Solid-State Circuits, vol. 40, no. 4, pp. 970–977, Apr. 2005. [28] D. Manstretta, R. Castello, and F. Svelto, “Low 1=f noise CMOS active mixers for direct conversion,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 48, no. 9, pp. 846–850, Sep. 2001. [29] D. A. Johnson and S. Raman, “A packaged SiGe X2 sub-harmonic mixer for U-NII band applications,” in IEEE Bipolar/BiCMOS Circuits Technol. Meeting, 2001, pp. 159–162.

Tzung-Han Wu (S’06) was born in Taipei, Taiwan, R.O.C., in 1979. He received the B.S. and M.S. degrees in electrical engineering from National Chung-Hsing University, Taichung, Taiwan, R.O.C., in 2001 and 2003, respectively, and is currently working toward the Ph.D. degree in communication engineering at National Chiao-Tung University, Hsinchu, Taiwan, R.O.C. His M.S. research involved with GaInP/GaAs HBT, SiGe HBT, and CMOS wideband amplifiers and mixers. His current research interests are in the areas of RF integrated circuits (RFICs) and monolithic microwave integrated circuits (MMICs). Mr. Wu is a member of Phi Tau Phi.

Sheng-Che Tseng (S’05) received the B.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 2003, and is currently working toward the Ph.D. degree in communication engineering at National Chiao Tung University. His current researches focus on RF integrated circuits (RFICs) and high-frequency circuitry.

WU et al.: GaInP/GaAs HBT SUB-HARMONIC GILBERT MIXERS USING STACKED- AND LEVELED-LO TOPOLOGIES

Chin-Chun Meng (M’02) received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1985, and the Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 1992. In 1993, he joined the Hewlett-Packard Component Group, Santa Clara, CA, as a Member of Technical Staff. He is currently an Associate Professor with the Department of Communication Engineering, National Chiao Tung University, Hsinchu, Taiwan, R.O.C. His current research interests are in the areas of RF integrated circuits (RFICs), high-frequency circuits, and high-speed devices.

889

Guo-Wei Huang (S’95–M’97) was born in Taipei, Taiwan, R.O.C., in 1969. He received the B.S. degree in electronics engineering and Ph.D. degree from the National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1991 and 1997, respectively. In 1997, he joined National Nano Device Laboratories, Hsinchu, Taiwan, R.O.C., where he is currently a Researcher. His current research interests focus on microwave device design, characterization, and modeling.

890

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Design of High-Performance Millimeter Wave and Sub-Millimeter Wave Quasi-Optical Isolators and Circulators Robert I. Hunter, Duncan A. Robertson, Member, IEEE, Philippe Goy, and Graham M. Smith

Abstract—Faraday rotators using permanently magnetized ferrite materials are used to make quasi-optical isolators and circulators at millimeter wave and sub-millimeter wave frequencies that have far higher performance than their waveguide equivalents. This paper demonstrates state-of-the-art performance for four-port quasi-optical circulators with 60-dB isolation, 0.2-dB insertion loss, and better than 80-dB return loss for devices centered at 94 GHz. A method is presented for the accurate characterization of the complex permeability and permittivity of permanently magnetized ferrites via a series of frequency and polarization dependent transmission and reflection measurements. The dielectric and magnetic parameters for the sample are determined by fitting theoretical curves to the measured data. These fitted parameters are then used in a model for a complete quasi-optical Faraday rotator, including matching layers, allowing the accurate design and fabrication of these devices for any specific operational frequency band in the millimeter wave and sub-millimeter wave regime. Examples are given showing typical results and demonstrating how temperature cycling can significantly improve the temperature stability of these devices, while allowing fine tuning of the center frequency. We also indicate the performance possible at higher frequencies to above 1 THz and outline performance of truly planar isolators where lossy polarizer material is built into the Faraday rotator matching structure.

Fig. 1. Schematic diagram of a four-port circulator. A vertically polarized signal entering at port 1 passes through the wire grid polarizer and enters the QOFR where the signal polarization is rotated by 45 . This signal passes through the second wire grid polarizer and is received at port 2. A signal returning from port 2 passes back through the polarizer grid and into the QOFR, whereupon it is rotated by a further 45 . This signal is now horizontally polarized and is reflected by the horizontal wire grid polarizer to port 3. In order to construct a three-port circulator or a two-port isolator, the unused ports are terminated with low-reflectivity quasi-optical loads. The QOFR can be angled with respect to the incident signal axis in order to minimize return loss. The direction of magnetization is perpendicular to the planar surface of the rotator, as indicated by the arrow. A typical arrangement at 94 GHz would have an aperture size of 100 100 mm for both polarizers and ferrite, although smaller (and larger) configurations are possible.

+

+

2

Index Terms—Faraday rotator, ferrite, millimeter waves, quasioptics, sub-millimeter waves.

I. INTRODUCTION HE FARADAY effect at microwave frequencies is a well-known phenomenon and its description and use in waveguide devices dates back to the seminal works by Polder [1] and Hogan [2]. However, the performance of these waveguide devices, and many waveguide systems, rapidly degrades at high millimeter wave and sub-millimeter wave frequencies. At these frequencies, system designers often prefer to use a mixture of waveguide and low-loss optical techniques for the highest system performance, where millimeter waves are now transmitted as optical beams [3], [4]. However, in many of these

T

Manuscript received July 19, 2006; revised December 21, 2006. This work was supported by U.K. Engineering and by the Physical Sciences Research Council under a Ph.D. project. R. I. Hunter, D. A. Robertson, and G. M. Smith are with the Millimetre Wave and High-Field Electron Spin Resonance Group, School of Physics and Astronomy, University of St Andrews, St Andrews, Fife KY16 9SS, U.K. (e-mail: [email protected]; [email protected]; [email protected]). P. Goy is with AB Millimetre, 75005 Paris, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.895152

high-frequency quasi-optical system designs, performance is found to by limited by effects related to standing waves between components. A quasi-optical Faraday rotator (QOFR) is a nonreciprocal polarization rotation device that can be used together with polarizing grids to construct quasi-optical isolators and circulators within quasi-optical circuits [5]. The QOFR itself consists of a layer of ferrite material sandwiched between dielectric impedance matching layers. The ferrite material can be either magnetically soft, in which case external biasing magnets must be used to maintain the necessary magnetization within the ferrite, or the ferrite can be magnetically hard, in which case the device is first saturated in a large field and is then self-biased by the remanent magnetization. The thickness of the ferrite layer is chosen to give 45 of polarization rotation for a single pass through the device at the desired center frequency. When such a device is placed between angled wire grid polarizers, as shown schematically in Fig. 1, a four-port quasi-optical circulator is produced, which can have exceptionally low insertion loss and return loss, while having excellent isolation properties. Early QOFRs used magnetically soft ferrite materials and, as a consequence, when operating in a transmission configuration, were limited in aperture by the available external biasing magnets.

0018-9480/$25.00 © 2007 IEEE

HUNTER et al.: DESIGN OF HIGH-PERFORMANCE MILLIMETER WAVE AND SUB-MILLIMETER WAVE QUASI-OPTICAL ISOLATORS AND CIRCULATORS

A QOFR of this type operating at 285 GHz, and providing more than 18-dB isolation over a 1% bandwidth, was reported, with an insertion loss of less than 2 dB [6]. This device used a 25.4-mm-diameter soft ferrite disc, externally biased within a samarium-cobalt ring magnet. A transmission QOFR operating at 35 GHz was reported, which gave isolation of greater than 40 dB with an insertion loss of less than 0.1 dB over 20% bandwidth, although, again, this was a small area device due to the requirement for external biasing magnets [7]. QOFRs have also been constructed operating in a reflection configuration [8], which allows a biasing magnet to be placed behind the ferrite, easing the aperture size restriction. This configuration is well suited to high-power applications since the reflecting metal backing to the ferrite can be used as a heat sink. Experimental results for this device show comparable performance to the previous 35-GHz transmission devices, with a peak isolation of 30 dB and an insertion loss of less than 0.05 dB [9]. The use of magnetically hard ferrites was first demonstrated using 30-mm-diameter aperture discs of a sintered hexaferrite (Ferroxdure 330). An isolation of 17 dB and an insertion loss of 1 dB was reported at 115 GHz [10]. In our own laboratory, we have built and used these devices extensively for many years using a variety of different hexaferrites and matching materials [5], [11]–[17] and they have been an enabling technology for many applications. We have previously constructed 94-GHz QOFRs using 100 100 mm sintered hexaferrite tiles [11] that have insertion losses from 0.2 to 0.4 dB over 20% bandwidths and have yielded 60-dB isolation at spot frequencies [12]. One of the advantages of using hexaferrites is that they have a very high crystalline anisotropy field ( 2 T) compared to the maximum demagnetization field ( 0.3 T). This allows large area samples to be magnetized perpendicular to the plane of the material without the use of biasing magnets. Moreover, this magnetization is relatively uniform because the demagnetizing field is constant, to a good approximation, for large area thin devices even approaching the edges of the tile. This removes the restriction on aperture size from biasing magnets and we have constructed robust QOFRs as large as 1000 1000 mm using plastoferrite materials [13]. This QOFR achieved 20-dB isolation over a 2-GHz bandwidth, centered at 30.5 GHz. The average insertion loss over the whole device at band center was 0.65 dB. Plastoferrites employ magnetically hard sintered hexaferrite material similar to Ferroxdure 330, which is finely ground and distributed within a rubber binder. This material is rolled or pressed into large sheets. These materials have lower refractive indices than sintered ferrites and are thus easier to match. However, they are usually more lossy and their performance is often limited or complicated by unwanted linear birefringence in the plane of the material, which can limit isolation performance compared to sintered ferrite devices [14], [15]. Nevertheless, devices have been demonstrated at -band with losses as low as 0.6 dB and isolations at spot frequencies of 60 dB, and isolations of better than 20 dB over 20-GHz bandwidths [16]. In the 110–180-GHz frequency range, devices with losses of 2 dB and greater than 20-dB isolation across the entire band have been demonstrated. Large area plasto-

891

ferrite QOFRs have also been demonstrated operating below the ferrimagnetic resonance, albeit with restricted bandwidth. 300 mm devices have been In the 10–20-GHz range, 300 constructed with insertion losses of less than 1.5 dB over bandwidths of 1–2 GHz. 645 mm and 265-mm-diVery large area QOFRs (645 ameter circular) have also been constructed operating in the frequency range of 80–100 and 92–96 GHz, respectively [17]. Both of the QOFRs achieved 40-dB isolation at the center frequency and 20-dB isolation and less than 1-dB insertion loss across their design bandwidths. This paper presents new methods for the characterization of hard ferrite materials in their unmagnetized and magnetized states that allow extremely high-performance QOFRs to be designed and optimized for specific frequencies and bandwidths. We present state-of-the-art results for isolators at -band and indicate performance at higher frequencies. This paper also shows how temperature cycling can be used to improve the temperature stability and accurately fine tune the center frequency of devices, and how it is possible to make truly planar isolators where lossy polarizers are built into the matching layers. II. THEORY The Faraday effect arises due to a difference in the propagation constants for right circularly polarized and left circularly polarized beams transmitted through a material. For the special case where the magnetization is parallel to the direction of propagation, it can be shown that [18] (1) (2) where is the propagation constant for a right-hand, or positive, circularly polarized wave and is that for a left-hand, or is the complex permitnegative, circularly polarized wave, and are the complex permeabilities for righttivity, and and left-hand circular polarized states. The rotation in radians per unit length is then given by (3) To characterize a ferrite material requires the measurement of , , and as a function of frequency. In the millimeter wave and sub-millimeter wave regime, is expected to be independent of magnetization, with the real part being independent of frequency and the imaginary (lossy) part increasing approximately linearly with frequency. This is modeled using an equation of the form (4) In contrast, the real and imaginary parts of will be strong functions of frequency near resonance. Analytical expressions and for a magnetized ferrite [18], [19] can be found for (5) (6)

892

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

where is the frequency and is a dimensionless damping con, stant. For a permanently magnetized ferrite, where is gyromagnetic ratio and is equal to 28 GHz/T and is the remanent magnetization. The Larmor frequency , where is the effective inis the crystalline anisotropy field, ternal field in the device, is the demagnetization factor, which will be close to and , unity for a planar device. Thus, if we can determine , and at all frequencies. Combined and , we can model with measurements of , we can then model the frequency-dependent single-pass loss and rotation characteristics of a given transmission matrices for each ferrite. Using standard circular polarization state, we can then model the effects of adding glue and matching layers to get full polarization-dependent -parameters for complete devices over broad frequency ranges. III. CHARACTERIZATION METHODS Our experience of making QOFRs has shown the importance of accurate characterization of hexaferrite materials for highperformance devices. Dielectric and magnetic parameters have been found to vary significantly from ferrite to ferrite. Losses can vary significantly sometimes even within the same batch of material. The complex permittivity and permeability of materials in the millimeter wave regime has normally been characterized by measurements of the free-space reflection and transmission properties of samples of known thickness as a function of frequency [20]–[27]. Interpretation is relatively straight forward for dielectrics, but more sophisticated models are required for magnetic materials where measurements over extended frequency ranges are required. In [24]–[27], co-polar reflection and transmission measurements were described for ferrites in both their unmagnetized and magnetized states (with the direction of propagation perpendicular to the magnetization axis). Results were then fitted against theoretical equations to , and determine the various material parameters, such as , the Larmor frequency . When the direction of millimeter wave propagation is parallel to the magnetization axis within the ferrite (Faraday rotation), there is the additional complication of linear polarization rotation. A number of methods have been proposed to take this into account. A technique called Faraday angle resonance has been described in [28] in which multiple reflections within a ferrite lead to increased polarization rotation in the ferrite at certain frequencies. By determining the major and minor axes of the transmitted polarization ellipse, the rotation angle is determined as a function of frequency. We have also proposed the use of reflection and transmission measurements of circularly polarized beams to independently characterize the two propagation constants [16]. We have found both methods to be effective, but they tend to be slow and labor intensive as the interferometer has to be reconfigured at each measurement frequency. In general, we have found that the quickest and most accurate method for the characterization of the magnetic and electrical properties of a ferrite requires reflection and transmission measurements over wide frequency bands for both magnetized

Fig. 2. Block diagram of the quasi-optical measurement system. The dotted line indicates the repositioning of port 2 for reflection measurements. For the characterization of magnetized samples, the corrugated feedhorn at port 2 is rotated to the four principal polarization planes in transmission and the wire grid analyzer is replaced with a suitable wire grid for each polarization plane.

and unmagnetized samples of known thickness. For magnetized samples, we have found it is usually necessary to make measurements for a number of fixed output polarizations to and . A block diagram of our obtain accurate fits for measurement system is shown in Fig. 2. An AB Millimetre vector network analyzer is used to provide tunable coherent radiation from 40 to 167 GHz, and over smaller frequency ranges around 300, 400, and 500 GHz. Corrugated feedhorns are used to create high-purity Gaussian beams. The samples are plane parallel slabs, which are placed at the focused beamwaist of the Gaussian beams. For transmission measurements of dielectric and nonmagnetized ferrite samples, the analyzer grid and receiving feedhorn are configured for co-polar operation only. The transmitted power is measured with and without the sample in position. In reflection, a nonpolarizing beam splitter is added and the reflected power is measured relative to the reflection from a plane metal mirror placed at the sample position. When measuring samples in reflection, an additional quasi-optical load is placed behind the sample. The resulting transmission and reflection interferograms for the sample are then fitted using a computer model, which treats the sample as a Fabry–Perot etalon to determine and . This spectrometer has been used to characterize samples of various standard dielectric materials including prospective matching materials, with the results showing excellent agreement with accepted data for these materials [29]. Characterization of samples both in transmission and reflection was found to significantly reduce the uncertainties in both the refractive index and loss measured for the dielectric samples investigated. Broadband transmission measurements on unmagnetized ferrite samples (from 40 GHz up to 500 GHz) allow the dielectric properties of the material to be determined away from the ferrimagnetic resonance, where the magnetic losses rapidly become and there are no measurement complicanegligible . These data are then tions due to the Faraday effect

HUNTER et al.: DESIGN OF HIGH-PERFORMANCE MILLIMETER WAVE AND SUB-MILLIMETER WAVE QUASI-OPTICAL ISOLATORS AND CIRCULATORS

fitted using (4). Measurements near to resonance provide information on and . Measurements on magnetized samples then , , and to be determined using (1) and (2) and (5) allow and (6) together with the dielectric data previously determined from the unmagnetized sample. For these magnetized measurements, the analyzer grid and receiving feedhorn are reconfigured between transmission measurements to allow the power transmitted to be measured in the four principal polarization planes (0 , 45 , 90 ) relative to a fixed input polarization. Additionally, a nonpolarizing beam splitter is used in the reflection configuration to allow the co-polar and cross-polar reflected power to be measured. The Fabry–Perot etalon model was modified to include the polarization rotation that occurs with each transit through the magnetized ferrite sample. This revised model is then fitted to the six experimentally measured interferograms in order to determine the complex permeability of the sample. The fitting was facilitated by the use of the previously obtained unmagnetized data for the complex permittivity. IV. DESIGN OF QUASI-OPTICAL FARADAY ROTATORS For practical operation, QOFRs require quarter-wavelength matching layers to match the ferrite to free space. To model full devices, it is necessary to multiply together the transfer matrices for the constituent layers in the QOFR (ferrite, dielectric matching, and adhesive) to give the overall transfer matrix for the device. This model can then used to predict the insertion loss, isolation, and return losses. This model for a complete QOFR has been used to simulate several possible designs and has proven to be an accurate predictor for the performance of finished devices. The model has also been used in a number of simulations to investigate the optimum thicknesses of matching layers of a given dielectric constant to maximize QOFR performance for any given ferrite material. This is particularly important at -band for the design of high-performance devices, where the complex permeability for one circular polarization state changes relatively rapidly with frequency for hexaferrites. Thus, not only is it impossible to simultaneously impedance match for both circular polarization states at any given frequency, but any impedance match will be a relatively rapidly varying function of frequency. In addition, at -band, the small extra relative loss in power of one circular polarized state compared to the other often needs to be taken into account, as this results in an elliptically polarized beam, which degrades isolation. Any model also needs to take into account the availability of suitable easily machined low-loss dielectrics. These effects can sometimes be compensated by deliberately mismatching the ferrite by individually fine tuning the thickness of each of the matching layers away from the conventional thickness. By choosing a dielectric matching material with a permittivity closer to one of the ferrite refractive indices and, therefore, mismatching the other, it is also possible to balance the absorption of left- and right-hand circularly polarized signals and, therefore, reduce the ellipticity of the transmitted linearly polarized signal [29]. This has the effect of increasing the co- and/or cross-polar return losses, but can significantly improve the isolation or the

893

Fig. 3. Transmission spectrum of a 2.97-mm-thick sintered hexaferrite sample and the fitted theoretical model used to determine the dielectric and magnetic parameters.

bandwidth of the QOFR. By this means, it is possible to trade off isolation performance against return loss and insertion loss in order to design a device for a specific application. It is also possible to deliberately mismatch the ferrite in order to increase the operating bandwidth of the QOFR. This reduces the peak isolation from that of a narrow band device, but gives good isolation over the majority of a waveguide band. Our simulations suggest that, for each ferrite material, there will be a particular optimum permittivity for the matching layers, although it is unlikely that a real dielectric material will be available with both this exact value and the appropriate physical properties. For real devices, it is therefore necessary to make a compromise and select dielectric materials with permittivities close to the ideal value. In order to recover some of the performance lost by not being able to use optimum dielectric matching, the thickness of the matching layers can be fine tuned. V. RESULTS Preliminary measurements on hexaferrite samples are always made in their unmagnetized state over wide frequency ranges away from the ferrimagnetic resonance to determine the dielectric properties of the ferrite. These do not alter with magnetization and, therefore, these results are of great assistance with the subsequent characterization of these samples after magnetization. A typical transmission spectrum is shown in Fig. 3 showing strong magnetic resonance absorption in the region 45–60 GHz and dielectric losses increasing at higher frequencies. The characterization of magnetized hexaferrite samples is more difficult since parameters must be found for the model that simultaneously yield good agreement with all measured transmission and reflection spectra for the sample. This fitting process is facilitated by the use of dielectric parameters determined from the unmagnetized characterization and by performing the measurements over as wide a frequency range as possible. Ideally this frequency range should cover the region of the magnetic resonance and should also extend to above 150 GHz, where the refractive indices of these hexaferrite materials tend towards their asymptotic values since this greatly and . Experimental facilitates the determination of both

894

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

data and the fitted model for the four principal polarization plane transmission spectra for a typical magnetized hexaferrite 100 mm area are shown in Fig. 4. The fit sample of 100 has been optimized for all four transmission spectra and for the co-polar reflection spectrum (not shown). The magnetic parameters determined from the fit of this hexaferrite sample GHz, GHz, and . The were dielectric parameters, determined from a previous fit to data , from the sample in its unmagnetized state, were , and per GHz. These results are , typical for planar sintered ferrite materials, although , and have been found to vary considerably between different hexaferrite samples. For sintered hexaferrite samples, is usually found to be in the range 43–52 GHz, depending on the shape and crystalline is typically between 7–11 GHz anisotropy of the material, and is between 18–22. For plastoferrite materials, is generally in the range of 44–48 GHz due to the lower anisotropy is between 5–6 GHz and is typically of these materials, in the range of 10–12. The parameters determined from such a characterization of a given hexaferrite are then used to design a complete QOFR, together with data from the characterization of the dielectric matching material and adhesive. The principal uncertainties for the practical realization of the design model are the tolerances required for the thicknesses of the adhesive and dielectric matching layers. In practice, over-thickness dielectric matching layers are glued on to the ferrite and these are then machined to the required thickness, as indicated from the design model. The predicted insertion loss and isolation performance, together with the measured experimental results for a typical finished QOFR using a sintered hexaferrite, are shown in Fig. 5. It should be noted that the spread in the experimental data in Fig. 5(a) is due to small standing waves because the QOFR was measured at normal incidence to the beam. In practice, the QOFR is angled with respect to the beam axis and these effects disappear. The agreement between the predicted design and measured device shown in Fig. 5 is extremely good. This particular device was one of a batch of eight centered at 94 GHz, which all gave almost identical performance, showing that the design model provides an excellent indication of the performance attainable from the final device. VI. LINEAR BIREFRINGENCE EFFECTS The design model is equally applicable to both sintered ferrite and plastoferrite QOFR designs. As noted previously, plastoferrite QOFRs tend to suffer from unwanted linear birefringence that arises due to shear forces imposed during the manufacture process. Depending on the magnitude of the linear birefringence, this can severely affect the isolation performance of the device as the effect couples the two circular polarized states together. This effect becomes more severe at higher frequencies. It can be partially ameliorated by ensuring that one of the principal axes associated with the linear birefringence is aligned midway between the input and output polarizations. This only works for one direction and is normally configured to give maximum isolation at the cost of slightly increased insertion loss.

Fig. 4. Transmission spectra for a 1.40-mm-thick sintered hexaferrite sample together with the fitted theoretical model used to determine the dielectric and magnetic parameters for: (a) 0 , (b) 90 , (c) 45 , and (d) 45 relative to the input polarization.

+

+

+

0

HUNTER et al.: DESIGN OF HIGH-PERFORMANCE MILLIMETER WAVE AND SUB-MILLIMETER WAVE QUASI-OPTICAL ISOLATORS AND CIRCULATORS

Fig. 5. Predicted and measured spectra showing: (a) insertion loss and (b) isolation for a sintered hexaferrite QOFR designed for a center frequency of 94 GHz using parameters determined by the previous characterization of the ferrite material shown in Fig. 4.

Another way to significantly reduce this problem uses a novel construction method where two layers of plastoferrite material, each of half of the required thickness for 45 polarization rotation, are glued together such that the roll directions of the two plastoferrite tiles are orthogonal [30]. Fig. 6 shows the predicted and measured insertion loss and isolation for a large area plastoferrite QOFR. Fig. 6(b) also shows experimental data for the best and worst case isolation performance for this device, indicating that although the construction technique does ameliorate the effect of the linear birefringence of the plastoferrite material, it is not entirely suppressed. VII. RETURN LOSS If a QOFR is placed at a Gaussian beam waist, normal to the beam, then the expected and measured return loss at -band is typically 20 to 25 dB. At higher frequencies, this specification improves as the difference between the two refractive indices associated with the two circular polarization states is reduced. However, we have obtained dramatically improved return loss of up to 80 dB over wide frequency ranges by simply angling the QOFR at 45 and using exceptionally high-performance quasi-optical loads to terminate any power reflected from the Faraday rotator or polarizers. Our measurements of return

895

Fig. 6. Predicted and measured spectra showing: (a) insertion loss and (b) isolation for a plastoferrite QOFR designed using parameters determined by previous characterization of the ferrite. Although the patented construction technique reduces the effect of the unwanted linear birefringence of the plastoferrite material, the effect is still evident in (b) where the best and worst case isolation spectra are presented.

loss used calibrated attenuators in conjunction with time-domain reflectometry and heterodyne detection systems, and were dynamic range limited. This particular optical configuration does not significantly affect the isolation as theory, confirmed by experiment, shows that the Faraday rotation angle is largely insensitive to the angle of incidence of the beam [8] and, in practice, we have still managed to obtain isolations of up to 60 dB at spot frequencies. This extremely low level of return loss is one of the main advantages of quasi-optical isolators/circulators over more conventional waveguide devices where return-loss figures of 35 dB over any significant bandwidth would be regarded as impressive. VIII. TEMPERATURE EFFECTS For some applications, the effect of temperature variations must be taken into account as these can seriously degrade the performance of some QOFRs. The largest deleterious effect is found on cooling as the coercivity of hexaferrites falls significantly with temperature (near room temperature). As many hexaferrite QOFRs operate near the knee of the demagnetization curve, cooling is thus found to cause a significant decrease in magnetization and, therefore, polarization rotation angle. We have measured up to 10% changes in magnetization for some

896

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

hexaferrites on cooling from room temperature to 20 C. Although the magnetization can be restored by remagnetizing the ferrite this is not always convenient. Conversely, there is a relatively insignificant change in remanent magnetization for most hexaferrites when heated above room temperature, but while keeping well below the Curie temperature. One method to ameliorate this thermal demagnetization problem is simply to use hexaferrites with a high coercivity relative to their remanent magnetization so that the operating point is well away from the knee of the demagnetization curve. However, these materials do not always have the lowest insertion loss. Another more attractive solution is to deliberately fabricate a QOFR with a Faraday rotation angle greater than 45 using a moderate coercivity hexaferrite. The device is magnetized at room temperature, and is then precisely cooled until the desired rotation angle is achieved. This has two major advantages. Firstly, it allows fine temperature tuning of the center frequency of finished QOFRs (where up to 60-dB isolation may be obtained at spot frequencies) and, secondly, it means the QOFR now becomes much more insensitive to changes in temperature, at least down to the lowest temperature where it was previously tuned. In practice, we have found this technique of temperature tuning QOFRs has given excellent and reliable performance. IX. PLANAR ISOLATORS All of the devices described use QOFRs and polarizer grids that are angled with respect to the incident beam, and are used when the highest performance is required for a given system design. However, there are a number of important applications, which do not have sufficient down beam space to implement such schemes, and it becomes desirable to build lossy polarizers into the QOFR itself. For example, it can be useful to build such devices into certain types of antenna structures to prevent local oscillator leakage (e.g., for imaging applications). We have developed a number of methods to make compact lossy polarizers. One method that allows the construction of truly planar isolators is to insert a combination of thin reflecting and absorbing polarizers around the quarter-wavelength matching layers in a Salisbury screen configuration. The lossy polarizer consists of very thin and narrow metallic strips (on a thin Mylar film), whose overall impedance per square characteristic is chosen to give a perfect match at the center frequency, taking into account the impedance of the matching layer. In practice, at 115 GHz, we have obtained better than 20-dB isolation, with less than 1-dB insertion loss, over extended bandwidths for a device less than 2.5-mm thick. Detailed modeling has also shown that there is scope to improve this performance further. X. DISCUSSION The performance of quasi-optical millimeter wave and sub-millimeter wave instrumentation is often limited by standing waves and, therefore, the use of QOFRs has considerable potential to provide high-performance isolation for these systems at frequencies where no suitable alternatives currently -band, the isolation bandwidth of the device is exist. At

Fig. 7. Predicted optimum attainable spot frequency insertion loss for QOFRs with center frequencies in the range of 0–500 GHz. These predictions are based on the characterized parameters for the most suitable available sintered hexaferrite and dielectric matching materials, and these predictions assume that =4 thick dielectric matching layers are employed at all frequencies.

limited by the relatively rapid change in rotation angle with frequency, as the device is operating relatively close to the ferrimagnetic resonance frequency . However, well above resonance, the rotation angle becomes frequency independent and the bandwidth becomes limited by the dielectric match. Fig. 7 shows the best predicted insertion loss performance that might be attained from a QOFR with a center frequency thickness matching in the range 0–500 GHz, assuming at each frequency. Modeling also predicts that isolation performance in excess of 40 dB would be obtained over the above frequency range. We have validated this at a number of spot frequencies and shows that practical high-performance QOFRs in quasi-optical isolators are feasible to frequencies of 500 GHz and beyond, where no alternatives exist. Indeed, recent measurements using a newly developed terahertz source [31] have shown that the Faraday effect still persists in hexaferrites at frequencies above 1 THz. Insertion loss becomes quite severe at these frequencies (more than 10 dB), but such devices may still be useful for some sub-millimeter spectroscopic applications, where measurement accuracy can be more limited by system standing waves than by other signal-to-noise considerations. It is also possible to construct QOFRs operating below resonance. In this case, the rotation angle decreases fairly rapidly with frequency, meaning that devices become relatively narrow band. In our own laboratory, we have found QOFRs to be an enabling technology for a wide variety of millimeter wave and submillimeter wave applications. We have used them in millimeter wave spatial filters, frequency filters, imaging systems that allow compact folded optical designs [32], in precision measurement systems such as those for measurement of phase noise [33], and in high-field electron spin resonance spectrometers [34]. QOFRs have also been used in high-power radar systems to provide source isolation [35]. A cascade of several QOFRs is currently under construction for use in a high-power pulsed electron spin resonance spectrometer operating at 94 GHz [36]. This project has a requirement for better than 90 dB of source-to-cavity isolation and

HUNTER et al.: DESIGN OF HIGH-PERFORMANCE MILLIMETER WAVE AND SUB-MILLIMETER WAVE QUASI-OPTICAL ISOLATORS AND CIRCULATORS

better than 90-dB return loss. This has now been achieved using a cascade of three QOFRs, together with ultra-low return-loss quasi-optical loads. The insertion loss of the whole cascade is less than 1 dB. This represents a whole new level of performance for precision millimeter wave systems. XI. CONCLUSION In this paper, we have demonstrated that hexaferrite materials can be accurately characterized to assist in the design of extremely high-performance quasi-optical isolators and circulators, which we believe offer state-of-the-art performance at millimeter wave and sub-millimeter wave frequencies. We believe these devices have a wide variety of applications based on their planar geometry, large area, high power handling, fine tuning, low loss, and high isolation performance. ACKNOWLEDGMENT The authors would particularly like to acknowledge the contributions made to the field of millimeter wave ferrite research at the University of St Andrews, St Andrews, Fife, U.K., by past colleagues Dr. M. R. Webb, Dr. C. P. Unsworth, and Dr. S. Kang. The authors would also like to thank Prof. D. H. Martin, Queen Mary’s College, University of London, U.K., and Dr. R. J. Wylde, Thomas Keating Ltd., Billingshurst, Sussex, U.K., for many useful discussions. REFERENCES [1] D. Polder, “On the theory of ferromagnetic resonance,” Philosoph. Mag., vol. 40, pp. 99–115, 1949. [2] C. L. Hogan, “The ferromagnetic Faraday effect at microwave frequencies and its applications,” Rev. Mod. Phys., vol. 25, no. 1, pp. 253–263, Jan. 1953. [3] J. Lesurf, Millimetre-Wave Optics, Devices & Systems. Bristol, U.K.: Adam Hilger, 1990. [4] P. F. Goldsmith, Quasioptical Systems. New York: IEEE Press, 1998. [5] M. R. Webb, “A mm-wave four port quasi-optical circulator,” Int. J. Infrared Millim. Waves, vol. 12, no. 1, pp. 45–63, Jan. 1991. [6] E. L. Moore, “A 300 GHz quasi-optical Faraday rotation isolator,” Int. J. Infrared Millim. Waves, vol. 10, no. 10, pp. 1317–1325, Oct. 1989. [7] G. F. Dionne, J. A. Weiss, and G. A. Allen, “Nonreciprocal magnetooptics for millimeter waves,” IEEE Trans. Magn., vol. 24, no. 6, pp. 2817–2819, Nov. 1988. [8] B. Lax, J. A. Weiss, N. W. Harris, and G. F. Dionne, “Quasi-optical ferrite reflection circulator,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 12, pp. 2190–2197, Dec. 1993. [9] N. W. Harris, J. A. Weiss, B. Lax, and G. F. Dionne, “The quasi-optical ferrite reflection circulator: Microwave performance and applications,” in IEEE MTT-S Int. Microw. Symp. Dig., San Diego, CA, May 1994, pp. 105–108. [10] R. J. Wylde, “Gaussian beam-mode circuits for millimetre wavelengths,” Ph.D. thesis, Dept. Phys., Queen Mary’s College, Univ. London, London, U.K., 1985. [11] M. R. Webb, “Millimetre wave quasi-optical signal processing systems,” Ph.D. thesis, Dept. Phys., Univ. St Andrews, St Andrews, Fife, U.K., 1992. [12] G. M. Smith, C. P. Unsworth, M. R. Webb, and J. C. G. Lesurf, “Design, analysis and application of high performance permanently magnetized, quasi-optical, Faraday rotators,” in IEEE MTT-S Int. Microw. Symp. Dig., San Diego, CA, May 1994, pp. 293–296. [13] D. A. Robertson, “Very large area Faraday rotators,” in Proc. 26th Int. Infrared Millimeter Waves Conf., Toulouse, France, Sep. 2001, pp. 7.8–7.11. [14] G. M. Smith, S. Kang, C. P. Unsworth, E. Puplett, D. Franklin, and J. C. G. Lesurf, “Microwave, millimeter wave and sub-millimeter wave free-space Faraday rotators,” in IEEE MTT-S Int. Microw. Symp. Dig., Orlando, FL, May 1995, pp. 1665–1668.

897

[15] G. M. Smith, C. P. Unsworth, S. Kang, D. Franklin, and J. C. G. Lesurf, “Design and applications of high frequency quasi-optical Faraday rotators,” presented at the 21st Int. Infrared Millimeter Waves Conf., Berlin, Germany, Jul. 1996, Paper BTh4. [16] C. P. Unsworth, “A fully automated millimetric rotary polariser quasioptical system,” Ph.D. thesis, School Phys. and Astron., Univ. St Andrews, St Andrews, Fife, U.K., 1997. [17] R. I. Hunter, D. A. Robertson, P. Goy, and G. M. Smith, “Large area -band quasi-optical Faraday rotators for imaging applications,” in Proc. 30th Int. Infrared Millimeter Waves Conf., Williamsburg, VA, Sep. 2005, pp. 275–276. [18] B. Lax and K. J. Button, Microwave Ferrites and Ferrimagnetics. New York: McGraw-Hill, 1962. [19] A. J. Baden Fuller, Ferrites at Microwave Frequencies. London, U.K.: Peregrinus, 1987. [20] F. J. Rachford and D. W. Forester, “Characterization of magnetic/dielectric materials at millimeter-wave frequencies,” IEEE Trans. Magn., vol. MAG-19, no. 5, pp. 1883–1888, Sep. 1983. [21] M. N. Afsar, J. R. Birch, and R. N. Clarke, “The measurement of the properties of materials,” Proc. IEEE, vol. 74, no. 1, pp. 183–199, Jan. 1986. [22] F. I. Shimabukuro, S. Lazar, M. R. Chernick, and H. B. Dyson, “A quasi-optical method for measuring the complex permittivity of materials,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 7, pp. 659–665, Jul. 1984. [23] D. K. Ghodgaonkar, V. V. Varadan, and V. K. Varadan, “Free-space measurement of complex permittivity and complex permeability of magnetic materials at microwave frequencies,” IEEE Trans. Instrum. Meas., vol. 39, no. 2, pp. 387–394, Apr. 1990. [24] K. N. Kocharyan, M. N. Afsar, and I. I. Tkachov, “New method for measurement of complex magnetic permeability in the millimeter-wave range—Part II: Hexaferrites,” IEEE Trans. Magn., vol. 35, no. 4, pp. 2104–2110, Jul. 1999. [25] ——, “Millimeter-wave magnetooptics: New method for characterization of ferrites in the millimeter-wave range,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2636–2643, Dec. 1999. [26] M. N. Afsar, I. I. Tkachov, and K. N. Kocharyan, “A novel -band spectrometer for dielectric measurements,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2637–2643, Dec. 2000. [27] M. N. Afsar, K. M. Lee, Y. Wang, and K. N. Kocharyan, “Measurements of complex permittivity and permeability of common ferrimagnets at millimeter waves,” IEEE Trans. Magn., vol. 40, no. 4, pp. 2826–2828, Jul. 2004. [28] M. Raum, “Quasioptical measurement of ferrite material parameters at terahertz frequencies by a new method: Faraday angle resonance,” Int. J. Infrared Millim. Waves, vol. 15, no. 7, pp. 1211–1227, Jul. 1994. [29] R. I. Hunter, ““Characterisation of ferrite and dielectric materials and their use in millimetre-wave quasi-optical devices,” Ph.D. thesis, School Phys. and Astron., Univ. St Andrews, St Andrews, Fife, U.K., 2004. [30] D. A. Robertson, “Electromagnetic device,” U.K. Patent GB2368728B, 2002, Proprietor: Univ. Court, Univ. St Andrews. [31] T. Edwards, D. Walsh, M. Spurr, C. Rae, M. Dunn, and P. Browne, “Compact source of continuously and widely-tunable terahertz radiation,” Opt. Express, vol. 14, pp. 1582–1589, Feb. 2006. [32] R. Appleby, R. N. Anderton, S. Price, N. A. Salmon, G. N. Sinclair, P. R. Coward, A. R. Barnes, P. D. Munday, M. Moore, A. H. Lettington, and D. A. Robertson, “Mechanically scanned real time passive millimetre wave imaging at 94 GHz,” in Proc. SPIE 5077, Orlando, FL, Apr. 2003, pp. 1–6. [33] G. M. Smith and J. C. G. Lesurf, “A highly sensitive millimeter wave quasi-optical FM noise measurement system,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 2229–2236, Dec. 1991. [34] G. M. Smith, J. C. G. Lesurf, R. H. Mitchell, and P. C. Riedi, “Quasioptical CW mm-wave electron spin resonance spectrometer,” Rev. Sci. Instrum., vol. 69, no. 11, pp. 3924–3937, Nov. 1998. [35] B. G. Danly, S. D. Miller, W. Manheimer, A. W. Fliflet, G. Linde, M. Ngo, and W. J. Cheung, “Opportunities for NRL WARLOC radar validation and calibration of NASA Earth observing space missions,” in Proc. 29th Int. Infrared Millimeter Waves Conf., Karlsruhe, Germany, Sep. 2004, pp. 807–808. [36] P. A. S. Cruickshank, D. R. Bolton, D. A. Robertson, D. J. Keeble, and G. M. Smith, “The HIPER project—sub-nanosecond pulse ESR,” in Proc. 29th Int. Infrared Millimeter Waves Conf., Karlsruhe, Germany, Sep. 2004, pp. 171–172.

W

W

898

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Robert I. Hunter was born in St. Albans, U.K., in 1978. He received the M.Sci. (Hons.) and Ph.D. degrees from the University of St Andrews, St Andrews, Fife, U.K., in 2000 and 2005, respectively. In 2006, he was a Research Fellow with the Millimetre Wave Group, University of St Andrews, where he was involved with a project to develop a 94-GHz dynamic nuclear polarization (DNP) spectrometer. His research interests include the characterization of ferrites, dielectrics, and absorbing materials, and the development of nonreciprocal ferrite devices for high-performance quasi-optical systems.

Duncan A. Robertson (S’91–M’94) was born in Aberfeldy, U.K., in 1969. He received the B.Sc. (Hons.) and Ph.D. degrees from the University of St Andrews, St Andrews, Fife, U.K., in 1991 and 1994, respectively. From 1994 to 1999, he was with the Millimetre Wave Group, University of St Andrews, under contract to DERA Malvern, where he was involved with battlefield millimeter wave systems for combat identification. From 1999 to 2000, he was a Principal Microwave Engineer with Racal-MESL, Edinburgh, U.K. In 2000, he joined the Photonics Innovation Centre, University of St Andrews, where he was involved with commercializing millimeter-wave technology. In 2004, he rejoined the Millimetre Wave Group, University of St Andrews. He has authored or coauthored over 40 technical papers. He has applied for four patents. His research interests include millimeter-wave radar, radiometry, imaging, electron spin resonance (ESR), materials characterization (ferrites, dielectrics, and absorbers) and antennas. Dr. Robertson is a Chartered Physicist in the U.K. He is a member of the Institute of Physics.

Philippe Goy was born in Charvieu, France, in 1941. He received the Ph.D. degree in physics from the University of Paris, Paris, France, in 1970. His early academic research was with the Physics Department, Ecole Normale Superieure, Paris, France. In the 1960s and 1970s, he was involved with solid-state physics, particularly on cyclotron resonance in metals with millimeter–submillimeter waves, with the Groupe de Physique des Solides. In the 1970s and 1980s, he was involved with atomic physics, in particular on the interaction of Rydberg atoms with millimeter waves, with the Laboratoire Kastler-Brossel. He has authored or coauthored over 50 papers. In 1989, he was granted a patent for a millimeter-submillimeter vector network analyzer through the Centre National de la Recherche (CNRS). He is responsible for development and applications of this system all over the world, through his company, AB Millimetre.

Graham M. Smith was born in Karlsruhe, Germany, in 1963. He received the B.Sc. degree (Hons.) from York University, York, U.K., in 1984, and the M.Sc. and Ph.D. degrees from the University of St Andrews, St Andrews, Fife, U.K., in 1985 and 1990, respectively. He currently heads the Millimetre Wave and High-Field Electron Spin Resonance (ESR) Group, University of St Andrews, where his research interests primarily focus on the development of millimeter wave components and systems for high-field ESR spectroscopy, DNP, and other applications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

899

Millimeter-Wave Transition From Waveguide to Two Microstrip Lines Using Rectangular Patch Element Hideo Iizuka, Member, IEEE, Kunio Sakakibara, Senior Member, IEEE, and Nobuyoshi Kikuma, Senior Member, IEEE

Abstract—A millimeter-wave transition from a waveguide to two microstrip lines and the design methodology are proposed. A rectangular patch element in a short-terminated waveguide is analyzed by the cavity model of a patch antenna and the dyadic Green’s function of the waveguide. The analysis points out that the rectangular patch element has an optimum width for wideband, which only has the function of the broad wall width of the waveguide. The transition also works as a divider. A numerical investigation of a transition having two microstrip lines validates the analytical model in terms of wideband, and indicates that the distance between the two microstrip lines has an optimum length for suppressing higher order modes. A prototype transition exhibits an insertion loss of 0.5 dB from 76 to 77 GHz, and a bandwidth of 6.9% (5.29 GHz) for the reflection coefficient below 15 dB for the waveguide port. Index Terms—Electromagnetic analysis, microstrip transitions, millimeter-wave circuits, power dividers, waveguide transitions.

I. INTRODUCTION

M

ILLIMETER-WAVE automotive radars have been developed not only for forward looking sensors, but also for rear and side looking sensors [1]–[5]. Long-range radars in the 77-GHz band have been on the market since 1999 for adaptive cruise control, and short-range radars in the 24-GHz band came on the market in 2005. Several types of antennas have been developed, including the triplate antenna [4], dielectric lens antenna [6], folded reflector antenna [7], slot antenna [8], [9], dielectric leaky wave antenna [10], microstrip antenna [5], [11], and others. The choice of antenna depends on the specifications required for the system such as cost, performance, size, producibility, etc. The microstrip antenna becomes a good candidate when radar sensors are widely used in vehicles due to its advantages of low cost and low profile. In the usual configuration, microstrip antennas placed on the surface of a radar sensor are connected to millimeter-wave circuits inside the sensor via waveguides. Therefore, transitions from the waveguide to the microstrip lines are required. Several types of transitions from waveguide to microstrip line have been reported. The ridge waveguide type [12], quasi-Yagi type [13], and planar waveguide type [14] have been studied as longitudinal transition from waveguide to microstrip line.

Manuscript received December 12, 2006; revised February 4, 2007. H. Iizuka is with Toyota Central Research and Development Laboratories Inc., Aichi-gun, Aichi 480 1192, Japan (e-mail: [email protected]). K. Sakakibara and N. Kikuma are with the Department of Computer Science and Engineering, Nagoya Institute of Technology, Nagoya, Aichi 466 8555, Japan. Digital Object Identifier 10.1109/TMTT.2007.895139

With regard to vertical transitions used in the configuration described, a conventional type of probe feeding has a wideband characteristic [15], [16], but it needs a metal short block with a quarter-wavelength. A way to replace the metal short block is to place a patch element in the waveguide to achieve sufficient coupling between the waveguide and microstrip line. The slot coupling type [17], where the microstrip line is coupled with the patch element in the waveguide by means of a slot, is composed of two dielectric substrates without a metal short block. The proximity coupling type [18] has been developed more recently. It can be composed of a single dielectric substrate attached to the waveguide and is suitable for mass production. A wideband characteristic improves the assembly tolerance, considering the shifts in the resonant frequency that are mainly caused by assembly errors, but a wideband design for a transition having a patch element in the waveguide has not been reported, to the authors’ knowledge, although a discussion of the quality factor of a rectangular patch element in a waveguide is found in the literature [18]. Where a microstrip line divider is needed between the transition and the microstrip antenna, a transition working as a divider would provide a compact feed network. With regard to the number of microstrip lines, the transitions [12]–[18] have one microstrip line. A transition working as a divider has also been reported [19]. Since it does not have a patch element in the waveguide, it needs a tapered waveguide. In this paper, a millimeter-wave transition from a waveguide to two microstrip lines and the design methodology are proposed. The transition can be composed of a single dielectric substrate attached to the waveguide. The configuration of the transition is presented in Section II. The design methodology includes two important aspects. These are the maximum bandwidth and the suppression of higher order modes. The design of the maximum bandwidth is described in Section III, based on an analysis of the quality factor derived in [18]. In Section IV, the suppression of higher order modes is numerically investigated. The numerical investigation is validated by measurement results, and the assembly tolerance is also mentioned. Conclusions are then presented in Section V. II. TRANSITION FROM WAVEGUIDE TO MICROSTRIP LINES This paper proposes a transition from the waveguide to two microstrip lines, as shown in Fig. 1. The two microstrip lines and the waveguide short with two notches for them are etched on the dielectric substrate. The rectangular patch element and ground are etched on the backside. Via-holes are placed along the circumference of the waveguide short to connect it electrically to the ground. Port numbers are defined as #1 for the waveguide, and #2 and #3 for the microstrip lines. The parameters of the

0018-9480/$25.00 © 2007 IEEE

900

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 2. Analytical model using cavity model and dyadic Green’s function of waveguide. Rectangular patch element on dielectric substrate is placed in shortterminated waveguide.

Fig. 1. Configuration of transition from waveguide to two microstrip lines. (a) Top view in AA –plane. (b) Backside of dielectric substrate in BB –plane. (c) Cross section in yz –plane. TABLE I PARAMETERS OF TRANSITION FROM WAVEGUIDE TO TWO MICROSTRIP LINES

patch element on the dielectric substrate is placed in the shortand are the effective length and terminated waveguide. width of the patch element, including the fringing effect. and are the thickness and effective relative permittivity of the dielectric substrate. The waveguide dimensions are by . of the patch element is given by The quality factor (1) and are quality factors of the power where transmitted into the waveguide, conductor loss, and dielectric loss. Assuming that the thickness of the dielectric substrate is much smaller than a free-space wavelength, TM modes are mode excited in the patch element. When the dominant is excited in the waveguide, the patch element has a dominant mode, and its equivalent magnetic currents are depicted is given with the cavity in Fig. 2. The quality factor model and the dyadic Green’s function of the waveguide [18] as follows:

transition are presented in Table I. The design frequency ranges from 76 to 77 GHz. The mode conversion from the waveguide to the two microstrip lines is achieved using the resonance of the patch elemode of the waveguide is converted ment. The dominant to the quasi-TEM mode of the microstrip lines, using the dommode of the patch element. The bandwidth of the inant transition is limited by the resonance. Thus, the wideband design is led by the analysis of the resonance of the patch element in the waveguide. III. WIDEBAND DESIGN USING ANALYTICAL MODEL The relationships between the parameters and bandwidth are analyzed to specify the optimum parameters for wideband. Fig. 2 shows an analytical model that uses a cavity model, which is used for the design of microstrip patch antennas, and the dyadic Green’s function of the waveguide. The rectangular

(2) are angular frequency, permittivity in free where , , and space, and guided wavelength of waveguide. The quality factors and are the same as those for a patch element without the waveguide, which is a patch antenna [20] (3) (4) are the skin depth of the conductor and the where and loss tangent of the dielectric. The relationships between the parameters and the quality factors are analyzed in this paper starting with (1)–(4). Equation

IIZUKA et al.: MILLIMETER-WAVE TRANSITION FROM WAVEGUIDE TO TWO MICROSTRIP LINES USING RECTANGULAR PATCH ELEMENT

(5) is considered to clarify the relationship between the quality and the effective width as follows: factor

901

TABLE II RELATIONSHIPS BETWEEN PARAMETERS AND BANDWIDTH

(5) Solving (5) for the case of expressed in (6) as follows:

, the effective width

is

(6) is a constant value of 1.166. Equation (6) gives the where minimum factor. This indicates the existence of an optimum for the wideband characdimension of the effective width teristic. is then given by

j j

0

Fig. 3. Simulated bandwidth for S < 15 dB versus width W of rectangular patch element. Bandwidth is normalized to BW = 4:03 GHz, the bandwidth for a square patch element having W = L = 1:11 mm.

The existence of the maximum bandwidth with an optimum is not affected by the number of feed effective width of lines and excitation methods such as proximity coupling, slot coupling, direct feeding, and the like. Thus, this analysis can be applied to all transitions that have a rectangular patch element.

(7) with a variation of broad wall length On the other hand, of the waveguide is described as follows by (8), while satisfying (6):

(8)

The value of the right-hand side of (8) is negative. It can be decreases as increases. In other words, the bandsaid that width increases with increasing , while the effective width is set to the optimum width for wideband. The relationships between the other parameters and the bandwidth can be understood from (2), and are summarized in Table II. In terms of the bandwidth, it becomes wider as decreases, but the transition becomes more sensitive to assembly errors with the decrease of the distance between the broad wall of the waveguide and the patch element. With regard to and , the relationships are the same as those of a patch antenna. These relationships in Table II are very useful for practical design, which includes choices not only for the dimensions of the patch element, but also for the dielectric substrate and the waveguide.

IV. PERFORMANCE Here, the transition in Fig. 1 is investigated numerically using Ansoft’s commercial package High Frequency Structure Simulator (HFSS) [21], which is based on the finite-element method. The numerical investigation validates the analysis in Section III of the in terms of the bandwidth with variation of the width patch element in Section IV-A, and clarifies the appropriate distance between the microstrip lines to avoid higher order modes in Section IV-B. The numerical investigation is validated by the measurement results in Section IV-C. Assembling tolerance is discussed in Section IV-D. A. Bandwidth dB Fig. 3 shows the simulated bandwidth for with variation of the width . The bandwidth in the vertical of 4.03 GHz for a axis is normalized to a bandwidth transition having a 1.11 mm 1.11 mm square patch element with a single microstrip line. It can be seen that the bandwidth at mm. An effective reaches a maximum of of 2.3 mm was calculated using (6) for an -band width mm. in Fig. 1 becomes smaller waveguide having in Fig. 2 due to the fringing effect. The comparison of than mm with mm validates the analysis described in Section III.

902

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 4. Simulated transmission coefficient from waveguide to microstrip line (——: W = 2:05 mm, d = 1:03 mm, – – – – –: W = 2:05 mm, d = 0:9 mm, – 1 – 1 – 1 –: W = 2:35 mm, d = 1:62 mm).

Fig. 6. Field distributions of rectangular patch elements at 76.5 GHz. (a) W = 2:05 mm and d = 1:03 mm. (b) W = 2:35 mm and d = 1:62 mm.

Fig. 7. Schematic diagram of voltage distributions in TM modes.

Fig. 5. Relationship between dip in transmission coefficient and distance between microstrip lines ( : W = 2:05 mm, 2: W = 2:35 mm). (a) Dip level versus normalized distance d=W . (b) Frequency of dip versus normalized distance d=W .

B. Distance Between Microstrip Lines The distance between microstrip lines and its effect on the transmission characteristic is analyzed next. Fig. 4 shows with different values for the transmission characteristic and distance . The solid line represents when width mm and mm, as shown in Table I. There is no dip in the curve in the frequency range from 66.5 is 3.3 dB from 76 to 77 GHz, which corto 86.5 GHz. responds to the insertion loss of 0.3 dB. At the same width, mm, but at a different distance mm, the transmission characteristic dips at 85.4 GHz, as represented by mm and mm, there the dotted line. When is a dip in the design frequency range from 76 to 77 GHz, as represented by the dotted–dashed line. This suggests that the occurrence of the dip and its frequency depend on the parameand . ters Fig. 5(a) and (b) shows the dip level and frequency with varimm and crosses ation of distance with circles for

and TM

for mm. The vertical axis in Fig. 5(a) is the dip level, which is the magnitude compared to that without a dip. The horizontal axis is the distance normalized to the width . It can be seen in Fig. 5(a) that the dip can be avoided at both mm and mm when is set to increases or dearound 0.5 . The dip level increases as creases from 0.5. As shown in Fig. 5(b), the dip frequency is strongly dependent on the width , differing significantly when mm and mm. However, the frequency varies little for different values of . These indicate that the dip is the is caused by the resonance of the patch element, where resonant length. The near-field distributions of the patch elements at 76.5 GHz mm and mm are shown in Fig. 6(a) for , and in Fig. 6(b) for mm and mm , which correspond to the solid line and the dotted–dashed line in Fig. 4, respectively. The field intensity is normalized to the maximum value in each case, and ranges from 20 to 0 dB. It is observed in Fig. 6(a) that the fundamental mode of is excited. On the other hand, a higher order is observed, as shown in Fig. 6(b). mode of Based on the investigation thus far, the dip in the transmission characteristic can be explained as follows. The voltage distribuand modes are depicted in Fig. 7, tions in the

IIZUKA et al.: MILLIMETER-WAVE TRANSITION FROM WAVEGUIDE TO TWO MICROSTRIP LINES USING RECTANGULAR PATCH ELEMENT

903

D. Tolerance for Manufacturing Accuracy

Fig. 8. Reflection and transmission characteristics when W = 2:05 mm and d = 1:03 mm (——: measurement, – – – – –: simulation). (a) Reflection coefficient of waveguide. (b) Transmission coefficient from waveguide to microstrip line.

where and are zero or positive integers. When the two mimode in crostrip lines are inserted at zero voltage for the is not excited. the -axis, represented by the dotted lines, An appropriate length for the inserted microstrip lines results is 0.5. If the miin low-loss transmission. In this case, mode crostrip lines were inserted at nonzero voltage, the would be excited, and a dip would occur in the transmission characteristic. C. Measurement Reflection and transmission coefficients are presented in Fig. 8(a) and (b). The measurement and simulation results are represented by the solid and dotted lines, respectively. The measurement results agree with simulation results. It can be seen from the simulation results that the bandwidth for dB is 4.84 GHz, and is 3.3 dB in the frequency range from 76 to 77 GHz. On the other hand, dB and are the measured bandwidth for 5.29 GHz and 3.5 dB from 76 to 77 GHz, respectively. The measured insertion loss is 0.5 dB. In this measurement, the device-under-test (DUT) was composed of a pair of transitions with two microstrip lines between them. The measured in Fig. 8(b) was given by taking the transmission coefficient of the DUT, subtracting the loss of the microstrip lines, and dividing by two. The loss of microstrip line was measured by the line-reflect-line (LRL) calibration method as 0.03 dB/mm from 76 to 77 GHz. The distance between the centers of the waveguides was set at 50 mm, which was long enough to distinguish between desired and undesired waves in the time domain. A time gate function was used to exclude undesired waves, and high accuracy was achieved in this measurement.

The effect of manufacturing accuracy on the performance of the transition is described here. Manufacturing accuracy includes the assembly accuracy between the substrate and waveguide and roundness accuracy of the corners of the waveguide. Since the simulation results were validated by the measurement results, the effect is numerically investigated. Fig. 9 shows the transmission coefficient at 76.5 GHz with a variation of the relative positions of the dielectric substrate and and are defined as distances in the the waveguide. and -axis from the center of the waveguide cross section to the center of the patch element etched on the dielectric substrate. The vertical axis in Fig. 9(a) represents the relative transmission and , where and in Fig. 9(a) coefficients . Circles are the transmission coefficients when and squares represent the relative transmission coefficients and for , while crosses represent and for due to the symmetry of the structure. decreases from 0.3 to 0.3 dB, and increases from 0.3 to 0.3 dB as increases from 0.2 to 0.2 mm. In other words, the transmission coefficient decreases or increases as the microstrip line moves farther from or to the center of the waveguide cross section. The amplitude difference between ports #2 and #3 remains 0.3 dB, when the assembly tolerance in the -axis is within 0.1 mm, which we believe average mass-production facilities allow. On and is less than the other hand, the degradation of varies from 0.08 to 1.6 mm, and rapidly 0.1 dB when . It can be said that increases with any further increase in the transmission loss is less than 0.1 dB when the assembly tolerance is within 0.1 mm in the -axis, when the transition is designed with an offset of mm. With regard to the phase characteristic shown in Fig. 9(b), the phase difference inbetween ports #2 and #3 varies from 3.5 to 3.5 as creases from 0.2 to 0.2 mm. The phase difference is less than 1.8 when the assembly tolerance is within 0.1 mm in the -axis. On the other hand, the phases of both ports are the due to the symmetry of the structure. same for Assembly errors in the -axis would cause amplitude and phase differences between ports #2 and #3, while assembly errors in the -axis would cause the degradation of transmission loss. The assembly tolerance of the transition would be acceptable, but the amplitude and phase differences may be a concern depending on the manufacturing accuracy of the mass production facilities and the system requirements. When the antenna in a radar system needs a very low sidelobe level, the assembly tolerance and its effect on sidelobe degradation should be given careful consideration. Fig. 10 shows the resonant frequency with variation of relative positions of the dielectric substrate and waveguide. The , resonant frequency is almost constant within 0.2 mm for while the resonant frequency decreases rapidly when is outside the range of 0.08 mm to 0.16 mm. It can be led from the comparison of the variations in and that the shift in the resonant frequency occurs due to the interaction between the patch element and the closest wall of the waveguide. As the wall moves close to the patch element, the resonant length including the interaction becomes longer than that of the patch element itself, resulting in the decrease in the resonant frequency. This

904

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 9. Transmission characteristics at 76.5 GHz with variation of the positions of substrate and waveguide. (a) Relative amplitudes of ports #2 and #3 to those y ( : Port #2 for x; : port #3 for x; : ports #2 & #3 for y ). (b) Phase difference between ports #2 and #3 ( : x; : y ). when x

1 =1 =0

1

1 2

1

1 21

result indicates that the degradation of the transmission coefficient at 76.5 GHz for in Fig. 9(a) is caused by the decrease in the resonant frequency. The effect of the roundness of the corners of the waveguide on transmission loss is presented in Fig. 11. The vertical axis represents the relative transmission coefficient at 76.5 GHz. The horizontal axis represents the radius of the corners of waveguide. It can be seen that the roundness of the corners does not affect the transmission loss when varies from 0 to 0.7 mm. This result indicates that very precise corners are not needed in manufacturing waveguides. V. CONCLUSION Fig. 10. Resonant frequency with variation of the positions of substrate and waveguide ( : x; : y ).

1 21

A transition from a waveguide to two microstrip lines and the design methodology have been proposed. The existence of an optimum width of the rectangular patch element for wideband was confirmed both analytically and numerically. The suppression of higher order modes was confirmed in a transition with two microstrip lines when the distance between microstrip lines was set to half the width of the patch element. The measurement results showed an insertion loss of 0.5 dB and both the wideband and the suppression were confirmed. The wideband design method using the cavity model and the dyadic Green’s function of the waveguide is independent of the number of microstrip lines and the excitation methods. The wideband design method will be widely used for transitions having a rectangular patch element in the waveguide. REFERENCES

Fig. 11. Transmission characteristic at 76.5 GHz with variation of radius r of waveguide corners.

[1] M. E. Russell, C. A. Drubin, A. S. Marinilli, and W. G. Woodington, “Integrated automotive sensors,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 674–677, Mar. 2002. [2] H. H. Meinel, “Automotive millimeter wave radar, status, trends and producibility,” in Proc. Top. Millimeter Waves Symp., Yokohama, Japan, Mar. 2000, pp. 5–9.

IIZUKA et al.: MILLIMETER-WAVE TRANSITION FROM WAVEGUIDE TO TWO MICROSTRIP LINES USING RECTANGULAR PATCH ELEMENT

[3] K. M. Strohm, H. L. Bloecher, R. Schneider, and J. Wenger, “Development of future short range radar technology,” in Proc. 2nd Eur. Radar Conf., Paris, France, Oct. 2005, pp. 165–168. [4] K. Fujimoto, “Current status and trend of millimeter-wave automotive radar,” in Microw. Workshops Exhibition Dig., Yokohama, Japan, Dec. 1995, pp. 225–230. [5] M. E. Russell, A. Crain, A. Curran, R. A. Campbell, C. A. Drubin, and W. F. Miccioli, “Millimeter-wave radar sensor for automotive intelligent cruise control (ICC),” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2444–2453, Dec. 1997. [6] D. A. Williams, “Millimeter wave radars for automotive applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Albuquerque, NM, Jun. 1992, vol. 2, pp. 721–724. [7] W. Menzel, D. Pilz, and R. Leberer, “A 77-GHz FM/CW radar front-end with low-profile low-loss printed antenna,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2237–2241, Dec. 1999. [8] J. Hirokawa and M. Ando, “Efficiency of 76-GHz post-wall waveguide-fed parallel plate slot arrays,” IEEE Trans. Antennas Propag., vol. 48, no. 11, pp. 1742–1745, Nov. 2000. [9] K. Sakakibara, T. Watanabe, K. Sato, K. Nishikawa, and K. Seo, “Millimeter-wave slotted waveguide array antenna manufactured by metal injection molding for automotive radar systems,” IEICE Trans. Commun., vol. E84-B, no. 9, pp. 2369–2376, Sep. 2001. [10] T. Teshirogi, Y. Kawahara, A. Yamamoto, Y. Sekine, N. Baba, and M. Kobayashi, “High-efficiency, dielectric slab leaky-wave antennas,” IEICE Trans. Commun., vol. E84-B, no. 9, pp. 2387–2394, Sep. 2001. [11] H. Iizuka, T. Watanabe, K. Sato, and K. Nishikawa, “Millimeter-wave microstrip array antenna for automotive radars,” IEICE Trans. Commun., vol. E86-B, no. 9, pp. 2728–2738, Sep. 2003. [12] H. W. Yao, A. Abdelmonem, J. F. Liang, and K. A. Zaki, “Analysis and design of microstrip to waveguide transitions,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2371–2379, Dec. 1994. [13] N. Kaneda, Y. Qian, and T. Itoh, “A broadband microstrip-to-waveguide transition using quasi-Yagi antenna,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2562–2567, Dec. 1999. [14] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [15] T. Q. Ho and Y. C. Shih, “Spectral-domain analysis of E -plane waveguide to microstrip transitions,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 2, pp. 388–392, Feb. 1989. [16] Y. Leong and S. Weinreb, “Full band waveguide to microstrip probe transitions,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, May 1999, vol. 4, pp. 1435–1438. [17] W. Grabherr, B. Hudder, and W. Menzel, “Microstrip to waveguide transition compatible with mm-wave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 9, pp. 1842–1843, Sep. 1994. [18] H. Iizuka, T. Watanabe, K. Sato, and K. Nishikawa, “Millimeter-wave microstrip line to waveguide transition fabricated on a single layer dielectric substrate,” IEICE Trans. Commun., vol. E85-B, no. 6, pp. 1169–1177, Jun. 2002. [19] M. Davidovitz, “Wide band waveguide to microstrip transition and power divider,” IEEE Microw. Guided Wave Lett., vol. 6, no. 1, pp. 13–15, Jan. 1996. [20] K. Hirasawa and M. Haneishi, Analysis, Design, and Measurement of Small and Low-Profile Antennas. Norwood, MA: Artech house, 1992, pp. 57–58. [21] HFSS. ver. 10.0, Ansoft Corporation, Pittsburgh, PA, 2005.

905

Hideo Iizuka (M’04) received the B.S. and M.S. degrees in electrical engineering from Saitama University, Saitama, Japan, in 1995 and 1997, respectively. In 1997, he joined Toyota Central Research and Development Laboratories Inc., Aichi, Japan. From 2001 to 2002, he was a Visiting Scholar with the Department of Electronic, Electrical and Computer Engineering, University of Birmingham, Birmingham, Edgbaston, U.K. He has been engaged in research on millimeter-wave antennas, digital terrestrial antennas, active antennas, and left-handed antennas for automotive applications. Mr. Iizuka was the recipient of the 2001 Institute of Electrical, Information and Communication Engineers (IEICE) Young Engineering Award.

Kunio Sakakibara (M’93–SM’06) was born in Aichi, Japan, on November 8, 1968. He received the B.S. degree in electrical and computer engineering from the Nagoya Institute of Technology, Nagoya, Japan, in 1991, and the M.S. and D.E. degrees in electrical and electronic engineering from the Tokyo Institute of Technology, Tokyo, Japan, in 1993 and 1996, respectively. From 1996 to 2002, he was with Toyota Central Research and Development Laboratories Inc., Aichi, Japan, where he was engaged in the development of antennas for millimeter-wave automotive radar systems. From 2000 to 2001, he was a Guest Researcher with the Department of Microwave Techniques, University of Ulm, Ulm, Germany. From 2002 to 2004, he was a Lecturer with the Nagoya Institute of Technology, Nagoya, Aichi, Japan, where he is currently an Associate Professor. His research interests are millimeter-wave antennas and circuits.

Nobuyoshi Kikuma (M’83–SM’03) was born in Ishikawa, Japan, on January 7, 1960. He received the B.S. degree in electronic engineering from the Nagoya Institute of Technology, Nagoya, Aichi, Japan, in 1982, and the M.S. and Ph.D. degrees in electrical engineering from Kyoto University, Kyoto, Japan, in 1984 and 1987, respectively. From 1987 to 1988, he was a Research Associate with Kyoto University. In 1988, he joined the Nagoya Institute of Technology, where he has been a Professor since 2001. His research interests include adaptive and signal-processing arrays, multipath propagation analysis, mobile and indoor wireless communication, and electromagnetic field theory. Dr. Kikuma was the recipient of the 4th Telecommunications Advancement Foundation Award in 1989.

906

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

RF Front-End Passive Circuit Implementation Including Antenna for ZigBee Applications Chan-Sei Yoo, Member, IEEE, Joong-Keun Lee, Dongsu Kim, Member, IEEE, Seong-Dae Park, Kwang-Ho Won, Nam-Kee Kang, Kwang-Seok Seo, and Woo-Sung Lee

Abstract—This paper presents a front-end passive circuit module for ZigBee applications using low-temperature co-fired ceramic technology. The front-end part consists of an antenna, a bandpass filter, a switch, and two baluns. In antenna design, the solenoid shape is employed for reducing the size of the antenna, 16.4 1.82 mm3 and a gain resulting in an overall size of 9 of 1.4 dBi. As for the filter, two types of filters are suggested. First, the lumped-type filter employing a high- spiral inductor has more than 20-dB attenuation at both stopbands, very near to the passband. Secondly, the semilumped-type filter adopting an edge coupled line and a loading capacitor provides a group delay of below 5 ns and is adequate for the full module structure due to the structure flexibility. A balun is evaluated using lumped components instead of a transmission line and, thus, it provides an insertion loss of only 0.3 dB and a phase difference of 180 between balanced signals. Based on these components, an RF front-end module including attaching pads for an RF integrated circuit (IC) and baseband IC is implemented. In case of using the lumped-type filter, the insertion loss of the front-end module is 6.5 dB, and the group delay is below 7 ns. In case of adopting the semilumped-type filter, the insertion loss is 6.2 dB, and the group delay is below 4 ns. The overall size of the former and latter is 25.14 28.5 0.68 mm3 and 25.66 25.58 1.17 mm3 , respectively.

Fig. 1. Block diagram of the ZigBee transceiver. TABLE I SPECIFICATION OF THE BANDPASS FILTER

Index Terms—Antenna, balun, bandpass filter, front-end passive, ZigBee.

I. INTRODUCTION

BIQUITOUS networking for higher density of nodes with low data rate communication capability and simple protocol has recently emerging. A low-power Bluetooth transceiver, which is standardized as IEEE802.15.1, is too expensive and consumes too much power for low-rate applications, such as those in home-automation and industrial protocol. Since 2000, the IEEE started to standardize IEEE802.15.4 for this type of wideband personal area network (WPAN) application

U

Manuscript received December 26, 2005; revised December 4, 2007. C.-S. Yoo, D. Kim, S.-D. Park, K.-H. Won, N.-K. Kang, and W.-S. Lee are with the Electronics Materials and Packaging Research Center, Korea Electronics Technology Institute, Gyeonggi-do 463-816, Korea (e-mail: [email protected]). J.-K. Lee was with the Korea Electronics Technology Institute, Gyeonggi-do 463-816, Korea. He is now with the Mobile Handset Research and Development Center, Mobile Communications, LG Electronics, Seoul 153-803, Korea. K.-S. Seo is with the School of Electrical Engineering and Computer Science, Seoul National University, Seoul 151-742, Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895651

Fig. 2. Circuit of the lumped type BPF for ZigBee application.

Fig. 3. Geometric parameters of the spiral inductor. (a) Circular. (b) Rectangular.

0018-9480/$25.00 © 2007 IEEE

YOO et al.: RF FRONT-END PASSIVE CIRCUIT IMPLEMENTATION INCLUDING ANTENNA FOR ZIGBEE APPLICATIONS

907

Fig. 5. Internal structure of the lumped type bandpass filter.

Fig. 4. Q factor of spiral inductor with regard to geometric parameters. (a) Q versus width (R = 1000 m, Space = 100 m, circular type). (b) Q versus shape (R = 500 m, Space = 100 m, Width = 400 m). (c) Q versus inner radius ( Space = 100 m, Width = 400 m, circular type).

[1]. The ZigBee is a new industry standard for short-range low bit-rate communication for low-cost and low battery-operated applications. Application areas include home automation and networking, interactive toys and games, sensor networking, industrial and commercial networking, and so on [2]. As a new technology requires for reduced volumes in the areas of personal communication systems, low-temperature

Fig. 6. Performance of the lumped type bandpass filter. (a) Insertion loss and return loss. (b) Group delay.

co-fired ceramic (LTCC) has evolved as one of the promising solutions for achieving a newly integrated packaging technology from a combination of thick-film and low-temperature co-fired dielectrics [3], [4]. This paper covers the RF front-end passive circuit module including a bandpass filter, a switch, two baluns, and an antenna

908

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 8. Performance of the semilumped bandpass filter. (a) Insertion loss and return loss. (b) Group delay.

Fig. 7. Circuit and internal structure of the semilumped bandpass filter. (a) Circuit. (b) Internal structure.

for ZigBee applications using multilayer LTCC technology. Fig. 1 shows a block diagram of the ZigBee transceiver and covered region in this study. II. PASSIVE DEVICE DESIGN A. Lumped Type Bandpass Filter The bandpass filter for ZigBee application requires narrowband characteristics (902 928 MHz) and over 20-dB 100 MHz); these charattenuation near to the passband ( acteristics are summarized in Table I. The third-order lumped type bandpass filter using a J-inverter and high- spiral inductor was designed to meet the specifications shown in Fig. 2. In order to implement the lumped type bandpass filter, the spiral-inductor performance with regard to geometric parameters that are shown in Fig. 3 was examined [5], [6]. As shown in Fig. 4, a value of over 60 was achieved using the circular shape with a linewidth of 400 m and an inner radius of 500

Fig. 9. Suggested equivalent circuit for the lumped type balun.

m. Based on the experimental results, an inner radius of 500 m is enough to minimize the loss due to eddy currents generated in the inner coils. In addition to maximizing the factor of the inductor itself, it is important to increase factor of the parallel resonance tank in Fig. 2. As the factor the inductance increases in the resonance part, the

YOO et al.: RF FRONT-END PASSIVE CIRCUIT IMPLEMENTATION INCLUDING ANTENNA FOR ZIGBEE APPLICATIONS

909

Fig. 10. Final equivalent circuit for the lumped type balun.

Fig. 12. Performance of the lumped type balun. (a) Insertion loss and return loss. (b) Phase. Fig. 11. Internal structure of the lumped type balun.

of the resonator tank increases as well. Therefore, a high inductance value is applied for the resonance tank. The coupling between resonance tanks was evaluated using edge coupled capacitors to get small capacitance easily and stably. The dimension of the lumped type bandpass filter is 12 10 0.5 mm , as shown in Fig. 5. This filter has an insertion loss of 2.5 dB and an attenuation of more than 20 dB at both stopbands. The group delay is approximately 7 ns, as shown in Fig. 6 [7].

adjusting the value of the loading capacitance. Fig. 8 shows the performance of the semilumped bandpass filter. Since the second-order circuit is applied, the attenuation in the stopband is worse. Still, the insertion loss and group delay are smaller than those of the third-order lumped type one. In the comparison of two types of bandpass filters adopting the same order circuit, the third-order semilumped type bandpass filter may have the similar electrical performance with the lumped type one, but still have good tunability of the operating frequency and the shape.

B. Semilumped Type Bandpass Filter

C. Balun

The semilumped type bandpass filter using an edge coupled line structure was suggested to overcome the limitation of lumped type one. This filter has two resonators and two loading capacitors controlling the operating frequency and bandwidth. The coupling between resonators was evaluated using the edge coupled stripline structure, as shown in Fig. 7. In comparison with the lumped type one, this circuit has some of the following advantages. First, the shape of the resonator can be adjusted freely while maintaining the electrical length of the resonator. Secondly, the frequency and bandwidth can be easily tuned by

The balun was realized using the equivalent circuit of a quarter-wavelength transformer instead of a transmission line, as shown in Figs. 9 and 10 [8]. By employing the suggested design using the equivalent-circuit model, the configuration of the multilayer balun can be made more compact and flexible, providing better performance. The designed balun was realized using embedded inductors and capacitors. The balanced and unbalanced ports impedance are chosen to be 50 , and the inductors with 12 nH and capacitors with 4.65 pF are used for 900-MHz operation.

910

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 13. Internal structure of the multilayered antenna.

Fig. 14. Measured return loss of the proposed antenna.

In the structure design, the series connected components and are placed near to the top layer for minimizing the coupling effect with a ground plane, as shown in Fig. 11. As shown in Fig. 12, the insertion loss is below 0.3 dB and the phase difference between balanced ports is 180 with 1 deviation over the operating frequency range. D. Antenna The geometry of the proposed antenna for operating at the ZigBee band is shown in Fig. 13. It consists of a ceramic body of 9 16 2 mm and a radiating element embedded in the ceramic body. In order to minimize the overall size of the proposed antenna, two different layers and vias are used for realizing the radiating element. Fig. 14 shows the measured return loss of the proposed antenna. The antenna operates in the frequency range of 897 938 MHz for . This impedance bandwidth is suitable for the narrow passband (902 928 MHz) for ZigBee application.

Fig. 15. Measured radiation pattern of the proposed antenna. (a) (b) E -plane.

H -plane.

Radiation patterns of the proposed antenna in the - and -planes are indicated in Fig. 15. Antenna gain is approximately 1.4 dBi. Note that the antenna was measured in standalone type at this time and could be integrated if necessary because the same material is used for the antenna and full module.

E. Switch As for switching Rx/Tx mode, a GaAs MESFET (RF2436) from RFMD is used and its characteristics are measured. The insertion loss is below 1.0 dB and the isolation is approximately 20 dB, as shown in Fig. 16.

YOO et al.: RF FRONT-END PASSIVE CIRCUIT IMPLEMENTATION INCLUDING ANTENNA FOR ZIGBEE APPLICATIONS

911

Fig. 17. Actual shape of the transceiver substrate with lumped type BPF.

Fig. 18. Port assignment for front-end measurement.

Fig. 17. The bandpass filter, balun, and biasing circuit for switch operation are embedded in a ceramic module. The filter structure is maintained for sustaining the value of the spiral inductor, which restricts the minimization of the full module dimension. The antenna is not included in the body of the front-end module, but could be united with the front-end module if necessary beis used. The flow cause the same dielectric material of the RF signal was examined from the filter to each balanced port of the balun at Rx/Tx modes, as shown Fig. 18. The total insertion losses at both modes are approximately 6.5 dB, which is in good agreement with the sum of the loss of each device. The phase difference between balanced signals passing the balun is 180 with 1 deviation. The group delay of the front-end part is 7.4 ns, which is almost the same as that of the filter. Therefore, the switch and lumped type balun are proven to have no effect on the group delay of the total module. The performance is summarized in Fig. 19. B. Front-End Module With Semilumped Type Bandpass Filter Fig. 16. Measured performance of GaAs switch. (a) Insertion loss and return loss for Rx path. (b) Insertion loss and return loss for Tx path. (c) Isolation for Tx and Rx.

III. FRONT-END EVALUATION A. Front-End Module With Lumped Type Bandpass Filter The transceiver substrate, including the RF front-end part with the lumped type BPF and the attaching pads for an RF integrated circuit (RFIC), baseband integrated circuit (IC) was evaluated using multilayer LTCC technology. The overall size is 25.14 28.5 0.68 mm , and 21 layers are included, as shown in

To improve some disadvantages of the lumped type bandpass filter, the front-end part adopting the semilumped type filter was fabricated and measured. As mentioned earlier, the shape of the resonator can be adjusted freely without changes of the electrical length of the resonator. To reduce the module dimension, the bandpass filter structure is embedded and placed under the RF and baseband ICs. Thus, an additional area for the filter is not needed. The overall size of the module is 25.66 25.58 1.17 mm , as shown in Fig. 20. The total insertion losses at both modes are approximately 6.2 dB. A good agreement with the sum of the loss of each device and the phase difference between balanced signals passing the balun is 180 with 1 deviation. The group delay is 4 ns, which is smaller than that of the lumped type front-end part due

912

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 19. Measured performance of the front-end with the lumped type BPF. (a) Insertion loss and return loss for Tx path. (b) Phase for Tx path. (c) Insertion loss and return loss for Rx path. (d) Phase for Rx path. (e) Group delay for Tx. (f) Group delay for Rx.

TABLE II CHARACTERISTICS OF TWO TYPES FRONT-END PARTS

Fig. 20. Actual structure of the semilumped type transceiver.

to the effect of the filter. Fig. 21 shows the measured performance of the semilumped type front-end part, and the comparison of the performance between the lumped and semilumped part is summarized in Table II.

YOO et al.: RF FRONT-END PASSIVE CIRCUIT IMPLEMENTATION INCLUDING ANTENNA FOR ZIGBEE APPLICATIONS

913

Fig. 21. Measured performance of the front-end with the semilumped type BPF. (a) Insertion loss and return loss for Tx path. (b) Phase for Tx path. (c) Insertion loss and return loss for Rx path. (d) Phase for Rx path. (e) Group delay for Tx. (f) Group delay for Rx.

IV. CONCLUSION The front-end passive circuit module containing an antenna, a bandpass filter, a switch, and two baluns was designed and evaluated using LTCC technology. In antenna design, the solenoid shape is employed for reducing the size of the antenna, resulting in an overall size of 9 16.4 1.82 mm and a gain of 1.4 dBi. As for the filter, two types of filters were suggested. First, the lumped type filter employing a high spiral inductor has more than 20-dB attenuation at both stopbands, which are very near to the passband. Secondly, the semilumped type filter adopting an edge coupled line and a loading capacitor provides a group delay of below 5 ns and is adequate for the full module structure due to the structure flexibility. A balun is evaluated using lumped components instead of a transmission line and, thus, it provides an insertion loss of only 0.3 dB and a phase

difference of 180 between balanced signals. Based on these components, an RF front-end passive circuit module including attaching pads for the RFIC and baseband IC is implemented. In case of the lumped type filter, the insertion loss of the front-end module is 6.5 dB, and the group delay is below 7 ns. In case of the semilumped type filter, the insertion loss is 6.2 dB, and the group delay is below 4 ns. The overall size of the former and latter is 25.14 28.5 0.68 mm and 25.66 25.58 1.17 mm , respectively. ACKNOWLEDGMENT The authors are grateful to MicroRF, Gyeonggi-do, Korea, for antenna design and measurement. Thanks are due to S. H. Kim, MicroRF, for advice and helpful discussions.

914

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

REFERENCES [1] K. Lee, “An experimental coin-sized, low cost, low power CMOS ZigBee-like radio at 2.4 GHz as a ubiquitous network node,” in Proc. 24th Int. Microelectron. Conf., Seribia, Montenegro, May 16–19, 2004, vol. 2, pp. 531–534. [2] S. K. Singh, T. K. Bhattacharyya, and A. Dutta, “Fully integrated CMOS frequency synthesizer for ZigBee applications,” in Proc. 18th Int. VLSI Design Conf./4th Int. Embedded Syst. Design Conf., 2005, pp. 780–783. [3] S. Tosaka, K. Hoshi, and Honda, “Properties of low temperature co-fired multilayer ceramic substrates with buried passive components,” in Proc. 4th Int. Microelectron. Conf., 1988, pp. 83–89. [4] H. Hayashi, T. Ikeda, and S. Nishigaki, “Newly developed multilayer smaller size LC chip elements for EMC noise filters made by low temperature fireable ceramics,” in Proc. Int. Miroelectron. Symp., 1991, pp. 508–512. inductors on low [5] G. Carchon, W. De Rated, and E. Byrne, “High resistivity silicon through wafer post-processing,” in IMAPS, Denver, CO, Sep. 4–6, 2002, pp. 604–609. [6] M. Park, C. H. Kim, C. S. Kim, M. Y. Park, S. D. Kim, Y. S. Youn, and H. K. Yu, “Frequency-dependant series resistance of monolithic spiral inductors,” IEEE Microw. Guided Wave Lett., vol. 9, no. 12, pp. 514–516, Dec. 1999. [7] J. Lee, C. Yoo, H. Jung, W. Lee, and J. Yook, “Design of bandpass filter for 900 MHz ZigBee application using LTCC high inductor,” in Proc. Asia–Pacific Microw. Conf., 2005, vol. 1, pp. 549–552. [8] D. Lew, J. Park, D. Ahn, N. Kang, C. Yoo, and J. Lim, “A design of the ceramic chip balun using the multilayer configuration,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 220–224, Jan. 2001.

Q

Q

Chan-Sei Yoo (M’06) received the B.S. and M.S. degrees in electrochemical engineering from Seoul National University, Seoul, Korea, in 1996 and 1998, respectively, and is currently working toward the Ph.D. degree in electronic and electrical engineering at Seoul National University. In 1998, he joined the Electronic Materials and Packaging Research Center, Korea Electronics Technology Institute, Gyeonggi-do, Korea, where he was involved in the development of microwave chip component using LTCC technology like chip inductors, chip capacitors, chip couplers, chip baluns, and so on. He has conducted research on ceramic modules like dual-band voltage-controlled oscillators for global system for mobile communication (GSM)/digital cellular system (DCS) application, dual-band antenna switch module for GSM/DCS application, and dual-band power amplifier for Korea Personal Communication Services (KPCS)/wideband code division multiple access (WCDMA) and GSM/WCDMA application. His current research focuses on wireless local area network (WLAN) transceiver modules and radar systems for millimeter-wave application, especially CMOS and GaAs devices design and fabrication, and system-on-a-package (SOP).

Joong-Keun Lee received the B.S. degree in electronics engineering from Pukyung University, Pusan, Korea, in 2002, and the M.S. degree in electronics engineering from Yonsei University, Seoul, Korea, in 2006. In 2006, he joined the Mobile Handset Research and Development Center, Mobile Communications, LG Electronics, Seoul, Korea. His main research interests are RF circuits, antenna switch modules, and filters.

Dongsu Kim (S’00–M’05) received the B.S. and M.S. degrees in electronic and electrical engineering from Kyungpook National University, Daegu, Korea, in 1997 and 1999, respectively, and the M.S. and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2001 and 2004, respectively. His doctoral research concerned design, fabrication, and characterization of ferroelectric devices, beamforming networks, and smart antenna systems for WLAN applications. In 2001, he was with MicroCoating Technologies, Chamblee, GA, where he was involved with design and analysis of ferroelectric devices. In 2004, he joined the Electronic Materials and Packaging Research Center, Korea Electronics Technology Institute, Gyeonggi-do, Korea. He has conducted research in the areas of dual-band power amplifier modules based on LTCC, a power amplifier module integrated with a duplexer, and a triple-band surface acoustic wave (SAW) duplexer module for wireless communication systems. His current research focuses on ferroelectric tunable devices, LTCC-based multilayer module developments, and system-in-package.

Seong-Dae Park received the B.S. and M.S. degrees in inorganic materials engineering from Seoul National University, Seoul, Korea, in 1993 and 1995, respectively. In 1995, he was with the Ssangyong Research Center, Ssangyong Cement Industrial Company, Daejeon, Korea, where he was involved with the development of piezoelectric transducers and their composition. In 1997, he moved to Daewoo Electronics, Seoul, Korea, where he was involved with fabrication of electronic devices based on LTCC-M technology. In 2001, he joined the Electronic Materials and Packaging Research Center, Korea Electronics Technology Institute, Gyeonggi-do, Korea. He has conducted research in the areas of electronic ceramic packages, embedded resistors, photolithographic thick-film processes, and constrained sintering based on LTCC.

Kwang-Ho Won was born in Gyeonggi-do, Korea, in 1966. He received the B.S. and M.S. degrees in electronic and electrical engineering from Chung-ang University, Seoul, Korea, in 1989 and 2003, respectively. From 1991 to 1997, he was with Hyundai Electronics, as an Assistant Senior RF/Analog Design Engineer, where he was involved with the tuner, channel decoder development for satellite (DVB-S), and terrestrial HDTV (8-VSB) receiver. In April 1997, he joined the Ubiquitous Computing Research Center, Korea Electronics Technology Institute, Gyeonggi-do, Korea, where he was involved in the development of wireless communication system (Bluetooth/WLAN), digital satellite (DVB-S), and digital terrestrial (8-VSB) receivers. His current research is focused on RF/analog ICs, baseband modem/MAC processor, and wireless personal area network (WPAN) systems with his Ubiquitous Computing and Network (UCN) Research Team.

Nam-Kee Kang received the B.S., M.S., and D.E. degrees in metallurgical engineering from Yonsei University, Seoul, Korea, in 1983, 1985, and 1991, respectively. He then joined the Korea Electronics Technology Institute (KETI), Gyeonggi-do, Korea, where he was involved with the development of microwave chip components like multilayer ceramic inductors, coupler, transformers, etc. He was also involved with research on magnetics, such as the ferrite inductor and microbead. He then performed research on MCM-C technology, including embedded passive devices and multilayered modules like voltage-controlled oscillators (VCOs) and phase-locked loops (PLLs). He is currently a Director with the Electronic Materials and Packaging Research Center, KETI. His current research focuses on system-on-packaging, integrating all systems including active devices in one chip using a multilayer ceramic and organic system.

YOO et al.: RF FRONT-END PASSIVE CIRCUIT IMPLEMENTATION INCLUDING ANTENNA FOR ZIGBEE APPLICATIONS

Kwang-Seok Seo received the B.S. degree from Seoul National University, Seoul, Korea, in 1976, the M.S. degree from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 1978, and the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 1987. From 1978 to 1982, he was a Senior Research Engineer with the Korea Institute of Electronics Technology. From 1987 to 1988, he was a Post-Doctoral Fellow with the IBM T. J. Watson Research Center. Since 1989, he has been with Seoul National University, where he is currently an Associate Professor with the School of Electrical Engineering and Computer Science. His current interests include high-speed device physics and technology, compound semiconductor materials, and high-frequency circuit design.

915

Woo-Sung Lee received the B.S. and M.S. degrees from Korea University, Seoul, Korea, in 1990 and 1992, respectively, and is currently working toward the Ph.D. degree in material science engineering at Korea University. In 1992, he joined the YuYu Company, Seoul, Korea, where he was involved in the development of magnetic materials for switching mode power supply and magnetic strip sensor. In 1997, he has joined the Korea Electronics Technology Institute (KETI), Gyeonggi-do, Korea, where he has been involved in the research and development activities of microwave components using LTCC technology. His research has included the material design and fabrication process of LTCC components, such as inductors, couplers, power amplifier modules (PAMs), VCOs, and antenna switch modules (ASMs) for wireless communication systems and the analysis of thermal behavior for the substrates. He has been with the Department of Electronic Materials and Packaging Research Center, KETI, where is currently a Managerial Researcher. His major interest is in multilayered passive components and their applications.

916

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Wideband Design of the Fully Integrated Transmitter Front-End With High Power-Added Efficiency Hyungrak Kim, Member, IEEE, and Young Joong Yoon, Member, IEEE

Abstract—A novel approach to enhance power-added efficiency (PAE) bandwidth and transmitting power bandwidth of the fully integrated transmitter front-end is proposed. To obtain these characteristics, a wideband multifunctional antenna operating as an output matching load of the power transistor and harmonic tuning circuits, as well as a radiator, is designed and fully integrated with a power transistor. Therefore, the wideband direct integration between the output of the power transistor and input of the antenna can be achieved without any impedance transformers. Under the condition of PAE over 50% and transmitting power within 3 dB for the peak transmitting power at the operating band, the measured PAE bandwidth and transmitting power bandwidth are 930 MHz (from 4.9 to 5.83 GHz) and 1030 MHz (from 4.8 to 5.83 GHz), respectively. About a half size is also obtained compared with the conventional wideband class F active antenna for high PAE, and the second and third harmonic radiations of the proposed structure for the normalized peak power of the fundamental frequency are measured less than 30 and 40 dB in all directions, respectively. Index Terms—Active integrated antenna (AIA), full integration, harmonic, power-added efficiency (PAE), transmitter, wideband.

I. INTRODUCTION HE power-added efficiency (PAE) of the power amplifier has been always considered as a very important theme in wireless communication systems because it has a direct influence on power consumption (especially the battery life in the mobile environment) and leads to compact and portable RF products. Furthermore, as the trend of modern advanced wireless communication systems moves toward low power consumption and long-term operating conditions, the importance of PAE increases more and more. To maximize its PAE, many approaches on the power amplifier itself have been carried out in the past [1]–[4]. Since these power amplifiers with high PAE are discretely connected with an antenna, as shown in Fig. 1(a), these structures cause large size, complex structure, and difficulty of design for compact RF front-ends. To overcome these disadvantages, the active integrated antenna (AIA), shown Fig. 1(b), was recently proposed [5]–[8]. In the AIA structure, the antenna operates as both a radiator and

T

Manuscript received July 13, 2006; revised February 3, 2007. H. Kim was with the Department of Electrical and Electronic Engineering, Yonsei University, Seoul, Korea. He is now with the Department of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 USA (e-mail: [email protected]). Y. J. Yoon is with the Department of Electrical and Electronic Engineering, Yonsei University, Seoul, Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895165

Fig. 1. Configurations of: (a) conventional active antenna for high PAE, (b) AIA, and (c) fully integrated transmitter front-end with high PAE. (d) Proposed wideband fully integrated transmitter front-end for PAE bandwidth enhancement and transmitting power bandwidth enhancement.

tuning network to reactively tune the harmonics at the output of the power transistor. However, an additional output matching network between the power transistor and antenna is always required. Therefore, this point still causes size increase, insertion loss, and low PAE of the total RF front-end system. In a recent study [9], the fully integrated transmitter front-end with a high

0018-9480/$25.00 © 2007 IEEE

KIM AND YOON: WIDEBAND DESIGN OF FULLY INTEGRATED TRANSMITTER FRONT-END WITH HIGH PAE

917

PAE using the direct impedance-matching technique between the power transistor and antenna was presented, as shown in Fig. 1(c). Therefore, the full integration between the power transistor and antenna is achieved. In this paper, a novel approach to enhance PAE bandwidth and transmitting power bandwidth of the fully integrated transmitter front-end [9] using the wideband direct integration between the power transistor and antenna is proposed for the whole 5-GHz band, and its configuration is shown in Fig. 1(d). Here, the antenna operates as a wideband output matching load of the power transistor for PAE and transmitting power and a wideband harmonic tuning circuit, as well as a wideband radiator. Therefore, the wideband direct integration between the output of the power transistor and input of the antenna can be achieved without any impedance transformers. II. DESIGN OF THE PROPOSED WIDEBAND FULLY INTEGRATED TRANSMITTER FRONT-END A. Biasing, PAE, and Transmitting Power Characteristics of the Power Transistor Class F, which theoretically provides output efficiency of 100%, is used in this study. For the class F power amplifier, the output network is lossless, and no harmonic power can be generated by the power transistor since harmonic tuning networks suppress the harmonic power transmitting to the load [4]. Since the antenna in this study operates as a wideband output matching network of the power transistor, a wideband harmonic tuning networks, and a wideband radiator, the design factor for the power transistor is the just bias condition. The selected power transistor is Microwave Technology MWT-871HP power GaAs field-effect transistor (FET), which is modeled within Agilent’s Advanced Design System (ADS). For the maximum PAE of the power transistor, the drain voltage is biased to 4.5 V with the drain current of approximately 8% saturated drain to source current ( ). The simulation is carried out by using ADS. The load–pull simulations for PAE and transmitting power characteristics of the power transistor at each frequency (5.15, 5.5, and 5.825 GHz) are shown in Figs. 2 and 3, respectively. Here, 5.15, 5.5, and 5.825 GHz are the lowest, center, and highest frequencies at the target band (the whole 5-GHz band), respectively. In this study, the high PAE bandwidth and transmitting power bandwidth are limited under the conditions of PAE higher than 50% and transmitting power within 3 dB for the peak transmitting power, respectively. The best way to obtain ideal wide PAE bandwidth and transmitting power bandwidth of the proposed structure is that at each frequency of antenna shown in Fig. 1(d) are matched at both of the maximum PAE and transmitting power points at each frequency of the power transistor. However, this is impossible to design since the maximum PAE and transmitting power points at each frequency are different, as shown in Figs. 2 and 3. Therefore, the tradeoff between PAE and transmitting power should be considered. Here, it is observed that PAE characteristics are rapidly changed while transmitting power characteristics are slowly changed for the

Fig. 2. Load–pull simulation for PAE characteristics of the power transistor at each frequency.

Fig. 3. Load–pull simulation for transmitting power characteristics of the power transistor at each frequency.

variations of frequencies, and also design limitation (50% PAE circles at each frequency) of PAE is tighter than that (3-dB lower transmitting power circle than the peak transmitting power at each frequency) of transmitting power. Therefore, of the antenna shown in Fig. 1(d) at each frequency are closely matched to the maximum PAE points of the power transistor at each frequency. In Table I, the maximum PAE and transmitting power points at the lowest, center, and highest frequencies of the whole 5-GHz band by load–pull simulation are summarized.

918

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

TABLE I MAXIMUM PAE AND TRANSMITTING POWER POINTS AT THE LOWEST, CENTER, AND HIGHEST FREQUENCIES OF THE WHOLE 5-GHz BAND BY LOAD–PULL SIMULATION

Fig. 4. (a) Top and (b) side views of the dual-slot-type HSA with wide bandwidth [10].

B. Design of the Wideband Multifunctional Antenna For the wideband direct integration, power transistor, and antenna, as shown in Fig. 1(d), the multifunctional antenna operating as a wideband radiator, a wideband harmonic tuning circuit, and a wideband output matching network of the power transistor should be designed. In this study, the dual-slot-type harmonic suppressed antenna (HSA) in [10] is modified and shown in Fig. 4. This antenna is designed on the substrate with copper of 0.5 oz, a substrate height of 20 mil, and a dielectric constant of 2.5. To achieve the wideband harmonic tuning function for short and open impedance at the second and third harmonic frequency bands and wideband direct impedance matching to the maximum PAE points of the power transistor at the fundamental of the feed line and resonant frequency band, the length , and the distance between two open stub length semi-bow-tie-shaped slots are modified. for the variations of In Figs. 5–7, simulated , , and , shown in Fig. 4, are presented. Other optimm, mm, mized parameters are mm, mm, mm, mm, mm, mm, mm, and mm. for from The variations of the simulated of 0.3 mm and 4.05 to 6.05 mm are shown in Fig. 5 with of 0.9 mm. As increases, the simulated at the fundamental frequency band (5.15 5.825 GHz) of the proposed structure shown in Fig. 5(a) is moved to the upper direction. This characteristic is due to the increased electrical increases. In the case with of 5.05 mm, length as

approaches to near the maximum PAE points at each fundamental frequency. The simulated real values of at the second harmonic frequency band are hardly changed, as shown in Fig. 5(b). However, the rapid variaround the third harmonic frequency ations of changes. The variations of also band are observed as , have influence on the imaginary values of shown in Fig. 5(b). Therefore, imaginary values at the second and third harmonic frequencies should be considered together, which shows the tradeoff of the design for the wideband direct integration with the power transistor. The imaginary values at the second harmonic frequency band are changed with small variations. However, at the third harmonic frequency band, are rapidly changed. the imaginary values of of 5.05 mm, the imaginary values of In the case with at the third harmonic frequency band become very high. Therefore, for the design to satisfy the characteristics of wideband direct impedance matching at the fundamental at the frequency band and short and open second and third harmonic frequency bands, of 5.05 mm is selected in this study. for from The variations of the simulated of 5.05 mm and 0.1 to 0.5 mm are shown in Fig. 6 with of 0.9 mm. As increases, the simulated circles at the fundamental frequency band (5.15 5.825 GHz) of the proposed structure shown in Fig. 6(a) become larger. This characteristic is due to the increased coupling to the antenna as increases. In the case with of 0.3 mm, approaches to near the maximum PAE points at each fundamental at the second and third frequency. The simulated harmonic frequency bands are changed with small variations. is the simulated Therefore, the important design factor for at the fundamental frequency band. In the case of 0.3 mm, the best optimized result is obtained. with for from The variations of the simulated 0.8 to 1.0 mm are shown in Fig. 7 with of 5.05 mm and of 0.3 mm. As increases, the simulated circles at the fundamental frequency band (5.15 5.825 GHz) of the proposed structure shown in Fig. 7(a) become smaller. This characteristic is due to the decreased coupling between two of 0.9 mm, slot radiators. In the case with approaches to near the maximum PAE points at each fundaat the second mental frequency. The simulated and third harmonic frequency bands are changed with small is the variations. Therefore, the important design factor for at the fundamental frequency band. simulated The best simulated performance is shown in the case with of 0.9 mm. C. Wideband Direct Integration Between the Power Transistor and Multifunctional Antenna In Sections II-A and B, biasing of the transistor, load–pull simulation, and the wideband multifunctional antenna are designed. Here, as the final step, the wideband direct integration between the power transistor and the antenna shown in Section II-B is presented. To do this simulation, the biased transistor shown in Section II-A and the antenna shown in Section II-B are directly integrated in the ADS simulation. With

KIM AND YOON: WIDEBAND DESIGN OF FULLY INTEGRATED TRANSMITTER FRONT-END WITH HIGH PAE

Fig. 5. Z frequency bands.

Fig. 6. bands.

Fig. 7. bands.

of the proposed structure for the variations of

L

919

shown in Fig. 4: (a) at the fundamental frequency bands and (b) at the harmonic

Z

of the proposed structure for the variations of L

Z

of the proposed structure for the variations of W shown in Fig. 4: (a) at the fundamental frequency bands and (b) at the harmonic frequency

this total structure, the optimized structure is obtained.

shown in Fig. 4: (a) at the fundamental frequency bands and (b) at the harmonic frequency

of the proposed

Fig. 8 shows the optimized of the proposed structure for PAE and transmitting power characteristics of the

920

Fig. 8. Optimized Z

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

of the proposed structure for: (a) PAE and (b) transmitting power characteristics of the power transistor.

TABLE II POINTS AT EACH FREQUENCY AND Z

power transistor. The proposed structure is designed to maintain high PAE and flat transmitting power at the whole 5-GHz band. In this study, the high PAE bandwidth and transmitting power bandwidth are limited under the conditions of PAE over 50% and transmitting power within 3 dB for the peak transmitting power at the target band, respectively. For the PAE characteristic of the power transistor shown in point (p1) of the proposed structure Fig. 8(a), at 5.15 GHz is located to near the maximum PAE point at point (P2) 5.15 GHz. As frequency increases, becomes distant from the maximum PAE point at 5.5 GHz, and then point P3 becomes close to the maximum PAE point at each fundamental at 5.825 GHz. All the frequency band are located inside of 50% PAE circles at each fundamental frequency. Therefore, from this simulation, it can be expected that the proposed structure can provide high PAE

OF THE

PROPOSED STRUCTURE

over 50% at the whole 5-GHz band. The points (p4 p9) of the second and third harmonic frequency bands are located to near short and open impedances. For the transmitting power characteristic of the power transistor shown in Fig. 8(b), as frequency increases or decreases at the fundafrom approximately 5.65 GHz, mental frequency band becomes distant from each maximum transmitting power point. However, it is observed that transmitting powers at the each fundamental frequency are not p3) rapidly changed since all transmitting power points (p1 are located 3 dB lower transmitting power of circles than the peak transmitting powers at the fundamental frequencies. From these simulated results, the proposed structure provides transmitting power with small variation at the entire 5 GHz. In Table II, points at each frequency and of the proposed structure are summarized.

KIM AND YOON: WIDEBAND DESIGN OF FULLY INTEGRATED TRANSMITTER FRONT-END WITH HIGH PAE

Fig. 9. Output networks of the conventional wideband class F active antenna designed in this study.

921

Fig. 11. Measurement setup for the proposed structure.

Fig. 10. Measured output power, PAE, and gain of the conventional wideband class F active antenna.

III. EXPERIMENTAL RESULTS AND DISCUSSION In this study, the conventional wideband class F active antenna is also designed and fabricated to compare with the proposed structure. The same bias condition, bias line structure, and input matching networks of the proposed structure are used. The different design point is the composition of output networks, as shown in Fig. 9. It briefly consists of a harmonic control network (TL1), matching network at the fundamental frequency (TL2, TL3, and TL4), and output dc block network. A quarter-wave transmission line shorted at the output fundamental matching network is used for harmonic control instead of lumped parallel circuits connected in series since it can provide higher PAE than a lumped parallel one. A coupled line is also used as an output dc block network. The measured output power, PAE, and gain characteristics of the conventional wideband class F active antenna over the input power are shown in Fig. 10. This measurement is performed at 5.5 GHz. As input power increases, output power and gain are linearly increased and then saturated at around 25 dBm and 10 dB, respectively. The maximum measured PAE of the proposed structure is 52% at input power and transmitting power of 17 and 26.3 dBm, respectively. The experiment of the proposed structure is different from that of the conventional power amplifier because the amplifier and antenna are fully integrated as one RF circuit. Therefore, the measurement is carried out by using reflection and polarization-

Fig. 12. Measured transmitting power versus input power of the wideband fully integrated transmitter front-end and conventional wideband class F active antenna for high PAE at 5.5 GHz.

matched Friis transmission equation [11] at far-field distance as follows: (1) , and are the power delivered where , , , to the spectrum analyzer, transmitting power from the proposed structure, gain of the transmitting antenna, gain of the receiving antenna (standard gain horn antenna), and free-space loss, respectively. To correctly obtain and PAE, the pure passive anshould be first measured in the broadside directenna gain tion of the antenna since the antenna used in this study is based on the slot antenna, and this kind of antenna has the maximum directivity in the broadside direction. Using these known factors , , and , unknown factor can be calculated from (1), and PAE is then obtained. In Fig. 11, the measurement setup is also shown, and for this setup, a signal generator (Anritsu MG3695A) spectrum analyzer (Anritsu MS2668C) and standard gain horn antenna (Flann microwave 13240-15) are used. Fig. 12 shows the measured transmitting power versus input power of the proposed structure and conventional wideband class F active antenna for high PAE at 5.5 GHz. Both of the two

922

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 13. Measured PAE versus input power of the proposed wideband fully integrated transmitter front-end and conventional wideband class F active antenna for high PAE at 5.5 GHz.

curves are linearly increased and then saturated at transmitting power of around 26.3 dBm. This verifies that power transistors used in the proposed structure and conventional wideband class F active antenna for high PAE have nearly the same bias condition. From this point, the performances between two structures can be accurately compared. Fig. 13 shows the measured PAE versus input power of the proposed structure and conventional wideband class F active antenna for high PAE at 5.5 GHz. The PAE is measured at the broadside direction of the antenna, and the fundamental frequency is 5.5 GHz. The maximum measured PAE of the proposed structure is 54.5% at input power and transmitting power of 17 and 26.6 dBm, respectively, which is approximately 3% higher than that of the conventional wideband class F active antenna for high PAE at the same input power of 17 dBm. The reason is due to low insertion loss by the direct integration of the power transistor and antenna. In Fig. 12, the difference of two curves at input power of 17 dBm is 0.2 dB. This is the insertion loss of the output coupled line dc block of the conventional wideband class F active antenna for high PAE. The measured insertion loss of the fabricated output coupled line dc block itself is also 0.21 dB, and it agrees well with the insertion loss at input power of 17 dBm, shown in Fig. 12. Therefore, it is reasonable that the measured maximum PAE of the proposed structure is 3% higher than that of the conventional wideband class F active antenna for high PAE at input power of 17 dBm. Fig. 14 shows the measured PAE and transmitting power versus frequency of the proposed structure. This measurement is carried out at an input power of 17 dBm. From 4.8 to 4.9 GHz, the PAE curve rapidly increases, while the transmitting power curve very slowly increases. This is because of the proposed structure is the simulated more rapidly changed for PAE characteristics of the power transistor than for transmitting power characteristics of the power transistor for the variations of frequencies, as shown in Fig. 8. The PAE and transmitting power characteristics are then maintained from 4.9 to 5.83 GHz with small variation. Above 5.83 GHz, both the PAE and transmitting power

Fig. 14. Measured PAE and transmitting power versus frequency of the proposed wideband fully integrated transmitter front-end.

Fig. 15. Measured radiation patterns at the fundamental and harmonic frequencies (5.5, 11, and 16.5 GHz) of the proposed wideband fully integrated transmitter front-end for bandwidth enhancement.

are very rapidly decreased. This means that above 5.83 GHz of the proposed structure rapidly becomes distant from the PAE and transmitting power circles shown in Fig. 8. The measured bandwidths of PAE higher than 50% and transmitting power with a variation within 3 dB for the peak transmitting power are 930 MHz (from 4.9 to 5.83 GHz) and 1030 MHz (from 4.8 to 5.83 GHz), respectively. These results present that the proposed structure provides twice wider PAE bandwidth and transmitting power bandwidth compared with the fully integrated transmitter front-end [9], and the measured PAE bandwidth and transmitting power bandwidth include the entire 5-GHz band. Therefore, the proposed structure can be broadly used in wideband wireless applications including the entire 5-GHz band. The best measured results with PAE of 57.7% and transmitting power of 26.6 dBm are obtained at 5.1 and 5.5 GHz, respectively. The proposed structure also provides not only a wideband high PAE and compact RF-front structure, but also suppressed harmonics. Fig. 15 shows the measured - and -plane radiation patterns of the proposed structure at the fundamental,

KIM AND YOON: WIDEBAND DESIGN OF FULLY INTEGRATED TRANSMITTER FRONT-END WITH HIGH PAE

923

structure. As a measured result, the proposed structure is approximately half miniaturized compared with the conventional wideband class F active antenna for high PAE. Therefore, the proposed structure can provide not only the compact structure by size reduction, but also wide-bandwidth characteristics for PAE and transmitting power by wideband direct integration between the power transistor and antenna. IV. CONCLUSION In this paper, a novel wideband approach to obtain enhanced PAE bandwidth and transmitting power bandwidth of the fully integrated transmitter front-end has been presented. To realize this, the wideband direct integration between the output of the power transistor and input of the antenna is achieved without any wideband impedance transformers. The measured PAE bandwidth and transmitting power bandwidth are 930 MHz (from 4.9 to 5.83 GHz) and 1030 MHz (from 4.8 to 5.83 GHz), respectively, under the condition of PAE over 50% and transmitting power within 3 dB for the peak transmitting power. Therefore, the proposed structure can be efficiently operated with good output power at the entire 5-GHz band. The fabricated wideband fully integrated transmitter front-end also provides excellent size reduction compared with the conventional wideband class F active antenna for high PAE, and the second and third harmonic radiations of the proposed structure for the normalized peak power of the fundamental frequency are measured less than 30 and 40 dB in all directions, respectively. Therefore, when the proposed structure is arrayed, it can be expected that some advantages, such as the suppression of undesired spurious radiation, reduced electromagnetic interference (EMI)/electromagnetic compatibility (EMC), low system loss, and compact structure can be obtained. REFERENCES

Fig. 16. Fabrication of the proposed wideband fully integrated transmitter front-end (upper structure) and conventional wideband class F active antenna for high PAE (lower structure). (a) Top view. (b) Bottom view.

second, and third harmonic frequencies, respectively. This measurement is carried out at the input power of 17 dBm and 5.5 GHz. For the normalized peak power of the fundamental frequency, the second and third harmonic radiations of the proposed structure are less than 30 and 40 dB in all directions, respectively. This means the wideband multifunctional antenna in the proposed structure has excellent harmonic suppression characteristic without any harmonic tuning circuits. Fig. 16(a) and (b) shows the top and bottom views of the fabricated wideband fully integrated transmitter front-end and conventional wideband class F active antenna for high PAE, respectively. As previously predicted and also shown in Fig. 16, the proposed structure does not need any wideband output matching load of the power transistor and any wideband harmonic tuning circuits. From this point, it is verified that the proposed structure can cause the size reduction of the total transmitter front-end

[1] D. M. Snider, “A theoretical analysis and experimental confirmation of the optimally loaded and overdriven RF power amplifier,” IEEE Trans. Electron Devices, vol. ED-14, no. 12, pp. 851–857, Dec. 1967. [2] B. Ingruber, W. Pritzl, D. Smely, M. Wachutka, and D. Magerl, “Highefficiency harmonic-control amplifier,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 6, pp. 857–862, Jun. 1998. [3] S. Toyoda, “High efficiency single and push–pull power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1993, vol. 1, pp. 277–280. [4] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 1999. [5] V. Radisic, Y. Qian, and T. Itoh, “Novel architecture for high-efficiency amplifiers for wireless applications,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1901–1909, Nov. 1998. [6] V. Radisic, S. T. Chew, Y. Qian, and T. Itoh, “High efficiency power amplifier integrated with antenna,” IEEE Microw. Guided Wave Lett., vol. 7, no. 2, pp. 39–41, Feb. 1997. [7] W. R. Deal, V. Radisic, Y. Qian, and T. Itoh, “Integrated-antenna push–pull power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1418–1425, Aug. 1999. [8] V. Radisic, Y. Qian, and T. Itoh, “Broadband power amplifier integrated with slot antenna and novel harmonic tuning structure,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1998, vol. 3, pp. 1895–1898. [9] H. Kim, I.-J. Yoon, and Y. J. Yoon, “A novel fully integrated transmitter front-end with high power-added efficiency,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 10, pp. 3206–3214, Oct. 2005. [10] H. Kim and Y. J. Yoon, “Microstrip-fed slot antennas with suppressed harmonics,” IEEE Trans. Antennas Propag., vol. 53, no. 9, pp. 2809–2817, Sep. 2005. [11] C. A. Balanis, Antenna Theory: Analysis and Design, 2nd ed. New York: Wiley, 1997.

924

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Hyungrak Kim (M’07) received the B.S. degree in information and communication engineering from Soonchunhyang University, Asan, Korea, in 2000, and the M.S. and Ph.D. degrees in electrical and electronic engineering from Yonsei University, Seoul, Korea, in 2002 and 2006, respectively. He was a Post-Doctoral Research Fellow with the Radio Communication Research Center (RCRC) and Center for Advanced RFID/USN Technologies (CARUT), Yonsei University. He is currently a Post-Doctoral Research Fellow with the Department of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta. His research interests are antennas, active transceiver systems, ultra-wideband RF front-end systems, and RF circuits.

Young Joong Yoon (M’93) received the B.S. and M.S. degrees in electronic engineering from Yonsei University, Seoul, Korea, in 1981 and 1986, respectively, and the Ph.D. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 1991. From 1992 to 1993, he was a Senior Researcher with the Electronics and Telecommunications Research Institute (ETRI), Daejeon, Korea. In 1993, he joined the faculty of Yonsei University, where he is currently a Professor with the Department of Electrical and Electronics Engineering. His research interests are antenna, RF devices, and radio propagations.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

925

Weighted Polynomial Digital Predistortion for Low Memory Effect Doherty Power Amplifier Sungchul Hong, Young Yun Woo, Jangheon Kim, Jeonghyeon Cha, Ildu Kim, Junghwan Moon, Jaehyok Yi, and Bumman Kim, Fellow, IEEE

Abstract—We have proposed a simple and effective weighted polynomial digital predistortion algorithm, which consists of weighting, least square polynomial fit, and de-weighting. The weighting factor is introduced to describe the signal distribution statistics and high harmonic generation at a high power region to improve accuracy of the error function. A low memory linear Doherty power amplifier (PA) has been realized with two 90-W peak envelope power LDMOSFETs using memory effect reduction techniques, and the proposed algorithm has been applied to the PA. For the forward-link wideband code division multiple access 3FA signal, the adjacent channel leakage ratio performance at 5-MHz offset is 56 dBc with power-added efficiency of 20.78% at an average power of 40 dBm. The proposed weighting polynomial algorithm provides a significantly reduced error power and superior convergence behavior with improved linearization capability than the conventional polynomial. Moreover, the low memory Doherty amplifier could be linearized for a wideband signal using the simple algorithm without any memory effect compensation. Index Terms—Digital predistortion (DPD), Doherty, linearization, memory effect, power amplifier (PA), weighted polynomial.

I. INTRODUCTION

M

ODERN wireless communication systems provide high-data-rate multimedia services to the numerous subscribers in time. In order to sustain these services, the power amplifier (PA) in the base station should transmit the multichannels of modulated signal without distortion. However, the PAs that amplify the wideband signals produce a severe memory effect and nonlinearities [1]. The memory effect especially restricts the linearization capacity of analog predistortion (PD)

Manuscript received September 8, 2006; revised January 26, 2007. This work was supported in part by the Korean Ministry of Education under the BK21 Project and by the Center for Broadband Orthogonal Frequency Division Multiplexing Mobile Access, Pohang University of Science and Technology under the Information Technology Research Center Program of the Korean Ministry of Information and Communication, supervised by the Institute for Information Technology Advancement (IITA-2006-C1090-0603-0037). S. Hong and Y. Y. Woo are with the Telecommunication Research and Development Center, Samsung Electronics Company Ltd., Suwon, Gyeonggi 442742, Korea (e-mail: [email protected]). J. Kim, I. Kim, J. Moon, and B. Kim are with the Department of Electrical Engineering, Pohang University of Science and Technology, Gyeongbuk 790784, Korea (e-mail: [email protected]; [email protected]). J. Cha is with the XRONet Corporation, Seongnam, Gyeonggi 463-020, Korea. J. Yi is with the Mobile Handset Research and Development Center, Telecommunication Equipment and Handset Company, LG Electronics Inc., Seoul 153801, Korea. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895167

Fig. 1. Proposed weighted polynomial DPD with low memory Doherty PA.

[2], and the recent digital predistortion (DPD) techniques can compensate the memory effect using finite impulse response (FIR) filters, Volterra series or memory polynomials, etc. [3]–[5]. There are two distinct methods to implement the DPD algorithm, i.e., a lookup table (LUT) and a polynomial. The polynomial DPD is more immune to noise and requires less memory storage than the LUT case. Moreover, the polynomial can operate with only a few collected data, while the LUT needs sufficient data to fill all the operation area. However, the conventional polynomial has inferior accuracy to the LUT case [6], and we have proposed a weighted polynomial technique that provides a considerable improvement in accuracy, especially at the peak power region. A weighting factor is introduced to describe the signal distribution statistics and high harmonic generation property at a high power region to improve accuracy of the error function. The proposed weighted polynomial utilizes the indirect learning architecture [7], as shown in Fig. 1. The algoto converge to the linear output rithm forces the PA output , as the error approaches zero. In this study, we have implemented a Doherty PA whose memory effect has been minimized using the drain and gate envelope terminations in front of quarter-wavelength bias lines [8]. The weighted polynomial indirect DPD algorithm without a complex memory effect cancellation has been applied to the PA. For the forward-link wideband code division multiple access (WCDMA) 3FA signal, the ACLR performance at 5-MHz offset is 56 dBc, while maintaining power-added efficiency (PAE) of 20.78% at an average power of 40 dBm. The proposed simple algorithm has a good linearization capability with significantly reduced error power for the low memory effect Doherty PA, which is superior to the conventional nonweighting polynomial indirect DPD.

0018-9480/$25.00 © 2007 IEEE

926

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 2. Block diagram of the memory effect minimized Doherty PA.

II. LOW MEMORY EFFECT DOHERTY PA The major sources of memory effect are the envelope and second harmonic components at the device terminals, and they should be reduced by proper terminations. We have reported a matching topology for minimizing the drain and gate impedances across the broadband of the envelope and second harmonic frequencies, while maintaining matchable impedances at an RF signal frequency [8]. The broadband second harmonic termination can be easily achieved without any change of the bias topology using a quarter-wavelength transmission line. The conventional wisdom for the envelope signal termination is to provide a short after or inside of the quarter-wave bias line [9]. However, the termination produces dispersion by the line, disturbing the broadband envelope termination. The envelope frequency short circuit should be attached at the gate and drain terminals in front of the quarter-wavelength line, as shown in Fig. 2. The envelope signal termination is realized by using a secircuit, which is composed of a large tantalum capacitor ries with a small parasitic inductor of the package. The inductance of approximately 1.2 nH is practically short at the envelope frequency for Freescale’s MRF5S21090 LDMOSFET, while it is a high impedance at the fundamental frequency. Therefore, the circuit provides a broadband envelope terrealized series mination, and the Doherty amplifier has a considerably reduced memory effect, while maintaining good power performances. III. WEIGHTED POLYNOMIAL DPD As shown in Fig. 3, the proposed weighted polynomial algorithm consists of the weighting, polynomial, and de-weighting blocks. The weighting is introduced to get an ideal error function for the least square fit algorithm [10]. The weighting function describes the statistical characteristics of the modulation signal and the harmonic generation property of an amplifier at a high power level. The weighted polynomial PD signals are then generated by using a polynomial least square fit algorithm. Finally, the generated PD signals are de-weighted and applied to the PA. These procedures are iterated using the indirect learning architecture until we get the linear output [7].

Fig. 3. Block diagram of the weighted polynomial DPD.

A. PD Signal Generation Using the Least Square Polynomial Fit We have used the least square error algorithm to get the polynomial coefficients of the PD signal that minimizes the error function of (1). The indirect PD signal polynomial can be gen, which has coefficients, as shown eralized to a degree in (2), as follows: (1)

least square fit error

(2) In (3), the data points are raised to the th-order powers and are constructed as an “Vandermonde” matrix. The coefficient vector , which matrix is multiplied by an produces the desired PD signal. The polynomial coefficients are the eigenvalues of (3) as follows:

.. .

.. .

.. .

..

.

.. .

.. .

(3)

(4) where is the number of input (or output) training signal data points used to determine the polynomial coefficients number of . Mathematically, should be equal to or larger than in order to solve (3). In this adaptation, we have used of 1024, which is larger than , to delineate the amplifier distortion more accurately. There are a number of methods that have been developed to find the roots of the polynomial, and we have used the well-known QR method, which solves the eigenvalues of the matrix using numerical calculation [11]. B. Statistical Analysis of WCDMA Signal and Weighting The least square fit algorithm is employed to optimize the coefficients with a minimum square error given by (1) for a sequential ramp training signal. For the modulated signal, the error function (1) is not accurate since the occurrence of the

HONG et al.: WEIGHTED POLYNOMIAL DPD FOR LOW MEMORY EFFECT DOHERTY PA

Fig. 4. p.d.f. of WCDMA signal and the weighting function.

Fig. 5. Error power spectral densities for the model with each weighting function for the forward-link WCDMA 1FA signal at an average power of 43 dBm.

data point, i.e., probability density function (p.d.f.) is different. In case of the forward-link WCDMA signal, the p.d.f. of the amplitude response has the Rayleigh distribution, as expressed as follows in (5) and shown in Fig. 4: for for otherwise.

927

(5)

We can estimate the overall error, which is sum of differences and desired responses of between modeled responses the modulated signal with the least square fit optimized polynomial coefficients. The overall error can be calculated by integrating the product of the average error distribution at each am, which is determined by the least square plitude error fit model by the Rayleigh p.d.f. as follows:

a higher power region, and provides a good weighting function. As shown in Fig. 5, we have examined the error power spectrums for the models with the weighed polynomials to verify the effect of weights. At the first step, we have used the Rayleigh p.d.f. weighting to minimize the in-band error that contributes mostly to the overall model error. We then add the exponential weight and adjust the coefficient “ ” to model the nonlinearity more precisely. Consequently, we can get the optimum weighting function, as shown in Fig. 4, and minimized both inand out-band errors. The data in Fig. 5 clearly show that the weighting function is very effective to reduce the error power. The optimum weighting and the error functions of the system becomes weight

overall error

least square fit error error

(8) weight

(6) (9)

The nonweighted ramp training signal has an uniform average error distribution, and it is not the optimum for the minimum overall error, given by (6), of the WCDMA signal. Therefore, the Rayleigh distribution weighting is applied to the least square fit error function of (1) for the ramp training signal. Another point is that the PD signal at the peak power region, which is dominant for harmonic generation, should to be emphasized more than the other power regions. Therefore, we have implemented an increasing exponential weight to improve accuracy at the peak power region and to describe the harmonic generation property accurately as follows: (7) Equation (7) shows that it can represent the harmonic generation property of an amplifier, and as “ ” becomes large, the highorder terms have more weighting than the low-order terms. As a consequence, the exponential function raises the accuracy at

For implementation of the weighted PD algorithm, the input signal is weighted at the input and the signal is de-weighted before the indirect PD signals are applied to the nonlinear PA (see Fig. 3). The procedures are iterated until the indirect learning algorithm converges to the linear output. IV. IMPLEMENTATION AND EXPERIMENTAL RESULTS We have applied the indirect learning algorithm with the weighted least square polynomial fit to the low memory effect Doherty PA. In order to confirm the reduced memory effect of the proposed PA, we have tested the intermodulation distortions (IMDs) for various spacing two-tone signals and the ACLR characteristics for a forward-link WCDMA 4FA signal with 20-MHz bandwidth. The proposed optimum weighting polynomial algorithm has been compared for the linearization capability and convergence behavior with those of the Rayleigh

928

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 7. Measured ACLR and PAE of the low memory effect Doherty PA for a forward-link WCDMA 4FA signal. Fig. 6. Comparison of the measured IMDs of the low memory effect Doherty PA and conventional Doherty PA for the two-tone signals at an average power of 40 dBm.

p.d.f. weighting and the nonweighting polynomial cases for WCDMA 1FA and 3FA signals. A. Low Memory Effect Doherty PA For experimental demonstration, we have implemented the low memory effect Doherty PA using two Freescale MRF5S21090 LDMOSFETs. The broadband envelope and second harmonic terminations are attached at the gate and drain, which are introduced in Section II. The bias points of the carrier and peaking cells are adjusted to operate at class AB and C modes, respectively. The quiescent drain current of the carrier cell is 850 mA and the gate voltage of the peaking cell is set to 2.01 V. Fig. 6 shows the third-order intermodulation distortions (IMD3s) and fifth-order intermodulation distortions (IMD5s) of the low memory Doherty PA and a conventional Doherty PA for two-tone signals with various tone spacings. The asymmetry of less than 1 dB is obtained for the signal with up to 20-MHz tone spacing, proving that the proposed PA has a considerably reduced memory effect. For a forward-link WCDMA 4FA signal, the measured ACLR at 5-MHz offset is 42.2 dBc with the low memory and the PAE is 28.3% at an average power of 43 dBm, as shown in Fig. 7. It especially has an asymmetry of less than 0.4 dB over the broad power levels up to 43 dBm for the forward-link WCDMA 4FA signal, which has the peak to average ratio (PAR) of 11 dB and the instantaneous bandwidth of 20 MHz. Compared with the test results at an average output power of 40 dBm for the low memory class AB PA implemented in a previous study [8], it is an improvement of the ACLR of 5.8 dB and efficiency of 11.6%. B. Weighted Polynomial DPD We have used the test setup in Fig. 8 for the demonstration of the weighted polynomial DPD. The digital signal processing core has been substituted by a PC with MATLAB software. The Agilent’s ESG Signal Generator (E4438C) and PSA Spectrum Analyzer (E4440A) have been used for a generation of the PD

Fig. 8. Test setup for DPD algorithm.

signal and a collection of the PA response by use of Agilent’s Advanced Design System (ADS) and Vector Signal Analyzer (VSA) softwares through networking with the PC [5], [12]. In Fig. 9, the linearization performance of the optimum (Rayleigh p.d.f. and exponential) weighted polynomial DPD algorithm is compared with those of the Rayleigh p.d.f. weighted and nonweighting polynomial for the forward-link WCDMA 1FA signal with PAR of 9.8 dB. For the experimental verifications, the algorithms have been implemented using the same polynomial order of 11 and loaded on the indirect learning architecture. The proposed optimum weighted polynomial algorithm cancels the ACLR approximately 12 dB more than that of the nonweighted polynomial PD up to an average power of 44 dBm, and 2 dB more than that of the Rayleigh p.d.f. weighted polynomial PD up to an average power of 43 dBm, which is the backed-off point by the amount of the PAR from the peak power. However, if the proposed algorithm is applied to the operation more than average output power of 43 dBm, which is insufficiently backed off, the convergence behavior of the proposed optimum weighted algorithm is similar to that of the p.d.f. weighted polynomial. The reason is that the PD signal

HONG et al.: WEIGHTED POLYNOMIAL DPD FOR LOW MEMORY EFFECT DOHERTY PA

Fig. 9. Comparison of the measured ACLRs of the low memory effect Doherty with various linearization weighting modes for the forward-link WCDMA 1FA signal.

929

Fig. 11. Comparison of the AM/AM characteristics between weighted polynomial (filled) and nonweighting polynomial (empty) for the forward-link WCDMA 1FA signal at an average power of 43 dBm.

Fig. 10. Comparison of the measured power spectral densities of the low memory effect Doherty for the forward-link WCDMA 1FA signal at an average power of 43 dBm.

Fig. 12. Comparison of the measured power spectral densities of the low memory effect Doherty for the forward-link WCDMA 3FA signal at an average power of 40 dBm.

near the peak power level has been clipped out by the amplifier and the exponential weighting has no effect on the linearization performance. Fig. 10 shows the measured power spectral densities obtained using the proposed optimum weighted polynomial algorithm and the nonweighted polynomial algorithm. The proposed optimum weighting algorithm could deliver a good ACLR of 60 dBc at an average power of 43 dBm, which is a cancellation of 16 dB with PAE of approximately 29%, while the nonweighted polynomial case has a poor ACLR cancellation of 4 dB at the same average power. Fig. 11 shows AM/AM curves with the optimum weighting algorithm and the nonweighting case. These results show clearly the improved accuracy of the optimum weighted polynomial fit. The ACLR data for the forward-link WCDMA 3FA signal with a PAR of 12 dB is shown in Fig. 12. The ACLR performance of the proposed optimum weighting algorithm at 5-MHz offset is 56 dBc with 11-dB cancellation while maintaining

PAE of 20.78% at an average power of 40 dBm, which is a improvement ACLR of 7 dB more than that of the nonweighted polynomial case. The low memory Doherty PA could be linearized for the wideband signal using the proposed simple algorithm without any memory effect compensation methods. V. CONCLUSIONS In order to reduce the memory effect of a PA, we have implemented a new matching topology to minimize the drain and gate envelope impedances in front of the quarter-wavelength bias line, and the improved results have been verified using a Doherty amplifier for the two-tone and WCDMA 4FA signals. The amplifier has been linearized using a DPD. To improve the algorithm, we have introduced the weighting function. The Rayleigh distribution function to cover the statistical characteristics of the forward-link WCDMA signal and the exponential function to model the large harmonic generation at a high power region have been employed as a weighting function of the error extraction

930

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

using the least square fit algorithm. The new algorithm achieves far better ACLR cancellation and superior convergence behavior than the conventional nonweighted polynomial case. We have demonstrated the good performances for linearizing the Doherty PA using WCDMA 1FA and 3FA signals. The ACLR at 5-MHz offset is 56 dBc while maintaining the PAE of 20.78% at an average power of 40 dBm for WCDMA 3FA signal. These results are achieved without using any memory reduction method. Therefore, the low memory Doherty amplifier with the weighted polynomial algorithm can be a very simple solution for the improved transmitter system of next-generation base-station applications. ACKNOWLEDGMENT The authors would like to express their gratitude to the reviewers for their comments and suggestions.

Young Yun Woo received the B.S. degree in electrical and computer engineering from Han-Yang University, Seoul, Korea, in 2000, and the Ph.D. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2007. In 2007, he joined the Samsung Electronics Company Ltd., Suwon, Gyeunggi, Korea. His current research interests include RF PA design, linear power amplifier (LPA) system design, and DPD techniques for linearizing high PAs.

Jangheon Kim received the B.S. degree in electronics and information engineering from Chon-buk National University, Chonju, Korea, in 2003, and is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Korea. His current research interests include highly linear and efficient RF PA design, memory effect compensation techniques, and linearization techniques.

REFERENCES [1] F. H. Raab, P. Asbeck, S. Cripps, P. B. Kenington, Z. B. Popovic, N. Pothecary, J. F. Sevic, and N. O. Sokal, “Power amplifiers and transmitters for RF and microwave,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 814–826, Mar. 2002. [2] J. Cha, J. Yi, J. Kim, and B. Kim, “Optimum design of a predistortion RF power amplifier for multi-carrier WCDMA applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 655–663, Feb. 2004. [3] L. Ding, G. T. Zhou, D. R. Morgan, Z. Ma, J. S. Kenney, J. Kim, and C. R. Giardina, “Memory polynomial predistorter based on the indirect learning architecture,” in IEEE GLOBECOM, Nov. 2002, vol. 1, pp. 967–971. [4] W. Woo and J. S. Kenney, “A predistortion linearization system for high power amplifiers with low frequency envelope memory effects,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 1545–1548. [5] T. Liu, S. Boumaiza, and F. M. Ghannouchi, “Augmented Hammerstein predistorter for linearization of broadband wireless transmitters,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1340–1349, Jun. 2006. [6] J. Sirois, S. Boumaiza, M. Helaoui, G. Brassard, and F. M. Ghannouchi, “A robust modeling and design approach for dynamically loaded and digitally linearized Doherty amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2875–2883, Sep. 2005. [7] C. Eun and E. J. Powers, “A new Volterra predistorter based on the indirect learning architecture,” IEEE Trans. Signal Process., vol. 45, no. 1, pp. 223–227, Jan. 1997. [8] J. Cha, I. Kim, S. Hong, B. Kim, J. S. Lee, and H. S. Kim, “Memory effect minimization and wide instantaneous bandwidth operation of a base station power amplifier,” Microw. J., accepted for publication. [9] A. Rabany, L. Nguyen, and D. Rice, “Memory effect reduction for LDMOS bias circuits,” Microw. J., vol. 46, no. 2, pp. 124–130, Feb. 2003. [10] V. Guruswami and M. Sudan, “Improved decoding of Reed–Solomon and algebraic-geometry codes,” IEEE Trans. Inf. Theory, vol. 45, no. 6, pp. 1757–1767, Sep. 1999. [11] R. J. Schilling and S. L. Harris, Applied Numerical Methods for Engineers. Thousand Oaks, CA: Brooks/Cole, 2000. [12] W.-J. Kim, S. P. Stapleton, J. H. Kim, and C. Edelman, “Digital predistortion linearizes wireless power amplifiers,” IEEE Micro, vol. 6, pp. 54–61, Sep. 2005. Sungchul Hong received the B.S. degree in electrical and electronic engineering from Yonsei University, Seoul, Korea, in 2003, and the Master degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Korea in 2007. In 2007, he joined the Samsung Electronics Company Ltd., Suwon, Gyeunggi, Korea. His research interests include design of PAs, DPD techniques, and highly efficient transmitter systems.

Jeonghyeon Cha received the B.S. degree in electronics and information engineering from Chon-buk National University, Chonju, Korea, in 2001, and Ph.D. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2007. In 2007, he joined the XRONet Corporation, Seongnam, Gyeunggi, Korea. His current research interests include RF PA design, linearization techniques, and efficiency-improving techniques.

Ildu Kim received the B.S. degree in electronics and information engineering from Chon-nam National University, Kwangju, Korea, in 2004, and is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Korea. His current research interests include RF PA design and linearity and efficiency improvement techniques.

Junghwan Moon received the B.S. degree in electrical and computer engineering from the University of Seoul, Seoul, Korea, in 2006, and is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. His current research interests include highly linear and efficient RF PA design.

Jaehyok Yi received the M.S. and Ph.D. degrees in electronics and electrical engineering from the Pohang University of Science and Technology (POSTECH), Namgu, Pohang, Korea, in 1999 and 2005, respectively. In 2005, he joined the LG Electronics Company Ltd., Seoul, Korea. His research interests include the design and simulation of the behavior of linear RF PAs and linearization techniques.

HONG et al.: WEIGHTED POLYNOMIAL DPD FOR LOW MEMORY EFFECT DOHERTY PA

Bumman Kim (S’77–M’78–SM’97–F’07) received the Ph.D. degree in electrical engineering from Carnegie–Mellon University, Pittsburgh, PA, in 1979. From 1978 to 1981, he was engaged in fiber-optic network component research with GTE Laboratories Inc. In 1981, he joined the Central Research Laboratories, Texas Instruments Incorporated, where he was involved in development of GaAs power field-effect transistors (FETs) and monolithic microwave integrated circuits (MMICs). He has developed a large-signal model of a power field-effect transistor (FET), dual-gate FETs for gain control, high-power distributed amplifiers, and various millimeter-wave MMICs. In 1989, he joined the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea, where he is currently a Namko Professor with the Department of Electrical Engineering, and Director of the Microwave Application Research Center, where he is involved in device and circuit technology for RF integrated circuits (RFICs). He was a Visiting Professor of electrical engineering with the California Institute of Technology, Pasadena, in 2001. He has authored over 200 technical papers. Dr. Kim is a member of the Korean Academy of Science and Technology and the Academy of Engineering of Korea. He was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and a Distinguished Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

931

932

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Adaptive Digital Feedback Predistortion Technique for Linearizing Power Amplifiers Young Yun Woo, Jangheon Kim, Jaehyok Yi, Sungchul Hong, Ildu Kim, Junghwan Moon, and Bumman Kim, Fellow, IEEE

Abstract—We have developed a new adaptive digital predistortion (DPD) linearization technique based on analog feedback predistortion (FBPD). The lookup-table-based feedback input can remove the bandwidth limitation of the feedback circuit related to the loop delay, and suppress feedback oscillation by accurate digital control of the feedback signal. Moreover, the predistortion (PD) signal can be extracted very efficiently. By combining the feedback linearization and DPD linearization techniques, the performance of the predistorter is enhanced significantly compared to the conventional DPD. To clearly visualize the characteristics of digital FBPD (DFBPD), we have compared it to the conventional DPD based on the recursive least square algorithm using MATLAB simulation. The results clearly show that the new method is a good linearization algorithm, better than a conventional DPD. For the demonstration, a Doherty power amplifier with 180-W peak envelope power is linearized using the proposed DFBPD. For a 2.14-GHz forward-link wideband code-division multiple-access signal, the adjacent channel leakage ratio at 2.5-MHz offset is 58 dBc, which is improved by 15 dB at an average output power of 43 dBm. Index Terms—Digital feedback predistortion (DFBPD), digital predistortion (DPD), feedback predistortion (FBPD), linearization, lookup table (LUT), power amplifier (PA), quantization, recursive least square (RLS), wideband code division multiple access (WCDMA).

I. INTRODUCTION URRENT wireless communication systems, such as code division multiple access (CDMA)-2000, wideband code division multiple access (WCDMA), orthogonal frequency division multiplex (OFDM), etc., transmit nonconstant envelope signals to efficiently use the limited frequency resource. These

C

Manuscript received November 29, 2006; revised February 3, 2007. This work was supported in part by the Korean Ministry of Education under the BK21 Project and by the Center for Broadband Orthogonal Frequency Division Multiplex Mobile Access, Pohang University of Science and Technology under the Information Technology Research Center Program of the Korean Ministry of Information Technology, supervised by the Institute for Information Technology Advancement (IITA-2006-C1090-0603-0037). Y. Y. Woo and S. Hong are with the Telecommunication Research and Development Center, Samsung Electronics Company Ltd., Suwon, Gyeonggi 442742, Korea (e-mail: [email protected]). J. Kim, I. Kim, J. Moon, and B. Kim are with the Department of Electrical Engineering, Pohang University of Science and Technology, Pohang, Gyeongbuk 790-784, Korea (e-mail: [email protected]; [email protected]). J. Yi is with the Mobile Handset Research and Development Center, Telecommunication Equipment and Handset Company, LG Electronics Inc., Seoul 153801, Korea. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895145

modulated signals vary rapidly and have high peak-to-average ratio (PAR). The power amplifiers (PAs) for these systems require high linearity to amplify the signals without distortion. However, due to the high PAR, the PAs operate at a large backoff power level to achieve the linearity and, therefore, have low efficiency. In order to increase the efficiency, the PAs have been operated at a higher power level and various linearization techniques, such as feedback, analog predistortion (PD), and feedforward, have been adopted to enhance the linearity [1]–[7]. It is difficult to employ these RF linearization techniques as a complete transmitter for a base station due to the complicated circuits and/or insufficient linearization. A promising technique to remove the drawbacks of the RF linearization technique is, undoubtedly, digital predistortion (DPD) using a digital signal processor (DSP). The DPD based on error feedback correction is a powerful linearization technique because it has the nature of a manageable digital operation, and the error correction is insensitive to amplifier variations, such as temperature, supply voltage, and device variations, as well as nonlinear characteristics of the PA. Among the DPD linearization techniques, the lookup table (LUT)-based DPD has been widely used because it is relatively simple and easily implemented to build the inverse function of PAs [8]–[15]. In the PD algorithms that provide as the inverse function of the amplitude and phase distortions (AM/AM and AM/PM) generated by the PAs, the basic and most important issue is that the estimation error, the difference between the desired and the estimated values, should reach the minimum value for a given input signal. This value can be obtained by applying successive iterations to minimize the estimation error using least mean square (LMS) or recursive least square (RLS) in direct or indirect architectures [14], [15]. In this paper, we propose a new digital adaptive PD technique by employing analog feedback predistortion (FBPD) theory in the digital domain. The analog FBPD linearization method can accurately extract the PD signal and enhance the tolerance of the intermodulation (IM) distortion cancellation by the feedback linearization, as reported by our group [16]. The critical problems of FBPD are the operation at bandwidth limitation caused by the loop delay, and an oscillation tendency caused by the feedback nature. By employing a digital LUT technique, these limitations can be overcome, while maintaining the advantages of the feedback circuit. Moreover, FBPD can enjoy the abundant merits of the DPD. In the linearizer, the main signal, as well as the error, are fed back, suppressing the open loop gain. We believe that this is the first report of PA linearization using PD and feedback techniques together in the digital domain, which

0018-9480/$25.00 © 2007 IEEE

WOO et al.: ADAPTIVE DFBPD TECHNIQUE FOR LINEARIZING PAs

933

eliminates the problems of the RF feedback circuit. As a result, the distortion correction of the PD is carried out by the DPD and further enhanced by the feedback linearization. Compared to conventional DPD, the IM cancellation tolerance is enhanced by a factor of the gain compression of the feedback circuit, and the error extraction algorithm is very simple. The remainder of this paper is organized as follows. Section II describes the DFBPD architecture and algorithm based on the RF FBPD theory. In Section III, we present a full analysis of the linearization performance and tolerance of the DFBPD technique using MATLAB simulation. This includes: 1) convergence behavior; 2) system tolerance; 3) effects of detector errors; 4) modulator errors; 5) loop delay mismatches; and 6) analysis of quantization errors with various bit widths. To clearly visualize the characteristics of the DFBPD, we have compared it to conventional DPD based on the RLS algorithm using a single-carrier WCDMA signal. In Section IV, we have experimentally verified that DFBPD is a good linearization technique, and explored some of its important characteristics. Finally, conclusions are drawn in Section V. II. OPERATION OF DFBPD A. FBPD Technique Fig. 1(a) shows a simplified block diagram of the RF FBPD presented in [16]. The system consists of three blocks, i.e., “feeding block,” “cancelling block,” and “main amplifier block.” In the cancelling block, the error signal of the amplifier, is extracted from the main amplifier output, by eliminating the estimated input signal component to the amplifier . The PD signal, which is suitable for linearizing the main amplifier is extracted. The predistorted signal is fed back to the input of the main amplifier by the feeding block. The cancelling loop is similar to the feedforward main signal cancelling loop. In the frequency domain, the input signal , the predistorted signal , the PD signal , the distortion of the amplifier , , and the output signal of the error of the detection loop the FBPD system are expressed as

(1) is the complex signal gain of the cancellation block, where is the complex signal gain (open loop) gain of the main is the complex signal gain of the feedback forward path, and path, as shown in Fig. 1(a). The capital letters denote frequencydomain representation of each signal. From the above equations, and are given by

(2)

(3)

Fig. 1. Simplified block diagrams of: (a) analog feedback predistorter and (b) digital feedback predistorter.

(4) In (2)–(4), the first term is the fundamental signal amplification, the second term is the IM signal cancellation, and the third term is the feedback loop distortion. The approximation (4) clearly shows the feedback operation of the system; the overall gain of the FBPD, is determined by the feedback loop gain , which is independent of the amplifier gain fluconly, i.e., term tuation due to temperature variation, etc. The indicates that the PD signal is extracted from the loop and is inshould be jected into the main amplifier. For accurate PD, adjusted close to the 1. The IM component is further suppressed due to the negative feedby a factor of closed loop gain back operation. Therefore, the system is called FBPD. However, is forwarded to the output, and sigthe detector circuit error nificant improvements of the error are not effected compared to the conventional DPD algorithm. The structure of the proposed DFBPD, shown in Fig. 1(b), is the same as analog feedback, except that the feedback signal in the cancellation loop constructs a LUT in the digital doand of the signal cancelling main, and the gain factors and feedback paths are adjusted by the DSP instead of using vector modulators (VMs) in the RF domain. The PD signal is extracted directly from the LUT, which has been updated using the error signal extracted at the signal cancellation loop beforehand. Thus, the time delay through the loop is eliminated, and the bandwidth limitation does not exist. The oscillation tendency of the feedback circuit can be suppressed easily by digital control of the feedback component. Moreover, the abundant advantages of the FBPD circuit mentioned above can be utilized for

934

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

RLS adaptive algorithm is initialized by (5) (6) where is a small positive constant, is the size of the LUT, and where matrix. Next, for each time,

is a vector, is the identity , computed as (7) (8) (9) (10) (11)

where

Fig. 2. Equivalent structures of: (a) conventional DPD and (b) DFBPD linearizers.

is the forgetting factor (a scalar value) and is a matrix. Both and are the matrices. The LUT is constructed and updated by each successive iteration. On the other hand, the DFBPD algorithm is very simple and expressed as

(12) the linearization. The distortion correction of the PD is carried out by the DPD and further enhanced by the feedback linearization. Another merit of the DFBPD is rapid convergence due to efficient PD signal extraction by the feedback circuit, and the initial condition for the PD signal has little effect on the convergence behavior. To validate the expected performances of the DFBPD, we have compared it to the conventional PD using extensive simulations, which will be presented in Section II-B. B. Equivalent Models and Adaptive Algorithms Fig. 2(a) and (b) shows the equivalent models of the conventional DPD and proposed DFBPD. Each model includes several error models for the detector, a modulator, a delay mismatch block, a PD signal error, and quantizer blocks to test performance and check tolerances under various conditions. As shown in Fig. 2, the additive white Gaussian noise (AWGN) and are used as error sources third-order nonlinear elements for the detection and modulation parts, modeling the thermal noise and mixer nonlinearity, respectively. The delay mismatch blocks are added to represent the overall loop delay mismatches. The quantizer blocks are also included to analyze the quantization errors of the source signal, LUT, and PD output. The DPD employs the RLS adaptive algorithm to extract the error signal to provide fast convergence [17]. The extracted error signal is stored into a LUT, and a stored value multiplies the input signal to generate a PD signal. For the FBPD, we can directly extract an accurate error from the feedback loop (cancellation loop), and the error signal is stored into the LUT. The stored error signal is then added to the input as a PD signal without any loop delay. The PD signal generation and the adaptation algorithms of the DFBPD are quite different from the conventional DPD. The

is the predistorted input signal, is the final where is the overall PD system gain. The LUT output signal, and . is constructed by this error signal III. SIMULATION RESULTS We have carried out system simulations based on the equivalent models to evaluate the linearization performance of the PDs using MATLAB simulation. The nonlinear PA is modeled from the AM/AM and AM/PM conversion of a Freescale 21030 LDMOS amplifier using a fifth-order polynomial function. The and the PD system gain are AM/AM conversion gain adjusted to 44.2 and 42.25 dB, respectively, which is approximately 2-dB gain suppression by the feedback loop. The modulated input signal is a single-carrier forward WCDMA signal with a 3.84-MHz chip rate and 9.8-dB PAR at a 0.01% complementary cumulative distribution function (CCDF), which is generated by using the 3GPP WCDMA library of Agilent’s Advanced Design System (ADS). A monotonically increasing signal from the minimum to maximum range of the input signal is used as a training sequence to find the optimum PD LUT. A. Convergence Behavior Convergence tests for the DFBPD and DPD are carried out for an ideal case with no system error. Fig. 3(a) shows the residual output error as a function of the number of iterations for each algorithm, showing much fast convergence for the DFBPD. Only eight iterations are sufficient for the DFBPD to suppress the spectral regrowth of the amplifier to the source signal level, while approximately 30 iterations are required for the conventional DPD. Fig. 3(b) shows the power spectral densities (PSDs) of the output signals and residual errors of the DPD and DFBPD

WOO et al.: ADAPTIVE DFBPD TECHNIQUE FOR LINEARIZING PAs

935

Fig. 3. (a) Comparison of the convergence behaviors. (b) PSDs of the error and output signals.

Fig. 4. Comparison of residual error powers under: (a) amplitude and (b) phase errors of predistorted input signals in analog domain (v ).

after convergence (DFBPD case: eight iterations, conventional case: 30 iterations). The PSD of the residual error of the DFBPD is much lower than that of DPD. These results are expected from the error cancellation mechanisms of the two PDs.

where and are the amplitude and phase error factors, respectively. The residual error powers versus the amplitude and phase errors are simulated, and the results are shown in Fig. 4. The residual error power is the average of final output error powers across the overall simulated band. The PD LUTs used in this simulation are fully converged to the minimum residual error. The residual error power of the DFBPD is much lower than that of the DPD at all simulated amplitude and phase error levels, and the differences of the two become larger as the error levels are increased. The results show that the DFBPD has a high cancellation error tolerance, effectively nullifying the system errors. For this simulation, the 2-dB gain variation is reduced by feedback and this tolerance can be further enhanced with stronger feedback.

B. System Tolerance to Error A PD linearization system is often confronted with unwanted situations such as errors from the PD signal generation, power level, or ambient temperature variations, etc. These unwanted variations reduce the cancellation level of the distortion signal. from perfect main Since the DPD extracts the error signal signal cancellation for the LUT, the PD gain variations produce cancellation errors. However, the DFBPD is not affected by the unwanted gain variation due to sufficient compensation by the cancelling loop. Thus, the FBPD technique has better cancellation tolerance to error than the DPD. To check the system error tolerances of the two PDs and verify the superior system error tolerance of the proposed FBPD, we have perturbed the amplitude and phase of the predistorted signal in the analog domain in Fig. 2), imitating the system errors; i.e., the perturbed ( PD input signal is expressed as (13)

C. Effect of Detector Error As indicated earlier, the detector error affects directly the performance of the overall linearization system. However, the algorithms are different, and the linearization performance for the same detector error is different for the two cases. To investigate the responses, the linearization performance with detector errors is also simulated. The two detector error sources, the

936

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

AWGN and third-order nonlinearity, degrade the linearization performance of the DFBPD and DPD in different manners. The residual error power of the DFBPD depends linearly on the detector signal-to-noise ratio (SNR), as shown in (14), where represents the detector noise. The residual error in the DPD with and multiplied RLS algorithm is “filtered” by the gain vector by input signal , as shown in (15). The residual error power of the DFBPD is given by

(14) The residual error power of the conventional DPD is (15) In the case of detector error caused by AWGN only, the residual error power of the DPD is lower than that of the DFBPD in very noisy conditions (detector SNR below 50 dB) due to the filtering effect, as shown in Fig. 5(a). However, as the detector SNR rises above 50 dB, which can be easily achieved, the linearization performance of the DFBPD improves over that of the DPD. Fig. 5(b) illustrates the residual error power as a function of the detector output third intercept point (OIP3). For the third-order nonlinearity error, the two linearization techniques show the same dependency on the error, but the FBPD has approximately 5-dB lower value. This figure shows that the error power of the final output signal is proportional to the OIP3 of the detector; i.e., the nonlinearity of the detector directly affects the linearization performance of the overall PD system. The reason for the higher level of the DPDs error power may be explained by the detector error transfer function described in (15). While the detector error from AWGN mainly affects the out-of-band signal level, the nonlinear error distorts in-band signals. Since the in-band error power is much higher than the out-of-band power and has a strong correlation with , the total error power becomes higher for the input signal the DPD.

Fig. 5. Comparison of residual error power under erroneous detection conditions of: (a) AWGN and (b) third-order nonlinearity.

better linearity. In summary, the simulation results show that the proposed DFBPD has superior linearization performance in the face of modulator errors.

D. Effect of Modulator Error

E. Effect of Loop Delay Mismatch

We have simulated the linearization performance with errors of the signal modulation (up-conversion) part. AWGN and thirdorder nonlinearity are the modulator error sources, and the simulation method is similar to the detector error case. Fig. 6(a) shows the residual error power versus the modulator SNR. At SNR levels below 70 dB, the residual error powers of the conventional and proposed PDs are similar because they are generated mainly by noise. However, as the SNR becomes larger than 70 dB, the linearization performance of the DFBPD becomes better than that of the DPD due to the lower residual error power at convergence for DFBPD [see Fig. 3(a)]. Fig. 6(b) shows the residual error power as a function of the modulator OIP3. The nonlinearity of modulator has no effect on the linearization performance due to correction of the nonlinearity by the PD linearizer. Without any other external errors, the error power is independent of modulator OIP3, but the FBPD has superior linearity (approximately 5 dB) due to its inherently

The two system diagrams represented in Fig. (2a) and (b) include additional delay blocks for the loop delay mismatch. The loop delay can be caused by RF delay mismatch in the main amplifier block, digital I/O (DAC and ADC) delay mismatch between digital and analog interface, etc. As the loop delay mismatch increases, the convergence behavior is obviously affected and finally diverges. Fig. 7 shows the convergence behaviors of the DFBPD and DPD as the delay error increases ( in Fig. 2, defined as a fraction of the sampling period). The number of iterations for convergence is smaller for the proposed PD than that for the DPD at all simulated delay errors. The DPD also di). Thus, the DFBPD has higher verges much earlier (at tolerance to loop delay mismatch. F. Quantization Analysis We have analyzed the effect of quantization errors of the source signal, LUT, and PD output on the linearization. If the

WOO et al.: ADAPTIVE DFBPD TECHNIQUE FOR LINEARIZING PAs

937

amplifier will preserve the three quantization errors. The quantization analysis is important because the optimum bit width for a given linearization performance can be determined. We have employed the quantization analysis method presented in [18] with some modifications to suit the conventional and proposed PD for WCDMA signal. The SNR and adjacent channel interference (ACI) for the quantized source signal are given by dB

(16) (17)

where is the number of bits, is the ratio of the peak amplitude to the rms amplitude of the signal, is the sampling rate (3.84 MHz for a single-carrier WCDMA source signal), and is the noise bandwidth . The average error power of the DPD by the quantized LUT is expressed as

(18) are the amplifier gain and its differential value, where and is the LUT output signal, as shown in respectively, and Fig. 2(a). Taking the modulation scheme into account, the total average error power in the amplifier output becomes (19) Fig. 6. Comparison of residual error power under signal modulation error conditions of: (a) AWGN and (b) third-order nonlinearity.

where represents the probability density function (PDF) for the modulation. The SNR of the conventional PD with a quantized LUT is (20) where is the total averaged signal power. The corresponding ACI becomes (21) The average error power of the DFBPD with a quantized LUT is similar to the DPD, which is given by

(22) Fig. 7. Convergence behavior of the DFBPD and DPD versus delay error (d).

PD systems are ideal, i.e., the PD signal completely compensates for the amplifier distortion, the output signal of the overall

where is the LUT output signal shown in Fig. 2(b). By substituting (22) in place of (18) into (19), we obtain the , , and total average error power of the DFBPD.

938

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

TABLE I BIT WIDTHS IN THE DIFFERENT PARTS THAT RESULT IN 70-dBc ACI CONTRIBUTION FROM EACH PART

0

Fig. 8. ACI level of different parts with various quantization bit widths.

The ACI for the PD output is similar to that of LUT. The average error power of the DPD by the quantized output is given by Fig. 9. Experimental setup of the DPD and FBPD.

(23) from which the SNR and ACI can be calculated as

Fig. 10. DPA module used for the DPD and FBPD.

(24) (25) The average error power of the DFBPD by the quantized PD output is given by

the 70-dBc ACI level. The noise powers from the three quantizations are added up and if the bit widths given in Table I are selected, then the total ACI level is three times higher at approximately 65.23 dBc. IV. EXPERIMENTAL RESULTS

(26) From the average error power (26), we can also calculate the total average error power and of the DFBPD. Fig. 8 shows ACI levels for various quantization bit widths, calculated based on the above ACI equations for the source signal, LUT, and PD output of the conventional PD and proposed PD. The ACI levels by quantization of the PD output are similar for the two PDs. For the LUT quantization, the DFBPD saves approximately 1 bit of bit width in comparison with the conventional PD for the same ACI level. Since the average error and terms, the power (22) of the DFBPD does not have LUT quantization error of the proposed FBPD is less than that of the conventional PD. Fig. 8 also shows that the bit width for the source signal is the largest. Table I presents the quantization bit widths of the source signal, LUT, and PD output required for

In order to validate the proposed DFBPD technique, we have employed the ADS–ESG–VSA connected solution [19], shown in Fig. 9, as an experimental setup for quick and exact verification, and used the Doherty power amplifier (DPA) module shown in Fig. 10 with 180-W peak envelope power (PEP) and 20-W average power for a single-carrier forward-link WCDMA signal. The main amplifier of the DPA module consists of two Freescale MRF5S21090 LDMOSFETs, and the uneven power drive technique is applied to improve the performance [20]. The signal used is a 2.14-GHz forward WCDMA signal with 3.84-MHz chip rate and 9.8-dB PAR at 0.01% CCDF, generated using the 3GPP WCDMA library of ADS. The conventional DPD and proposed DFBPD have two 256-entry AM/AM and AM/PM LUTs, which are programmed by MATLAB using the RLS and FBPD algorithms, respectively. Fig. 11 shows the adjacent channel leakage ratio (ACLR) and drain efficiency characteristics of the Doherty amplifier for the WCDMA signal. These experimental results show that the Doherty amplifier has good linearity, as well as good efficiency,

WOO et al.: ADAPTIVE DFBPD TECHNIQUE FOR LINEARIZING PAs

939

V. CONCLUSIONS

Fig. 11. Measured ACLR and drain efficiency performance of the DPA for a forward WCDMA signal.

We have presented a new DPD concept of DFBPD. By applying a digital LUT approach for the feedback loop, the operation bandwidth limitation and oscillation problems of the analog FBPD have been solved. The DFBPD combines linearization, by DPD and feedback, and the error tolerance is significantly enhanced. To test the linearization performance of the DFBPD, we have simulated the PD and compared it to the conventional DPD for the various factors that affect the PD performance (convergence behavior, system tolerance to detector errors, modulator errors, delay mismatches, and quantization errors with various bit widths). Without any external errors, the DFBPD outperforms the DPD in convergence behavior and shows much lower residual errors. The tolerance simulation shows that the DFBPD technique is also superior compared to the DPD. The proposed DFBPD and conventional DPD have been tested using the DPA with 180-W PEP. For a 2.14-GHz forward-link WCDMA signal, the ACLR at 2.5-MHz offset is 58 dBc for both PDs, which is an improvement of 15 dB at an average output power of 43 dBm. Only three iterations are enough for convergence of the DFBPD, while ten iterations are required for conventional DPD. Moreover, the FBPD algorithm is much simpler than the RLS algorithm of the conventional PD. Although we have not carried out extensive experimental verification, we conclude from these simulation and experimental results that the new DFBPD technique has better tolerance to error, faster convergence, and simpler structure compared to the DPD. We believe that the DFBPD will be a good candidate for the linearization of base-station transmitters. ACKNOWLEDGMENT

Fig. 12. Measured single-carrier WCDMA spectra before and after linearization of the DPD and FBPD at an average output power of 43 dBm. (a) Doherty amplifier without a digital predistorter. (b) Conventional digital predistorter. (c) Digital feedback predistorter.

and the amplifier is used to test the linearization performance of each digital perdistortion technique. Fig. 12 shows the measured spectra before and after linearization by the DPD and FBPD for the WCDMA signal. The ACLRs at 2.5-MHz offset for the two techniques are nearly the same, i.e., 58 dBc, which is an improvement of 15 dB at an average output power of 43 dBm. These experimental results demonstrate that the both PD techniques can successfully compensate for the nonlinear characteristics of the Doherty amplifier, following the analysis described in Section III. In terms of the convergence speed, only three iterations are enough for the convergence of the DFBPD, while ten iterations are required for the conventional DPD. Furthermore, the FBPD algorithm is much simpler than the RLS algorithm of the conventional PD in terms of the complexity [see (5)–(11) and (12)], reducing the required field programmable gate array (FPGA) resources. We have not experimentally investigated all of the superior performance advantages yet (they will be reported in the near future). However, the experimental data shows that the DFBPD functions are as expected.

The authors would like to express their gratitude to the reviewers for their comments and suggestions. REFERENCES [1] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 1999. [2] P. B. Kenington, High-Linearity RF Amplifier Design. Norwood, MA: Artech House, 2000. [3] T. Nojima and T. Konno, “Cuber predistortion linearizer for relay equipment in 800 MHz band land mobile telephone system,” IEEE Trans. Veh. Technol., vol. VT-34, no. 6, pp. 169–177, Nov. 1985. [4] J. Cha, J. Yi, J. Kim, and B. Kim, “Optimum design of a predistortion RF power amplifier for multicarrier WCDMA applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 655–663, Feb. 2004. [5] Y. Y. Woo, Y. Yang, J. Yi, J. Nam, J. Cha, and B. Kim, “A new adaptive feedforward amplifier for WCDMA base stations using imperfect signal cancellation,” Microw. J., vol. 46, no. 4, pp. 22–44, Apr. 2003. [6] J. K. Cavers, “Adaptation behavior of a feedforward amplifier linearizer,” IEEE Trans. Veh. Technol., vol. 44, no. 1, pp. 31–40, Feb. 1996. [7] R. J. Wilkinson and P. B. Kenington, “Specification of error amplifiers for use in feedforward transmitters,” Proc. Inst. Elect. Eng., vol. 139, no. 4, pt. G, pp. 477–480, Aug. 1992. [8] Y. Nagata, “Linear amplification techniques for digital mobile communications,” in Proc. IEEE 39th Veh. Technol. Conf., 1989, pp. 159–164. [9] K. J. Muhonen, M. Kavehrad, and R. Krishnamoorthy, “Look-up table techniques for adaptive digital predistortion: A development and comparison,” IEEE Trans. Veh. Technol., vol. 49, no. 9, pp. 1995–2002, Sep. 2000. [10] J. K. Cavers, “Amplifier linearization using a digital predistorter with fast adaptation and low memory requirements,” IEEE Trans. Veh. Technol., vol. 39, no. 4, pp. 374–382, Nov. 1990.

940

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

[11] A. S. Wright and W. G. Durtler, “Experimental performance of an adaptive digital linearized power amplifier,” IEEE Trans. Veh. Technol., vol. 41, no. 4, pp. 395–400, Nov. 1992. [12] F. Antonio, W. Hamdy, P. Heidmann, J. Heizer, N. Kasturi, D. P. Osés, and C. Riddle, “A novel adaptive predistortion technique for power amplifiers,” in Proc. IEEE 49th Veh. Techno. Conf., May 1999, pp. 1505–1509. [13] M. Faulkner and M. Johansson, “Adaptive linearization using predistortion—Experimental results,” IEEE Trans. Veh. Technol., vol. 43, no. 2, pp. 323–332, May 1994. [14] S. Takabayashi, M. Orihashi, T. Matsuoka, and M. Sagawa, “Adaptive predistortion linearizer with digital quadrature modem,” in Proc. IEEE 51st Veh. Technol. Conf., 2000, pp. 2237–2241. [15] K. Horiguchi, M. Miki, J. Nagano, H. Senda, K. Yamauchi, M. Nakayama, and T. Takagi, “A UHF-band digital pre-distortion power amplifier using weight divided adaptive algorithm,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 2019–2022. [16] Y. Kim, Y. Yang, S. Kang, and B. Kim, “Linearization of 1.85 GHz amplifier using feedback predistortion loop,” in IEEE MTT-S Int. Microw. Symp. Dig., 1998, pp. 1675–1678. [17] S. Haykin, Adaptive Filter Theory, 4th ed. Upper Saddle River, NJ: Prentice-Hall, 2001. [18] L. Sundström, M. Faulkner, and M. Johansson, “Quantization analysis and design of a digital predistortion linearizer for RF power amplifiers,” IEEE Trans. Veh. Technol., vol. 45, no. 4, pp. 707–719, Nov. 1996. [19] “Connected simulation and test solutions using the Advanced Design System,” Agilent Technol., Palo Alto, CA, Applicat. Note 1394, 2000. [20] J. Kim, J. Cha, I. Kim, and B. Kim, “Optimum operation of asymmetrical-cells-based linear Doherty power amplifiers—Uneven power drive and power matching,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1802–1809, May 2005. Young Yun Woo received the B.S. degree in electrical and computer engineering from Han-Yang University, Seoul, Korea, in 2000, and the Ph.D. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea, in 2007. In 2007, he joined the Samsung Electronics Company Ltd., Suwon, Gyeunggi, Korea. His current research interests include RF PA design, linear power amplifier (LPA) system design, and DPD techniques for linearizing high PAs.

Jangheon Kim received the B.S. degree in electronics and information engineering from Chon-buk National University, Chonju, Korea, in 2003, and is currently working toward Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. His current research interests include highly linear and efficient RF PA design, memory effect compensation techniques, and linearization techniques.

Jaehyok Yi received the M.S. and Ph.D. degrees in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea, in 1999 and 2005, respectively. In 2005, he joined the LG Electronics Company Ltd., Seoul, Korea. His research interests include the design and simulation of the behavior of linear RF PAs and linearization techniques.

Sungchul Hong received the B.S. degree in electrical and electronic engineering from Yonsei University, Seoul, Korea, in 2003, and the Master degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea, in 2007. In 2007, he joined the Samsung Electronics Company Ltd., Suwon, Gyeunggi, Korea. His research interests include design of PAs, DPD techniques, and highly efficient transmitter systems.

Ildu Kim received the B.S. degree in electronics and information engineering from Chon-nam National University, Kwangju, Korea, in 2004, and is currently working toward Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. His current research interests include RF PA design and linearity and efficiency improvement techniques.

Junghwan Moon received the B.S. degree in electrical and computer engineering from the University of Seoul, Seoul, Korea, in 2006, and is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. His current research interests include highly linear and efficient RF PA design.

Bumman Kim (M’78–SM’97–F’07) received the Ph.D. degree in electrical engineering from Carnegie–Mellon University, Pittsburgh, PA, in 1979. From 1978 to 1981, he was engaged in fiber-optic network component research with GTE Laboratories Inc. In 1981, he joined the Central Research Laboratories, Texas Instruments Incorporated, where he was involved in development of GaAs power field-effect transistors (FETs) and monolithic microwave integrated circuits (MMICs). He has developed a large-signal model of a power FET, dual-gate FETs for gain control, high-power distributed amplifiers, and various millimeter-wave MMICs. In 1989, he joined the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea, where he is a Namko Professor with the Department of Electrical Engineering, and Director of the Microwave Application Research Center, where he is involved in device and circuit technology for RF integrated circuits (RFICs). In 2001, he was a Visiting Professor of electrical engineering with the California Institute of Technology, Pasadena. He has authored over 200 technical papers. Dr. Kim is a member of the Korean Academy of Science and Technology and the Academy of Engineering of Korea. He was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and a Distinguished Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

941

Hybrid S -Parameters for Transmission Line Networks With Linear/Nonlinear Load Terminations Subject to Arbitrary Excitations Yakup Bayram, Member, IEEE, and John L. Volakis, Fellow, IEEE

Abstract—We propose a generalized -parameter analysis for transmission lines (TLs) with linear/nonlinear load terminations subject to arbitrary plane-wave and port excitations. -parameters are prevalently used to model TLs such as cable bundles and interconnects on printed circuit boards (PCBs) subject to port excitations. The conventional -parameter approach is well suited to characterize interactions among ports. However, nontraditional port excitations associated with plane-wave coupling to physical ports at TL terminals lead to forced, as well as propagating, modal waves, necessitating a modification of the standard -parameter characterization. In this paper, we consider external plane-wave excitations, as well as port (internal) sources, and propose a hybrid -parameter matrix for characterization of the associated microwave network and systems. A key aspect of the approach is to treat the forced waves at the ports as constant voltage sources and induced propagating modal waves as additional entries (hybrid -parameters) in the -parameter matrix. The resulting hybrid -matrix and voltage sources can be subsequently exported to any circuit solver such as HSPICE and Agilent’s Advanced Design System for the analysis of combined linear and nonlinear circuit terminations at ports. The proposed method is particularly suited for susceptibility analysis of cable bundles and PCBs for electromagnetic interference evaluations. It also exploits numerical techniques for structural and circuit domain characterization and allows for circuit design optimization without a need to perform any further computational electromagnetic analysis. Index Terms—Digital simulation, electromagnetic compatibility (EMC), electromagnetic coupling, electromagnetic fields, electromagnetic interference (EMI), multiconductor transmission lines, port analysis, printed circuit board (PCB), scattering matrix, scattering parameters, -parameters, transmission lines (TLs), transmission line theory.

I. INTRODUCTION

T

HE -parameter technique has been widely used in combining electromagnetic (EM) analysis of transmission line (TL) networks with circuits involving linear/nonlinear loads. They have also been extensively studied for full-wave extraction of parameters to characterize microwave structures [1]–[4] and for integration of -parameter networks with linear/nonlinear loads [5]–[11]. As opposed to representing port relations in terms of voltage and currents via -parameters (impedance) Manuscript received April 6, 2006; revised January 1, 2007. This work was supported by the U.S. Air Force Multidisciplinary University Research Project under Grant F49620-01-1-0436. The authors are with the ElectroScience Laboratory, The Ohio State University, Columbus, OH 43212 USA (e-mail: [email protected]; volakis.1@osu. edu). Digital Object Identifier 10.1109/TMTT.2007.895642

or -parameters (admittance), -parameters employ modal incident and reflected EM fields to establish a physics-based mathematical relation among the ports. However, the relations among the ports are dependent on the supported modes subject to excitation. Since each mode has distinct characteristic impedance and propagation velocity, -parameters are also referred to as modal parameters. Port analysis is a prevalent approach for electromagnetic interference (EMI)/electromagnetic compatibility (EMC) and signal integrity analysis of electronic designs due to its generality and practicality. In addition to analysis of printed circuit boards (PCBs) with lumped linear circuit elements, it also allows for analysis of mixed-signal circuits via broadband characterization of the entire PCB. Depending on whether time- or frequency-domain analysis is considered, various techniques can be employed to integrate the -parameter network with circuit solvers such as HSPICE for time domain [7], [8], [11] and the harmonic balance method [12]–[15] for mixed time-frequency domain characterization. External field coupling to PCBs and TL networks is primarily done with multiconductor transmission line theory (MTLT) [16]–[21]. However, it is not well suited for accurate analysis at high frequencies since it inherently assumes strong quasi-static analysis in its formulation. In [22], the authors introduced the telegrapher’s iterative coupling equations (TICEs) modifying MTLT to account for common mode interactions at higher frequencies. However, TICE is best suited for long cable bundles and is computationally expensive since the surrounding structure must be resolved several times. Time-domain techniques such as the finite-difference time-domain (FDTD) method have also been used for concurrent analysis of circuits and EM structures [23]. While time-domain techniques also yield accurate results, they suffer from computational inefficiencies due to the meshing of large volumes and simulation of RF devices with high quality factors. They also run into convergence problems for circuit elements characterized with stiff differential equations. To tackle shortcomings of the aforementioned methods, we have recently introduced a hybrid -parameter matrix that models TL networks with linear/nonlinear terminations subject to both plane-wave and traditional port excitations [24], [25]. In this paper, we extend the proposed analysis by decomposing external field excitation into forced and modal waves extracted via the generalized pencil of functions and present a more practical approach to integrate forced waves with circuit analysis tools such as Agilent’s Advanced Design System (ADS)

0018-9480/$25.00 © 2007 IEEE

942

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

and HSPICE. Proposed analysis also allows for integration of evanescent modes into circuit analysis for high-frequency analysis [2]. As opposed to traditional port excitations, external planewave illumination leads to forced waves along the TL, as well as propagating modal waves. Forced waves stem from enforcing phase matching with the incident wave along the structure walls and propagate with the wavenumber of the incident plane wave along the corresponding direction. Such forced waves are not affected by the loads attached to the ports. Conversely, backward and forward modal waves, originated from mismatches at port terminations, travel with corresponding eigenvalues that the RF structure supports at the operating frequency. In our analysis, we consider forced waves as constant voltage sources at the ports and characterize the induced propagating modes with an -parameter matrix (hybrid -parameters). The resulting -matrix and voltage sources can then be exported to any circuit solver such as HSPICE and ADS and analyzed with the corresponding linear and nonlinear port terminations. Since a TL network is solely treated in the EM domain and circuit components attached to the ports are handled in the circuit domain, numerical techniques customized for each domain can be fully exploited in our analysis. This approach also allows for circuit design optimization without a need for repeated analysis of the microwave network. In Section II, we first develop the theory for an arbitrary TL network subject to plane-wave excitation. In Section III, we validate the proposed concept with a pair of TLs in free space excited by a plane wave with a current source attached to one of the terminals. Similarly, in Section IV, we extend our validation to a pair of microstrip lines on a PCB subject to plane-wave excitation. In Sections V and VI, we discuss the proposed method and remark on future study. II. THEORY Here, we consider characterization of the interactions among physical ports within a TL network using modal -parameters. Subsequently, we propose a hybrid -parameters matrix to include external plane-wave excitations and proceed to describe techniques such as the generalized pencil of functions for the extraction of hybrid -matrix entries. We then discuss how forced waves are treated at the ports for circuit analysis.

Fig. 1. (a) Typical mixed-signal PCB. (b) Port modeling of (a) where nonlinear component terminals are represented with ports. (c) Circuit representation of (a).

must note that our analysis assume real reference impedance at the ports throughout our analysis. However, it can be readily extended to account for complex reference impedances at the ports with appropriate power relations (see [26] and [27]). We remark that even though a PCB is shown in Fig. 1, our analysis applies to any TL configuration, as is the case with multiconductor TLs and coaxial cable networks. As usual, the scattering matrix assumes the following field representation due to port excitation:

A. Modal -Parameters for Coupling Among Physical Ports Fig. 1 displays a typical mixed-signal circuit board with nonuniform microstrip lines. For the characterization of such a board, we introduce the -port -parameter network giving (for the th mode) .. .

.. .

..

.

.. .

.. .

(1)

where with all ports terminated at their corresponding reference impedance , and referring to the incident and reflected waves, respectively, at the th port. We

(2) where and refer to th modal electric and magnetic fields, respectively, with being the corresponding propagation con, , , and are the coefficients of the stants, whereas expansion. The above modal fields refer to the eigensolutions of the corresponding Sturm–Liouville problem subject to Dirichlet boundary conditions on perfect electric conductor (PEC) surfaces (3)

BAYRAM AND VOLAKIS: HYBRID

-PARAMETERS FOR TL NETWORKS WITH LINEAR/NONLINEAR LOAD TERMINATIONS

943

These modal fields must also satisfy the orthogonality condition

(4)

with respect to the cross section of the propagation front along with terminal voltages and currents, the TL. To relate we introduce the definitions (5)

where denotes voltages and currents at the th port due to the th modal field. From (2), fields to be integrated are and . Mathematical relation between the th incident and reflected modal amplitudes, in (1), and modal voltages and currents, in (5), is given by (6) where is the reference impedance for the corresponding th port. The expression in (6) can be construed as an interface between the circuit components (expressed in terms of voltages and currents) and the RF structure treated via full-wave electric and magnetic fields. However, we must note that (6) is only applicable to modal excitations at the physical ports. Therefore, one must account for nonmodal field contributions at the ports for nonconventional port excitations. Below, we exploit the relation in (6) and introduce a hybrid -matrix approach to account for nonconventional external excitations such as plane waves on TL networks. B. Hybrid -Parameters for External Plane-Wave Excitation Let us consider the case of an external plane wave impinging upon an -port arbitrary TL network shown in Fig. 2. Similar to the lumped port excitations, we propose to introduce the external plane wave as a source generated from an additional th port. We start our analysis by imposing Dirichlet boundary conditions along the TL walls (see Fig. 3) as follows:

(7) refers to the electric field due to the incident plane where wave in absence of the entire TL network, and is the electric field radiated by the induced currents on the TL conductors. For an infinitely long TL, (7) implies that at the TL surfaces. However, for a finite TL, the reflected currents at the terminals will lead to modal fields, which already satisfy the boundary conditions given in (3). Therefore, the scattered fields at the TL surfaces satisfy the conditions

(8)

Fig. 2. (a) Typical mixed-signal PCB subject to plane-wave excitation. (b) Circuit representation of (a) via hybrid port modeling of plane wave.

Fig. 3. Typical TL structure comprised of two conductors.

is given by (2) with the boundary conditions where along the TL surfaces (9) Referring to (8) and [28], we observe that the plane-wave incidence on a TL introduces a forced wave (having the same wavenumber as the incident field) in addition to the modal fields. Thus, in the case of a plane-wave excitation, we introduce the representation

(10) Comparing the above expression with (2), we deduce that the difference between the plane-wave and lumped port excitation is that the former induces forced waves in addition to modal fields. To account for the modal waves coupled to the physical ports at the TL terminals, we treat the plane wave as an additional

944

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

th port and modify the existing cordingly for each modal wave

.. .

.. .

..

.. .

.

-port

-matrix ac-

where

.. .

.. .

..

.. .

.

.. .. .

.

(14)

(11)

As seen, the th port is characterized by the hybrid -pa, representing plane-wave coupling to the th rameters port for the th excited mode. Fig. 2 clearly demonstrates that plane wave is included as an additional port in the circuit domain and we treat forced waves as additional constant voltages at the ports. Below, we first describe the extraction of hybrid -parameters. We then explain how we integrate forced waves with circuit analysis.

and are already known matrices. in which Rearranging the terms, we find that the coefficients of the incident and reflected waves at the physical ports are given by

(15) Comparing

C. Hybrid -Parameters via Open Circuit Analysis To calculate the hybrid -parameters, we exploit the inherent relation between the incident and reflected waves and voltage and currents at the ports given in (6). We first introduce the cor-port netresponding hybrid impedance matrix for the work representing voltage and current relations at the ports due to modal and plane-wave excitations

(15)

with (11) and setting to normalize the incident plane wave, we readily identify that

(16) .. .

.. .

.. .

..

.

.. .

.. .

(12)

refers to the open circuit where voltage at the ports due to modal fields excited by the external th port is of no interest in plane wave. Coupling to the our analysis. Therefore, it is excluded in the -matrix (namely, th row of the -matrix is set to zero). This also the helps us circumvent mismatch problems at the EMI port. The column, i.e., , represents the last element added to the normalized plane-wave coefficient. Thus, the column can be construed as that relating the open circuit modal voltages at the ports to the incident plane-wave excitation. To associate impedance matrix entries with -parameters, we employ (6) to update (12) giving

(13)

is the magnitude of the incident plane wave used for where normalizing the hybrid -parameters to 1 V/m and . The evaluation of in (11) is done in the usual manner via open circuit analysis. However, the evaluation of requires more attention. Once the open circuit modal voltages can be calculated via (16). Since the forced are obtained, voltages do not depend on the terminations, they can be directly exported to the circuit solver shown in Fig. 2. D. generalized pencil of functions for Extraction of Hybrid -Parameters As noted above, the hybrid scattering matrix assumes the propagation of a discrete set of modes within the network. Knowledge of these modes and their associated parameters , and , as in (2)] is necessary for the extrac[e.g., , tion of the hybrid -matrix entries. The generalized pencil of functions [29], [30] method can be employed for the extraction of these parameters. Such an analysis has been successfully employed in the literature [31], [32]. Specifically, in [31] and [32], the current induced on a microstrip line is decomposed into the bound (dominant) and higher order modes and authors employed the generalized pencil of functions to find the corresponding mode amplitude and propagation constants to achieve the best fit. Similarly, in [33], the FDTD method was used in

BAYRAM AND VOLAKIS: HYBRID

-PARAMETERS FOR TL NETWORKS WITH LINEAR/NONLINEAR LOAD TERMINATIONS

conjunction with the generalized pencil of functions to extract the -parameters of a waveguide structure via a full-wave analysis. Further, in [34], the generalized pencil of functions was used to extract the parameters of current induced on large scatterers represented with sum of complex exponentials. Once the parameters of the exponential terms in (10) are attained, one can readily distinguish forced and dominant modal waves by examining the propagation constants such that the dominant modal terms appear as a pair of backward and forward traveling voltages with negligible decay/attenuation constant. A more rigorous comparison can also be made by computing the propagation constants of the TL network by invoking the eigenfunction representation with the appropriate boundary conditions. Since the computed eigenvalues correspond to the propagation constants, one can then extract the modal propagation constants from the generalized pencil of functions method results.

945

Fig. 4. Pair of TLs subject to plane-wave illumination.

Fig. 5. Two-port circuit representation of TLs.

E. Integration of Forced Waves With Circuit Analysis As described above, forced and modal waves can be extracted via the generalized pencil of functions method. We have also shown that modal waves can be combined with circuit analysis through the hybrid -parameter matrix. Next, we describe incorporation of forced waves into the circuit analysis. We start our analysis with the following impedance boundary condition, which must be satisfied regardless of linear/nonlinear loads attached to the ports. Specifically, we have

III. VALIDATION STUDIES To demonstrate the validity of the hybrid -parameters, we first consider a pair of TLs subject to concurrent plane wave and a direct port excitation. Subsequently, we consider a more complex configuration consisting of a pair of microstrip lines on a PCB illuminated by an obliquely incident plane wave. IV. PAIR OF TLS SUBJECT TO PLANE-WAVE EXCITATION

(17) is measured in per square unit cell. The impedance where boundary conditions for EM analysis at the ports can be translated into Ohm’s law to relate the voltage and currents at the terminals using the general form (18) is the complex linear/nonlinear load impedance at the where in (5) can ports. We must remark that the surface impedance and the be expressed in terms of the total port impedance port dimensions. For instance, such a relation for the microstrip lines can be written as (19) where and correspond to the height of the TL from the ground plane and the width of the strip line, respectively. For plane-wave excitation, the total voltage at the port is expressed in terms of modal and forced waves via (10) and (5), viz.

(20) where represents the total voltage at the terminals of any linear or nonlinear loads. As stated, is a constant term, not associated with the loads at the ports. Thus, the forced voltage can be added to the ports as a constant source term to enforce Ohm’s law in the circuit domain or, equivalently, the surface impedance boundary condition (see Fig. 2).

Consider the TLs, shown in Fig. 4, excited by a current source at located 250 mm from the left and terminated by a load the source. The TL is comprised of two wires of radius 0.125 mm and separated by 2 mm having a characteristic impedance of [35]. We are interested in computing the voltage induced at the load when the TL is concurrently illuminated by a plane wave operating at 2 GHz (the same as the port source). This problem is, therefore, a typical EMI/EMC coupling analysis. with We consider the plane-wave excitation V/m and . Further, we assume that the current only flows in the -direction since the wire radius is much smaller than the wavelength at the operating frequency. We break down our analysis into two sections, which are: 1) current-source excitation and 2) plane-wave excitation. Such anapproach implicitly assumeslinear circuit components attached to the ports. However, we must note that the proposed solution can be applied to the cases where nonlinear loads are included by employing broadband -parameter characterization. A. Current-Source Excitation To compute the total voltage induced at the load, we employ -parameter matrix defined for two ports where the current source and lumped ports are attached, respectively. Since the current source supports quasi-TEM modes along the TL, one can establish a two-port -parameter network based on a quasi-TEM mode propagation. The resulting two-port parameter network can be exported to any circuit simulator such as ADS (see Fig. 5) and connect the current source and load at the corresponding ports.

946

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

TABLE I VOLTAGE INDUCED AT THE Z = 100 DUE TO A CURRENT SOURCE OF 10 mA

and terms depend only on the polarization and The magnitude of the plane-wave excitation and independent of the loads connected to the wire terminals. Therefore, they are forced waves and independent of the attached loads. Further, their presence disappears at the moment the incident field stops to exist, and even the modal fields continue their presence. To substantiate the above expansion, we carried out a full-wave analysis of the TL in Fig. 6 with plane-wave excitation and extracted the resulting traveling-wave representation via the generalized pencil of functions method. Two sets of were used at the each port. loads For the loads , , we found that



(23) and for the loads

,

, we obtained

(24) Fig. 6. Physical current decomposition of the modes traveling along the TL.

After performing a full-wave analysis (HFSS),1 we extracted a 2 2 -parameter matrix (21) Subsequently, we exported the resulting -matrix to ADS with the connected current source at port 1 and the load at port 2. Using ADS (see Fig. 5), we can then find the load voltage as a function of the current source. Table I shows a comparison of the full-wave results with the proposed -matrix/ADS simulation. As seen, an excellent agreement is achieved. B. Plane-Wave Excitation We now proceed to include the plane-wave coupling in terms of traveling-wave components [28]. Referring to Fig. 6, the and coefficients correspond to coupling onto infinite TLs and have the same phase as the incident field to force phase matching along the wires. The remaining terms represent forward and backward traveling (modal) currents, and the total voltage along the TL can be also expressed as

Considering that

and , it is clear that (23) and (24) are in agreement with (22). In other words, forced voltage terms did not alter with changing loads, while modal waves responded to the attached loads. Based on this claim, one can establish a hybrid -parameters network based on the quasi-TEM traveling voltages ( and ) in conjunction with the two-port -parameter network constructed in Section IV-A. Similarly, the forced voltage terms can be included in the analysis as constant voltage sources at the ports. C. Hybrid Three-Port Quasi-TEM Network Construction

-Parameter

Here, we proceeded to construct a three-port hybrid -parameter network such that ports 1 and 2 are physical ports at the terminals of the TL with port 3 representing the plane-wave source leading to the quasi-TEM wave induced along the TLs. We first computed the open circuit modal voltages at the ports and employed (16) to compute the hybrid -parameters. The resulting 3 3 hybrid -matrix is

(25)

(22) is the voltage due to the plane wave in the absence where of the wires and , , and are associated with the induced currents , , and , respectively. 1For the full-wave analysis, we further use strips in place of wires by employing the standard equivalence a = (w=4), where a is the radius of the wire and w is the width of the equivalent strip.

where we set the last row to 0 because only and are nonzero since they represent the coupling of the incident plane wave onto the physical ports at the TL terminals. Next, we proceeded to employ ADS in conjunction with (25) to find the port voltages (see Fig. 7). We performed three studies in which the current source is set to 0, 5 mA, and 10 mA, respectively. Next, we compared the proposed method solution with a full-wave solution for the voltage induced at the load for each current-source and plane-wave excitation. It is clearly observed in Fig. 8 that hybrid -parameters agree very well with full-wave results.

BAYRAM AND VOLAKIS: HYBRID

-PARAMETERS FOR TL NETWORKS WITH LINEAR/NONLINEAR LOAD TERMINATIONS

947

TABLE II PORT TERMINATIONS IN FIG. 9

Fig. 7. Circuit representation of TL pair subject to concurrent plane-wave and current-source excitation.

Fig. 10. Circuit representation of the coupled microstrip lines subject to concurrent plane-wave and current-source excitation.

The microstrip lines are terminated with complex impedances and an on-board current source was placed in port 1 (P1), given in Table II. Additionally, a plane wave operating at 2.5 GHz (the same as the current source) also impinged on the microstrip lines

with Fig. 8. Comparison of hybrid S -parameter method results with full-wave results for the validation problem Fig. 4. (a) Amplitude of the voltage induced at the load Z = 100 for various current-source values. (b) Phase of the voltage induced at the load Z = 100 for various current-source values.

Fig. 9. Pair of coupled microstrip lines residing on a PCB and subject to oblique plane-wave illumination.

D. Pair of Coupled Microstrip Lines Subject to Concurrent Plane-Wave and On-Board Current-Source Excitation We now consider the geometry in Fig. 9, displaying a pair of coupled microstrip lines residing on an RT/Duroid 5880 board with 2.2 dielectric constant and a thickness of 31 mil (0.7874 mm).

V/m and

In our analysis, we aim to find the total voltage induced at the ports. To do so, we first extracted the standard 4 4 -parameter matrix for the given port configuration with respect to 50reference impedance. Afterwards, we performed full-wave analysis on the structure (with open ports) subject to only planewave excitation. Subsequently, we conducted generalized pencil of functions analysis to extract the forced voltage and propagating modes along the lines. Upon obtaining modal and forced voltages at the ports, we then employed (16) to extract the hybrid -parameters. The resulting 5 5 hybrid -parameter matrix is exported to ADS, and corresponding forced voltages and port terminations are connected to the respective ports (see Fig. 10). In this configuration, ports – correspond to physical ports at the terminals of the coupled microstrip lines and port P5 represents the plane-wave coupling. Circuit analysis was run at 2.5 GHz and performance of the proposed method is compared with full-wave results (see Fig. 11). It is clearly observed that the proposed method results agree well with full-wave results.

948

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

or interconnects. Such difference relates to incident plane-wave excitation. To better differentiate this, let us consider the total field along a TL due to incident plane wave. We have (26)

Fig. 11. Comparison of the proposed method with full-wave results for the voltage induced at the ports shown in Fig. 9. (a) Total induced voltage amplitude at each port. (b) Total induced voltage phase at each port.

V. DISCUSSION We introduced an alternative approach for modeling external field coupling to TL networks and PCBs with mixed signal circuits. Our analysis introduced a new methodology and a perspective to incorporate modal and forced waves into circuit analysis. Below, we address some of the issues to avoid misunderstandings due to terminologies used here and elsewhere. A. Difference Between Differential Mode Waves and Modal Waves We chose to use the term “modal waves” instead of “differential mode” for the sake of generality. In other words, differential mode typically refers to quasi-TEM mode in a TL network. However, our goal in this study is to characterize all modal waves along a TL regardless of them being evanescent or higher order. Traditional EMI/EMC analysis is based on quasi-static characterizations for TL analysis. Thus, the terms “common mode” and “differential mode” are quite appropriate since there is only one mode propagating. In contrast, the proposed formalism assumes a more general situation where the surrounding structure and PCBs can possibly excite several modes, each having its own scattering matrix with possible inter-coupling from the junctions/interconnects and surrounding structures. Thus, use of the terms “modal fields” and “forced waves” are more appropriate as they provide for greater generality beyond the quasi-static situation. Certainly, when only a single mode is assumed everywhere, the modal fields can be considered as the differential mode. B. Difference Between Common Mode Waves and Forced Waves Common mode (antenna mode) is widely used in the literature and the concept “forced wave” is introduced in this context for the first time to the authors’ knowledge. Regarding our analysis, there is a subtle difference between the forced field and the field due to common mode current induced along the TLs

where is the field radiated from the induced current along the TLs and refers to the field due to the incident plane wave in the absence of the TLs. We remark that the total current along TLs can be represented as sum of common and differential mode currents (supposing only the has two quasi-TEM mode is propagating). Therefore, components: modal propagating waves (due to the differential mode current propagating bidirectionally) and fields due to the . Hence, common mode, i.e., . In (10), we refer as a forced field. The reason we have to chosen to use the term “forced” is that forced waves do not respond to the loads. Regardless of loads attached, they remain the same [see (23) and (24)]. We note that forced fields and the fields due to the common mode current are the same when only the plane wave is impinging at normal incidence onto the TL network. However, our formulation applies to arbitrary excitations. Therefore, we found it more appropriate to use “forced field” since it represents a more general case. C. Radiated Susceptibility Analysis With Hybrid -Parameters We note that the hybrid -parameter approach can also be employed for radiated susceptibility analysis. In such a case, the radiated emissions from a driven set of unshielded coupled wires or any other source can be represented with a hypothetical port (hybrid -port) and coupling to the target PCB can be modeled as described in this paper. To state it differently, for radiated susceptibility analysis, one can define a hybrid port for the radiation source and compute modal and forced waves along the PCB traces (open terminated) via the above-described generalized pencil of functions method. One must note that forced waves may come as the sum of many evanescent modes and nonuniform waves along the traces. Regardless, once the modal waves are extracted, they can be incorporated as hybrid entries and the remaining terms at the port can be used as forced voltages since propagating waves respond to the loads attached. D. Re-Radiation From Interconnects and TLs In the proposed method, we compute the induced common mode currents along the TLs by solving an open-terminated TL network in its actual environment. Therefore, re-radiation due to the common mode induced along the TLs or interconnects are already taken into account. The only assumption regarding re-radiation is that the radiation due to modal waves in the presence of electronic components is not taken into consideration. However, considering that modal waves are strongly confined into the TL or interconnect and the ground plane, we can safely make the assumption that their radiation is minimal compared to the common mode current radiation.

BAYRAM AND VOLAKIS: HYBRID

-PARAMETERS FOR TL NETWORKS WITH LINEAR/NONLINEAR LOAD TERMINATIONS

VI. CONCLUSION A novel approach for the analysis of TL networks subject to a plane-wave excitations was proposed. It was shown that plane-wave coupling leads to both forced and modal waves. The former is constant at the ports regardless of the attached load, whereas the latter travels along the TLs. While modal waves were taken into account by treating the plane wave as an additional port, forced waves appear as constant voltage sources at the terminals to enforce Ohm’s law in the circuit or, equivalently, the surface impedance boundary condition in EM domain. Two validation examples were carried out with a pair of TLs in free space and also a coupled microstrip lines residing on a PCB. It was shown that the proposed method agrees very well with full-wave results. The key advantage of the proposed method is the treatment of the EM structure in the EM domain, whereas circuit components are treated in the circuit domain. Therefore, this analysis can address a large variety of circuit components. ACKNOWLEDGMENT The authors are grateful to the reviewers for their outstanding intellectual challenge in the evaluation of this paper’s manuscript. REFERENCES [1] B. J. Cooke, J. L. Prince, and A. Cangellaris, “S -parameter analysis of multiconductor, integrated circuit interconnect systems,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 11, no. 3, pp. 353–360, May 1992. [2] W. K. Gwarek and M. Celuch-Marcysiak, “Wide-band S -parameter extraction from FD-TD simulations for propagating and evanescent modes in inhomogeneous,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 8, pp. 1920–1928, Aug. 2003. [3] A. C. Polycarpou, A. Tirkas, and C. A. Balanis, “The finite-element method for modeling circuits and interconnects for electronic packaging,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 10, pp. 1868–1874, Oct. 1997. [4] A. I. Khalil and M. B. Steer, “A generalized scattering matrix method using the method of moments for electromagnetic analysis of multilayered structures in waveguide,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2151–2157, Nov. 1999. [5] N. Chang, L. Barford, and B. Troyanovsky, “Fast time domain simulation in SPICE with frequency domain data,” in IEEE Electron. Compat. Tech. Conf., 1997, pp. 689–695. [6] R. Achar and M. S. Nakhla, “Efficient transient simulation of embedded subnetworks characterized by S -parameters in the presence of nonlinear elements,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2356–2363, Dec. 1998. [7] D. Winkelstein, M. B. Steer, and R. Pomerlau, “Simulation of arbitrary transmission line networks with nonlinear terminations,” IEEE Trans. Circuits Syst., vol. 38, no. 4, pp. 418–422, Apr. 1991. [8] J. C. Rautio, “Synthesis of lumped element models from N -port scattering parameter data,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 3, pp. 535–537, Mar. 1994. [9] E. W. Matthews, “The use of scattering matrices in microwave circuits,” IRE Trans. Microw. Theory Tech., vol. MTT-3, no. 4, pp. 21–26, Apr. 1955. [10] T. Dhaene, L. Martens, and D. Zutter, “Transient simulation of arbitrary nonuniform interconnection structures characterized by scattering parameters,” IEEE Trans. Circuits Syst., vol. 39, no. 11, pp. 928–937, Nov. 1992. [11] J. E. Schutt-Aine and R. Mittra, “Scattering parameter transient analysis of transmission lines loaded with nonlinear terminations,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 3, pp. 529–536, Mar. 1988. [12] K. S. Kundert and A. Sangiovanni-Vincentelli, “Simulation of nonlinear circuits in the frequency domain,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. CAD-5, no. 4, pp. 521–535, Oct. 1986.

949

[13] M. Nakhla and J. Vlach, “A piecewise harmonic balance technique for determination of periodic response of nonlinear systems,” IEEE Trans. Circuits Syst., vol. CAS-23, no. 2, pp. 85–91, Feb. 1976. [14] K. S. Kundert, “Introduction to RF simulation and its application,” IEEE J. Solid-State Circuits, vol. 34, no. 9, pp. 1298–1319, Sep. 1999. [15] S. El-Rabaie, V. F. Fusco, and C. Stewart, “Harmonic balance evaluation of nonlinear microwave circuits—A tutorial approach,” IEEE Trans. Educ., vol. 31, no. 3, pp. 181–191, Aug. 1988. [16] T. Lapohos, J. LoVetri, and J. Seregelyi, “External field coupling to MTL networks with nonlinear junctions: Numerical modeling and experimental validation,” IEEE Trans. Electromagn. Compat., vol. 42, no. 1, pp. 16–28, Feb. 2000. [17] A. K. Agrawal, H. Price, and S. H. Gurbaxani, “Transient response of multiconductor transmission lines excited by a nonuniform electromagnetic field,” IEEE Trans. Electromagn. Compat., vol. EMC-22, no. 2, pp. 119–129, May 1980. [18] M. Ianoz, C. A. Nucci, and F. Tesche, “Transmission line theory for field-to-transmission line coupling,” Electromagnetics, no. 8, pp. 171–211, May 1980. [19] C. R. Paul, “Frequency response of multiconductor transmission lines illuminated by an electromagnetic field,” IEEE Trans. Elecromagn. Compat., vol. EMC-18, no. 18, pp. 183–190, Nov. 1976. [20] J.-P. Parmantier, S. Bertuol, J. Marque, U. Thibblin, and S. Persson, “Modeling and analysis of the electromagnetic environment on aircraft and helicopter. Part 2: Coupling to complex cable networks,” in Lightning and Static Electricity Int. Conf., Toulouse, France, Jun. 1999, pp. 193–199. [21] J.-P. Parmantier, V. Gobin, F. Issac, A. Junqu, Y. Daudy, and J. M. Lagarde, “Analysis of EM coupling on large structures using EM topological concepts: Application to the EMPTAC aircraft,” in Int. Electromagn. Adv. Applicat. Conf., Turin, Italy, Jun. 1999, pp. 55–59. [22] Y. Bayram and J. L. Volakis, “A generalized MoM–SPICE iterative technique for field coupling to multiconductor transmission lines in presence of complex structures,” IEEE Trans. Electromagn. Compat., vol. 47, no. 2, pp. 234–246, May 2005. [23] I. Erdin, M. S. Nakhla, and R. Achar, “Circuit analysis of electromagnetic radiation and field coupling effects for networks with embedded full-wave modules,” IEEE Trans. Electromagn. Compat., vol. 42, no. 4, pp. 449–460, Nov. 2000. [24] Y. Bayram and J. L. Volakis, “Hybrid S -parameters for analysis of mixed RF-digital circuits subject to external electromagnetic interference,” in IEEE AP-S Int. Symp., Jul. 2005, vol. 3, pp. 888–893. [25] Y. Bayram, J. L. Volakis, and P. Roblin, “A novel technique for concurrent on & off- board EMI analysis of mixed RF-digital circuits via hybrid scattering patterns,” in IEEE Int. Electromagn. Compat. Symp., Aug. 2005, vol. 3A, pp. 308–311. [26] R. B. Marks and D. F. Williams, “A general waveguide circuit theory,” J. Res. Nat. Inst. Standards Technol., vol. 97, no. 5, pp. 553–562, Sept. –Oct. 1992. [27] ——, “Comments on ’conversions between S; Z; Y ; h; ABC D , and T parameters which are valid for complex source and load impedances,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 4, pp. 914–915, Apr. 1995. [28] A. Chatterjee, J. L. Volakis, and W. J. Kent, “Scattering by a perfectly conducting and a coated thin wire using a physical basis model,” IEEE Trans. Antennas Propag., vol. 40, no. 7, pp. 761–769, Jul. 1992. [29] Y. Hua and T. K. Sarkar, “Generalized pencil of functions method for extracting the poles of an electromagnetic system from its transient response,” IEEE Trans. Antennas Propag., vol. 37, no. 2, pp. 229–234, Feb. 1989. [30] T. P. Sarkar and O. Pereira, “Using the matrix pencil method to estimate the parameters of a sum of complex exponents,” IEEE Antennas Propag. Mag., vol. 37, pp. 48–55, Feb. 1995. [31] M. J. Freire, F. Mesa, and M. Horno, “Excitation of complex and backward mode on shielded lossless printed lines,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 1098–1105, Jul. 1999. [32] C. S. Nallo, F. Mesa, and D. R. Jackson, “Excitation of leaky modes on multilayer stripline structures,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 8, pp. 1062–1071, Aug. 1998. [33] J. Ritter and F. Arndt, “Efficient FDTD/matrix-pencil method for the full-wave scattering parameter analysis of waveguide structures,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2450–2456, Dec. 1996. [34] Z. Altman, R. Mittra, O. Hashimoto, and E. Michielssen, “Efficient representation of induced currents on large scatterers using the generalized pencil of function method,” IEEE Trans. Antennas Propag., vol. 44, no. 1, pp. 51–57, Jan. 1996. [35] F. M. Tesche, EMC Analysis Methods and Computational Models. New York: Wiley, 1997.

950

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Yakup Bayram (S’01–M’07) was born in Trabzon, Turkey, in 1980. He received the B.S. degree in electrical engineering from Bilkent University, Ankara, Turkey, in 2001, and the M.S. and Ph.D. degrees in electrical and computer engineering from The Ohio State University, Columbus, in 2004 and 2006, respectively. He is currently a Post-Doctoral Researcher with the ElectroScience Laboratory, The Ohio State University. His recent research interests include EMI/EMC analysis of electronic systems in complex platforms, wireless propagation models and antenna analysis and design. Dr. Bayram was the corecipient of the 2001 IEEE Regional Activities Board Achievement Award and the 2005 IEEE Leo L. Beranek Award.

John L. Volakis (S’77–M’82–SM’89–F’96) was born on May 13, 1956, in Chios, Greece. He received the B.E. degree (summa cum laude) from Youngstown State University, Youngstown, OH, in 1978, and the M.Sc. and Ph.D. degrees from The Ohio State University, Columbus, in 1979 and 1982, respectively. From 1982 to 1984, he was with Rockwell International, Aircraft Division (now Boeing Phantom Works), Lakewood, CA. From 1978 to 1982, he was a Graduate Research Associate with the ElectroScience Laboratory, The Ohio State University. Since January 2003, he has been the Roy and Lois Chope Chair Professor of Engineering with The Ohio State University and serves as the Director of the ElectroScience

Laboratory. Prior to joining The Ohio State University, he was a Professor with the Electrical Engineering and Computer Science Department, University of Michigan at , Ann Arbor (1984–2003). He also served as the Director of the Radiation Laboratory from 1998 to 2000. His primary research deals with antennas, computational methods, EM compatibility and interference, design of new RF materials, multiphysics engineering, and bioelectromagnetics. He has authored or coauthored 230 papers in major refereed journal articles (nine of these have appeared in reprint volumes), nearly 350 conference papers, and ten book chapters. In addition, he coauthored Approximate Boundary Conditions in Electromagnetics (IEE, 1995), Finite Element Method for Electromagnetics (IEEE Press, 1998), and Frequency Domain Hybrid Finite Element Methods in Electromagnetics (Morgan & Claypool, 2006). He has also written two well-edited coursepacks on introductory and advanced numerical methods for electromagnetics and has delivered short courses on numerical methods, antennas, and frequency-selective surfaces. He was as an Associate Editor of Radio Science from 1994 to 1997. He is also an Associate Editor for the Journal of Electromagnetic Waves and Applications, and the URSI Bulletin.. He has graduated/mentored over 50 Ph.D. students/post-docs, and coauthored with them five best paper awards at conferences. Dr. Volakis is a member of Commissions B and E of URSI. In 1998, he was the recipient of the University of Michigan (UM) College of Engineering Research Excellence Award, and in 2001, the Department of Electrical Engineering and Computer Science UM Service Excellence Award. He is listed by ISI among the top 250 most referenced authors (2004, 2005). He was an associate editor of the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION from 1988 to 1992. He is also an associate editor for the IEEE Antennas and Propagation Society Magazine . He chaired the 1993 IEEE Antennas and Propagation Society (IEEE AP-S) Symposium and Radio Science Meeting and cochaired the same symposium in 2003. He was a member of the Administrative Committee (AdCom) for the IEEE AP-S from 1995 to 1998 and served as the 2004 President of the IEEE AP-S.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

951

Compact Waveguide-Based Power Divider Feeding Independently Any Number of Coaxial Lines Jérôme Pollak, Michel Moisan, Zenon Zakrzewski, Jacques Pelletier, Y. A. Arnal, Ana Lacoste, and T. Lagarde

Abstract—The device described in this paper has been designed to enable the feeding of many individual plasma sources from a single microwave generator, providing a noninterfering and constant supply of power to each coaxial line driving these plasma sources. The power coming from the generator flows through a waveguide under standing-wave conditions provided by the presence of a conducting plane located at the waveguide end opposite that linked to the generator. Power is extracted from the waveguide, at the maximum of intensity of the -field standing wave, by a waveguide-to-coaxial-line transition designated as a probe. One or two probes can be set at each such maximum of field intensity (and this on both sides of the waveguide wide wall), yielding a compact power divider. Each coaxial line feeds a microwave field applicator, sustaining plasma, through a matching circuit comprising a tuning means and a ferrite isolator (circulator with a matched load), the latter ensuring that whatever happens to the plasma source, the other feeding lines are not affected. The conditions required for a perfect match of the microwave generator to the power divider are elaborated and examples of actual designs are presented. Index Terms—Equivalent-circuit representation, plasma sources, power divider, waveguide-to-coaxial-line transition.

I. INTRODUCTION T IS often interesting to supply microwave power to many plasma sources (usually through coaxial lines) from a single generator. Such a situation arises, for instance, when trying to achieve large dimension and uniform plasmas by assembling elementary (individual) plasma sources. Another example is the necessity of feeding different plasma sources for sequential plasma processing. The power divider that we are going to describe is usually made to share power evenly, but it is not restricted to that specific condition. An important and distinguishing feature of our device is that the number of coaxial outputs can be any number, even or odd. With the current power divider, each coaxial line feeds a microwave field applicator sustaining plasma through a ferrite isolator (circulator with a matched load) and eventually a tuning means, the isolator

I

Manuscript received June 29, 2006; revised December 7, 2006. J. Pollak and M. Moisan are with the Groupe de Physique des Plasmas, Université de Montréal, Montréal, QC, Canada H3C 3J7 (e-mail: jerome. [email protected]; [email protected]). Z. Zakrzewski is with the Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, 80-952 Gdansk, Poland. J. Pelletier, Y. A. Arnal, and A. Lacoste are with the Centre de Recherche Plasmas-Matériaux-Nanostructures, 38026 Grenoble, France. T. Lagarde is with Metal Process, 38800 Le Pont de Claix, France. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895643

ensuring that, whatever happens to a particular plasma source, the other feeding lines are not affected by the power reflected from that plasma source. Finally, this power divider can be made compact and can handle the total power required to provide typically up to 200–250 W per coaxial output lines. There are already well-known ways of dividing microwave power [1], the most straightforward one being to use a 3-dB coupler, which, in principle, splits power evenly. A cascade of such power dividers can be utilized to provide 2 outputs, where is the number of cascaded 3-dB couplers. Another widely used technique is to draw power from a cavity or a ring resonator at its maximums of standing waves. However, microwave cavities, e.g., at 2.45 GHz, cannot provide a large number of coaxial outputs because of their intrinsically limited dimensions since, for the current purpose, they need to be operated in their fundamental modes. As already mentioned, the technique about to be presented can yield any number, odd or even and eventually large, of coaxial outputs; e.g., a 24-coaxial-port divider has been built, which at the same time is compact. Another negative aspect of most existing power dividers is that their output lines are not independent, i.e., a variation in the absorbed power in one branch (e.g., due to changes in plasma operating conditions or during the process of impedance matching) can modify the power flowing through the surrounding coaxial lines, a situation that is avoided in our design because of the presence of an isolator in the matching circuit of each of these output lines. Our power divider has already been patented [2]. The purpose of this paper is to elaborate upon the analytical expressions yielding the input admittance of the system and also to give construction details with actual examples of such power dividers. Although our initial aim in designing such a device was to supply plasma sources, it is clear that this power divider can be used in the more general case of loads of any kind, eventually varying with time. This paper is organized as follows. In Sections II and III, respectively, the geometrical configuration and equivalent circuit for single and multiple probe transitions from rectangular waveguide to coaxial line are presented. Section IV describes the experimental arrangements and procedures for measuring the divider characteristics, while results from such measurements are given in Section V. Finally, Sections VI–VIII are devoted to the designing and practical realization of the power divider, while Section IX contains a brief summary and conclusion. II. SINGLE-PROBE TRANSITION: PROBE CONFIGURATION AND EQUIVALENT CIRCUIT A classical configuration of a rectangular-waveguide to coaxial-line transition is shown schematically in Fig. 1. The

0018-9480/$25.00 © 2007 IEEE

952

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 3. Example of an array of probe transitions showing the probe locations when gathered by pairs at each antinode of the E -field standing wave to provide an equal share of power to each of them (same h value). The x-axis coincides with the waveguide wide wall centerline and x = 0 is the divider input plane.

Fig. 1. Schematic representation of a classical transition from a coaxial line to a rectangular waveguide by means of a probe protruding in the waveguide. (a) Side view. (b) Top view. The inner width of the waveguide wide wall is a, while that of the narrow wall is b.

Fig. 2. Equivalent-circuit representation of a probe implemented in a shortcircuited waveguide of characteristic impedance Z .

factors affecting the properties of such a type of transition, when the probe is located on the centerline of the waveguide wide wall, are discussed in details in the literature, e.g., in [3]–[5]. These are the dimensions of the coaxial line and waveguide, the probe penetration depth into the waveguide and its distance to the waveguide short circuit (end plane), as shown in Fig. 1. There is also an additional possibility of affecting the transition characteristics besides those indicated in [3]–[5]: it is to move the probe to a specific distance away from the wall centerline [6], [7]. The probe microwave properties can be represented by a single admittance referred to the probe axis plane, as shown in is the probe admittance when Fig. 2. There, the coaxial line is terminated with a matched load. With the end-plate in position at a distance from the probe, the input admittance of the transition, again referred to the probe axis plane, is then1 (1) where ( is the wavelength in the waveguide and , the characteristic impedance of the waveguide). When normalized with respect to the characteristic impedance of the becomes waveguide, (2) with

and

.

1In a circuit, admittances in parallel add in contrast to impedances that add when in series. The admittances at different planes transverse to the waveguide main axis can be considered to be distributed in parallel and when all of them are “seen” (or expressed) in a given reference plane, they simply add.

The admittance of an on-centerline probe has been investigated as early as the 1940s [3]. Analytical–graphical methods for determining its value, solely from the geometry of the transition, can be found in the literature (e.g., [8]). In this study, we experimentally, as it enables us to take choose to determine into account factors affecting the probe admittance that cannot be taken into consideration by the analytical–graphical method mentioned. The admittance of an off-centerline probe , of particular interest in the current case, can be obtained following the arguments presented in [7]. The electric field of the fundamental mode in a rectangular waveguide is parallel to the probe axis and its intensity is uniform along that direction. Its distribution , where is the field across the waveguide axis is intensity at the waveguide centerline . The power absorbed by a probe displaced from the centerline by , because of the -field dependence on transverse position, is thus (3) where is the power absorbed by a probe when located on the centerline of the waveguide wide wall. A similar reasoning applies to the energy stored in the probe region, which is related to its susceptance. Therefore, the normalized admittance of an off-centerline probe as seen in its plane at the axis can be written in the form (4) and are the conductance and susceptance of the where corresponding on-centerline probe. III. TRANSITION IMPLYING MULTIPLE PROBE TRANSITIONS AND CORRESPONDING INPUT ADMITTANCE EXPRESSION We consider arrays of waveguide-to-coaxial-line probe transitions that provide equal sharing of the waveguide power to nucoordinates used merous coaxial ports. The rectangular are defined in Fig. 3: the -axis coincides with the wide wall origin is chosen to be at the divider centerline and the input plane. From the general principles of impedance transformation along a lossless transmission line, it follows that the admittance of a probe located at is seen in the reference plane as [3] (5)

POLLAK et al.: COMPACT WAVEGUIDE-BASED POWER DIVIDER FEEDING INDEPENDENTLY ANY NUMBER OF COAXIAL LINES

953

It directly comes out from (5) and (4) that similar probes located at positions (6) with being an integer number, exhibit the same admittance seen in any chosen reference plane. The same reasoning and resulting formulas apply to the probe transitions located at the positions on the opposite waveguide wide wall.2 same The total admittance seen in the reference plane is the sum of admittances of all probes, transformed to that plane. The power divider structure can actually be considered lossless. Therefore, the only possible mechanism of power loss is the power eventually reflected back toward the generator into the circulator matched load. This lowering of the power transmitted to the coaxial outputs can be eliminated by assuring a perfect admittance match at the divider input plane, which reand, thus, quires that

Fig. 4. Probe of adjustable length h, fitted to an N -type (female) coaxial connector.

(7) The input susceptance can be cancelled out by locating the reflecting end-plate in the appropriate position. Further on, we shall consider arrays of probes in which the location of each probe meets condition (6). A convenient choice for the input (reference) plane is the plane in which the first probes of the array are located. The input admittance is then (8) where

is the total number of probes in the array and is the susceptance seen at the input plane due to the short-circuited section of waveguide length , located past the last probe(s) in the array (see Fig. 3). Provided , the end plate ensures condition (7) as to (8) then leads to (9) which concretely set the values of and required for a perfect admittance match at the divider input plane. The probes can be distributed along the waveguide in various ways, but still meeting condition (9), thus providing equal power sharing between the various outputs and, at the same time, an admittance match at the waveguide input. However, the minimum length of the divider and, therefore, its compactness, are obtained when, at each -plane defined in accordance with condition (6), there are four probes (two on each wide wall). IV. EXPERIMENTAL ARRANGEMENTS AND MEASUREMENT PROCEDURES FOR DETERMINING THE CHARACTERISTICS OF THE POWER DIVIDER The tested transitions, single- and multiple-probe arrays, were implemented on a standard WR-340 rectangular wave43 mm) guide (internal dimensions approximately 86 mm for operation at 2.45 GHz. Fig. 4 shows an actual design of electric field intensities at the output ports located in the same x-plane or in different x-planes defined by (x + n ) are in phase, n being an integer number. In contrast, at output ports located in consecutive x-planes or in different x-planes defined by (x + (2n + 1) =2), the electric field intensities are  out of phase. 2The

Fig. 5. Experimental arrangement for the direct measurement, at the probe axis plane, of the admittance of a single-probe transition (Fig. 4). The network analyzer yields the admittance values directly at the probe axis plane once it has been calibrated with standard terminations on an auxiliary waveguide section, the length of which ends at the probe axis plane.

such a probe. The probes used are fitted on the external side of the waveguide to -type coaxial connectors that serve as the power divider outputs. Within the waveguide, the probe is terminated by a round-head screw, this screw allowing to adjust the protruding length of the probe. Two experimental arrangements were employed in the course of the current series of measurements. The first one (Fig. 5), destined to the direct measurement of the admittance of a single probe, calls for a network analyzer (HP 8750C). An auxiliary section of waveguide (see Fig. 5) was used for the analyzer calibration. In this way, the analyzer could directly yield the admittance value of the transition referred to the probe axis plane. In a second set of experiments (Fig. 6), we then measured, on the one hand, the power reflected, at the probe axis plane, by a single on-centerline transition terminated with a matched load and, on the other hand, the power transmitted to the coaxial output of a given probe of the array. In the case of the transmission measurements, a power-meter bolometric head (matched to 50 ) was attached to the output actually under test, all other coaxial outputs being terminated with a matched load. The reflection measurements, as shown in Section V, can be related to the value . of the admittance V. MEASURED POWER DIVIDER CHARACTERISTICS A. Input Admittance of a Transition at the Probe Axis Plane Fig. 7 shows the results of the admittance measurements made with the network analyzer in the case of a single-probe transition located on the wide wall centerline of a WR-340 rectangular waveguide. The obtained values of the input admitare represented in the plane tance

954

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 6. Experimental arrangement for measuring the power reflected from a probe and the power transmitted to the output of a single on-centerline transition or to a given transition in an array.

Fig. 8. On-centerline probe conductance value measured directly with the network analyzer and that inferred from power reflection using (10). An analytical approximation to those results is plotted.

is drawn as a straight line in this figure. Transmission measurements (not shown) were also carried out with the network analyzer that confirm the current data. These measurements can be considered to be highly reproducible since the power measurements were done in Grenoble, France, while the analyzer measurements were made in Montréal, QC, Canada. C. Power Divider Frequency Bandwidth Fig. 7. Measured input admittance components of an on-centerline probe transition as seen at the probe axis plane, as measured with the network analyzer (Fig. 5).

for various probe lengths and positions of the end plate. As expected, the input conductance increases with the probe length, while the position of the end plate affects practically only the susceptance value.

Considering a voltage standing-wave ratio (VSWR) of 1.5 , the frequency bandwidth observed with the network analyzer center frequency set at 2.45 GHz and for a fixed short-circuit position amounts to 40 MHz and it depends, however slightly, on the number of probes. A little retuning of the movable short circuit can still reduce the VSWR at a given frequency within this bandwidth interval. VI. DESIGNING A POWER DIVIDER

B. Power Reflection Coefficient in the Waveguide Due to a Single Probe

A. Schematic Representation of a Compact Power Divider

Using the setup shown in Fig. 6, we measured the power reflection coefficient at the single-probe input plane with the probe located at the wide wall centerline. For any given probe length , we looked for the minimum value of the reflection coefficient by adjusting (which actually corresponds to canceling out the susceptance ). The probe conductance (subscript zero for on-centerline admittance components) can then be readily inferred since under such conditions (10) sign in (10) can, in pracThe ambiguity related to the tice, be readily removed, as we know that increases monotonously with (Fig. 8). Fig. 8 compares the functional de, obtained from direct measurements (network pendence analyzer) and that inferred from the reflection measurements (power meter). An analytical approximation for this dependence

Fig. 9 shows a schematized 3-D view of a power divider designed according to the principles developed in this paper. As suggested in Fig. 3, the probes are arranged in groups of four (two on each wide wall), located in planes that are separated ax, thereby minimizing the actual length ially by multiple of of the power divider for a given number of probes. B. Design Procedure We start by imposing the characteristics of the rectangular waveguide (i.e., its inner widths and ), as well as those of the probe (except its length ) and of its coaxial output connector. , the axial disChoosing the waveguide dimensions sets in a tance between the probes in the array: the wavelength rectangular waveguide depends on the free-space wavelength , and the waveguide wide wall width as (11)

POLLAK et al.: COMPACT WAVEGUIDE-BASED POWER DIVIDER FEEDING INDEPENDENTLY ANY NUMBER OF COAXIAL LINES

Fig. 9. Simplified 3-D representation of a power divider using the multiprobe waveguide to coaxial-line transition technique. The probes are set by groups of four, each separated by  =2, yielding a compact power divider.

955

Fig. 10. Approximate representation of the power flow in the waveguide part of the divider. (a) In the case where all transitions are matched (no reflected power from any of the probes). (b) In the case where power is reflected at a given output. The diagram suggests that the nearest neighbor probes on both sides of the mismatched one pick up more power than if matching was perfect.

Assuming that the plot (as the one in Fig. 8) is available for the particular configuration that we just defined, the aim of the design procedure is finally to find and , the probe displacement from the centerline. Recalling that the individual probe conductance needed for coaxial a perfect match at the divider input when there are power outputs imposes (9 ) the required off-centerline value can be obtained from an interplay between and . Indeed, we have from (4) (12) can be taken from the plot. where the value of Clearly, choosing the value of one of the elements of the pair uniquely sets the value of the other one. As concerns the minimum length of the power divider, as already mentioned, it is obtained by arranging the outputs by four in consecutive -planes defined by condition (6). An estimation of this length can be calculated by assuming that is approximately equal to and that the distance from the input flange to the location of the first four probes is also approxi. The divider total length can then be mately equal to expressed as (13) where

denotes the integer part of the fraction . VII. PRACTICAL REALIZATION

Any mismatch (permanent or temporary) in the load of one (or more) output line of the divider causes a reflection of power back into the waveguide that tends to unbalance the power distribution in other output lines. As a result, the distribution of power between outputs is eventually no more even and, furthermore, reflected power appears at the divider input. The essence

Fig. 11. Power divider (WR-340 standard waveguide) with 12 coaxial outputs, each equipped with an isolator (circulator and matched load). There is a plasma processing chamber in the background. (The power divider shown in this photograph was purchased from Metal Process, Le Pont de Claix, France, equipped with isolators manufactured by Sodhy, Saint-Ouen l’Aumône, France.)

of this effect is illustrated approximately in Fig. 10. Such a perturbation can be avoided by putting a circulator with a matched load at each coaxial output. Fig. 11 shows in situ a WR-340 power divider with 12 outputs, each equipped with a circulator. Other research groups using the current power dividers are listed in [9]–[15]. VIII. DISCREPANCIES BETWEEN THE CALCULATED AND MEASURED INPUT ADMITTANCE VALUES DUE TO THE MUTUAL INTERACTION BETWEEN PROBES WHEN GIVEN IN A PLANE PERPENDICULAR TO THE WAVEGUIDE AXIS The equivalent-circuit representation used to determine the input admittance of our power divider (formula 8) does not take into account the eventual mutual interaction between neighboring probes. This section estimates the influence of the probe mutual interactions on the input conductance .

956

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 12. Input conductance of two probes located in the same x-plane (see Fig. 3) and, as a reference, that of a single probe, as functions of the probe length h. The set of two probes are at the same distance d = 26:0 mm(d=a = 0:30) from the centerline, but at alternate possible positions with respect to the “top” and “bottom” wide walls. The input conductance g is measured directly with the network analyzer (see setup in Fig. 5).

In a first step, we consider the mutual interaction between only two probes located in the same -plane (see Fig. 3). The inset in Fig. 12 shows that two such probes can be positioned in three different ways: probes 1–2 are located on the same wide wall of the waveguide, probes 1–3 are facing each other on opposite wide walls, and probes 1–4 are also on opposite wide walls, but they are separated symmetrically with respect to the waveguide centerline. In the following series of experiments, the probe displacement from the wide wall centerline is conmm . Fig. 12 displays stant and set to the measured input conductance of the power divider (using the setup described in Fig. 5) for a group of two probes and, as a reference, for a single probe, as functions of the probe length . Fig. 12 shows that these three different possibilities can be reduced, on practical ground, to only two distinct cases. This is because configurations 1–2 and 1–4 can be considered as being approximately similar. In contrast, when the two probes face each other (position 1–3), their mutual interaction leads to an is increase of the conductance of each of them, and then [recall that neglecting the mutual interaclarger than twice (relation 8)]; actually, tion between probes leads to as could be expected, the mutual interaction between two antennas facing each other increases when raising , as the dis. tance between their tips tends toward zero In a second step, we consider the power divider with up to four probes located in the same -plane and measure its input conductance. Fig. 13 shows, this time, the difference between the and the calculated or ideal value measured value of when neglecting mutual interaction, as functions of the number of probes located in the same -plane. The two-probe case is, in fact, that of configuration 1–2 already examined in Fig. 12; as for the three-probe case, there are two possibilities for their positioning, but because of symmetry, it turns out to be the same configuration. Fig. 13 shows that the difference , which reflects the discrepancies introduced by neglecting the mutual interaction between probes, is negligible for values. In contrast, for large values, musmall enough tual interference effects come into play, which nonetheless can

Fig. 13. Difference between the measured g value and its calculated value when neglecting mutual interaction (g = N g ) as a function of the number of probes located in the same x-plane and for different values of the normalized probe length h=b. Each probe is displaced by d = 26:0 mm (d=b = 0:30) from the wall centerline.

be compensated to provide a perfect admittance match at the divider input. This can be achieved by using, for example, the mechanical solution shown in Fig. 4, reducing by screwing in and are two possible adjustment each probe (recall that parameters, but they are already set by construction). Finally, it is worth mentioning that when is large, say, 16 , the conductance of or more, since in principle each probe needs to be small for a perfect admittance match at the divider input, requiring the length to be reduced, thereby eliminating the problem of mutual interference. IX. CONCLUSION We have presented a novel device that can distribute microwave power from a rectangular waveguide supplied by a single power generator to any number of output coaxial lines using probe transitions. Each coaxial line is equipped with tuning means (e.g., a sliding stub) and an isolator. The isolator ensures that the various output lines do not interfere, even when their load (e.g., a plasma source) is not well matched to the coaxial line or that their impedance varies with time. Using the equivalent-circuit method, we have elaborated the analytical expressions yielding the input admittance of such a system for the case of even power sharing between the various lines and under conditions that yield a perfect match at the waveguide input. We have also given design indications, in particular as how to make the device compact. REFERENCES [1] K. J. Russell, “Microwave power combining techniques,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 5, pp. 472–478, May 1979. [2] J. Pelletier, A. Lacoste, T. L. Lagarde, M. Moisan, Y. Arnal, and Z. Zakrzewski, “PCT WO01/20710,” U.S. Patent 6 727 656, Apr. 27, 2004. [3] G. L. Ragan, Microwave Transmission Circuits. New York: McGrawHill, 1948. [4] R. B. Keam and A. G. Williamson, “Broadband design of coaxial line/ rectangular waveguide probe transition,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 141, pp. 53–58, 1994. [5] M. E. Bialkowski and P. J. Khan, “Determination of the admittance of a general waveguide-coaxial line junction,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 4, pp. 465–467, Apr. 1984. [6] J. M. Jarem, “A method of moments analysis and a finite-difference time-domain analysis of a probe-sleeve fed rectangular waveguide cavity,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 3, pp. 444–451, Mar. 1991.

POLLAK et al.: COMPACT WAVEGUIDE-BASED POWER DIVIDER FEEDING INDEPENDENTLY ANY NUMBER OF COAXIAL LINES

[7] M. Moisan, Z. Zakrzewski, R. Etemadi, and J. C. Rostaing, “Multitube surface-wave discharges for increased gas throughput at atmospheric pressure,” J. Appl. Phys., vol. 83, pp. 5691–5701, 1998. [8] H. Meinke and F. W. Gundlach, Eds., Radioengineering Handbook (in Russian). Moscow, Russia: Gos. Energ. Izd., 1960. [9] A. Lacoste, T. Lagarde, S. Bechu, Y. Arnal, and J. Pelletier, “Multidipolar plasmas for uniform processing: Physics, design and performance,” Plasma Sources Sci. Technol., vol. 11, pp. 407–412, 2002. [10] S. Bechu, O. Maulat, Y. Arnal, D. Vempaire, A. Lacoste, and J. Pelletier, “Multi-dipolar plasmas for plasma-based ion implantation and plasma-based ion implantation and deposition,” Surface & Coatings Technol. , vol. 186, pp. 170–176, 2004. [11] M. Bernard, A. Deneuville, T. Lagarde, E. Treboux, J. Pelletier, P. Muret, N. Casanova, and E. Gheeraert, “Etching of p- and n-type doped monocrystalline diamond using an ECR oxygen plasma source,” Diamond and Relat. Mater., vol. 11, pp. 828–832, 2002. [12] D. Vempaire, S. Miraglia, A. Sulpice, L. Ortega, E. K. Hlil, D. Fruchart, and J. Pelletier, “Plasma-based ion implantation: A valuable industrial route for the elaboration of innovative materials,” Surface & Coatings Technol., vol. 186, pp. 245–247, 2004. [13] D. Vempaire, J. Pelletier, A. Lacoste, S. Bechu, J. Sirou, S. Miraglia, and D. Fruchart, “Plasma-based ion implantation: A valuable technology for the elaboration of innovative materials and nanostructured thin films,” Plasma Phys. and Controlled Fusion, vol. 47, pp. A153–A166, 2005. [14] A. A. Ivanov, C. Rouille, M. Bacal, Y. Arnal, S. Bechu, and J. Pelletier, “ -ion production in electron cyclotron resonance driven multicusp volume source,” Rev. Sci. Instrum., vol. 75, pp. 1750–1753, 2004. [15] P. Svarnas, M. Bacal, P. Auvray, S. Béchu, and J. Pelletier, “ -extraction from ECR-driven multi-cusp volume source operated in the pulsed mode,” Rev. Sci. Instrum., vol. 77, 2006, 03A512.

H

H

Jérôme Pollak was born in Saint-Martin d’Hères, France, on December 8, 1979. He received the B.Sc. degree in physics from the Université Joseph Fourier, Grenoble, France, in 2002, the M.Sc. degree in plasma physics from the Université de Montréal, Montréal, QC, Canada, in 2005, and is currently working toward the Ph.D. degree at the Université de Montréal. His M.Sc. thesis concerned the design and modeling of field applicators to sustain plasma with RF and microwave fields. He has contributed to the development of linear field-applicators based on stripline technology to produce long and uniform plasma columns. His research interest is plasma sterilization of thermosensitive medical devices such as catheters. He is further involved in the characterization and modeling of plasma effects on microorganisms and polymers.

957

1967, respectively. His doctoral dissertation concerned plasma diagnostics with microwaves. Subsequently, he was a Post-Doctoral Fellow with the National Research Council of Canada, involved with plasmas with the Université de Montréal (UdeM), Montréal, QC, Canada. From 1958 to 1964, he was a Research and Teaching Scientist with the Technical University of Gdansk, where he was involved in the field of microwave theory and techniques. He then joined the Polish Academy of Sciences as a Professor, where he was involved with plasma physics and technology. He has collaborated with the Groupe de Physique des Plasmas, UdeM, for over 25 years. His current research interest is in microwave-sustained discharges and microwave plasma sources, including applications such as abatement of greenhouse gases.

Jacques Pelletier received the B.Sc. degree in radio engineering from the Institut National Polytechnique de Grenoble (INPG), Grenoble, France, in 1967, the M.Sc. degree in physics from the Université Joseph Fourier, Grenoble, France, in 1967, the Agrégé de Physique degree in 1970, and the Docteur es Science degree on the physics of surface ionization for the production of intense negative ion beams from the Université Joseph Fourier, in 1978. He is currently Directeur de Recherche with the Centre National de la Recherche Scientifique (CNRS), Grenoble, France. In 1971, he joined the Laboratoire de Physique des Plasmas of Grenoble, CNRS. In 1981, his laboratory transferred to the Centre National d’Etudes des Télécommunications (CNET), where he developed innovative microwave plasma technologies such as the microwave multipolar plasmas, and the so-called distributed electron cyclotron resonance (DECR) plasma sources, now currently used for plasma-surface interaction studies as applied to etching and deposition. He also developed a physical model for plasma etching that explains many observed etching characteristics. In 1994, his group joined the Laboratoire d’Electrostatique et de Matériaux Diélectriques (LEMD), and beginning in 2005, the Laboratoire de Physique Subatomique et de Cosmologie with the creation of the Centre de Recherche Plasmas-Matériaux-Nanostructures. His group was the first to introduce in France plasma-based ion implantation (PBII) processing. He also extended the old concept of distribution of plasma sources to new generations of microwave plasmas, the so-called multidipolar plasmas and matrix plasmas, where very large plasma sources can be achieved from bi- or tri-dimensional networks of elementary plasma sources. He also developed innovative plasma technologies such as dc biasing of dielectric substrates by injection of fast electrons, or thin-film deposition via sputtering assisted by multidipolar plasmas. He has authored or coauthored over 100 scientific papers and has edited or coauthored seven books. He holds over 30 patents.

Y. A. Arnal, photograph and biography not available at time of publication. Michel Moisan received the B.Sc. and M.Sc. degrees in physics from the Université de Montréal, Montréal, QC, Canada, in 1964 and 1966, respectively, and the Doctorat d’État degree in plasma physics from the Université Paris-XI, Orsay, France, in 1971. In 1972, he was a Post-Doctoral Fellow with the Lebedev Institute, Moscow, Russia (then the U.S.S.R.), and with the Institute for Theoretical Physics, Kiev, Russia. In 1976, he became an Assistant Professor with the Physics Department, Université de Montréal, where he is currently a Full Professor and Head of the Groupe de Physique des Plasmas. He coedited Microwave Excited Plasmas (Elsevier, 1992 and 1999) and coauthored the undergraduate plasma physics text book Physique des plasmas collisionnels. Introduction aux décharges HF (EDP Sci. 2006, in French). His current research includes the design, development, and modeling of electromagnetic field applicators to sustain plasma with RF and microwave fields. He is further involved in the characterization and modeling of microwave discharges comprising surface-wave sustained plasmas. He has also contributed to various plasma applications such as his recent involvement with the abatement of greenhouse gases from microelectronic factories and plasma sterilization of medical devices.

Zenon Zakrzewski received the M.Sc. degree in radio engineering and Ph.D. degree from the Technical University of Gdansk, Gdansk, Poland, in 1958 and

Ana Lacoste received the Ph.D. degree in plasma physics from the Université Paris XI, Orsay, France, in 1998. She joined the Laboratoire d’Electrostatique et de Matériaux Diélectriques (LEMD), Grenoble, France, where for two years she developed large area electron sources for application to the dc biasing of dielectric surfaces by injection of fast electrons. Following one year with the Laboratoire de Physique Subatomique et de Cosmologie (LPSC), Grenoble, France, where she contributed to the development of electron cyclotron resonance (ECR) multicharged ion sources, she was recruited as a plasma expert by Thomson Plasma, Moirans, France, to join the Plasma Display Panel Research and Development Team. In 2004, she became a Professor with the Université Joseph Fourier, Grenoble, France, where she is currently in charge of the Master degree in plasma physics. She has authored or coauthored numerous scientific papers. She holds many patents. Her current research interests with the Centre de Recherche Plasmas-Matériaux-Nanostructures, LPSC, are plasma-based ion implantation (PBII), development and modeling of new microwave plasma technologies such as multidipolar or matrix plasmas, and their applications to etching and deposition.

T. Lagarde, photograph and biography not available at time of publication.

958

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

An Efficient Scheme for Processing Arbitrary Lumped Multiport Devices in the Finite-Difference Time-Domain Method Chien-Chung Wang and Chih-Wen Kuo, Member, IEEE

Abstract—Developing full-wave simulators for high-frequency circuit simulation is a topic many researchers have investigated. Generally speaking, methods invoking analytic pre-processing of the device’s – relations (admittance or impedance) are computationally more efficient than methods employing a numerical procedure to iteratively process the device at each time step. For circuits providing complex functionality, two-port or possibly multiport devices whether passive or active, are sure to appear in the circuits. Therefore, extensions to currently available full-wave methods for handling one-port devices to process multiport devices would be useful for hybrid microwave circuit designs. In this paper, an efficient scheme for processing arbitrary multiport devices in the FDTD method is proposed. The device’s admittance is analytically pre-processed and fitted into one grid cell. With an improved time-stepping expression, the computation efficiency is further increased. Multiport devices in the circuit can be systematically incorporated and analyzed in a full-wave manner. The accuracy of the proposed method is verified by comparison with results from the equivalent current–source method and is numerically stable. Index Terms—Finite difference time domain (FDTD), multiport device.

I. INTRODUCTION HEEVERexpandingfunctionalityandincreasingoperating frequency necessitate more devices, whether passive or active, to be mounted on the multilayered printed circuit board (PCB). Toward the higher operating frequency range, the electromagnetic interference (EMI) effect resulting from the undesired radiation of digital electronics and other devices gets more significant. A successful circuit design would need to take into account this radiation and related near-field effects. Most of the currently available circuit simulators are implemented based on circuit theory and are difficult, if not impossible, to combine the radiation effect into the simulation process. On the other hand, a full-wave electromagnetic (EM) simulator can easily predict the wave phenomena of EM problems. Therefore, there have been efforts at adding the circuit devices into existing full-wave EM simulation methods.

T

Manuscript received September 26, 2006; revised January 25, 2007. This work was supported by the Ministry of Education, Taiwan, R.O.C., under the Aim for the Top University Plan. The authors are with the Department of Electrical Engineering, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, R.O.C. (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.895652

Generally speaking, there are two major approaches for incorporating passive and active devices into the finite-difference time-domain (FDTD) method. One approach is to use the -parameters to represent the devices [1], [2] and the other is using SPICE models to account for the devices [3]–[15]. Whenever a device’s -parameters are available, they can be converted into -parameters through the network theory [16]. Since the -parameters are frequency-domain data, the -parameters thus obtained need to be transformed into time-domain data by the inverse Fourier transform. The final time-domain -parameters are, hence, applicable in the FDTD algorithm. In order to obtain accurate time-domain -parameter information, the original frequency-domain data need to cover a wide frequency range. However, -parameters from a vector network analyzer are only measurable up to certain frequency and, therefore, the inverse Fourier-transformed time-domain -parameters are accuracy deficient. Much research is being conducted to increase the overall accuracy of the -parameters [17], [18]. On the other hand, the equivalent SPICE models of devices are always supported in microwave or digital integrated circuit (IC) design software. Additionally, SPICE models are time-domain information and can be integrated into the FDTD code directly. The lumped-element FDTD method [3]–[5] is the first attempt at simulating high-speed digital circuits with FDTD. The method handles passive elements such as resistors, capacitors, and inductors using equivalent models. For passive and active devices, the equivalent-circuit models usually consist of many elements. To overcome the requirement of at least one grid component, the equivalent voltage–source cell for each method and equivalent current–source method (ECSM) were employed as an interface between the lumped network and wave simulation of FDTD in [6]–[9]. In these methods, all node voltages and mesh currents in the network are obtained by numerically solving the state equations iteratively at each time step. A lot of computation time was consumed in the iterative process such as Newton–Raphson method [19]. In order to improve the low efficiency of iterative processing of the arbitrary lumped network in one FDTD cell, many methods were developed using the – relations (admittance or impedance) to process the lumped network into the FDTD method [10]–[15]. The lumped-network finite-difference time-domain (LN-FDTD) [10] used a two-step procedure to transform the device’s impedance in the Laplace domain through the bilinear transform into the -domain and then again into the discrete time domain. Well-known techniques

0018-9480/$25.00 © 2007 IEEE

WANG AND KUO: EFFICIENT SCHEME FOR PROCESSING ARBITRARY LUMPED MULTIPORT DEVICES IN FDTD METHOD

in digital signal processing are then applied to speed up the computation in handing the lumped networks. circuits, the analytic For simple series or parallel responses can be easily derived and transformed into the -domain. The circuits responses in the discrete time domain from the -domain are transformed analytically since the orders of these simple circuits are very small. For these types of circuits, the numerical computation is very efficient [11]. An alternative to the -transform in processing series or parallel circuits is to use the piecewise linear recursive convolution technique. The impedances of these simple circuits can be efficiently transformed from the Laplace domain into the discrete time domain [12]. Instead of referring to the -transform and the digital signal-processing techniques, the method in [13] converted the impedance of a one-port device into the time domain using the fact that division of the current or voltage by the state variable in the -domain is an integration operation in the time domain. To address the possible stability problem in [13], an implicit scheme based on the matrix theory was proposed in [14] to integrate a one-port lumped device into the FDTD method. The integration scheme of [13] would result in late-time instability if directly applied in the implicit algorithm. Therefore, the authors in [14] proposed an improved formulation, which disintegrates a high-order lumped device into a series of first-order modified integral transforms to improve the stability. Methods invoking analytic pre-processing of – relations of lumped networks are computationally more efficient than the equivalent current– or voltage–source method with numerical processing of lumped networks. However, except for an extension to [10] to integrate a two-port device into the FDTD method [15], the methods reported in [11]–[14] were all designed to treat one-port devices. For circuits providing complex functionality, two-port or possibly multiport devices whether passive or active, are sure to appear in the circuits. Therefore, there is a need to expand the capability of current existing methods to be able to handle multiport devices. In this paper, the concept of using the integral scheme to process a one-port device [13] is exploited to incorporate arbitrary linear multiport devices in the FDTD method. A simpler integral formulation for advancing voltages and currents, which is also computationally more efficient than that in [13], is presented. Multiport devices in the circuit can be systematically incorporated and analyzed in a full-wave manner. Numerical computation efficiency is better than that of the ECSM. This paper is organized as follows. Section II details the formulation for the incorporation of multiport lumped devices into the FDTD method. Numerical results for verifying the accuracy of the proposed method are presented in Section III, and conclusions are given in Section IV.

959

network is expressed as

.. .

.. .

..

.. .

.

.. . (1)

where ’s and ’s are the port currents and voltages, respecmatively, in the Laplace domain, and elements of the trix represent self-admittance and mutual admittance at each , the admittance matrix port. By substituting can be expressed into a form as

.. .

..

.. .

.

(2)

We can then recast (2) again as follows: .. .

..

.. .

.. .

.

..

.. .

.

(3) where

the lowest common multiple of (4)

(5) By combining (1) and (3), the relation between port voltages and currents is shown as .. .

..

.. .

.

.. .

II. THEORY For functionality completeness consideration, a full-wave circuit simulator based on the FDTD method would be advantageous if it can process multiport devices such as transistors, MESFETs, or even ICs. To account for an arbitrary multiport device, the admittance matrix of an -port device’s equivalent

.. .

..

.

.. .

.. . (6)

960

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

where

the initial moment (7) (8)

.. .

where the state–space variable is deliberately chosen to be to indicate the utilization of integration operation in the time domain. In (7) and (8), and represent the orders of zero and and are the th- and th-order pole of the network, and , respectively. coefficients for , Next, transform (6) from the Laplace domain to the time domain to obtain a set of equations as

..

.. .

.

.. .

.. .

..

.

.. .

.. .

.. .

.. . (15)

where

(16) .. .

(17)

(9) (18) Finally, each of the equations in (9) is transformed from the time domain to the discrete time domain and can be fitted into one FDTD grid cell

(19) (20) (21) (22)

.. .

(23) (10)

’s and ’s are the port currents and voltages where at time step and , respectively, and

To interface the admittance matrix with the field quantities in the FDTD grid, Yee’s original time-stepping expression is modrepresenting the current ified to include a source term through the equivalent network [4]

(11) (24) (12) (13)

Assuming of the network is

, the voltage drop across the port

(14) After some derivation, the unknowns and at and are expressed as a combination of time step quantities at the current time step and those accumulated from

(25)

WANG AND KUO: EFFICIENT SCHEME FOR PROCESSING ARBITRARY LUMPED MULTIPORT DEVICES IN FDTD METHOD

961

Fig. 2. (a) Configuration of a microstrip circuit with two lumped devices. (b) Fourth-order equivalent circuit for a bandpass filter (connected between Ref 1 and Ref 2). (c) Fourth-order equivalent circuit for a Schotty diode (connected between Ref 3 and Ref 4).

Through some manipulations, (20) and (21) can be rearranged into

Fig. 1. Flowchart for the proposed method.

Substituting (25) into (15), the updated equation at the port connecting the lumped network and FDTD is expressed as

(27)

(28) (26)

To update the port voltages and currents from (20) and (21) would seem to require an enormous amount of CPU time. However, both expressions can actually be calculated efficiently by recognizing that integration with time is a cumulative effect.

which are simple updating computations. These modified formulations for time integration are computationally more efficient than those in [13], and which also reduce additional storage requirement for temporary variables. The entire simulation procedure is summarized in the flowchart of Fig. 1.

962

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 3. (a) Connection details for the bandpass filter between Ref 1 and Ref 2. (b) Connection details for the Schottky diode between Ref 3 and Ref 4.

Fig. 5. Phase of the S -parameters of the microstrip circuit in Fig. 2(a) with comparison with the ECSM [7]–[9].

Fig. 4. Magnitude of the S -parameters of the microstrip circuit in Fig. 2(a) with comparison with the ECSM [7]–[9].

III. VALIDATION A. Passive Device In order to verify the accuracy of the proposed approach, a microstrip circuit with two lumped devices, as shown in Fig. 2(a), is first simulated. A typical fourth-order bandpass filter [16] with GHz and a 10% bandwidth is connected between Ref 1 and Ref 2 and a Schottky diode [10] is connected between Ref 3 and Ref 4. Composition of the bandpass filter and a fourth-order equivalent circuit for the Schottky diode are shown in Fig. 2(b) and (c), respectively. The connection details of the devices into the FDTD domain are demonstrated in Fig. 3. In this figure, the reticulate lines indicate where the devices would occupy and the two black vertical lines at each end are used to connect the devices to the ground plane. The width of the microstrip line is 0.7 mm, corresponds to approximately 50 on a substrate thickness of 0.4 mm and a dielectric constant of 4.7. The space mm increments and time step used are ps. The time step is approximately 93% of the and maximum time step constrained by the stability criterion of the FDTD method. A perfectly matched layer absorbing medium is

Fig. 6. (a) Linear microwave amplifier configuration using an FET. (b) Equivalent circuit for the JS8851-AS microwave FET [15]. L = 0:37 nH, L = 0:23 nH, L = 0:02 nH, C = 0:06 pF, C = 0:26 pF, C = 0:69 pF, R = 1:39 , R = 0:76 , R = 1:42 , R = 197 , R = 1:3 , and gm = 65 mS.

used to truncate the computation domain. A Gaussian pulse excitation at Port 1 is introduced to inject an incident wave. -parameters are obtained by Fourier transform after time-domain computation is finished. As a comparison of accuracy, -parameters simulated by the ECSM [7]–[9] were also computed. Results for magnitude and phase of the circuit are shown in Figs. 4 and 5, respectively, from 100 MHz to 30 GHz. The result in Fig. 4 indicates a passband at a center frequency of 20 GHz with a 2-GHz bandwidth. Good agreement between the proposed method and ECSM is evident from the observation of these figures. B. Active Device To demonstrate the proposed method’s capability of integrating with active devices, a microwave amplifier using an

WANG AND KUO: EFFICIENT SCHEME FOR PROCESSING ARBITRARY LUMPED MULTIPORT DEVICES IN FDTD METHOD

963

Fig. 7. Detail connection scheme between Ref A and Ref B [15].

Fig. 10. Transient analysis for the time-domain E -field information at port 2 in Fig. 6(a).

Fig. 8. Magnitude of the S -parameters of the amplifier circuit in Fig. 6(a). Compared with the ECSM [7]–[9] and ADS.

resistance is terminated on the right-hand side of the circuit to record the output current waveform in the time domain. Results for magnitude of the entire amplifier circuit are shown in Figs. 8 and 9, respectively, from 100 MHz to 15 GHz. Simulation by the ECSM [7]–[9] and Agilent’s Advanced Design System (ADS) were also performed to provide comparison. The agreement between the proposed method and ECSM is very good. The deviation of both results from ADS is largely due to the lack of full-wave capability of ADS. To demonstrate the method’s numerical stability, the electric field wave form at port 2 of the circuit in Fig. 6(a) was recorded and plotted in Fig. 10. Divergence did not occur even up to 30 000 time steps, whereas for the frequency-domain data, such as scattering parameters in Figs. 8 and 9, only 5000 time steps of time-domain waveform are needed. IV. CONCLUSION

Fig. 9. Magnitude of the S -parameters of the amplifier circuit in Fig. 6(a). Compared with the ECSM [7]–[9] and ADS.

FET was simulated. The structure consists of a microwave FET mounted across a microstrip gap. The amplifier configuration is shown in Fig. 6(a). The equivalent circuit of the FET is readily available in the literature and a fourth-order one [15] is duplicated in Fig. 6(b). The detail connection scheme between Ref A and Ref B is similar to these in Fig. 3 and is shown in Fig. 7. The entire structure was simulated using the parameters mm, mm, mm, and ps with an eight-layer perfectly matched layer boundary condition. The time step used is corresponding to 95% of the maximum time step allowed in the conventional FDTD method. The dielectric constant of the substrate is 2.17. A Gaussian current source is injected from the left and a 50-

A methodology has been proposed to incorporate arbitrary lumped multiport devices into one FDTD cell to avoid the use of fine gridding. This approach manifests itself by characterizing a linear lumped network in terms of its analytic – relation. The – relation can be set up to characterize the impedance, admittance, or transmission matrix. Voltages or currents at the device’s ports are updated without the necessity of solving an equation set described by Kirchhoff’s current law and Kirchhoff’s voltage law of the lumped network repeatedly at each time step. An efficient scheme correlating node voltages and mesh currents with a network’s admittance matrix in integral form is proposed to process arbitrary lumped multiport devices into the FDTD method. Port voltages and currents are calculated cumulatively from previous time steps, thus avoiding the need to iteratively solve the state equations of the equivalent circuits at each time step. The device’s admittance is analytically pre-processed and fitted into one grid cell in the FDTD method. With modified formulations for time integration, the computation efficiency is further increased. The accuracy of the proposed approach is demonstrated by comparison with the ECSM [7]–[9] and Agilent’s ADS commercial software. The late-time stability of the proposed method is also discussed.

964

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

grid cell as

Fig. 11. Simple two-port (15)–(19).

RLC

circuit for illustration of the derivation of

(36)

The proposed method is systematic, and arbitrary multiport devices can be integrated into the FDTD method for a fullwave simulation. Undesired radiation effects from the board with these devices can then be easily accessible.

(37)

APPENDIX

By rearranging (36) and (37), we can get

To illustrate the derivation of (15)–(19) from (1), a simple circuit in Fig. 11 is employed as an example. two-port The admittance matrix of the circuit in Fig. 11 is easily derived as (29)

Assign

(38)

, (29) is rewritten as

(39) From (38) and (39), the equations expressing the port voltages and currents are expressed in a matrix form as

(40)

(30) From the definition of

where

-matrix (31)

we can substitute (30) into (31) to obtain the relationship between port voltages and currents as (32) (33)

(41)

Next, transform (32) and (33) from the Laplace domain to the time domain to obtain (34) (35) Equations (34) and (35) can then be transformed from the time domain to the discrete time domain and be fitted into one FDTD

(42)

WANG AND KUO: EFFICIENT SCHEME FOR PROCESSING ARBITRARY LUMPED MULTIPORT DEVICES IN FDTD METHOD

(43)

(44) ACKNOWLEDGMENT The authors would like to express their deep gratitude to Prof. T.-L. Wu, National Taiwan University, Taiwan, R.O.C., for his valuable suggestion and discussion during the completion of this study. REFERENCES [1] J. Zhang and Y. Wang, “FDTD analysis of active circuits based on the S -parameters,” in Proc. Asia–Pacific Microw. Conf., Dec. 1997, vol. 3, pp. 1049–1052. [2] X. Ye and J. L. Drewniak, “Incorporating two-port networks with S -parameters into FDTD,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 77–79, Feb. 2001. [3] W. Sui, D. A. Christensen, and C. H. Durney, “Extending the two-dimensional FDTD method to hybrid electromagnetic systems with active and passive lumped elements,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 4, pp. 724–730, Apr. 1992. [4] Y.-S. Tsuei, A. C. Cangellaris, and J. L. Prince, “Rigorous electromagnetic modeling of chip-to-package (first-level) interconnections,” IEEE Trans. Adv. Packag., vol. 16, no. 8, pp. 876–883, Dec. 1993. [5] M. Piket-May, A. Taflove, and J. Baron, “FD-TD modeling of digital signal propagation in 3-D circuits with passive and active loads,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 8, pp. 1514–1523, Aug. 1994. [6] C. N. Kuo, R. B. Wu, B. Houshmand, and T. Itoh, “Modeling of microwave active devices using the FDTD analysis based on the voltage–source approach,” IEEE Microw. Guided Wave Lett., vol. 6, no. 5, pp. 199–201, May 1996. [7] C. N. Kuo, B. Houshmand, and T. Itoh, “FDTD analysis of active circuits with equivalent current source approach,” in IEEE AP-S Int. Symp. Dig., Jun. 1995, vol. 3, pp. 1510–1513. [8] C. N. Kuo, V. A. Thomas, S. T. Chew, B. Houshmand, and T. Itoh, “Small signal analysis of active circuits using FDTD algorithm,” IEEE Microw. Guided Wave Lett., vol. 5, no. 7, pp. 216–218, Jul. 1995.

965

[9] C. N. Kuo, B. Houshmand, and T. Itoh, “Full-wave analysis of packaged microwave circuits with active and nonlinear devices: An FDTD approach,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 819–826, May 1997. [10] J. A. Pereda, F. Alimenti, P. Mezzanotte, L. Roselli, and R. Sorrentino, “A new algorithm for the incorporation of arbitrary linear lumped networks into FDTD simulators,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 943–949, Jun. 1999. [11] H. E. A. El-Raouf, W. Yu, and R. Mittra, “Application of the Z -transform technique to modelling linear lumped loads in the FDTD,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 151, no. 1, pp. 67–70, Feb. 2004. [12] J.-Y. Lee, J.-H. Lee, and H.-K. Jung, “Linear lumped loads in the FDTD method using piecewise linear recursive convolution method,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 4, pp. 158–160, Apr. 2006. [13] T.-L. Wu, S.-T. Chen, and Y.-S. Huang, “A novel approach for the incorporation of arbitrary linear lumped network into FDTD method,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 74–76, Feb. 2004. [14] Z. Shao and M. Fujise, “An improved FDTD formulation for general linear lumped microwave circuits based on matrix theory,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2261–2266, Jul. 2005. [15] O. Gonzalez, J. A. Pereda, A. Herrera, and A. Vegas, “An extension of the lumped-network FDTD method to linear two-port lumped circuits,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 7, pp. 3045–3051, Jul. 2006. [16] D. M. Pozar, Microwave Engineering. New York: Wiley, 1998. [17] A. Papoulis, “A new algorithm in spectral analysis and band-limited extrapolation,” IEEE Trans. Circuits Syst., vol. CAS-22, no. 9, pp. 735–742, Sep. 1975. [18] A. Ramadan and A. S. Omar, “A new algorithm for the reconstruction of bandpass signals,” in IEEE AP-S Int. Symp. Dig., Jul. 2001, vol. 1, pp. 292–295. [19] J. Choma, Electrical Networks: Theory and Analysis. New York: Wiley, 1985. Chien-Chung Wang was born in Tainan, Taiwan, R.O.C., in 1979. He received the B.S.E.E. degree from National Sun Yat-Sen University, Kaohsiung, Taiwan, R.O.C., in 2003, and is currently working toward the Ph.D. degree in electrical engineering at National Sun Yat-Sen University. His research interests include the signal integrity (SI) and EMI designs in high-speed digital circuits and numerical EM field analysis for electromagnetic compatibility (EMC) problems, electromagnetic bandgap (EBG) structures, microwave circuit designs, and microwave metamaterials.

Chih-Wen Kuo (M’91) was born in Taiwan, R.O.C., on June 11, 1964. He received the B.Sc. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1986, and the M.Sc. and Ph.D. degrees in electrical engineering from The University of Texas at Austin, in 1989 and 1991, respectively. Since 1991, he has been an Associate Professor with the Department of Electrical Engineering, National Sun Yat-Sen University, Kaohsiung, Taiwan, R.O.C. His current research interests include timedomain numerical method development with applications to EMI and planar circuit designs.

966

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Genetic Algorithm in Reduction of Numerical Dispersion of 3-D Alternating-Direction-Implicit Finite-Difference Time-Domain Method Yan Zhang and Shan-Wei Lü

Abstract—A new method to reduce the numerical dispersion of the 3-D alternating-direction-implicit finite-difference timedomain method is proposed. Firstly, the numerical formulations are modified with the artificial anisotropy, and the new numerical dispersion relation is derived analytically. Moreover, theoretical proof of the unconditional stability is shown. Secondly, the relative permittivity tensor of the artificial anisotropy can be obtained by the adaptive genetic algorithm. In order to demonstrate the accuracy and efficiency of this new method, several examples are simulated. The numerical results and the computational requirements of the proposed method are then compared with those of the conventional method and measured data. In addition, the reduction of the numerical dispersion is investigated as the objective function of the genetic algorithm. It is found that this new method is accurate and efficient by choosing a proper objective function. Index Terms—Alternating direction implicit, artificial anisotropy, finite difference time domain (FDTD), genetic algorithm, numerical dispersion.

I. INTRODUCTION

T

HE alternating-direction-implicit finite-difference timedomain (FDTD) method [1] is based on the alternatingdirection-implicit method and is applied to Yee’s staggered cell to solve Maxwell’s equations. The limitation of the maximum time-step size of the alternating-direction-implicit FDTD method does not depend on the Courant–Friedrich–Levy (CFL) condition, but rather on the numerical dispersion that is an undesired nonphysical effect inherently presented in the alternating-direction-implicit FDTD algorithm. In general, the numerical dispersion means that the wave propagation velocity depends on the frequency and propagation direction. The spherical waveforms also become slightly cubical by the direction dependence. Consequently, the antenna radiation pattern is seriously distorted. Recently, there have been some papers that have dealt with reduction of numerical dispersion in the FDTD method with artificial anisotropy. Juntunen and Tsiboukis [2] introduced a

Manuscript received October 28, 2006; revised January 9, 2007. This work was supported in part by the National Natural Science Foundation of China under Grant 60271012 and in part by the National High Technology Research and Development Program of China under Grant 2006AA12A110. The authors are with the School of Electronics and Information Engineering, Beijing University of Aeronautics and Astronautics, Beijing 100083, China (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.895645

simple correction procedure for reduction of the numerical dispersion in the 2-D and 3-D FDTD algorithm. Zhao [3] then further presented an efficient approach to reduce numerical dispersion of the 2-D alternating-direction-implicit FDTD method. However, little attention has been focused on reduction of the numerical dispersion in the 3-D alternating-direction-implicit FDTD method. The numerical dispersion relation along the axis direction is obtained by Zheng and Leung [4]. By their method, one can only reduce the error of phase velocity along some special directions. In this paper, a new approach for reducing the numerical dispersion error of the 3-D alternating-direction-implicit FDTD method is proposed. Firstly, the numerical formulations are modified. An analytical formula for the new numerical dispersion relation is derived. Moreover, theoretical proof of unconditional stability is provided. Secondly, the relative permittivity tensor of the artificial anisotropy can be obtained by the adaptive genetic algorithm [5]. Finally, several examples are simulated to confirm the theory. Furthermore, the reduction of the numerical dispersion is investigated as the objective function of the adaptive genetic algorithm. The numerical results and the computational requirements of this method are also compared with those of the conventional alternating-direction-implicit FDTD method and measured data. II. NEW NUMERICAL FORMULATION IN THREE DIMENSIONS A. Numerical Formulation Let the relative permittivity tensor be diagonal, i.e., . Following the procedure in [1], the numerical formulation of the artificial anisotropy alternatingdirection-implicit FDTD method for a full 3-D wave is presented in (1)–(4). The calculation for one discrete time step is performed using two procedures. The first procedure is based on (1) and (2), shown at the bottom of the following page, while the second procedure is based on (3) and (4), shown at the bottom of page 968. In the first updating procedure, (1) and (2) cannot be used for direct numerical calculation because they include the components defined as synchronous variables on both the left- and right-hand side, thus, modified equations are derived from the original equations. The modified equations for the electric-field components are listed in the Appendix. By solving the simultaneous linear equations of (10)–(12), shown at the top of

0018-9480/$25.00 © 2007 IEEE

ZHANG AND LÜ: GENETIC ALGORITHM IN REDUCTION OF NUMERICAL DISPERSION OF 3-D ALTERNATING-DIRECTION-IMPLICIT FDTD METHOD

page 971, we can get the values of the electric-field components , , and at the time of , respectively. In the second updating procedure, (3) and (4) cannot be used for direct numerical calculation, and we can get the values of the at the time of by electric-field components , , and solving the simultaneous linear equations of (13)–(15), shown at the top of page 971, respectively.

is the time-step size. Pawhere is the radian frequency. rameters , , and are defined as

where , is the speed of light in free space.

B. New Numerical Dispersion Relationship Denote the field vector in the spatial spectral domain as

967

, , and

, .

C. Stability Analysis (5)

The eigenvalues of

can be found as

Similar to the strategy used in [6], the time marching relation can be written in a matrix form as where (6) With the use of MATLAB V6.5, we can obtain . For reference, is also listed in the Appendix. Again with the help of MATLAB, the new numerical dispersion relation equation can be simplified as follows:

(7)

(1)

(2)

968

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

MATLAB can be used to demonstrate that the six eigenvalues have magnitudes of unity. Therefore, we conclude that the proposed alternating-direction-implicit FDTD scheme is unconditionally stable regardless of the time step . III. DETERMINATION OF ARTIFICIAL ANISOTROPY BY ADAPTIVE GENETIC ALGORITHM Genetic algorithms [7] are robust search and optimization techniques based on the principle of Darwinian natural selection and that of Mendel’s genetic mutation. Here, the adaptive genetic algorithm is chosen to obtain the relative permittivity tensor. The key features of the adaptive genetic algorithm we adopt here are as follows. 1) The adaptive genetic algorithm has lower values of crossover probability and mutation probability for high fitness solutions and higher values of crossover probability and mutation probability for low fitness solutions. While the high fitness solutions aid in the convergence of the genetic algorithm, the low fitness solutions prevent the genetic algorithm from getting stuck at a local optimum. 2) The genetic algorithm model adopts some cross methods such as single-point, arithmetical, extrapolate, and interpolate cross operator, by which the adaptive genetic algorithm can get rid of the prematurity and the dependence of the convergence of conventional genetic algorithms on the initial population. 3) Gaussian mutation and random uniform mutation are combined. 4) Elitism is employed to make sure that the best member survives.

5) The structure of a chromosome for the relative permittivity tensor optimization is just a three-element real number vector . The relative permittivity tensor is optimized for the numerical dispersion error by the new numerical dispersion relation of (7). For comparative purposes, two objective functions are chosen to obtain the relative permittivity tensor. The first objective function uses six directions for optimization, while the second objective function uses 14 directions for optimization. Define the first case as the adaptive genetic algorithm(1) method and the second case as the adaptive genetic algorithm(2) method. Function 1 used to evaluate the fitness of each candidate is shown as follows:

(8)

where is the numerical dispersion error in the th direction. Table I lists the six directions of objective Function 1. Objective Function 2 is given by the following expression:

(9)

The 14 directions of objective Function 2 are given in Table II. The relative permittivity tensor can then be chosen in Section IV for individual problems.

(3)

(4)

ZHANG AND LÜ: GENETIC ALGORITHM IN REDUCTION OF NUMERICAL DISPERSION OF 3-D ALTERNATING-DIRECTION-IMPLICIT FDTD METHOD

969

TABLE I INFORMATION ON THE SIX DIRECTIONS OF OBJECTIVE FUNCTION 1

Fig. 2. Calculated and measured  = 0 plane radiation pattern at 1.5 GHz. TABLE II INFORMATION ON THE 14 DIRECTIONS OF OBJECTIVE FUNCTION 2 TABLE III INFORMATION ON THE RELATIVE PERMITTIVITY TENSOR OBTAINED BY ADAPTIVE GENETIC ALGORITHM

in [8]. It is desired to calculate a radiation pattern at a frequency of 1.5 GHz. mm is used, which results in A uniform mesh with 55 45 63 computational cells. The waveform of the excitation pulse is as follows: ps

Fig. 1. Geometry of monopole antenna on conducting box.

IV. NUMERICAL EXAMPLE Several examples are presented below to demonstrate the accuracy of the newly developed method. For comparative purposes, several other alternating-direction-implicit FDTD methods are also chosen to simulate the same model. Example 1: Monopole Antenna The geometry for our example is illustrated in Fig. 1. The dimensions of monopole on metal box are the same as those used

The different values of are used with the conventional alternating-direction-implicit FDTD method to check for acis set as and , curacy. In this paper, . The simulation is perrespectively, where formed for 300 (for the case ) and 20 (for the ) time-steps, respectively. The radiation case plane are shown in Fig. 2 and compared patterns in the with measurement (by Luebbers et al. [8]). As is clearly shown, the numerical results of the alternating-direction-implicit FDTD almost agree with measuremethod with .) ment. (The maximum of the field pattern occurs at An increase in the time-step size results in the numerical error. Consequently, the radiation pattern of the monopole antenna is distorted for the conventional alternating-direction-implicit . (The main beam appears FDTD method with . There are deep nulls in the pattern at and at .) are The anisotropy parameters for the case GHz by the adaptive genetic optimized to frequency algorithm and are shown in Table III. The population size is fixed at 40 and the adaptive genetic algorithm is run for 20 generations. The radiation pattern is calculated by the corrected alternating-direction-implicit FDTD method with correction parameters and is plotted in Fig. 3.

970

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

TABLE V INFORMATION ON THE RELATIVE PERMITTIVITY TENSOR

Fig. 3. Comparison of corrected and uncorrected radiation pattern. AGA(1): adaptive genetic algorithm(1). AGA(2): adaptive genetic algorithm(2). TABLE IV INFORMATION ON COMPUTATIONAL REQUIREMENTS COMPARISON

Fig. 4. Normalized phase velocity.

In the case of adaptive genetic algorithm(2), the CPU time is approximately 1.27 times as long as the conventional alternating-direction-implicit FDTD method. However, it is also reduced to approximately 12% of that for the conventional FDTD method. Required memory is also the same as that using the conventional alternating-direction-implicit FDTD method. Example 2: Hollow Waveguide It can be seen from Fig. 3 that: 1) the corrected alternatingdirection-implicit FDTD method improves the accuracy significantly (deep nulls have disappeared) and 2) the results of adaptive genetic algorithm(2) have better agreement with the results of the conventional alternating-direction-implicit FDTD . (The main beam is predicted method with by adaptive genetic algorithm(1), while to be at adaptive genetic algorithm(2) puts it at .) For this alternating-direction-implicit FDTD modeling, the is approximately in largest time-step size accordance with the Nyquist sampling theorem [9]. However, increases the numerical dispersion error. It is increasing observed that the antenna radiation pattern is distorted when is increased beyond . Thus, of the conventional alternating-direction-implicit FDTD method is in this case, depending on numerical errors. Through the nuis merical tests with various , it is demonstrated that for the corrected alternating-direction-implicit FDTD method. These simulations are performed on a Pentium 4 2-GHz PC. The CPU time and required memory of these simulations are and total time steps. provided in Table IV with

Here we calculate the phase velocity in a WR-90 waveguide (0.9 in 0.4 in) with a frequency range from 8 to 12 GHz. The . The simulation is performed for time-step size is set as mode 200 time steps. A modulated Gaussian pulse in the is used as the source wave, and a ten-cell perfectly matched layer (PML) is used in the front and back regions of the waveguide. The theoretical reflection coefficient of PML is chosen as 10 and the value of power is set to 3. Table V presents the anisotropy parameters optimized to freGHz by the adaptive genetic algorithm, Junquency tunen’s method [2], and Zheng’s method [4], respectively. The population size is fixed at 200 and the adaptive genetic algorithm is run for 1000 generations. The results of normalized phase velocity are shown in Fig. 4. GHz obtained by The maximum dispersion errors at the conventional alternating-direction-implicit FDTD method, Juntunen’s method, Zheng’s method, adaptive genetic algorithm(1), and adaptive genetic algorithm(2) are 12.64%, 9.88%, 11.73%, 9.02%, and 8.94%, respectively. Numerical results show that the proposed method provides increased accuracy over the conventional alternating-direction-implicit FDTD method, Juntunen’s method, and Zheng’s method. This example also clearly demonstrates that the computation precision

ZHANG AND LÜ: GENETIC ALGORITHM IN REDUCTION OF NUMERICAL DISPERSION OF 3-D ALTERNATING-DIRECTION-IMPLICIT FDTD METHOD

971

(10)

(11)

(12)

(13)

(14)

(15)

of adaptive genetic algorithm(2) is superior to adaptive genetic algorithm(1). Although the optimal values of , , and are determined at a lower frequency GHz, the performance of the proposed method can be improved for frequencies in the range of 8–12 GHz. Example 3: Rectangular Cavity The third problem analyzed is a 5 cm 4 cm 2.5 cm rectangular cavity for which the resonant frequencies are analytically available. The alternating-direction-implicit FDTD parameters

mm and time are set with a mesh size . step The anisotropy parameters are optimized for GHz by the adaptive genetic algorithm, Juntunen’s method, and Zheng’s method, respectively. The optimal artificial parameters are shown in Table VI. The resonant frequencies computed by the conventional alternating-direction-implicit FDTD method, Juntunen’s method, Zheng’s method, adaptive genetic algorithm(1), and adaptive genetic algorithm(2) are shown in Fig. 5.

972

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

The resonant frequencies obtained by several FDTD methods are compared with the analytic values in Table VII. From Fig. 5 and Table VII, it is evident that the results from adaptive genetic algorithm(2) show better agreement with the analytic values. Furthermore, one can see that the optimal paGHz can also imrameters obtained at a lower frequency prove the computational accuracy at a higher frequency. Thus,

the proposed method also works well for relatively wideband problems. V. CONCLUSION In this paper, we have introduced a new correction procedure for reduction of the numerical dispersion in the 3-D alternating-direction-implicit FDTD method. The relative permit-

ZHANG AND LÜ: GENETIC ALGORITHM IN REDUCTION OF NUMERICAL DISPERSION OF 3-D ALTERNATING-DIRECTION-IMPLICIT FDTD METHOD

TABLE VI INFORMATION ON THE RELATIVE PERMITTIVITY TENSOR

The matrix

973

is as follows:

(16)

with the equations shown at the top of the previous page.

Fig. 5. Resonant frequency of rectangular cavity.

TABLE VII INFORMATION ON RESONANT FREQUENCY COMPARISON

REFERENCES [1] T. Namiki, “A new FDTD algorithm based on alternating-direction implicit method,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2003–2007, Oct. 1999. [2] J. S. Juntunen and T. D. Tsiboukis, “Reduction of numerical dispersion in FDTD method through artificial anisotropy,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 4, pp. 582–588, Apr. 2000. [3] A. P. Zhao, “Improvement on the numerical dispersion of 2-D ADI-FDTD with artificial anisotropy,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 6, pp. 292–294, Jun. 2004. [4] H. X. Zheng and K. W. Leung, “An efficient method to reduce the numerical dispersion in the ADI-FDTD,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2295–2301, Jul. 2005. [5] M. Srinivas and L. M. Patnaik, “Adaptive probabilities of crossover and mutation in genetic algorithms,” IEEE Trans. Syst., Man, Cybern., vol. 24, no. 4, pp. 656–667, Apr. 1994. [6] F. Zheng and Z. Chen, “Numerical dispersion analysis of the unconditionally stable 3-D ADI-FDTD Method,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 1006–1009, May 2001. [7] D. E. Goldberg, Genetic Algorithms in Search, Optimization and Machine Learning. Reading, MA: Addison-Wesley, 1989. [8] R. Luebbers, L. Chen, T. Uno, and S. Adachi, “FDTD calculation of radiation patterns, impedance, and gain for a monopole antenna on a conducting box,” IEEE Trans. Antennas Propag., vol. 40, no. 12, pp. 1577–1583, Dec. 1992. [9] G. Sun and C. W. Trueman, “Analysis and numerical experiments on the numerical dispersion of two-dimensional ADI-FDTD,” IEEE Antennas Wireless Propag. Lett., vol. 2, no. 1, pp. 78–81, Feb. 2003. Yan Zhang was born in Beijing, China, in 1980. He received the B.S. and Ph.D. degrees in electromagnetic field and microwave technology from the Beijing University of Aeronautics and Astronautics (BUAA), Beijing, China, in 2002 and 2006, respectively. He is currently a Post-Doctoral Researcher with the Communication, Navigation, Surveillance/Air Traffic Management (CNS/ATM) Laboratory, Civil Aviation Administration (CAAC), BUAA. He has authored or coauthored over 15 papers. His current research interests are computational electromagnetics and antenna design.

tivity tensor of the artificial anisotropy is obtained by the adaptive genetic algorithm. It is found that the adaptive genetic algorithm with a 14-direction objective function can improve the accuracy of the conventional 3-D alternating-direction-implicit FDTD method further. APPENDIX The modified equations for the electric-field components are shown in the first procedure, i.e., (10)–(12), and in the second procedure, i.e., (13)–(15).

Shan-Wei Lü was born in Dalian, Liaoning Province, China, in 1937. He received the B.S. degree in electromagnetic field and microwave technology from the Beijing Institute of Aeronautics and Astronautics (BIAA), Beijing, China, in 1961. From 1961 to 1976, he was with the Microwave Technique Laboratory, BIAA. He is currently a Professor and Ph.D. Supervisor with the School of Electronics and Information Engineering, Beijing University of Aeronautics and Astronautics (BUAA), Beijing, China. He has authored or coauthored five books and over 100 journal papers. His research interests include computational electromagnetics, radar antennas, navigation antennas, antenna feeds, etc. Mr. Lü was the recipient of the 1991 Second Prize of Science and Technology Progress for leaky waveguide antennas, the 1992 Third Prize of National Invention for the conformal slotted antennas of sectoral waveguides, and the 1998 Third Prize of Science and Technology Progress for the conformal slotted antennas of cam-rectangular waveguides.

974

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Robust Formulations of the Cauchy Method Suitable for Microwave Duplexers Modeling Daniele Traina, Student Member, IEEE, Giuseppe Macchiarella, Senior Member, IEEE, and Tapan K. Sarkar, Fellow, IEEE

Abstract—It is well known that a Vandermonde matrix generates an ill-conditioned system matrix when applied with finite numerical precision. This deficiency affects the Cauchy method by restricting its application to only lower order systems. This paper presents innovative, accurate, and robust formulations of the Cauchy method to rectify this limitation and make the Cauchy method suitable for the extraction of a high-order microwave duplexer polynomial model. The techniques employed are: the change of polynomial basis into the Krylov subspace and the precondition technique, both acting on the system matrix of the classic Cauchy method formulation. A novel formulation using the algorithm on the two characteristic functions of the duplexer method and the precondiand a suitable combination of the tion technique are then presented. Each of these procedures has been successfully verified by numerical application examples.

QR

QR

Index Terms—Cauchy method, condition number, microwave duplexer, numerical analysis, robust modeling.

I. INTRODUCTION HE generation of reduced-order polynomial models from frequency sampled data is becoming the subject of more and more investigations and studies in the microwave community. The two most important applications concern: 1) the extrapolation/interpolation of electromagnetic (EM) simulated responses obtained though computationally expensive numerical methods and 2) the generation of circuit models from the measured (lossy) response of microwave networks (typically filters), suitable for automated tuning procedures. The Cauchy method is a well-known and effective technique for generating reduced-order rational polynomial models from the response of a passive device [1]–[3]. Most of the past works have concerned group 1) of the above recalled applications; recently, efforts have been directed toward introducing a formulation consistent with the synthesis of equivalent lossless circuits, starting from lossy measured data, which is a fundamental requirement for computer-aided tuning [4], [5]. It is known that the classic formulation of the Cauchy method suffers from the limitation of an ill-conditioned Vandermonde matrix. In order to improve the robustness of the system matrix, techniques applied to microwave filters have been introduced [6], [7]. However, to date, these methods have not been applied

T

Fig. 1. General structure of a duplexer.

to the extraction of models for more complex multiport systems. A possible example of such systems is the microwave duplexer, which is a combining network composed by two passband filters with the input ports connected through a suitable junction [8]. Since the overall order of a typical duplexer is at least the sum of the orders of the composing filters, this challenging application requires a more robust formulation for the Cauchy method. In this paper, a suitable formulation of the Cauchy method for generating a rational polynomial model of typical microwave duplexers is presented; moreover, additional accurate and robust techniques for the model extraction are introduced. Input frequency-sampled data can be either lossless (as those coming from EM simulations) or include losses (in case of computeraided tuning applications); in this latter case, a suitable technique is presented in order to derive an approximate lossless polynomial model from the lossy data, which can be employed for the synthesis of the required equivalent circuits [8]. This paper is organized as follows. In Section II, the complete generation of the rational polynomial model from frequency-sampled data of a duplexer, using a modified formulation of the Cauchy method, is described. In Section III, two robust approaches are presented, involving the system matrix of the classic formulation of the Cauchy method: the change of polynomial basis (Krylov subspace) and the preconditioning technique. In Section IV, novel formulations of the Cauchy method based on the two duplexer characteristic functions are algorithm and a suitable combination of presented. The algorithm and the preconditioning technique have been the employed. Numerical application examples of these formulations are presented in Section V. II. CAUCHY METHOD: MODEL AND PROBLEM FORMULATION A. Polynomial Modeling of the Duplexer

Manuscript received October 30, 2006; revised January 29, 2007. D. Traina is with the Department of Electrical Engineering, Politecnico di Milano, 20133 Milan, Italy. G. Macchiarella is with the Department of Electronic and Information Engineering, Politecnico di Milano, 20133 Milan, Italy. T. K. Sarkar is with the Department of Electrical and Computer Engineering, Syracuse University, Syracuse NY 13210 USA. Digital Object Identifier 10.1109/TMTT.2007.895394

The typical configuration of a microwave duplexer is shown in Fig. 1 [8]. It is constituted by two bandpass filters [transmitter (TX) and receiver (RX)], connected through the three-port junction , whose topology depends on the specific technology employed for the duplexer implementation. It is assumed that the RX passband is below the TX passband

0018-9480/$25.00 © 2007 IEEE

TRAINA et al.: ROBUST FORMULATIONS OF CAUCHY METHOD SUITABLE FOR MICROWAVE DUPLEXERS MODELING

). In order to simplify the mathematical approach, it will be assumed in the following that the junction is a simple shunt connection of the two filters input ports. The overall duplexer constitutes a particular kind of threeport network, which can be characterized, in the low-pass normalized frequency domain , through a polynomial model; in , it is assumed that the outer passbands frethe domain and ) are mapped to and , requency limits ( spectively (various kinds of analytical frequency mapping can be used to this purpose; the most simple is the classic low-pass to bandpass transformation used for lumped resonators). Let observe that the polynomial modeling of a distributed network is intrinsically approximated (the accuracy decreases with the increase of the frequency span considered); in the case concerned here, the polynomial modeling gives good performances with narrow or moderate bandwidth networks. It has been shown in [8] that, starting from four suitably computed polynomials, it is possible to synthesize a lossless duplexer, with the topology in Fig. 1, presenting the following scattering parameters:

(1) and be the number of poles Let and the number of transmission zeros of the TX and RX filters, respectively. The following considerations can then be made concerning the above polynomials. • The overall number of poles of the duplexer is [order of ]. also has the same order (i.e., the ). number of reflection zeros is • The number of transmission zeros of is [order of ]; the additional zeros are produced by the loading of the RX filter at the TX filter input. is • Similarly, the number of transmission zeros of [order of ]. The problem concerned with this study consists of the derivation of the above polynomials, given the scattering parameters in a specified frequency range (from EM simulations or measurements). In the case of computer-aided tuning, the derivation of the coupling matrices for the TX and RX filters is also required; this can be accomplished through the procedures presented in [8], which allows the evaluation of the characteristic polynomials associated to TX and RX filters. Once the topology of the two filters is specified, the synthesis methods available in the literature [9], [10] allow the evaluation of the required coupling matrices. However, it must be observed that synthesis methods require the lossless condition to be satisfied, while the measured data from a real device actually include losses. It is then necessary to extrapolate from the lossy measured scattering parameters a lossless polynomial model. To this purpose, we have extended the method presented in [4] for two-port filters to the three-port duplexer structure. It can, in fact, be observed that, also in case

975

zeros (reflection zeros), , of duplexers, the location of zeros (transmission zeros) are influenced very little and by losses (if losses are not too large); thus, the polynomials and evaluated from the lossy measured data are practically coincident with those associated to the same network without losses. For evaluating these polynomials, the Cauchy method will be applied to the following characteristic functions (obtained from the measured data):

(2) Once and have been computed, is obtained by imposing the unitary condition on the considand ), which can be ered scattering parameters ( expressed as follows (Feldtkeller equation):

(3) The complex roots of the left-hand side of (3) are in pairs with an opposite signed real part. Selecting those with a negative real part, the poles of the lossless model for the considered duplexer . A lossless are then obtained, as well as the polynomial polynomial model can then be extrapolated from lossy measured data, which is suitable for the synthesis of lossless equivalent circuits. It worthwhile to remark that, following the above procedure, the set of computed polynomials is fully compatible with the lossless condition; obviously this condition poses also , constraints on the other scattering parameters which can be univocally determined only after the synthesis of the overall duplexer network. Another aspect to be considered is how the choice of the reference section at the duplexer input port affects the derived polynomial model; to this purpose, let observe that this choice has no influence on the position of reflection and transmission zeros and so the estimation of the characteristic functions does not change practically when the phase reference of the measured data is varied. The performance of the extracted polynomial model to reproduce the magnitude of the considered scattering parameters is then practically independent on the choice of the reference sections. A good match of the measured phases is also possible by adding a frequency linearly varying and term (with a suitable slope) to the phase of computed from the polynomial model. B. Formulation of the Cauchy Method and As above observed, the polynomials can be derived using the Cauchy method, which will be briefly recalled in the following. and as follows: Let us define

(4)

976

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Imposing that the equations in (4) are satisfied for a set of not necessarily equally spaced frequency points, a system of linear equations can be obtained, as shown in (5), at the bottom of this page, where is a decreasing-power th-order Vandermonde matrix defined as

.. .

.. .

..

.

.. .

.. .

.. .

The Arnoldi process builds an orthonormal basis for a Krylov subspace for an assigned matrix. The implicit restarted Arnoldi (IRA) process with correction proposed by Daniel, Gragg, Kaufman, and Stewart (DGKS) [15] has been chosen (see the Appendix). The Arnoldi process produces the following flops and factorization with a computational cost of storage (where is the number of iterations, i.e., the number of obtained eigenvalues): (7)

(6) and is the system matrix. System (5) can be solved using the total least squares (TLS) and , as well as the method [11]. The coefficients and are obtained. Note that polynomials all the coefficients must be further normalized to satisfy the lowpass prototype feasibility [8]. Imposing (3) and taking the roots with a negative real part, the duplexer poles are then computed and the complete polynomial model of the overall duplexer is finally defined.

where has orthonormal columns (i.e., ) and is an upper Hessenberg that spans matrix with strictly positive subdiagonal elements. , then must Since is a skew-symmetric matrix also be. Therefore, has to be a tridiagonal matrix, hereinafter called . With this new robust basis, a matrix equation analogous to (5) can be written, namely, (8), shown at the bottom of this page. This can be solved using the TLS method in the same manner as the classic formulation of the Cauchy method. The resulting model is defined by

III. MODIFICATION OF THE SYSTEM MATRIX: ENHANCING THE PERFORMANCE A. Change of Polynomial Basis The previous method presents serious limitations when finite numerical precision is used. This drawback arises from the fact that the Vandermonde matrix , as in (5), is extremely ill conditioned [12], [13], especially when its dimensions (i.e., the or the model order) are moderately number of data points is also very ill conditioned. high. As a consequence, To address the problem of the ill conditioning due to the Vandermonde matrix, some studies has been introduced [6], [7]. Here, these studies are reviewed and modified. To deal with the numerical rank deficiency problem of the Vandermonde matrix, a new orthonormal polynomial basis is generated. This basis spans the same space as the columns (colspan) of the Vandermonde matrix. From the definition of a Vandermonde matrix (6), it can be ) of is observed that the th column (with , where and . Therefore, the columns of generate [14]. a Krylov subspace, i.e.,

(9) where are polynomials of order , which satisfy the discrete orthogonality relation (10) Each family of orthogonal monic polynomials is defined by a three-term recursive expression that outlines the method for their building [16]. In this case, it corresponds to the following expression: (11) Theoretically, the numerical stability of the process allows a more accurate solution of the system, a task that was not previously possible with double precision using the monomial base and the Vandermonde matrices due to the loss of numerical rank.

(5)

(8)

TRAINA et al.: ROBUST FORMULATIONS OF CAUCHY METHOD SUITABLE FOR MICROWAVE DUPLEXERS MODELING

B. Preconditioning Matrix

977

and

Using the orthonormal basis vectors, the condition number decreases and the numerical solution of the system matrix is more reliable. However, this is not the best solution since increasing the number of poles of the filters composing the duplexer, i.e., by increasing the whole number of unknowns, it does not necessarily provides a more reliable solution. In order to improve the condition number of the system matrix, the whole matrix has to be better conditioned. A new solution can be formed by using a preconditioning matrix [14]. The basic idea is to transform the system matrix into a better conditioned one through the following equation:

a suitable decomposition can be realized over the two system matrices, as in the following equations:

(12)

Now, both characteristic functions must have common reflection zeros; therefore, the following system is obtained:

where is the (left) preconditioning matrix and is the unknowns vector. is any simple matrix that approximates . is needed, and since is not square, the inverse matrix can be obtained by the Moore–Penrose pseudoinverse [14], which is the best solution in the least squares sense and is noted according to Watkins [14]. In this way, a simple as preconditioning matrix, which approximates the system matrix, is found. Note that, since the system (12) is homogeneous, it is not , as in the classic necessary to multiply the term vector by method. This decreases the number of multiplications required to solve the system. The TLS method can be used to solve the system (12). IV.

A.

ALGORITHM FOR THE TWO DUPLEXER CHARACTERISTIC FUNCTIONS: A FAST AND ACCURATE SOLUTION

(14)

(15) (16)

(17) A further decomposition of the previous system equation can be executed as follows: (18) resulting in the reduced system (17) expressed as (19) This again reduces the size of the system matrix, but preserves the eigenvalues of the system, and thus, a computed solution can be quickly achieved. Once the coefficients have been obtained by the TLS method, the coefficients and can then be found using the relationships (15) and (16), namely, (20)

Algorithm

algorithm is a widely used technique in numerical The algorithm decomposes a matrix as a product analysis. The and an upper triangular matrix . of an orthogonal matrix In particular, the factorization with column pivoting [13], which is backward stable, is employed in this formulation. algorithm, a new formulation of the Cauchy Using the method for the duplexer model extraction can be achieved. This procedure avoids the unpleasant presence of blocks of zeros in the system matrix. Starting from the matrix equations of the two characteristic functions,

(13)

where the operator is the left matrix divide. Imposing the unitary condition (3), the coefficients can then be found and, therefore, the overall duplexer model can be realized. B. Preconditioning and the

Technique

In Section IV-A, it has been observed that the preconditioning technique is able to reduce the condition number of the system matrix and, therefore, increase the accuracy of the solution. algorithm is able to decrease Moreover, the powerful the computational time because it reduces the system matrix size while preserving the singular values, and thus, the same condition number. Here, a formulation that suitably combines these two techniques is presented. Starting from the general system, as in (5), see (21), shown at the bottom of this page, the preconditioning technique is first

(21)

978

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

applied, scaling the system matrix into a better conditioned one , which is expressed by

(22)

where , i.e., the Moore–Penrose pseudoinverse [14]. algorithm is then applied to the new system matrix , The resulting in (23) Even if the condition number is obviously the same because the matrix has the same singular values of the original matrix , the system can be solved faster because is an upper triangular matrix. Hence, the following system:

Fig. 2. Attenuation and return loss of the duplexer RX and TX filter’s responses (solid line: synthesized polynomials; dashed lines: polynomial model obtained by the classic formulation of the Cauchy method).

(24) can be solved using the TLS method and its coefficients can be computed both in an efficient and accurate fashion. This formulation is more efficient because it preserves the condition number obtained by the preconditioning techniques, decomposition reduces the computational but using the time. V. NUMERICAL EXAMPLES A. Synthesized Test Duplexer To test the performance of the new formulations of the Cauchy method, the scattering parameters of a suitably synthesized duplexer have been used. The four characteristic polynomials of this duplexer have been determined following the techniques described in [8]; from these polynomials, the response of the synthesized duplexer is evaluated and used in the various formulations of the Cauchy method investigated here for reconstructing the same polynomials. The performance of the novel proposed formulations can then be assessed by comparing the synthesized and the reconstructed polynomials. In the example, each of the filters in the duplexer has ten poles, three assigned zeros, and a return loss of 23 dB. The synthesis of the duplexer is carried out in a normalized frequency domain, as in [8]; the RX filter passband spans from 1 to 0.14 and transmission zeros are placed at ; the TX filter passband spans from 0.14 to 1 and the transmis. The number of sion zeros are placed at complex unknowns of the system is 49, and the number of frequency points is 50. As said above, what we wish to stress with this example is the ability of the proposed algorithms to accurately extract the duplexer polynomials; moreover, the ability in reproducing the original duplexer response is also considered. Fig. 2 presents the duplexer response together with the one obtained from the polynomial model extracted by the classic formulation of the Cauchy method. It can be noted that the classic

Fig. 3. Attenuation and return loss of the duplexer RX and TX filter’s responses (solid line: synthesized polynomials; dashed–dotted lines: polynomial model obtained using the orthonormal polynomials).

formulation (dashed lines) fails to accurately reproduce the duplexer response in the out-of-band regions; this is due to the ill-conditioned Vandermonde matrix, which determines an inaccurate solution even with moderately high-order systems (such as the duplexer considered here). Fig. 3 presents the duplexer response compared with the one obtained with the first new method investigated (IRA). It can be observed that the accuracy offered by this technique is even worse than that obtained with the classic formulation of the Cauchy method. However, it must be said that the change of the polynomial basis into the Krylov subspace has given good results in case of low-order systems (as pointed out in the literature [7]); in case of higher order systems (as the duplexer structure considered here), it offers performances that are not so good. The other methods investigated here present much better performances in reproducing the synthesized duplexer response; in fact, the responses obtained from the polynomials computed with all the considered methods are practically indistinguishable from the original one, as depicted in Fig. 2. As a consequence,

TRAINA et al.: ROBUST FORMULATIONS OF CAUCHY METHOD SUITABLE FOR MICROWAVE DUPLEXERS MODELING

979

TABLE I PERFORMANCE COMPARISON AMONG THE PRESENTED TECHNIQUES

these formulations appear to be more robust than the classic formulation of the Cauchy method. Although the responses appear to overlap, it is important to quantify the accuracy of the results. In order to evaluate the performance of the presented algorithms, let us introduce two parameters: the condition number and the relative error. The condition number is a useful measure of the sensitivity of the linear system (for its definition, see [13] and [14]). It gives information about how accurately one can solve the systems of equations. The condition number depends on the choice of the norm. Hereinafter we refer to a condition number computed with the Euclidean 2-norm or spectral norm of a matrix, i.e., the ratio between the two extreme singular values (it is worthwhile to note that the condition number is practically independent of the number of points used to solve the system equations). With an ill-conditioned matrix, one expected to digits in computing the solution [13], where lose is the condition number of the matrix (except under very special circumstances). According to Higham [17], the ” refers to the 2-norm relative error between notation “ the exact polynomial coefficients and the extracted solution for the parameter . Relative error is connected with the notion of correct significant digits. It gives information about the accuracy of an algorithm. Table I reports the summary of the results. The first column is relative to the condition number of the system matrix for all the presented formulations. The following columns refers to the relative errors of the extracted polynomial coefficients: and . The last column indicates the computational time of the algorithm on a 1.73-GHz Intel Pentium Centrino processor. It can be observed that the classic formulation of the Cauchy method suffers for the ill-conditioned Vandermonde matrix (its condition number is very high) and, therefore, the solution is not very accurate, as seen before (Fig. 2). The change of the polynomial basis into the Krylov subspace does not furnish the expected improvement: the solution is again not accurate (even if its condition number is lower than the classic formulation) and, moreover, it is a time-consuming algorithm. Therefore, this method is not suited for the duplexer application concerned here. The preconditioning technique has, in the considered example, the lowest condition number and the lowest relative errors, which reveal its very good ability to deal with high-order systems with a high accuracy. formulation is able to extract high-order systems The a little less accurately than the preconditioning, but it is much

faster. The preconditioning technique is expected to give a better accuracy since it yields a lower condition number. The last algorithm combines the accuracy of the precondialgotioning technique with an increase in speed due to the rithm, giving the best compromise between accuracy and computation time. Finally, it can be expected that the new formulations can furnish even better performance as the system order increases (as in the case, for instance, of microwave multiplexers). B. Data From a Network Model of the Duplexer The proposed algorithms have also been verified with data coming from the circuit simulation of a designed and optimized duplexer presenting the following specifications: • RX Filter: passband 880–918.5 MHz, return loss 16 dB, ten poles, two transmission zeros; • TX Filter: passband 924–960 MHz, return loss 20 dB, ten poles, two transmission zeros. The two filters have the same inline topology, with two additional couplings between resonators 2–4 and 6–8 for realizing the transmission zeros. The three-port junction (Fig. 1) is constituted by two transmission line sections (of suitable length and characteristic impedance), which connect the input ports of the two filters with port 1 of the duplexer. The circuit model employed for the duplexer representation includes distributed resonators constituted by short-circuited transmission line sections resonating with lumped capacitors; the couplings are implemented through ideal admittance inverters. Losses are included in the simulation by assigning a finite unloaded (2000) to the resonators. The circuit parameters have been determined through a synthesis approach [8], followed by circuit optimization. and have been comThe scattering parameters puted at 150 equally spaced frequency points using a commercial circuit simulator; the polynomial model of the duplexer has method with the above introbeen derived by using the duced preconditioning. The roots of the computed polynomials are reported in Table II. Fig. 4 shows the comparison between the circuit and polynomial responses of the considered duplexer. Note the very good agreement between the two responses, even if the input data include losses; this confirms the assumption that, in case of low losses, reflection and transmission zeros derived from lossy data allow a sufficiently accurate lossless polynomial model to be computed.

980

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

TABLE II ROOTS OF THE DUPLEXER CHARACTERISTIC POLYNOMIALS. AND IS 1, THE HIGHEST DEGREE COEFFICIENT FOR IS 7.3283 1 10 AND FOR IS 3.5522 1 10 FOR

P

P

N

D

TABLE III COMPARISON OF ORIGINAL AND EXTRACTED COUPLING COEFFICIENTS

TABLE IV COMPARISON OF ORIGINAL AND EXTRACTED RESONANT FREQUENCIES (IN MEGAHERTZ)

Fig. 4. Comparison between the circuit response (thick line) and the polynomial response (thin line) of the test duplexer.

Note also that the accuracy of the polynomial model does not depend on the actual topology of the three-port junction connecting the input ports of the two filters; this is, in general, true as far as the junction does not introduce additional poles (i.e., it has a nonresonant nature). From the computed polynomials of the overall duplexer, with further suitable manipulations, the characteristic polynomials of the composing TX and RX filters have been derived [8]; the synthesis of the two filters has then been performed, allowing the evaluation of the coupling coefficients and the resonant frequencies. The values of these parameters are compared in Tables III and IV with the original ones employed in the simulated test duplexer. A very satisfactory agreement between the original and extracted parameters can be observed, even if the extracted parameters have been obtained by assuming an ideal shunt connection of the input ports of TX and RX filters. VI. CONCLUSION Innovative formulations of the Cauchy method have been applied to the modeling of microwave duplexers, even in the presence of lossy measured data.

The Cauchy method can usually only be applied to low-order models. In fact, this method is limited by the presence of the Vandermonde matrix, which generates an ill-conditioned system matrix. This paper has described novel accurate and robust techniques for high-order duplexer model extraction using modified versions of the Cauchy method. The first method considered (basis change into the Krylov subspace using orthonormal polynomial basis) has proven to not be sufficiently accurate for the duplexer structures concerned here. The other methods have instead offered noticeable improvements with respect to the basic formulation of the Cauchy method. In particular, the numerical stability of the precondialgorithm allow the solution of tioning technique and the high-order systems, a task that was previously impossible to solve with the same accuracy using the ill-conditioned monomial basis and the Vandermonde matrix. At the moment, the preconditioning technique gives the best conditioned system matrix, while the process furnishes a very fast and accurate solution. A combination of these two methods gives an accurate and quite fast solution. Each procedure has been successfully verified by a numerical application example. A polynomial model has been also extracted using the scattering parameters from a simulated test duplexer employing distributed (lossy) resonators; a very good agreement has been obtained between the original parameters (coupling coefficients and resonant frequencies) used in the simulated network and those associated to the synthesized filters obtained from the extracted polynomial model. APPENDIX ARNOLDI PROCESS An orthonormal basis for colspan can be generated by the well-known Arnoldi process since matrix

TRAINA et al.: ROBUST FORMULATIONS OF CAUCHY METHOD SUITABLE FOR MICROWAVE DUPLEXERS MODELING

is symmetric, but not Hermitian [12], [13]. The Lanczos iteration cannot be used because it can be applied only to a Hermitian matrix [13], [18]. Moreover, it suffers, in practice, due to loss of orthogonality of the column basis vectors, a fact that is closely connected with the convergence of Ritz values to eigenvalues of . Due to these complexities, there is no known theorem stating that the Lanczos iteration is backward stable. In particular, the -step Arnoldi process [19] gives the following factorization: (25) has orthonormal columns, i.e., is the identity matrix of size ; and is an upper Hessenberg matrix with non-negative subdiagonal elements. Matrix is the orthogonal projection of into the generated Krylov subspace. ), all its eigenvalues are Since is normal (i.e., well conditioned [14] and all eigenvectors are orthogonal [13]. In fact, every normal matrix is unitarily diagonalizable. Therefore, if the residual of the Arnoldi computation is small (i.e., ), then the Ritz pair is an exact eigenpair of a matrix that is close to (Ritz pairs can be computed as eigenvalues of the obtained Hessenberg matrix). Thus, is a good approximate eigenpair of in the sense of backward error. Moreover, several Arnoldi algorithms use a reorthogonalization process in order to ensure the orthogonality of the vectors [14]. In particular, the complete orthogonalization of the Arnoldi vectors can be achieved using the DGKS correction [15], which avoids the appearance of spurious or “ghost” eigenvalues [19], as in the traditional Lanczos process [13]. Further improvement can be achieved using the IRA process, which also solves the problem on the starting vector [14], [19]. where , and

ACKNOWLEDGMENT The authors would like to thank Dr. Van Loan, Cornell University, Ithaca, NY, for the precious discussions on numerical methods and all the reviewers for their valuable comments. REFERENCES [1] R. S. Adve, T. K. Sarkar, S. M. Rao, E. K. Miller, and D. R. Pflug, “Application of the Cauchy method for extrapolating/interpolating narrowband system responses,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 837–845, May 1997. [2] A. García-Lampérez, S. Llorente-Romano, M. Salazar-Palma, and T. K. Sarkar, “Efficient electromagnetic optimization of microwave filters and multiplexers using rational models,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 508–521, Feb. 2004. [3] A. García-Lampérez, T. K. Sarkar, and M. Salazar-Palma, “Generation of accurate rational models of lossy systems using the Cauchy method,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 10, pp. 490–492, Oct. 2004. [4] G. Macchiarella and D. Traina, “A formulation of the Cauchy method suitable for the synthesis of lossless circuit models of microwave filters from lossy measurements,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 243–245, May 2006.

981

[5] P. Harscher, R. Vahldieck, and S. Amari, “Automated filter tuning using generalized low-pass prototype networks and gradient-based parameter extraction,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2532–2538, Dec. 2001. [6] C. P. Coelho, J. R. Phillips, and L. M. Silveira, “Robust rational function approximation algorithm for model generation,” in Proc. 36th Design Automat. Conf., New Orleans, LA, 1999, pp. 207–212. [7] A. García-Lamparez, T. K. Sarkar, and M. Salazar-Palma, “Robust computation and modelling of wideband system responses using the Cauchy method,” in IEEE AP-S Int. Symp., Jun. 16–21, 2002, vol. 2, pp. 720–723. [8] G. Macchiarella and S. Tamiazzo, “Novel approach to the synthesis of microwave diplexers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4281–4290, Dec. 2006. [9] S. Tamiazzo and G. Macchiarella, “An analytical technique for the synthesis of cascaded -tuplets cross-coupled resonators microwave filters using matrix rotations,” IEEE Trans. Microw. Theory Tech., vol. -53, no. 5, pp. 1693–1698, May 2005. [10] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [11] S. Van Huffel and J. Vandewalle, The Total Least Squares Problem: Computational Aspects and Analysis. Philadelphia, PA: SIAM, 1991. [12] G. H. Golub and C. F. Van Loan, Matrix Computation, 3rd ed. Baltimore, MD: The Johns Hopkins Univ. Press, 1996. [13] L. N. Trefethen and D. Bau, III, Numerical Linear Algebra. Philadelphia, PA: SIAM, 1997. [14] D. S. Watkins, Fundamentals of Matrix Computations, 2nd ed. New York: Wiley, 2002. [15] J. Daniel, W. B. Gragg, L. Kaufman, and G. W. Stewart, “Reorthogonalization and stable algorithms for updating the Gram–Schmidt QR factorization,” Math. Comput., vol. 30, pp. 772–795, 1976. [16] A. Quarteroni, R. Sacco, and F. Saleri, Matematica Numerica, 2nd ed. Milan, Italy: Springer, 2004. [17] N. J. Higham, Accuracy and Stability of Numerical Algorithms, 2nd ed. Philadelphia, PA: SIAM, 2002. [18] J. K. Cullum and R. A. Willoughby, Lanczos Algorithms for Large Symmetric Eigenvalue Computations. Boston, MA: Birkhauser, 1985. [19] D. C. Sorensen, “Implicitly restarted Arnoldi/Lanczos method for large scale eigenvalue calculations,” Dept. Comput. Appl. Math., Rice Univ., Houston, TX, Tech. Rep., Oct. 1995.

N

Daniele Traina (S’05) was born in Milan, Italy, in 1978. He received the M.S. degree in telecommunications engineering and Ph.D. degree in information technology from the Politecnico di Milano, Milan, Italy, in 2003 and 2007, respectively, and the Electronic and Digital Communication degree from the École Supérieure d’Électricité (Supélec), Paris, France, in 2004. In 2004, he joined the Department of Electrical Engineering, Politecnico di Milano. His research interests include automatic techniques for microwave filters and multiplexers tuning and application of numerical methods to EM modeling problems.

Giuseppe Macchiarella (M’88–SM’06) was born in Milan, Italy, in 1952. He received the Laurea degree in electronic engineering from the Politecnico di Milano, Milan, Italy, in 1975. From 1977 to 1987, he was a Researcher with the National Research Council of Italy, where he was involved in studies on microwave propagation. In 1987, he became an Associate Professor of microwave engineering with the Department of Electronic and Information Engineering, Politecnico di Milano. He has authored or coauthored over 80 papers and conference presentations. His current research is in the field of microwave circuits with special emphasis on microwave filters synthesis and power amplifier linearization.

982

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Tapan K. Sarkar (S’69–M’76–SM’81–F’92) received the B.Tech. degree from the Indian Institute of Technology, Kharagpur, India, in 1969, the M.Sc.E. degree from the University of New Brunswick, Fredericton, NB, Canada, in 1971, and the M.S. and Ph.D. degrees from Syracuse University, Syracuse, NY, in 1975. From 1975 to 1976, he was with the TACO Division, General Instruments Corporation. From 1976 to 1985, he was with the Rochester Institute of Technology, Rochester, NY. From 1977 to 1978, he was a Research Fellow with the Gordon McKay Laboratory, Harvard University, Cambridge, MA. He is currently a Professor with the Department of Electrical and Computer Engineering, Syracuse University. He has authored or coauthored over 300 journal papers and numerous conference papers, 32 chapters in books, and 15 books, including Iterative and Self Adaptive Finite-Elements in Electromagnetic Modeling (Artech House, 1998), Wavelet Applications in Electromagnetics and Signal Processing (Artech House, 2002), Smart Antennas (Wiley, 2003), and History of Wireless (Wiley, 2005). His current research interests deal with numerical solutions of operator equations arising in electromagnetics and signal processing with application to system design. He is on the Editorial Board of Digital Signal Processing—A Review Journal, the Journal of Electromagnetic Waves and Applications, and Microwave and Optical Technology Letters.

Dr. Sarkar is a Registered Professional Engineer in the State of New York. He was an associate editor for feature papers of the IEEE Antennas and Propagation Society Newsletter (1986–1988) and associate editor for the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY (1986–1989). He was chairman of the Inter-commission Working Group of International URSI on Time Domain Metrology (1990–1996). He was a Distinguished Lecturer for the IEEE Antennas and Propagation Society (IEEE AP-S) from (2000–2003). He was on the Board of Directors of Applied Computational Electromagnetics Society (ACES) (2000–2006) and was vice president of ACES). He is a member of the IEEE Electromagnetics Award Board and an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He is a member of Sigma Xi and the International Union of Radio Science Commissions A and B. He was the recipient of Docteur Honoris Causa from both the Universite Blaise Pascal, Clermont Ferrand, France (1998) and the Politechnic University of Madrid, Madrid, Spain (2004). He was the recipient of the medal of the Friend of the City of Clermont Ferrand, France (2000). He was the recipient of the College of Engineering Research Award (1996) and the Chancellor’s Citation for Excellence in Research (1998) at Syracuse University. He was the recipient of the “Best Solution” Award in May 1977 presented at the Rome Air Development Center (RADC) Spectral Estimation Workshop. He was also the recipient of the Best Paper Award of the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY presented at the 1979 and 1997 National Radar Conference.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

983

A 3-D Spectral-Element Time-Domain Method for Electromagnetic Simulation Joon-Ho Lee and Qing Huo Liu, Fellow, IEEE

Abstract—A spectral-element time-domain (SETD) method is proposed to solve 3-D transient electromagnetic problems based on Gauss–Lobatto–Legendre polynomials. It has the advantages of spectral accuracy and block-diagonal mass matrix. With the inexpensive inversion of the block-diagonal mass matrix, the proposed method requires only a trivial sparse matrix-vector product at each time step, thus significantly reducing CPU time and memory requirement. Galerkin’s method is used for spatial discretization, and a fourth-order Runge–Kutta scheme is employed for the time integration. The perfectly matched layer (PML) is employed to truncate the boundary in unbounded problems. The pseudospectral time-domain method is used to simplify the treatment of the PML inside the proposed SETD method. Numerical examples are shown to verify the efficiency and the spectral accuracy with the order of basis functions. Index Terms—Block-diagonal mass matrix, Galerkin’s method, Gauss–Lobatto–Legendre polynomials, perfectly matched layers (PMLs), pseudospectral time domain, Runge–Kutta, spectral-element time-domain (SETD) method.

I. INTRODUCTION IME-DOMAIN techniques such as the finite-difference time-domain method have been used widely to analyze transient electromagnetic fields. The finite-element time-domain (FETD) methods have also emerged as alternative techniques due to their superior versatility in modeling both complex structures and materials [1]–[4]. The FETD methods can be classified into two groups: one directly solves Maxwell’s equations and the other solves the second-order vector wave equation. In both approaches, edge basis functions are essential to avoid spurious solutions. In FETD methods, the inversion of a large sparse mass matrix is required, leading to high computation cost. Several mass lumping techniques have been proposed to reduce the computational cost required in the inversion [5]–[8]. Unfortunately, these mass lumping techniques only work for lower order basis functions and they sometimes generate a singular matrix [9]. Other recent progress has been made in the development of the pseudospectral time-domain method [10]–[15] and spectral discontinuous Galerkin methods [16], [17] to solve largescale broadband problems. These methods demonstrate a sig-

T

Manuscript received November 7, 2006; revised January 12, 2007. This work was supported by the National Institutes of Health under Grant 5R01CA102768-02 and by the Defense Advanced Research Projects Agency/Army Research Office under Multiuniversity Research Initiative Grant DAAD19-02-1-0252. The authors are with the Department of Electrical and Computer Engineering, Duke University, Durham NC 27708-0291 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895398

nificantly reduced spatial sampling density, as well as higher order accuracy. Using flux correction at the interfaces between adjacent elements, the pseudospectral time-domain method and spectral discontinuous Galerkin method effectively arrive at a block-diagonal mass matrix, reducing the computational burden of inversion. However, this requires an additional cost for the flux correction for each element at each time integration step. Other methods to improve time-domain simulation include the multiresolution time-domain method [18]. The spectral-element method, based on Gauss–Lobatto–Legendre polynomials, has the demonstrated advantages of highorder accuracy and a block-diagonal mass matrix, and is thus an efficient solver [19]–[22]. This method can be considered as a special class of the general finite-element method with a different choice of nodal points and quadrature integration points. Here, we develop a 3-D spectral-element time-domain (SETD) method based on first-order Maxwell’s equations to analyze transient electromagnetic fields. Galerkin’s method is used for spatial discretization, and a fourth-order Runge–Kutta scheme is employed for the time integration. Since the basis functions are orthogonal and the Gauss–Lobatto–Legendre quadrature is used, the mass matrix is block diagonal and can be easily inverted with little cost. Thus, only a trivial sparse matrix-vector product is required in each time step. The main differences between this method and the previous pseudospectral time-domain method are: 1) it does not requires boundary patching at the interface between adjacent elements and 2) it is based on the Galerkin method rather than the collocation method. This study is a 3-D time-domain extension of the spectral-element method in the frequency domain [20], [22] and the 2-D SETD method [23]. To account for open boundary problems, a well-posed perfectly matched layer (PML) is employed as an absorbing boundary condition [24]. We adopt the pseudospectral time-domain method to simplify the treatment of the PML inside the SETD method. Several numerical examples illustrate the efficiency of the proposed method. II. FORMULATION We consider the first-order Maxwell’s equations for the elecand magnetic field due to a free electric curtric field rent density in an inhomogeneous medium with relative permeability , relative permittivity , and conductivity . Inand troducing the normalized quantities , from Maxwell’s curl equations, we can derive

0018-9480/$25.00 © 2007 IEEE

(1)

984

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Using (3), we define the electric field in the reference element as

(7) where is the number of degrees of freedom in is a compound index. the reference element, and Similarly, the magnetic field can be defined by

Fig. 1. Locations of vector basis functions in the reference element. The second-order basis functions are shown here.

(8) (2) where denotes the speed of light in free space. The goal of this study is to develop an SETD method for an efficient solution of these normalized Maxwell’s equations. A. Vector Basis Functions To discretize Maxwell’s equations with spectral accuracy, we use Gauss–Lobatto–Legendre points, i.e., the roots of the derivative of the Gauss–Lobatto–Legendre polynomials. On a 3-D standard cubic reference element , we introduce vector basis functions (3) where or . The vector basis functions, as shown in Fig. 1, are represented by the tensor product of Gauss–Lobatto– Legendre polynomials (see [20] and [21] for more details). To satisfy the tangential continuity across the interfaces between elements, we employ the mapping of basis functions between the physical and reference domains by [19], [25]

where is also the vector basis function. In this paper, we the same as (3) so that the tangential magnetic choose field components are also continuous between interfaces. The relationship between the fields in the reference element and the physical fields is given by (9) Since the working variables in (7) and (8) are defined in the reference element, the physical (or true) fields must be recalculated via (9) after solving matrix equations. B. Galerkin’s Weighted Residual Formulation The Galerkin’s weighted residual method is applied to minimize the residual of (1) and (2) over the entire region as

(4) (5) (10) where and represent the basis functions in the physical and reference elements, respectively, , and is the Jacobian matrix defined as (11) (6)

We adopt the implementation of curved elements given in [20]; more discussions on curved elements can be found in [25].

and denote the total number of degrees of where freedom for the electric and magnetic fields, respectively, and is the unit outward normal vector. Assuming that the outer boundary is a perfect electric conductor (which holds when an unbounded region is truncated by a PML), then the boundary integral term in (11) will vanish.

LEE AND LIU: 3-D SETD METHOD FOR ELECTROMAGNETIC SIMULATION

Substituting the expanded electric field (7) and the magnetic field (8) into (10) and (11) and using (4) and (5), we have a discretized system of equations

(12) where and are the mass matrices, and are the stiffness matrices, and is the forcing vector. The elemental matrices are defined as

(13)

985

Note that the evaluation of an element of the mass matrix inoperations, where is cluding (20) requires only the number of nonorthogonal edges around a vertex due to the delta property of Gauss–Lobatto–Legendre polynomials. This fact leads the mass matrix to be block diagonal and, thus, it can be easily inverted with little cost. This block-diagonal mass matrix is a great advantage over the standard high-order finite-eloperations are needed to evalement method where uate the mass matrix. Similarly, the stiffness matrices in the SETD method are also sparser than those in a high-order FETD method. After all matrices in (12) have been obtained, the mass matrices can be inverted easily due to the block-diagonal property. Those inverted mass matrices are then moved to the right-hand side and multiplied by the stiffness matrices and the forcing vector, resulting in the following:

(14) (22) (15) (16) (17)

(18) The above elemental mass matrices contain the following integrals: (19) Since the component of becomes

can be

or , integration (19)

Note that in the conventional FETD methods without mass lumping, the mass matrix is sparse, but not block diagonal, thus the inversion of the mass matrix can be quite time consuming. In contrast, in this SETD method, the mass matrix is block diagonal. The resulting inverse mass matrices multiplied by the stiffness matrices will be still sparse because of the block-diagonal mass matrices. Therefore, only a trivial sparse matrix–vector product is required in each time step. In time-domain simulations with a high-order spatial discretization method, it is beneficial to use high-order time integration. We employed the fourth-order Runge–Kutta scheme for the time integration to get higher accuracy. C. PMLs and the Pseudospectral Time-Domain Implementation To truncate computational domains, the PMLs are often used as an absorbing boundary condition. We employ the strongly well-posed PML [24] based on the complex coordinate-stretching technique with the following PML equations:

(20) and is a compound where is a compound index of , which is deterindex of mined by the components , and the Jacobian matrix. To evaluate this integration, a numerical quadrature should be employed. In this study, the Gauss–Lobatto–Legendre points and the corresponding weights are used for the quadrature. Using this integration, we have

(21)

(23) (24) where, as derive in [24],

986

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

and

are the PML attenuation coefficients, and . Note that, except for the lower order terms, (23) and (24) are identical to the normalized Maxwell’s equations (1) and (2). The electric and magnetic fields in the reference element for the PML equations can be approximated in a similar manner as (7) and (8). Applying Galerkin’s method to (23) and (24), we then have

E

H

Fig. 2. Incident wave in a physical region truncated by the PML. ~ and ~ denote total fields and ~ and ~ denote scattered fields.

E

H

and at the interface between the physical region and PML. The resultant equations for Galerkin’s method are then expressed as

(25) (27)

To simplify the implementation, the rest of the PML equations are discretized by the pseudospectral time-domain method [11], [14]–[16] to arrive at

(28)

(26) Note that, in the above, we adopt the pseudospectral time-domain method to simplify the PML treatment inside the SETD and in (25) and (26) at method by collocating the nodal points. As a result, aside from these PML terms, all the other matrices are identical to the physical region, and no matrices are needed for the PML terms. This pseudospectral time-domain implementation greatly reduces the implementation complexity and reduces the CPU time and memory requirement.

where and are incident fields. Since is the same , except for the opposite direction of the normal unit as vector, the resultant surface integrals includes only incident fields. Some integrations, including the incident fields in (27) and (28), need to be calculated at each sub-time step, which is apparently expensive. To simplify the integrations, we expand the incident fields using the same basis functions as those used in the unknown variables so that the incident fields are only needed at nodal points. Finally, using (7)–(9) in (27) and (28) yields

D. Scattering Problems When an electromagnetic source is located outside the computational domain, we can use the total-field/scattered-field formulation to simulate electromagnetic fields scattered by objects residing in a physical region, as shown in Fig. 2. Since the incident wave exists only in the physical region, the total field is employed as the working variable in the physical region, while the scattered field is employed as the working variable in the PML

(29) where tively, gion, and

denote the PML and physical regions, respecand are the mass matrices of the physical reis the surface integral in (28) given by (30)

LEE AND LIU: 3-D SETD METHOD FOR ELECTROMAGNETIC SIMULATION

987

Fig. 3. Meshes for the homogeneous rectangular metallic cavity. E1 has one element, E2 has 2 2 2 elements, and E3 has 3 3 3 elements. E4, E5, . . ., E10 are also used in the analysis. (a) E1. (b) E2. (c) E3.

2 2

2 2

Fig. 5. Errors of the homogeneous cavity excited by TE method based on the second-order Maxwell’s equation.

mode by the SETD

Fig. 4. Errors of the homogeneous cavity excited by TE mode by the proposed SETD method. En means the number of elements divided by n n n, mode for E1 mesh. where n = 1; 2; . . . ; 10. E1’ is excited by TE

2 2

Combining (25), (26), and (29), we can then solve the scattering problem with the PML. III. NUMERICAL EXAMPLES AND DISCUSSIONS The SETD method based on the first-order Maxwell’s equations has been implemented for high-order basis functions. In all following examples, we use an AMD Opteron Processor 250. A. Initial Value Problems The first example is a homogeneous rectangular metallic cavity with dimensions of 2 cm 2 cm 2 cm. The cavity is mode whose corresponding wavenumber excited by the is 5.441398. We applied the proposed SETD method to the homogeneous cavity with the increasing order of basis functions (i.e., -refinement) and also increasing number of elements ps for (i.e., -refinement). All cases use the same ten periods, yielding 12 839 time steps. Fig. 3 shows different meshes used for the analysis. error of the electric domain up to We calculated the ten periods. The error versus sampling density [in terms of number of points per wavelength (PPWs)] for different meshes ( -refinement) is shown in Fig. 4 where we can observe exponential convergence for all cases. This figure also includes mode to show that the same sampling a result for the density can give better convergence when the element size is large in terms of wavelength. For the E1 mesh, achieving 0.1%

Fig. 6. Comparison of memory requirement and CPU time for the homogeneous cavity where case E2 is considered. “1st” and “2nd” denote the proposed SETD method based on the first- and second-order Maxwell’s equations, respectively.

mode requires a sampling density of accuracy for the 9.3 PPWs (ninth-order basis functions), while for the mode, it requires only 6.7 PPWs (14th-order basis functions). This indicates that the SETD method is highly efficient for large elements. We also applied the SETD method based on the second-order Maxwell’s equation [23] to the homogeneous cavity and calculated the errors as shown in Fig. 5. The errors of cases E1 and E2 by the SETD method based on the second-order Maxwell’s equation are exactly the same as those from the proposed SETD method, but the errors for cases E3–E10 are slightly greater than those from the proposed SETD method. We then compared the memory requirement and CPU time for case E2 by the two methods, as shown in Fig. 6. The ratio of memory requirement between the two methods is proportional to the order of basis functions; therefore, the proposed SETD method is much better than that based on the secondorder Maxwell’s equation for higher order basis functions. The main reason for this is that the stiffness matrices (16) and (17) in the proposed SETD method include only one curl operator, while the other one includes two curl operators, which makes the

988

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

TABLE I CPU TIME AND MEMORY REQUIREMENTS TO REACH AN ERROR SMALLER THAN 0.1% FOR THE HOMOGENEOUS CAVITY IN THE SETD METHODS FOR THE FIRST-ORDER (“1ST”) AND SECOND-ORDER (“2ND”) MAXWELL’S EQUATIONS, RESPECTIVELY

Fig. 8. Half-filled rectangular cavity with relative permittivity of 9. The size is 2 cm 1 cm 1 cm.

2

2

Fig. 9. Error convergence of the inhomogeneous cavity.

Fig. 7. Error convergence of the spherical metallic cavity.

stiffness matrix of the proposed method sparser than the other method. The maximum block size of the mass matrices for each case is 1 1 because of the orthogonal mesh in this particular case. From Fig. 6, we can also observe that the proposed SETD method requires much less CPU time than the other method. Although only case E2 is shown in this figure, the other cases give similar results. In Table I, we compared the CPU time and memory requirement between the two methods in order to reach better than 0.1% accuracy (engineering accuracy). From Table I, the proposed SETD method is superior to the SETD method based on the second-order Maxwell’s equation in all cases. Next, to examine curved structures, we consider a homogewith a radius neous spherical metallic cavity centered at of 1 cm. Higher geometrical orders have been used, as they are essential for curved structures to achieve overall spectral accuracy [20]. The sphere consists of seven curved elements where the eighth geometrical order is used. The cavity is excited by the mode whose corresponding wavenumber is 4.493422. We analyze this sphere model by increasing the order of basis ps for functions from 3 to 8. All cases use the same 0.466 ns or ten periods (or 2333 time steps). The error convergence is shown in Fig. 7, where it is observed that the error decreases exponentially with the order. The maximum block size

of the mass matrices is 4 4. To reach 0.1% accuracy, or a sampling density of 12.54 PPWs with 16 544 unknowns are required. The used memory and CPU time are 11.8 MB and 93 s, respectively. To investigate effects caused by material discontinuities, we considered a half-filled 2 cm 1 cm 1 cm rectangular metallic extending cavity (see Fig. 8) with a dielectric material of cm to cm. This model is excited by two sets from of initial conditions, i.e., the 21st and 38th modes, with the corresponding wavenumbers 2.935948 and 3.514549, respectively. The proposed SETD method is applied to this model with two trilinear hexahedron elements; the order of basis functions increases from 7 to 12. Fig. 9 shows the error convergence versus the order of basis functions. While both error curves decrease exponentially, the accuracy of the 38th mode is better than that of the 21st mode at the same sampling density because higher order basis functions have been used, as mentioned in the first example. To reach 0.1% accuracy for the 21st mode, 17520 unknowns, 6.9 MB, and 60 s of CPU time are required. For the 38th mode, 9800 unknowns, 3.4 MB, and 44 s of CPU time are required to reach the same accuracy. To show the efficiency of the proposed SETD method over other numerical methods, we compare the CPU time and memory requirement with those by the conventional FETD method for the inhomogeneous cavity. It is excited by the 105th mode whose corresponding wavenumber is 4.912272 with two periods. Table II summarizes the cost by the two methods, where it is observed that the proposed method is much more efficient than the FETD method, although both codes are not optimized.

LEE AND LIU: 3-D SETD METHOD FOR ELECTROMAGNETIC SIMULATION

989

TABLE II CPU TIME AND MEMORY REQUIREMENTS TO ACHIEVE 1% ACCURACY FOR THE INHOMOGENEOUS CAVITY IN THE SETD AND FETD METHODS

Fig. 11. Error convergence by the SETD method with PML for the homogeneous scattering problem. TABLE III COST OF THE HOMOGENEOUS SCATTERING PROBLEM

Fig. 10. Calculated electric field component E at (1:1; 1:1; 1:1) by the tenthorder basis functions and its error of the homogeneous scattering.

B. Scattering Problems With the PML Truncation In the following three examples, an incident wave with (31) and are the unit vector and magnitude is used, where is the first derivative of the electric field, respectively, of the Blackman–Harris window function, and are the wavenumber and the unit vector of propagation direction, respectively, and is the position vector. We choose from incidence angles and , and . The PML attenuation coefficients or are quadratic with a maximum value of , where is the central frequency of the Blackman–Harris window function. First, to validate the proposed SETD method with the PML truncation, we applied it to a scattering problem from a homogeneous medium. The size of the medium is 1 cm 1 cm 1 cm, GHz, and the thickness of PML the central frequency is 1 cm (the same as the wavelength at ). Therefore, the size of computational domain becomes 3 cm 3 cm 3 cm. This model is divided into 27 elements and its lower corner is . The time step increment is ps, located at which yields 2778 time steps. The maximum block size of the mass matrices is 1 1 due to the orthogonal mesh. The model is analyzed by increasing the order of basis functions from 3 to 10. Fig. 10 shows the calculated electric field where it is observed that those fields matched excellently with the analytic solutions. The error convergence with increasing sampling density is shown in Fig. 11. The costs for analyzing this model are summarized in Table III where we can see that only 5.31 PPWs are needed to achieve 0.1% accuracy.

Next, we considered the scattering from a dielectric cube with a side length of 1 cm. This dielectric cube is enclosed by free space. The thickness of both the free space and PML is 2 cm. Thus, the model size becomes 9 cm 9 cm 9 cm. This model is divided into 729 orthogonal hexahedron elements and . The central frequency of its lower corner is located at the incident field is 6 GHz. The time-step increment is ps, which yields 4395 time steps. The model is analyzed by increasing the order of basis functions from 2 to 8. Since this inhomogeneous model has no analytic solution, we defined the error by using a reference result obtained by a higher order basis function at a set of uniform points in the region. In this case, the reference is obtained by tenth-order basis functions at 125 points. , which Fig. 12 shows the electric field at is inside the dielectric. From this figure, we observe that the calculated fields matched well with the reference results. The error convergence with increasing minimum sampling density is shown in Fig. 13 where we can observe the error decreases exponentially. To reach 0.1% accuracy, sixth-order basis functions (a sampling density of 6.3 PPWs), 511 MB of memory, and 6096 s of CPU time are required. Finally, we analyzed the scattering of the dielectric sphere shown in Fig. 14. The sphere consists of seven with curved elements modeled by the tenth-order geometrical representation. The computational domain is 11 cm 11 cm 11 cm and is divided into 349 elements. Its lower corner is located at . The central frequency of the incident field is 6 GHz; the time-step increment is ps, which yields 11 133

990

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 12. Calculated electric field component E by the eighth-order basis functions and its error for the scattering from a dielectric cube. The observation point is at (4:25; 4:25; 4:25).

Fig. 15. Calculated magnetic field component H by the ninth-order basis functions and its error for the scattering from a dielectric sphere. The observation point is at (5:3625; 5:3625; 5:3625).

Fig. 13. Error convergence of the scattering from a dielectric cube.

Fig. 16. Error convergence of the scattering from a dielectric sphere.

eighth-order basis functions (a sampling density of 6.8 PPWs), 764 MB of memory, and 24947 s of CPU time are required. IV. CONCLUSION

Fig. 14. Geometry of the scattering from a dielectric sphere with  = 4. The physical region has a dimension of 7 cm 7 cm 7 cm and consists of a sphere with radius of 3=2 cm and free space. The thickness of the PML is 2 cm.

p

2

2

time steps. The maximum block size of the mass matrices is 7 7. The model is analyzed with the order of basis functions from 2 to 9, and the results obtained by the tenth-order basis functions are used as the reference. Fig. 15 shows the magnetic field located inside the dielectric sphere. From this figure, we observe that the results match well with the reference results. The error convergence versus the sampling density is shown in Fig. 16, where we can observe that the error decreases exponentially. To reach 0.1% accuracy, the

We have presented a 3-D SETD method to solve for transient electromagnetic fields. The block-diagonal mass matrices, formed due to the orthogonality of the basis function and Gauss–Lobatto–Legendre quadrature, significantly reduce the computational cost of the inversion. The PML is employed to account for open boundary problems. The pseudospectral time-domain method is used to simplify the PML treatment inside the proposed method. From the homogeneous cavity, it is demonstrated that the proposed SETD method based on the first-order equations is superior to that based on the second-order Maxwell’s equation in terms of computational costs. All of the numerical examples demonstrate that the proposed method gives an exponential accuracy with the order of basis functions. It is also confirmed that curved structures and inhomogeneous materials can be easily taken into account. Thanks to its feature of the block-diagonal mass matrices, the proposed SETD method can be an alternative tool for large-scale problems. Future work will report on large-scale simulation of realistic problems.

LEE AND LIU: 3-D SETD METHOD FOR ELECTROMAGNETIC SIMULATION

ACKNOWLEDGMENT The authors thank Prof. G. Cohen, INRIA, Le Chesnay, France, for useful discussions, and Y. Liu and J. Stang, both with Duke University, Durham, NC, for providing the FETD code for Table II, and for editing this paper’s manuscript, respectively. REFERENCES [1] J.-F. Lee and Z. Sacks, “Whitney elements time domain (WETD) methods,” IEEE Trans. Magn., vol. 31, no. 5, pp. 1325–1329, May 1995. [2] D. Jiao and J.-M. Jin, “A general approach for the stability analysis of the time-domain finite-element method for electromagnetic simulations,” IEEE Trans. Antennas Propag., vol. 50, no. 11, pp. 1624–1632, Nov. 2002. [3] A. Monorchio, E. Martini, G. Manara, and G. Pelosi, “A dispersion analysis for the finite-element method in time domain with triangular edge elements,” IEEE Antennas Wireless Propag. Lett., vol. 1, pp. 207–210, 2002. [4] L. E. R. Petersson and J.-M. Jin, “A three-dimensional time-domain finite-element formulation for periodic structures,” IEEE Trans. Antennas Propag., vol. 54, no. 1, pp. 12–19, Jan. 2006. [5] M. R. Zunoubi, K. C. Donepudi, J.-M. Jin, and W. Chew, “Efficient time-domain and frequency-domain finite-element solution of Maxwell’s equations using spectral Lanczos decomposition method,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 8, pp. 1141–1149, Aug. 1998. [6] D. A. White, “Orthogonal vector basis functions for time domain finite element solution of the vector wave equation,” IEEE Trans. Magn., vol. 35, no. 3, pp. 1458–1461, May 1999. [7] S. Benhassine, W. P. Carpes, and L. Pichon, “Comparison of mass lumping techniques for solving the 3-D Maxwell’s equations in the time domain,” IEEE Trans. Magn., vol. 36, no. 4, pp. 1548–1552, Jul. 2000. [8] R. Lee, “A note on mass lumping in the finite element time domain method,” IEEE Trans. Antennas Propag., vol. 54, no. 2, pp. 760–762, Feb. 2006. [9] J.-F. Lee, R. Lee, and A. Cangellaris, “Time-domain finite-element methods,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 430–442, Mar. 1997. [10] Q. H. Liu, “The PSTD algorithm: A time-domain method requiring only two cells per wavelength,” Microw. Opt. Technol. Lett., vol. 15, no. 3, pp. 158–165, Jun. 1997. [11] B. Yang, D. Gottlieb, and J. S. Hesthaven, “Spectral simulations of electromagnetic wave scattering,” J. Comput. Phys., vol. 134, pp. 216–230, 1997. [12] Q. H. Liu, “Large-scale simulations of electromagnetic and acoustic measurements using the pseudospectral time-domain (PSTD) algorithm,” IEEE Trans. Geosci. Remote Sens., vol. 37, no. 2, pp. 917–926, Mar. 1999. [13] L. S. Mulholland and D. M. Sloan, “The effect of filtering on the pseudospectral solution of evolutionary partial differential equations,” J. Comput. Phys., vol. 96, pp. 369–390, Oct. 1991. [14] G. Zhao, Y. Q. Zeng, and Q. H. Liu, “The 3-D multidomain pseudospectral time-domain method for wideband simulation,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 5, pp. 184–186, May 2003. [15] G. Zhao and Q. H. Liu, “The 3-D multidomain pseudospectral timedomain method for inhomogeneous conductive media,” IEEE Trans. Antennas Propag., vol. 52, no. 3, pp. 742–749, Mar. 2004. [16] J. S. Hesthaven and T. C. Warburton, “Nodal high-order methods on unstructured grids—1. Time-domain solution of Maxwell’s equations,” J. Comput. Phys., vol. 181, pp. 186–221, Sep. 2002. [17] T. Xiao and Q. H. Liu, “Three-dimensional unstructured-grid discontinuous Galerkin method for Maxwell’s equations with well-posed perfectly matched layer,” Microw. Opt. Technol. Lett., vol. 46, no. 5, pp. 459–463, Sep. 2005.

991

[18] M. Krumpholz and L. P. B. Katehi, “MRTD: New time-domain schemes based on multiresolution analysis,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 4, pp. 555–571, Apr. 1996. [19] G. C. Cohen, Higher-Order Numerical Methods for Transient Wave Equations. Berlin, Germany: Springer-Verlag, 2001. [20] J.-H. Lee and Q. H. Liu, “An efficient 3-D spectral element method for Schrödinger equation in nanodevice simulation,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 24, no. 12, pp. 1848–1858, Dec. 2005. [21] S. Pernet, X. Ferriers, and G. Cohen, “High spatial order finite element method to solve Maxwell’s equations in time domain,” IEEE Trans. Antennas Propag., vol. 53, no. 9, pp. 2889–2899, Sep. 2005. [22] J.-H. Lee, T. Xiao, and Q. H. Liu, “A 3-D spectral-element method using mixed-order curl conforming vector basis functions for electromagnetic fields,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 437–444, Jan. 2006. [23] Y. Liu, J.-H. Lee, T. Xiao, and Q. H. Liu, “A spectral element timedomain solution of Maxwell’s equations,” Microw. Opt. Technol. Lett., vol. 48, no. 4, pp. 673–680, Apr. 2006. [24] G.-X. Fan and Q. H. Liu, “A strongly well-posed PML in lossy media,” IEEE Antennas Wireless Propag. Lett., vol. 2, pp. 97–100, 2003. [25] A. F. Peterson, S. L. Ray, and R. Mittra, Computational Methods for Electromagnetics. Piscataway, NJ: IEEE Press, 1997.

Joon-Ho Lee received the Ph.D. degree in electrical engineering from Dankook University, Seoul, Korea, in 1999. From January 2000 to February 2002,, he was a Post-Doctoral Research Associate with the School of Information and Communication Engineering, Sungkyunkwan University, Suwon, Korea, and from March 2002 to October 2003, he was a Research Assistant Professor. From November 2003 to September 2006, he was a Post-Doctoral Research Associate with the Department of Electrical and Computer Engineering, Duke University, Durham, NC. He is currently a Research Scientist with the Department of Electrical and Computer Engineering, Duke University. His research interests include computational electromagnetics, optimal design of electromagnetic devices, and electromechanical coupled system.

Qing Huo Liu (S’88–M’89–SM’94–F’05) received the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign, in 1989. From September 1986 to December 1988, he was a Research Assistant with the Electromagnetics Laboratory, University of Illinois at Urbana-Champaign, and from January 1989 to February 1990, he was a Post-Doctoral Research Associate. From 1990 to 1995, he was a Research Scientist and Program Leader with Schlumberger-Doll Research, Ridgefield, CT. From 1996 to May 1999, he was an Associate Professor with New Mexico State University. Since June 1999 he has been with Duke University, Durham, NC, where he is currently a Professor with the Department of Electrical and Computer Engineering. He has authored or coauthored over 350 papers in refereed journals and conference proceedings. He is an Associate Editor for Radio Science. His research interests include computational electromagnetics and acoustics, inverse problems, geophysical subsurface sensing, biomedical imaging, electronic packaging, and the simulation of photonic devices and nanodevices. Dr. Liu is a Fellow of the Acoustical Society of America. He is a member of Phi Kappa Phi, Tau Beta Pi. He is a full member of the U.S. National Committee, URSI Commissions B and F. He is currently an associate editor for the IEEE TRANSACTIONS ON GEOSCIENCE AND REMOTE SENSING. He was the recipient of the 1996 Presidential Early Career Award for Scientists and Engineers (PECASE) presented by the White House, the 1996 Early Career Research Award presented by the Environmental Protection Agency, and the 1997 CAREER Award presented by the National Science Foundation (NSF).

992

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Modeling and Fabrication of CMOS Surface Acoustic Wave Resonators Anis Nurashikin Nordin, Student Member, IEEE, and Mona E. Zaghloul, Fellow, IEEE

Abstract—A fully integrated two-port surface acoustic wave (SAW) resonator, fabricated using a standard 0.6- m complementary metal–oxide semiconductor (CMOS) process is described in this paper. Only three micromachining processes, namely, reactive ion etching, zinc–oxide deposition, and wet etching, implemented subsequent to the standard process, are required to realize these resonators. Three design examples of these resonators are given to demonstrate the characteristics of these resonators at different operating frequencies. Experimental measurements of the 21 transmission characteristics were conducted on the fabricated resonators and they were found to have parallel resonant frequencies of 1.02 GHz, 941 MHz, and 605 MHz and quality ( ) factors of 44, 86, and 285, respectively. Based on these measurements and the fabrication layers of the device, an equivalent-circuit model tailored specifically for standard CMOS two-port resonators was developed. Finite-element modeling of the SAW resonators was performed to verify the measured series resonant frequency. Comparison between the developed model and measurement characteristics was also presented. Improvement in factor was observed when reflector height was increased. Index Terms—Complementary metal–oxide semiconductor (CMOS), equivalent circuit, micromachining, piezoelectric resonator, surface acoustic wave (SAW) resonator.

I. INTRODUCTION URFACE acoustic wave (SAW) devices, resonators in particular, have enjoyed commercial success since the 1960s, having a wide variety of applications ranging from temperature or chemical sensors to oscillators in wireless systems [1]–[5]. Its popularity and common usage with integrated circuits has created increasing demand for low-cost miniature CMOS compatible SAW resonators, which are currently implemented as bulky off-chip components. SAW devices are preferred over film bulk acoustic wave resonators since they are easily fabricated, requiring one, or at the maximum, two, deposition steps [6]. Earlier efforts have been done to integrate SAW devices on Si substrates [7], [8] and on GaAs [9], illustrating the advantages of integration of SAW devices. All previous implementations [7]–[9] did not utilize the standard CMOS process to implement the resonator’s interdigital fingers. The resonator fingers were implemented using separate deposition steps, which are expensive to fabricate when very thin precise interdigital fingers are required to achieve very high resonant frequencies.

S

Manuscript received June 28, 2006; revised October 30, 2006 and January 28, 2007. This work was supported in part by the National Science Foundation under Grant 0225431. The authors are with the Department of Electrical and Computer Engineering, George Washington University, Washington, DC 20052 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895408

This paper presents the design, fabrication, equivalent-circuit model, and characterization measurements of three two-port resonators implemented using standard CMOS. The measurement of a one-port resonator was first reported in [10]. The key design factors that affect the resonant frequency and quality ( ) factor are highlighted in Section II. The fabrication sequence of implementing the SAW resonators in CMOS with three additional post-processing steps are illustrated in detail in Section III. The fabricated resonators were measured to and characteristics. To verify the resonant obtain its frequency of the device, finite-element modeling of the device was done using CoventorWare. Based on the measurement results and the fabrication layers of the CMOS resonators, an equivalent-circuit model for two-port CMOS SAW resonators was developed. In Section V, simulations using the developed equivalent-circuit model were compared with the experimental measurements of the fabricated device. Our implementation of realizing SAW resonators in CMOS is fairly simple and low cost. It has the advantage of eliminating one deposition step since standard CMOS is used to implement the transducers’ structures. The precision of the standard 0.6- m CMOS technology enables us to manufacture very well-defined metal features to implement the transducers, with minimum widths of 0.9 m, resulting in very high-frequency resonators of 1.02 GHz. Fabrication using commercial CMOS foundries also has the added benefit of future monolithic integration with standard CMOS circuits, allowing possible large-scale integration for sensor, communication, and wireless applications. II. RESONATOR DESIGN A. Resonator Structure Fig. 1 shows the structure of a two-port CMOS SAW resonator that consists of input and output interdigital transducers (IDTs), which are flanked by a bank of shorted reflectors on each side. Shorted reflectors have been shown to have less spurious effects compared to unconnected reflectors [5] and have been used in all our designs. When a sinusoidal signal is injected at the input port, acoustic wave propagating in both directions is generated in the piezoelectric zinc oxide layer. This acoustic wave is detected and translated back into an electrical signal at the output port. The reflectors minimize losses by containing the acoustic waves within the cavity, creating standing waves or resonance. Fig. 2(a) illustrates the cross section of the CMOS resonator implemented for designs 1 and 2. This implementation utilized two CMOS metal layers, namely, Metal 1 for the ground shield and Metal 2 for the reflectors and transducers. In an effort to

0018-9480/$25.00 © 2007 IEEE

NORDIN AND ZAGHLOUL: MODELING AND FABRICATION OF CMOS SAW RESONATORS

993

Fig. 1. Implementation of a two-port SAW resonator structure in CMOS. For clarity, the piezoelectric ZnO layer is not shown. The IDTs and reflectors are implemented using CMOS Metal 2. The ground shield is implemented using Metal 1. Fig. 3. Key design parameters and equations for a two-port resonator. TABLE I CMOS SAW TWO-PORT RESONATOR DESIGN PARAMETERS

Fig. 2. (a) Cross section of CMOS SAW resonator designs 1 and 2 utilizing two CMOS metal layers. The ground shield was implemented using Metal 1 and both the reflectors and transducers were implemented using Metal 2. (b) Cross section of CMOS SAW resonator design 3 utilizing three CMOS metal layers. The ground shield was implemented using Metal 1 and both the reflectors and transducers were implemented using Metal 2. Additional acoustic wave containment was provided by an extra layer of reflectors, implemented using Metal 3.

improve the factor of the device, the third resonator utilized all three available metals in the CMOS 0.6- m technology. For this implementation, as shown in Fig. 2(b) both Metal 2 and Metal 3 were used as reflectors to contain the acoustic waves propagating above the transducer. B. Resonator Design The schematic of a two-port resonator, its important design parameters, and equations are shown in Fig. 3. For preliminary design, the acoustic wave velocity was assumed to be 3600 m/s based on [7]. The highest resonant frequency that can be designed for the CMOS 0.6- m technology was 1 GHz and was

done using the minimum Metal 2 feature size of 0.9 m for . The periodic spacing of the the interdigital finger width m and is shown in Table I IDTs is then calculated as as Resonator 1. It is assumed that the acoustic wave will penetrate the reflector array for a length of , at which point the is the effective penetrawave will be totally reflected [5]. tion length. Table I illustrates the design parameters of the three implemented resonators. The designs varied to verify the relationship between and . The designs also varied the number of reflectors ( ) to see its effect with . III. RESONATOR POST-CMOS PROCESSING The SAW resonator fabrication can be described using a three-step post-CMOS micromachining process. In this study, a 0.6- m American Microsystems Incorporated (AMI) CMOS three-metal two-poly process was used. Standard CMOS layers were used to implement the resonator’s IDTs, reflectors, and ground shield, which were implemented using Metal 1 and Metal 2 CMOS, respectively. The ground shield is crucial for elimination of electromagnetic feed-through and isolation from substrate noise [7]. For future integration with circuits, all post-processing steps were carefully selected such that no process will require temperatures greater than 400 C to ensure compatibility with the integrated circuitry. Circuits can be implemented using Metal 1 and Metal 2 with Metal 3 as protection against the adverse effects of the post-processing.

994

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 4. Resonator’s IDTs and reflectors after RIE.

A. Reactive Ion Etching (RIE) The first post-processing step requires releasing the SAW electrodes from the insulating SiO layer. It was reported in [11] that RIE can be used to remove oxide between IDT fingers. A timed RIE process was used to etch the 2.5- m-thick oxide layer. The calculated etch rate was 0.2174 m/min, which was sufficient to remove all the oxide surrounding the metal electrodes. A contact test was performed to ensure complete release of the metal electrodes. Fig. 4 shows the complete removal of SiO from the interdigital fingers and reflectors.

Fig. 5. X-ray diffraction 2 scan of CMOS resonator chip. Height of ZnO (002) is 2.9 c/s.

B. Zinc–Oxide Deposition and Characterization Zinc oxide was chosen as the piezoelectric material due to its superior acoustic propagation and transduction compared to other integrated-circuit compatible piezoelectric layers such as AlN [7]. The optimum ZnO thickness was obtained by calcu, where and is the thickness of lating has to be in the range of zinc oxide. to ensure maximum piezoelectric coupling. For our designs of m m, the optimum thickness of zinc oxide was calculated to be 2.4 m. Zinc–oxide deposition was performed using RF magnetron sputtering, where the 2.4- m-thick ZnO layer was deposited at a rate of 0.297 m/h over the entire die area. Sputtered ZnO films are preferred since these layers are highly oriented and dense [12]. The temperature of the sample was kept below 400 C to ensure compatibility with the integrated circuitry. The sputtering was conducted with a 50/50 gas flow mixture of Ar/O with power of 150 W. To evaluate the quality and determine the crystal orientascan was tion of the deposited ZnO, an X-ray diffraction performed on the ZnO deposited on resonator samples to determine its crystal orientation. Highly oriented ZnO films produce planes and have been high reflection intensity from the reported to have good piezoelectric coupling coefficient [12]. Fig. 5 illustrates the results of the X-ray diffraction test performed on the 0.6- m CMOS SAW resonator sample. The reflection intensity of each phase is measured in log scale of counts per second. Fig. 5 indicates that the ZnO crystals are since the highest peak occurs at 34.2 with 2.9 oriented at counts per second (c/s). The Al layer has its peak at where . The surface morphology of the zinc–oxide layer was also investigated using atomic force microscopy, where the root mean-square roughness of the layer was measured to be 0.05 m.

Fig. 6. SEM and microscope image of CMOS SAW Resonator 2. (a) Etched edge of ZnO. (b) Resonator after ZnO etching. (c) Acid wet-etch process where resonator is masked using photoresist.

C. Zinc–Oxide Etching The ZnO covered die was wet etched to enable access to the resonator’s pads for probing [10]. The resonator area was masked using standard lithography processes employing 1.8- m-thick liquid photoresist. The small size 1.5 mm) of the samples creates a tendency of (1.5 mm photoresist buildup at its edges. To solve this buildup problem, the small die is first glued at the far edge of a large circular wafer before photoresist spinning. The die and wafer are spun at 4000 r/min; a method that produces uniform photoresist throughout the sample. The sample is then patterned by placing the sample in a mask aligner and exposed to ultraviolet light for 18 s. The mask is a set of three squares, covering only the resonators. The sample is then placed in developer solution for 60 s to develop the pattern. The cross section of the die with the resonator mask is illustrated in Fig. 6(c). To etch the zinc oxide, an etching solution that does not adversely affect the piezoelectric properties of ZnO is required. C H O H O solution have been reported to The H PO produce very steep etch slopes in [13]. This etching solution with concentrations 1 : 1 : 80 was used to etch the sample for 158 s. The calculated etch rate was 1.4 m/min. The SEM image of the etched ZnO shown in Fig. 6(a) and (b) illustrates very well-defined etched edges of the piezoelectric ZnO layer.

NORDIN AND ZAGHLOUL: MODELING AND FABRICATION OF CMOS SAW RESONATORS

995

is formed between the input/output Another capacitor port and the ground shield. is described does not exist between and the ground shield in (2). since, in our design, there exists a via or connection between and the ground shield. It is assumed that the resistance is the impedance of the network analyzer or at the input 50 (2) As shown in Fig. 7(b) when a sinusoidal signal is applied to the input port, propagating acoustic waves will be generated in the piezoelectric layer above the interdigital fingers. The inciin the output port. dent acoustic waves will induce current is known as the admittance . The real part The ratio of the admittance is known as radiation conductance, which represents electrical-to-acoustic energy conversion. The radiation at resonant frequency is approximated conductance in (3) as follows: (3)

Fig. 7. (a) Cross section of CMOS fabrication layers and equivalent-circuit model of the two-port acoustic wave resonator. (b) Top view and schematic of the acoustic wave resonator.

IV. RESONATOR MODELING AND SIMULATION A. Equivalent-Circuit Model Based on the fabrication layers of the device and the equations derived by Morgan [14], van Zeijl [15] and Datta [5], an equivalent-circuit model tailored specifically for standard CMOS twoport resonator was developed. The equivalent-circuit model for the resonator can be divided into two parts, namely, the acoustic and parasitic components. The acoustic component describes the propagation of the acoustic wave within the cavity. The acoustic waves, generated by the interdigital fingers, propagate in the piezoelectric layer. This acoustic component can be described using electric circuit , , , and . elements, namely, , and exist The parasitic component consisting of , due to the structure and layers of the resonator. As shown in Fig. 7(a), the interdigital fingers form an electrostatic capacitor , described in (1). is proportional to the width of the . Since the aperture ( ) and the number of transducer pairs capacitive plates of the IDTs are placed within the piezoelectric is the effective material, the relevant permittivity constant pF/m [15] dielectric permittivity of zinc oxide

(1)

The piezoelectric coupling coefficient was obtained from [7] where the phase velocity ( ) and have been calcuSi structure lated and graphed for the multilayer ZnO SiO has values ranging from 0 to with varying ZnO thicknesses. . 0.032. For this design, The interdigital fingers are placed within a cavity formed by the reflectors. The reflectors contain the acoustic waves within the cavity, reducing the losses of the acoustic waves propagating outwards. To describe the effect of these reflectors, the reflectivity ( ) of a single strip was calculated. The expression used to describe the reflectivity in an array of short-circuited reflectors was provided by Datta and Hunsinger in [16] and is shown in (4). The variables used in (4) were defined as follows, where , and the Legendre polynomials were calculated as and . For this design, the metallization ratio was set to 0.5. The array reflectivity is described using (5) and is a function of both and the number of reflectors (4) (5) All the equations above were coded into MATLAB to deter. For Resonator 1, was mine the device’s array reflectivity given m, calculated to be 0.01511 and , and . Since , the acoustic wave , where it is will penetrate the reflector array until a point assumed that the wave is totally reflected [5]. The effective penwas calculated using (6). For Resonator 1, etration length m, (6) Due to the effect of the reflectors, the radiation conductance of the IDTs ( ) is increased by a factor related to the array

996

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

TABLE II CMOS SAW TWO-PORT RESONATOR DESIGN RLC

reflectivity and (half of the effective cavity length), shown in (7) and (8), as follows:

TABLE III CMOS SAW RESONATOR FABRICATION LAYERS

(7) (8) and The acoustic component electric circuit elements were derived based on the transmission line model provided by van Zeijl [15] and Datta and Husinger [16]. Near , the device acts as a resonator, which can be modeled as an LC tank ciris inversely proportional to the cuit. The acoustic resistance radiation conductance of the resonators ( ) and is described in (9). The inductance described in (10) is related to the total , , , , and the acoustic effective cavity length velocity ( ). Since the device is a resonator, the acoustic cais a function of , as described in (11). Due pacitance to the proximity of the input and output port, a feedthrough exists and determines the parallel resonance capacitance . can be calculated using (12) where is the frequency thickness of Metal 2 and was defined as 1.6 m.1 The factor of the resonator is a function of the total efand array reflectivity , as fective cavity length shown in (13). The equivalent-circuit model parameters can be calculated based on the values in Table I and is summarized in Table II. The resonators were designed to maximize without occupying too much area. Based on (4) and (5), array reflectivity close to 1 can be achieved by having many reflectors at the expense of consuming a large area. Resonator 2 with has the maximum and, correspondingly, the highest . Equations (9)–(13) were derived as follows: (9) (10) (11) (12) (13) B. Finite-Element Simulation The resonant frequency of the device was verified using CoventorWare’s 3-D finite-element method analysis. The device was modeled as an electromechanical system where the solver was used to compute mechanical displacement in the piezoelectric layer due to an electrical excitation. The resonant 1[Online]. Available: http://www.amis.com/tech_resources/Product_Library. html

Fig. 8. Harmonic analysis of CMOS SAW Resonator 1 with  = 3:6 m using CoventorWare. For this device, the resonant frequency was at 1.13 GHz.

frequency of the device occurs when there is maximum displacement of the piezoelectric layer in all directions. To model the composite resonator structure, a seven-step fabrication process describing CMOS and its microelectromechanical systems (MEMS) post-processing was utilized and is shown in Table III. Layers 0–4 describes the resonator’s layers implemented using CMOS, while layers 5 and 6 describe the RIE and RF sputtering of the ZnO layer, respectively. The piezoelectric strain matrix coefficients used for the ZnO were , , , , and .2 The device’s resonant frequency can be determined using harmonic analysis in CoventorWare. Harmonic analysis provides the structure’s response to a continuous harmonic excitation. For this device, harmonic excitation was applied as a sinusoidal waveform of 3-V amplitude with varying frequencies at the resonator’s IDTs. Due to the piezoelectric properties of the zinc–oxide layer, the induced electrical excitation will produce mechanical displacement, which, in turn, generates the SAW in the ZnO layer. The series resonant frequency is defined as the frequency at which maximum displacement occurs. 2[Online].

Available: http://www.coventor.com

NORDIN AND ZAGHLOUL: MODELING AND FABRICATION OF CMOS SAW RESONATORS

997

Fig. 9. Microscope image of whole chip consisting of three resonators and thru calibration structure.

Fig. 10. (a) Experimental setup: device-under-test measured using coplanar G–S–G probes connected to the HP8712 (300 kHz–1.3 GHz). (b) Snapshot of measurement arrangement.

As shown in Fig. 8, the maximum displacement in all directions occurs at 1.13 GHz for Resonator 1 with m. This can be compared to its design series resonant frequency of 1.0322 GHz, as shown in Table II. The propagating acoustic wave in the piezoelectric layer has a maximum displacement of 0.004 m. Similar simulations conducted on Resonator 2 and Resonator 3 resulted in series resonant frequencies of 0.93 and 0.59 GHz, respectively.

Fig. 11. Magnitude and phase S measurement results of three resonators. (a) Resonator 1: f = 1:03275 GHz, f = 1:022 GHz, Q = 33:314, = 44:43. (b) Resonator 2: f = 930:938 MHz, f = 940:938 MHz, Q = 46:52, Q = 86:03. (c) Resonator 3: f = 602:562 MHz, f = Q 605:875 MHz, Q = 60:56, Q = 284:98.

V. EXPERIMENTAL MEASUREMENTS AND DISCUSSION

design to improve measurement accuracy. Both Metal 2 and Metal 1 in CMOS was used to implement the thru structure. To avoid the probes crashing and damaging one another, the distance between the two probes was set to be 200 m.3 To obtain and measurements, each resonator was probed using two coplanar ground–signal–ground (G–S–G)

The designed chip consisting of three resonators is shown in Fig. 9. A thru calibration structure was also included in the

3[Online]. Available: http://www.cmicro.com/index.cfm/fuseaction/pg.view/ pID/933

998

Fig. 12.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

S

measurement results of three resonators. (a) Resonator 1. (b) Resonator 2. (c) Resonator 3.

probes in the Cascade Microtech 9500 Parametric Probe Station connected to the HP8712 network analyzer. The coplanar probes were connected to the network analyzer using cables, connectors. The experimental subminiature A (SMA), and setup is shown in Fig. 10.

TABLE IV EXTRACTED SAW VELOCITIES AT DIFFERENT 

A. Analysis of Measurement Results The measurements provide the insertion loss (IL), se, and parallel resonant frequency ries resonant frequency . The transmission magnitude and phase measurements, as well as reflection measurements of Resonators 1–3 are shown in Figs. 11 and 12, respectively. The performance of the resonators is highly dependent both on the structure and design parameters of the resonator. Resonator 3, shown in Fig. 11(c), exhibited the best transfactor mission characteristics, having the highest parallel of 284.98. The high- factor of this resonator is due to its increased reflector thickness ( ), where an additional layer Metal 3 for the reflector was implemented, as shown in Fig. 2(b). since most of the energy The main objective is to have of the propagating acoustic wave is within thickness of the m and piezoelectric layer [14]. For our design, is between 3.6–6 m. With reference to Fig. 2(a), the first layer of the reflector structure was constructed using Metal 2 and SiO , and has a total height of 1.9 m, which is insufficient to reflect all the propagating acoustic waves. Stacking an additional Metal 3 layer of 1.6 m with an additional 0.3- m layer m, as shown in Fig. 2(b). is of SiO resulted in and , and is sufficient to reflect now greater than both all the energy of the propagating acoustic wave. This resulted in suppression of harmonics, having a single parallel and series resonant frequency, as shown in Fig. 11(c). Resonator 1, shown in Fig. 11(a), exhibits transmission charand acteristics that are not well defined, having very low of 33.32 and 44.43, respectively. The low- can be attributed to both the insufficient height of the reflectors, as well as the design. The distance between reflectors ( ) has to be designed such that is an odd multiple of . Based on our calculations,

Resonator 1 has , which is not an odd multiple . This results in a distorted wave, as shown in Fig. 11(a). of Much improved resonant characteristics are seen in both Resfor both resonator 2 and Resonator 3, where onators, as shown in Fig. 11(b) and (c), respectively. B. Measurement of SAW Velocity In comparison to Table I, the resonators were designed to have series resonant frequencies of 1 GHz, 857 MHz, and 600 MHz, respectively. The measured series resonant frequencies were 1.032 GHz, 930 MHz, and 602 MHz, respectively. The difference between the design and measured resonant frequencies can be attributed to the deviation of the acoustic wave velocity value from the assumed 3600 m/s. The acoustic wave velocity of the device can be extracted based on . Table IV shows the from the measured variation of SAW velocity at different . The acoustic wave ve, where and is locity is a function of m. the thickness of the ZnO layer. For this chip, The data compiled in [7] has suggested that both the acoustic is a wave velocity and piezoelectric coupling coefficient . reaches a peak when . function of , the For our work, as shown in Table IV, at extracted acoustic wave velocity is at its highest, indicating the maximum piezoelectric coefficient. Based on this observation, maximum coupling can be obtained when each design with dif. This, however, is ferent has correspondingly different impractical for this chip since all the resonators with different were placed on the same chip. Having the same for all three designs resulted in different and different acoustic wave velocities for each resonator.

NORDIN AND ZAGHLOUL: MODELING AND FABRICATION OF CMOS SAW RESONATORS

999

TABLE V CMOS SAW MEASURED PERFORMANCE AND EXTRACTED EQUIVALENT-CIRCUIT PARAMETERS

C. Extraction of Equivalent-Circuit Values From Measurements measurements can also be used to obtain the exThe tracted equivalent RLC circuit values for resonators [17], [18]. The measurements can be used to calculate the series factor and parallel factor based on the 3-dB bandwidth at the series and parallel resonant frequencies, respectively, . The equations of the impedances for the equivalent-circuit model were derived based on the equivalent-circuit model shown in Fig. 7. The average value of and the calculated value of , as shown in Table II, was used to obtain , as described in (14). Since the device operates as a resonator, can be calculated using and as follows: (15) based on (14) (15) The circuit can be divided into two sets of parallel branches. The impedance of the resonant branch consisting of , , , and is expressed in (16). The parasitic parallel branch containing and calculated from Section IV-A is described as in (17). The IL of the circuit is a function of these two impedances and and is shown in (18) as follows: (16)

(17) (18) The extracted equivalent-circuit values are summarized in Table V. Calculated values of shown in Table II were used as a starting point to obtain , , , and . The IL of the extracted equivalent circuit was graphed using MATLAB and compared with both the design equivalent circuit developed in Section IV-A and the measurement results. These graphs were prepared for each resonator and are shown in Figs. 13–15, respectively. Table VI shows comparison of design, extracted, and measured factors for all three resonators. Fig. 13 shows the simulated and measured magnitude transmission characteristics of Resonator 1. From the graph, it can be seen that the design curve shows much lower IL. dB compared to the measured results of dB. The extracted curve shows closer results to the measured curve,

Fig. 13. S magnitude transmission characteristics of Resonator 1. Design and extracted characteristics were simulated using the calculated values shown in Tables II and V, respectively. Measured graph obtained from measurements using the experimental setup shown in Fig. 10.

having IL dB. The deviation between the measured and design curves can be attributed to the difference between the design k and the extracted k . For the design, was calculated based on both the conductance of the device and the array reflectivity . , as shown in (3), is highly dependent on . Initial values of [7] is an estimation of the actual value due to the sensitivity of to the variations in processing. It has been shown in [7] and [8] that is dependent on showing maximum when . For this design, , and based on [7], can be as low as 0.014, depending on the quality of the sputtered ZnO. Additional losses or further reduction of is also due to the insufficient reflector height, defactor can also be scribed earlier in Section V-A. The low attributed to the small number of reflectors of this resonator, implemented to minimize the total area of the resonator. Fig. 14 illustrating of Resonator 2 shows a much better agreement between the design and measurement results. dB and dB. Comparing Table II and Table V, k is close to the design k . The feedthrough capacitance values of both the extracted and design were very close to each other, where fF and fF. Similar to Resonator 1, the design for Resonator 2 was much higher than the measured of 46.52. The low of this resonator is attributed to the low coupling coefficient and insufficient height of the reflector, which caused additional losses of the acoustic wave and, thus, reduced the conductance of the device. The extracted factors show a closer match was increased to include the to the measured factor after

1000

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

TABLE VI COMPARISON OF MEASURED AND EXTRACTED

Fig. 14. S magnitude transmission characteristics of Resonator 2. Design and extracted characteristics were simulated using the calculated values shown in Tables II and V, respectively. Measured graph obtained from measurements using the experimental setup shown in Fig. 10.

Fig. 15. S magnitude transmission characteristics of Resonator 3. Design and extracted characteristics were simulated using the calculated values shown in Tables II and V, respectively. Measured graph obtained from measurements using the experimental setup shown in Fig. 10.

additional losses. The design parameters for Resonator 2 were improved compared to Resonator 1, where and . This results in close to 1, and correspondingly, a well-defined series and parallel resonant frequency with suppression of harmonics. Resonator 3, illustrated in Fig. 15, shows the best results where dB, dB, and

Q FACTORS

dB. k was close to k . The measured factor of is the highest compared to the other designs. This high- factor is due to the increase in height for the reflector structure, greatly reducing the losses of the propagating acoustic waves. This measurement result indicates that a high- factor can be achieved even with a minimum number of reflectors, where for this design. It also serves to prove that the factor and the array reflectivity are highly dependent on the height of the resonator structure rather than the number of reflectors used. This is in comparison with Resonator 2, which had a lower reflector height, but a large number of reflectors, and still produced a low . For future improved designs, to optimize area, does not have to be very large, but the has to be increased to ensure that the entire acoustic wave is reflected. VI. CONCLUSION The novelty of this study lies both in the successful implementation of an integrated CMOS SAW resonator and the development of its equivalent-circuit model to support it. This study is significant since it demonstrates that the frequency of the SAWs can be controlled using interdigital fingers implemented using inexpensive standard CMOS integrated circuit fabrication processes. All previous implementations [7]–[9] did not utilize the standard CMOS process to implement the resonator’s interdigital fingers. Instead, the resonator fingers were implemented as separate deposition steps, resulting in a very costly implementation due to the necessity of having very thin and precise interdigital fingers to achieve very high resonant frequencies. The maturity of standard integrated circuit processing technology such as CMOS is very reliable in manufacturing very precise thin metal lines, and for this CMOS process used, the minimum widths were 0.9 m, generating a resonant frequency of 1 GHz. Higher frequency resonators can be achieved when smaller CMOS technology feature sizes were used. Currently, the minimum possible CMOS metal feature size is 135 nm and can theoretically create CMOS SAW resonators operating at 7 GHz. Three different resonator designs, operating at different frequencies, i.e., 1.02 GHz, 941 MHz, and 605 MHz, were presented to illustrate the feasibility and performance of these devices. The measurement results indicate minimum ILs in the range from 33 to 36 dB. The measured factor ranged from 33 to 285. Based on the measurement results and fabrication layers of the device, an equivalent-circuit model was developed specifically to describe the two-port CMOS SAW resonator. Comparison of the design, extracted, and measurement transmission characteristics were presented. It can be seen from these graphs when the losses of the propagating acoustic wave are reduced by increasing the reflector height, the design, extracted, and measured results are in accordance with each other.

NORDIN AND ZAGHLOUL: MODELING AND FABRICATION OF CMOS SAW RESONATORS

The measurement results have indicated that when the reflector height is increased using stacked metals available in CMOS technology, the factor of the device is improved greatly. Although the resonators exhibited much lower factors than commercial discrete devices, which have ’s in the order of 10 000, the factors of these devices are much higher than the ’s of integrated LC CMOS resonators, which are typically less than 50. CMOS SAW resonators would be an ideal replacement for integrated LC CMOS resonators commonly used in wireless circuits due to its improved ’s, ease in manufacturability, and compatibility with CMOS. Integration with appropriate wireless CMOS circuits can be implemented easily with these resonators since all the devices are fabricated using CMOS. These designs, although not exhibiting the maximum possible factor of the device, were intended as a proof of concept that SAW resonators can be implemented using CMOS with the minimum number of post-processes. The factor of the device can be further improved by increasing the number of reflectors and implementing methods to improve the piezoelectric coupling coefficient of the device. Further development of this study indicates promising fully integrated CMOS devices such as oscillators and filters beneficial to the field of wireless RF circuits. ACKNOWLEDGMENT The CMOS devices were fabricated through the MOSIS service. All post-CMOS processing utilized the facilities of the National Nanofabrication Infrastructure Network Laboratories, Howard University, Washington, DC, University of California at Santa Barbara, University of Minnesota, Minneapolis, and the Georgia Institute of Technology, Atlanta. The authors wish to thank Prof. G. Harris, Howard University, for the use of the facilities, and J. Griffin, Howard University, for his expertise, assistance, and continuous support during fabrication of the resonators. The authors are also appreciative for the insightful discussions with M. J. Vellekoop, Vienna University of Technology, Vienna, Austria, and H. J. De Los Santos, NanoMEMS Research, Irvine, CA. Both authors are also grateful for the support and funding of the National Science Foundation (NSF). REFERENCES [1] W. Buff, M. Rusko, E. Goroll, J. Ehrenpfordt, and T. Vandahl, “Universal pressure and temperature SAW sensor for wireless applications,” in Proc. IEEE Ultrason. Symp., Oct. 5–8, 1997, vol. 1, pp. 359–362. [2] P. Smole, W. Ruile, C. Korden, A. Ludwig, E. Quandt, S. Krassnitzer, and P. Pongratz, “Magnetically tunable SAW-resonator,” in Proc. IEEE Int. Freq. Control Symp. and PDA Exhibition/17th Eur. Freq. Time Forum, May 4–8, 2003, pp. 903–906. [3] A. Pohl, G. Ostermayer, and F. Seifert, “Wireless sensing using oscillator circuits locked to remote high- SAW resonators,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 45, no. 5, pp. 1161–1168, Sep. 1998. [4] T. Nomura, R. Takebayashi, and A. Saitoh, “Chemical sensor based on surface acoustic wave resonator using Langmuir–Blodgett film,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 45, no. 5, pp. 1261–1265, Sep. 1998. [5] S. Datta, “Resonators,” in Surface Acoustic Wave Devices. Englewood Cliffs, NJ: Prentice-Hall, 1986, ch. 10, pp. 225–239. [6] R. C. Ruby, P. Bradley, Y. Oshmyansky, A. Chien, and J. D. Larson, III, “Thin film bulk wave acoustic resonators (FBAR) for wireless applications,” in IEEE Ultrason. Symp., 2001, vol. 1, pp. 813–821. [7] J. H. Visser, “Surface acoustic wave filter in ZnO–SiO –Si layered structures: Design, technology and monolithic integration with electronic circuitry,” Ph.D. dissertation, Dept. Elect. Eng., Delft Univ. Technol., Delft, The Netherlands, 1989.

Q

1001

[8] M. J. Vellekoop, G. W. Lubking, and A. Venema, “Acoustic-wave based monolithic microsensors,” in Proc. IEEE Ultrason. Symp., Cannes, France, 1994, pp. 565–574. [9] A. G. Baca, E. J. Heller, V. M. Hietala, S. A. Casalnuovo, G. C. FryeMason, J. F. Klem, and T. J. Dummond, “Development of a GaAs monolithic surface acoustic wave integrated circuit,” IEEE J. SolidState Circuits, vol. 34, no. 9, pp. 1254–1258, Sep. 1999. [10] A. N. Nordin and M. E. Zaghloul, “Design and implementation of a 1 GHz resonator utilizing surface acoustic wave,” presented at the Int. Circuits Syst. Symp., Kos, Greece, 2006. [11] O. Tigli and M. E. Zaghloul, “Design and fabrication of a novel SAW bio/chemical sensor in CMOS,” in Proc. IEEE Sensors Conf., 2005, pp. 137–140. [12] M. J. Vellekoop, “A smart lamb-wave sensor system,” Ph.D. dissertation, Dept. Elect. Eng., Delft Univ. Technol., Delft, The Netherlands, 1989. [13] J. Zhu, N. W. Emanetoglu, Y. Chen, B. V. Yakshinskiy, and Y. Lu, “Wet-chemical etching of (1120) ZnO films,” J. Electron. Mater., vol. 33, no. 6, pp. 556–559, Jun. 2004. [14] D. P. Morgan, “Analysis of interdigital transducers,” in Surface-Wave Devices for Signal Processing. New York: Elesevier, 1985, ch. 4, pp. 57–105. [15] P. T. M. van Zeijl, “Fundamental aspects and design of an FM upconversion receiver front-end with on-chip SAW filters,” Ph.D. dissertation, Dept. Elect. Eng., Delft Univ. Technol., Delft, The Netherlands, 1990. [16] S. Datta and B. J. Hunsinger, “An analytical theory for the scattering of surface acoustic waves by a single electrode in a periodic array on a piezoelectric substrate,” J. Appl. Phys., vol. 51, pp. 4817–4823, 1980. [17] Standard Definitions and Methods of Measurement for Piezoelectric Vibrators, ANSI/IEEE Standard 177, 1966. [18] IEEE Standard on Piezoelectricity, ANSI/IEEE Standard 176, 1987.

Anis Nurashikin Nordin (S’98) received the B.Eng. degree in computer and information engineering from the International Islamic University Malaysia (IIUM), Kuala Lumpur, Malaysia, in 1999, and the M.S. degree in computer engineering from the George Washington University (GWU), Washington DC, in 2002, and is currently working toward the D.Sc. degree in electrical and computer engineering at GWU. In 1999, she joined IIUM, as an Assistant Lecturer with the Electrical and Computer Engineering (ECE) Department, and became a Lecturer in 2002. In Spring 2003, she joined the MEMS and Very Large Scale Integration (VLSI) Research Group, GWU. Since 2003, she has also been a Teaching Assistant of VLSI computer-aided design (CAD) tools with GWU. Her main research interests are in the area of analog VLSI, RF MEMS, SAW resonators, and particularly oscillators. She is also interested in a wide range of RF/analog VLSI circuits, especially in the integration of RF MEMS devices with circuits and their applications in wireless communications.

Mona E. Zaghloul (M’81–SM’85–F’96) received the B.S. degree from Cairo University, Giza, Egypt, in 1965, and the Master’s degree in science, Master’s degree in math, and Ph.D. degree from the University of Waterloo, Waterloo, ON, Canada, in 1970, 1971, and 1975, respectively. She is currently a Professor of electrical and computer engineering with George Washington University (GWU), Washington, DC, where she is also Director for the Institute of MEMS and VLSI Technologies. Since 1984, she has been with the Semiconductor Devices Technology Division, National Institutes of Standards and Technology (NIST), Gaithersburg, MD. She has authored or coauthored over 250 technical papers in the general areas of circuits and systems, microelectronics system design, VLSI circuits design, RF MEMS, and microelectromechanical sensors systems. She has also contributed to several books. Dr. Zaghloul was the vice president of IEEE Circuits and Systems (CAS) Technical Activities (1999–2001). She is currently an associate editor for the IEEE SENSORS JOURNAL. She is the president elect of the IEEE Sensors Council. She was the recipient of the 50th Year Gold Jubilee Medal presented by the IEEE Circuits and Systems (CAS) Society.

1002

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Dual-Band Filter Design With Flexible Passband Frequency and Bandwidth Selections Hong-Ming Lee, Member, IEEE, and Chih-Ming Tsai, Member, IEEE

Abstract—In this paper, improved dual-band filter design is studied. The dual-band resonators are composed of shunt openand short-circuited stubs. In order to fulfill the requirements of dual-band inverters, a structure of stepped-impedance asymmetric coupled lines is proposed and its equivalent circuit is also derived. The dual-band filter is then designed based on this equivalent circuit. This type of filter can achieve relatively large practical passband center frequency ratios (in theory infinite), and it has more freedom of bandwidth ratio. The circuit size is also reduced. Detailed design procedure is presented and, finally, a filter example is given to validate the theoretical study. Index Terms—Coupled transmission lines, distributed parameter filters, immittance inverters, transmission line resonators.

I. INTRODUCTION

R

ECENTLY, the fast growing wireless local area network (LAN) and cellular phones have become the most popular mobile communication technologies and the people’s demands for them are still continuously increasing. Dual-band, even triband, systems are employed in these ubiquitous wireless communications to enhance the reliability. Therefore, multiband filters become key components in the front end of these portable devices. The simplest way to construct a dual-band filter is combining two single-band filters at different passband frequencies [1]–[3]. However, they have the double size and cost of a singleband filter. Alternatively, the dual-band filter can be achieved by a bandpass filter and a bandstop filter in a cascade connection [4]. The circuit size is still larger since two filter sections are also needed. Elaborate procedures were also proposed for dual passband filters by synthesizing a bandstop response between two passbands [5], [6]. One of these methods has the limit that the two passbands must have symmetric responses. Examples based on these procedures for filters with two widely separated passbands are not yet shown. Dual-band filter design based on lumped elements was presented in [7]. However, in that study, the realized resonators and inverters using distributed circuits do not have the same properties as those of the lumped elements at both the passband frequencies. Stepped-impedance resonators are suitable for the dual-band filter designs because their harmonic frequencies are tunable [8]–[10]. Most of the studies focused on the design of two passbands with required central frequencies, but very little Manuscript received June 28, 2006; revised August 30, 2006. This work was supported in part by the National Science Council, Taiwan, R.O.C., under Grant NSC 94-2213-E-006-043 and Grant NSC 95-2221-E-006-085. The authors are with the Institute of Computer and Communication Engineering, Department of Electrical Engineering, National Cheng Kung University, Taiwan 70101, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.895410

Fig. 1. (a) Dual-band resonator with parallel open- and short-circuited stubs. (b) Type-III filter after [10].

have been done with the control of bandwidth for each band. The dual-band coupling structures were firstly proposed in [11] and can be used for the tuning of the required coupling coefficients. The dual-band filter synthesis following the classical filter design methods was proposed in [12], and two types of dual-band filters, which were called type-I and type-II filters, were studied. The dual-band resonators were composed of parallel and series open stubs for type-I and type-II filters, respectively. Four parameters, two characteristic impedances, and two electrical lengths were used to meet the requirements of the resonant frequencies and slope parameters for the resonators at the two passband frequencies. Therefore, the dual-band filters can be successfully synthesized with the specified passband frequencies and bandwidths. However, these two types of filter have some restrictions such as the limitations of the passband frequency ratio and bandwidth ratio. In this paper, an improved dual-band filter design, called a type-III filter, is proposed. As shown in Fig. 1, the resonator of the type-III filter consists of both the open- and short-circuited stubs. This filter structure is similar to that in [13]. However, in their study, the absolute bandwidths of the two passbands are restricted to be the same since the electrical lengths of the stubs are forced to be 90 at the average frequency of the two passbands. In this paper, the type-III filter does not have such a limitation because the lengths of stubs are also the design parameters. The inverters between the resonators can be realized with coupled-line sections. Since a uniform coupled-line circuits could not generally have both the properties of the dual-band inverters and resonators, a new structure of stepped-impedance coupled lines is firstly introduced. Its equivalent circuit is derived and then the basic equivalent circuit of the type-III filter is modified. It was found that type-III filters have advantages such as the reduced circuit size and more freedom of passband frequency ratio and bandwidth ratio. Finally, a filter design example is given, and its experimental results are well within the theoretical prediction.

0018-9480/$25.00 © 2007 IEEE

LEE AND TSAI: DUAL-BAND FILTER DESIGN WITH FLEXIBLE PASSBAND FREQUENCY AND BANDWIDTH SELECTIONS

1003

Fig. 2. Stepped-impedance coupled-line structure for type-III filter.

Fig. 3. Equivalent circuit of the stepped-impedance coupled-line structure.

II. STEPPED-IMPEDANCE ASYMMETRIC COUPLED LINES AND ITS EQUIVALENT CIRCUIT For the inverters and stubs realized with the coupled-line sections, it was found that stepped-impedance coupled lines are inherently necessary to have the dual-band properties [12]. In order to simplify the synthesis procedure, a suitable coupledline structure for the type-III filter is first studied. The proposed stepped-impedance coupled-line structure is shown in Fig. 2, which is composed of two identical asymmetric coupled-line sections. These two coupled-line sections are connected skew symmetrically, and the diagonal ports are grounded. The electrical length of a single coupled-line section is , which is defined at the fundamental passband frequency . The even- and and are defined odd-mode characteristic admittances with the assumption that the lines are driven by identical magnitude of voltages with equal and opposite phases, and the detailed calculation can be found in [14]. The complete matrix of the stepped-impedance coupled-line structure is calculated as

Fig. 4. (a) Transmission line shunted by short-circuited stubs with the negative characteristic admittances on its sides. (b) and (c) Its equivalent circuits.

Fig. 5. Equivalent circuit of the stepped-impedance coupled-line structure.

(4) Besides, it can be proven that a transmission line, with characteristic admittance of and electrical length of , shunted at its ends by two short-circuited stubs, with characteristic adand electrical length of , can be equivalent mittance of to an admittance inverter of (5)

(1) From (1), the equivalent circuit of the stepped-impedance coupled-line structure is proposed as that shown in Fig. 3, where a and a charactransmission line with an electrical length of is shunted on its sides by open- and teristic admittance of short-circuited stubs with electrical lengths of and characteristic admittance of and , respectively. After comparing the -matrices of the circuits in Figs. 2 and 3, the conditions for this two circuits to be equivalent at all frequencies are found as

as shown in Fig. 4(a) and (b). Furthermore, it can also be shown that the short-circuited stubs can be replaced by open- and shortcircuited stubs with half the electrical lengths and characteristic admittances, as shown in Fig. 4(c). This equivalent circuit of an inverter is very similar to that in Fig. 3. It implies that an inverter is embedded in the steppedimpedance coupled-line structure and can be extracted from the equivalent circuit in Fig. 3. This results in the circuit shown in Fig. 5. The structure is represented by an admittance inverter shunted by open- and short-circuited stubs on its sides, with the characteristic admittances given as

(2)

(6)

(3)

(7)

1004

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

where is the termination conductance, is the low-pass proand are the relative bandwidths at totype value, and and , respectively. Since (9)–(12) are too complicated for solving the circuit paand rameters, they are further simplified by eliminating as Fig. 6. (a) Modified type-III dual-band resonator and (b) filter.

III. MODIFIED TYPE-III DUAL-BAND FILTER AND ITS DESIGN EQUATIONS

(15)

The modified type-III dual-band resonator and filter is shown in Fig. 6. The original short-circuited stubs with an electrical length of at in Fig. 1 are now replaced by open- and shortcircuited stubs with electrical lengths of at . According to the discussion in Section II, the stepped-impedance coupled-line structure can be equivalent to an admittance inverter shunted by open- and short-circuited stubs, and these stubs are now parts of the dual-band resonators. As shown in [12], at least four variables are needed for a resonator to have adjustable dual-band properties. Assume that is the central frequency of the second passband, and is the ratio of to . The inverter is required to be the same at the central frequencies of the fundamental and , if the ressecond passbands, i.e., onators at each stage are selected identical for simplicity. Therefore, the electrical length has to be (8) The rest of the four parameters of the dual-band resonator, i.e., , , , and , are used to meet both the requirements of resonant frequencies and slope parameters at the two passbands, which can be written as follows four simultaneous equations: (9) (10) (11) (12) where and are the susceptance slope parameters at and , respectively [15]. From the classical filter synthesis method [15], the slope parameters and admittance inverters are determined by (13) and (14)

(16) for yielding and . These two parameters should be solved numerically, and they are then substituted into (9) and (11) to and . It should be noted that (9)–(12) have analytic obtain solutions when the two passbands have the same absolute band. Under this condition, it was found that widths, i.e., (17) (18) and, thus, the filter is reduced to the basic circuit configuration of the type-III filter shown in Fig. 1. The design curves of for modified type-III filters are plotted in Fig. 7(a) with the absolute bandwidth ratio and . The normalized impedances of the open- and short-circuited stubs for a third-order are given Chebyshev filter with 0.1-dB ripple and in Fig. 7(b) and (c). It was found that there is no limitation of the passband frequency ratio for the modified type-III filter, whereas the frequency ratios of type-I and type-II filters have an upper limit of three [12]. However, the impedance ratios between the stubs would be larger as the frequency ratio goes higher, which might lead to an impracticable circuit, and thus, only the design curves with the typical frequency ratios smaller than five are plotted in Fig. 7. From (8) and the design curves in Fig. 7(a), it is clear that the electrical lengths and are smaller than , therefore, the total length of the resonator could be shorter than . Each resonator of type-I filters has two stubs. Typically, the lengths are approximately and , respectively. The total length of . This is the same with type-II the resonator is filters. However, the length of dual-band resonators in type-III , as indicated in (8) and (17). is only approximately Therefore, the length of the resonators of type-III filters is about two-thirds of those of type-I and type-II filters. This means the circuit size of type-III filters is smaller. It should be noted that the impedances of the stubs are smaller than that of the termination, especially for a filter with a narrower bandwidth. Therefore, in order to realize the dual-band filters with more practicable impedances, additional coupled-line

LEE AND TSAI: DUAL-BAND FILTER DESIGN WITH FLEXIBLE PASSBAND FREQUENCY AND BANDWIDTH SELECTIONS

1005

Fig. 8. Type-III dual-band filter, where the open- and short-circuited stubs are separated into two equal parts for the synthesis of the coupled-line circuits in the middle stages.

be found by the design equations in Section III. In order to synthesize the coupled-line circuits in the middle stages, the openand short-circuited stubs with characteristic admittances of and are separated into two equal parts, i.e., and , as shown in Fig. 8. As the discussion in Section II, the admittance inverter and the open- and short-circuited stubs on its sides can be equivalent to the stepped-impedance coupled-line section. The circuit parameters of the stepped-impedance coupled lines can then be obtained by solving (4), (6), and (7), and the results are given as (19) (20) (21) (22)

(

)

Fig. 7. Design curves of: (a)  . (b) and (c) Admittance ratio G=Y for type-III filter with absolute bandwidth ratios equal to 0.5, 1, and 1.5, and (for third-order Chebyshev filter prototype with 0.1-dB ripple).

1 = 10%

sections in the outer stages that function as impedance transformers are required. The design procedure of the coupled-line circuits in the middle and outer sections for the type-III dualband filter is discussed in Section IV. IV. DESIGNS OF COUPLED-LINE SECTIONS A. Coupled-Line Sections in the Middle Stages The dual-band resonators for the type-III filter are selected identical in each stage for simplicity, and their parameters can

. where In order to derive a practical coupled-line circuit, the parameter in the above equations should be real. However, an imaginary number would sometimes be obtained under the circumstance of the dual-band filters with large frequency ratio and wide bandwidths in the two passbands. It was found that the type-III filter has an upper limit of absolute bandwidth ratio for . a given frequency ratio and the first passband bandwidth The limitation curves for a third-order Chebyshev filter with 0.1-dB ripple are plotted in Fig. 9, where is defined as the maximum of the absolute bandwidth ratio. It is more limited is as the frequency ratio or the first passband bandwidth increased. Although type-III filters have this limitation on the absolute bandwidth ratio of the two passbands, just as type-II filters do [12], it was found that the maximum of this ratio is larger than that of type-II filters. B. Coupled-Line Sections in the Outer Stages Type-III dual-band filters need coupled-line sections in their exterior stages, which are used to transform the impedances of the system terminations to higher values, and they can be achieved by employing the rest of the open- and short-circuited stubs with characteristic admittances of and in the outer-stage resonators, as shown in Fig. 10(a). Two redundant transmission-line sections with characteristic admittances of and electrical lengths of at are inserted

1006

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 11. Equivalence between the open- and short-circuited stubs in parallel and the stepped-impedance short-circuited stubs.

Fig. 9. Limitation curves of absolute bandwidth ratio for the third-order Chebyshev filter with 0.1-dB ripple.

circuit has a similar formation to that shown in Fig. 3; however, it is generally not symmetrically configured. Therefore, its realization using the stepped-impedance coupled-line section shown in Fig. 2 (which is a symmetric circuit) is not practicable. Instead, stepped-impedance coupled-line structures consisting of two different asymmetric coupled-line sections, as shown in Fig. 10(d), are required. The exact equivalent circuits and design equations are much more complicated due to the increased variety. Therefore, a simple design procedure with approximation is proposed, and it could still provide sufficient accuracy to maintain the performance of the dual-band filters. The design procedure of the coupled-line sections in the outer stages of the filter is based on the equivalence between the openand short-circuited stubs in parallel and the stepped-impedance short-circuited stub, as shown in Fig. 11. The first step of the design procedure is to set the transformed termination in Fig. 10(c) equal to the system conductance , and the characteristic admittance can be obtained as (23) is then set equal to so that the equivalent The admittance circuit can be simplified as shown in Fig. 12(a). It is clear that the open- and short-circuited stubs on the left-hand side have the same characteristic admittances. The same components are then extracted from the stubs on the right-hand side, as shown in Fig. 12(b). In Fig. 12(c), the open- and short-circuited stubs are replaced with characteristic admittances of with a single short-circuited stub using the equivalence given in Fig. 11. After that, the transmission line and the shunted shortcircuited stubs with electrical lengths of could be equivalent to the short-circuited coupled lines, as shown in Fig. 12(d), and their even- and odd-mode characteristic admittances are given by

Fig. 10. Design procedure of the coupled-line sections in the outer stages of type-III filters.

(24) (25)

between the termination and stubs, and the open- and short-cirand are separated from cuited stubs with admittances of and , respectively, as shown in Fig. 10(b). After applying the Kuroda identities of the second kind [16], the separated stubs can be transformed to the other side of the transmission-line sections, and the final equivalent circuit is given in Fig. 10(c). It was found that the equivalent transmission-line

Thus far, the coupled-line circuit design is exact without any approximation. However, there are still two stubs left on the right-hand side of Fig. 12(d), and they are attempted to be included in the coupled-line circuit. This can be done approximately with the following procedure. Based on the admittances and derived in (24) and (25), the linewidths and

LEE AND TSAI: DUAL-BAND FILTER DESIGN WITH FLEXIBLE PASSBAND FREQUENCY AND BANDWIDTH SELECTIONS

1007

TABLE I CIRCUIT PARAMETERS OF THE FILTER DESIGN EXAMPLE

then available. Finally, the coupled-line circuit in the outer stage for type-III filter is constructed by the short-circuited stub with a linewidth of and a stepped-impedance short-circuited stub and , and the coupling gap between with linewidths of them is , as shown in Fig. 12(e). Although the coupled-line circuit is designed approximately, it is sufficient to provide appropriate couplings and impedances for the input/output resonators. The design example in Section V will show that this approximation is feasible, and only a few iterations of the optimization process is needed to make the coupling structure more accurate, if necessary. V. FILTER DESIGN EXAMPLES

Fig. 12. Approximate design of the coupled-line sections in the outer stages of type-III filters.

gap of the coupled-line circuit can be obtained with the help of the computer-aided design (CAD) tools. One of the shorted coupled-transmission lines, which is attached to the right-handside open- and short-circuited stubs, is supposed to be a single short-circuited stub regardless of the coupling. The characteristic admittance of the short-circuited stub with a linewidth of is then calculated. By means of the equivalence in Fig. 11, the short-circuited stub is equivalent to the open- and short-circuited stubs with half the characteristic admittance and electrical length. Now they can be combined with the right-handside open- and short-circuited stubs shown in Fig. 12(d), and could be further equivalent to a stepped-impedance short-circuited stub using the equivalence again. The required linewidths and for the stepped-impedance short-circuited stub are

A third-order type-III dual-band filter was designed for the wireless LAN applications, and the prototype of a Chebyshev filter with 0.1-dB ripple was chosen. The central frequencies at the two passbands are 2.45 and 5.25 GHz, and both the bandwidths are 4%. It should be noted that for this specifications, the absolute bandwidth ratio is 2.14, which is beyond the limitation of bandwidth ratio for type-II filters [12] and, therefore, its realization using a type-II filter structure is not practicable. The termination is determined for reasonable impedance values of the resonators in the internal sections of the filter. In this design, the initial resistance of the terminations is chosen to be , and the slope parameters at the two 250 , i.e., passbands and the admittance inverters are then calculated as and . The circuit parameters can be obtained by solving (9)–(12), (15), and (16), and the results are given in Table I. The open- and short-circuited stubs and are then separated with characteristic admittances of into two equal parts, i.e., and , as shown in Fig. 8, which represent the components for the adjacent coupled-line circuits. By the derived , , and , the circuit parameters of the stepped-impedance coupled-line circuit in the internal sections can be calculated using (19)–(22), and they are also given in Table I. The coupled-line sections at the outer stages of the filter are designed to transform the termination to the system termination . In this design, the system conductance is 0.02 and, thus, the characteristic admittance extracted from and is obtained by (23) as 0.0025 . The equivalent circuit of the outer coupled-line section with the symmetric short-circuited

1008

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 13. Equivalent circuits of the outer section of the filter design example with the symmetric coupled lines and residual open- and short-circuited stubs.

Fig. 15. (a) Circuit configuration of the filter design. (b) Its passband and (c) out-of-band measured results compared with the simulated responses. Fig. 14. Circuit simulation results of the filter design. (a) Coupled-line sections at the outer stages are designed approximately. (b) After optimization.

coupled lines and the residual open- and short-circuited stubs are shown in Fig. 13, and the circuit parameters are also given. Finally, the residual stubs can be approximately included in the coupled-line circuit based on the discussion in Section IV. The dual-band filter is implemented in an eight-layer low-temperature co-fired ceramic (LTCC) structure, with a total thickness of 1.2 mm and a dielectric constant of 7.8. Fig. 14(a) shows the circuit simulation results of the filter design without taking account of the conductor and dielectric losses, whose coupled-line sections at the outer stages are designed approximately as discussed. It is obvious that only minor distortions

occur for the filter to deviate from the Chebyshev filter responses, and its performance is still very good. Optimization process might be employed, if necessary, for the coupled-line circuit, and in Fig. 14(b), it can be seen that the dual-band filter design is much closer to a Chebyshev filter after optimization. The complete circuit configuration of the filter is shown in Fig. 15(a). In order to avoid any crossing, the open stubs are implemented on the upper layer with via connections to the coupled-line circuits. The passband and out-of-band measurement results compared with the simulated responses are shown in Fig. 15(b) and (c), respectively. The conductor surface roughness of approximately 10 m and dielectric loss tangent of 0.005 have been included in the simulations. The measured

LEE AND TSAI: DUAL-BAND FILTER DESIGN WITH FLEXIBLE PASSBAND FREQUENCY AND BANDWIDTH SELECTIONS

passband central frequencies are at 2.42 and 5.24 GHz, and the are 6 and 5.3 dB, respectively. Since corresponding the losses are high due to the LTCC process, the equal-ripple bandwidth cannot be defined. The measured 3-dB bandwidths are approximately 4.1% and 5.3%. The spurious response around 6.5 GHz is thought to be caused by the cross coupling between the input and output due to the test fixture. Generally, the measurement results are well with the specifications, and the type-III dual-band filter has been successfully achieved. VI. CONCLUSIONS A new dual-band filter structure, which is called a type-III filter, has been studied in this paper. Type-III filters are built by the dual-band resonators with open- and short-circuited stubs in parallel. A new structure of two-section asymmetric coupled lines is first proposed and studied, which can be used for the realization of the short-circuited stubs and inverters. The basic configuration of the type-III filter is modified based on the derived equivalent circuit of the coupled-line structure. It should be noted that type-III filters can achieve relatively large practical passband center frequency ratios (in theory infinite), whereas type-I and type-II filters have an upper limit of three. Type-III filters have more freedom of bandwidth ratio than type-II filters. The total circuit size is also reduced. Type-III filters require the redundant coupled-line circuits at the outer stages to ensure that the interior transmission-line circuits have reasonable values of impedances. The stepped-impedance coupled lines are used to implement the exterior sections of type-III filters. Although the design procedure reported in this paper for the outer-stage coupled lines is an approximation, it is sufficient to provide appropriate couplings and impedances for the input/output resonators. If a more accurate coupled-line structure is needed, a few iterations of the optimization process can be employed. Finally, a design example of the type-III filter has been given and its measured results show good agreement with the predictions.

1009

[6] R. J. Cameron, M. Yu, and Y. Wang, “Direct-coupled microwave filters with single and dual stopbands,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3288–3297, Nov. 2005. [7] X. Guan, Z. Ma, P. Cai, Y. Kobayashi, T. Anada, and G. Hagiwara, “Synthesis of dual-band bandpass filters using successive frequency transformations and circuit conversions,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 3, pp. 110–112, Mar. 2006. [8] C.-C. Chen, “Dual-band bandpass filter using coupled resonator pairs,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 4, pp. 259–261, Apr. 2005. [9] J.-T. Kuo, T.-H. Yeh, and C.-C. Yeh, “Design of microstrip bandpass filters with a dual-passband response,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1331–1337, Apr. 2005. [10] S. Sun and L. Zhu, “Coupling dispersion of parallel-coupled microstrip lines for dual-band filters with controllable fractional pass bandwidths,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, 4 pp. [11] H.-M. Lee, C.-R. Chen, C.-C. Tsai, and C.-M. Tsai, “Dual-band coupling and feed structure for microstrip filter design,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, vol. 3, pp. 1971–1974. [12] C.-M. Tsai, H.-M. Lee, and C.-C. Tsai, “Planar filter design with fully controllable second passband,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3429–3439, Nov. 2005. [13] H.-Y. A. Yim and K.-K. M. Cheng, “Novel dual-band planar resonator and admittance inverter for filter design and applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, 4 pp. [14] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filter, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980, ch. 5. [15] ——, Microwave Filter, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980, ch. 8. [16] P. A. Rizzi, Microwave Engineering, Passive Circuits. Englewood Cliffs, NJ: Prentice-Hall, 1988, pp. 484–488.

Hong-Ming Lee (S’03–M’06) was born in Nantou, Taiwan, R.O.C. He received the B.S. and Ph.D. degrees in electrical engineering from National Cheng Kung University, Tainan, Taiwan, R.O.C., in 2002 and 2006, respectively. From February of 2006 to July 2007, he was a Post-Doctoral Research Fellow with the Institute of Computer and Communication Engineering, Department of Electrical Engineering, National Cheng Kung University. He is currently serving his compulsory military service. His research interests include microwave passive components and measurements.

REFERENCES [1] H. Miyake, S. Kitazawa, T. Ishizaki, T. Yamada, and Y. Nagatomi, “A miniaturized monolithic dual band filter using ceramic lamination technique for dual mode portable telephones,” in IEEE MTT-S Int. Microw. Symp. Dig., 1997, vol. 2, pp. 789–792. [2] Y.-X. Guo, L. C. Ong, M. Y. W. Chia, and B. Luo, “Dual-band bandpass filter in LTCC,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, 4 pp. [3] C.-Y. Chen and C.-Y. Hsu, “A simple and effective method for microstrip dual-band filters design,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 246–248, May 2006. [4] L.-C. Tsai and C.-W. Hsue, “Dual-band bandpass filters using equallength coupled-serial shunted lines and Z -transform technique,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1111–1117, Apr. 2004. [5] G. Macchiarella and S. Tamiazzo, “Design techniques for dual-passband filters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3265–3271, Nov. 2005.

Chih-Ming Tsai (S’92–M’94) received the B.S. degree in electrical engineering from National Tsing Hua University, Taiwan, R.O.C., in 1987, the M.S. degree in electrical engineering from the Polytechnic University, Brooklyn, NY, in 1991, and the Ph.D. degree in electrical engineering from the University of Colorado at Boulder, in 1993. From 1987 to 1989, he was a Member of the Technical Staff with Microelectronic Technology Inc., Taiwan, R.O.C., where he was involved with the design of digital microwave radios. In 1994, he joined the Department of Electrical Engineering, National Cheng Kung University, Tainan, Taiwan, R.O.C., where he is currently a Professor. His research interests include microwave passive components, high-speed digital design, and measurements.

1010

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

A Direct Synthesis Approach for Microwave Filters With a Complex Load and Its Application to Direct Diplexer Design Ke-Li Wu, Senior Member, IEEE, and Wei Meng

Abstract—This paper presents a direct synthesis approach for general Chebyshev filters terminated with a complex load. The new approach is based on the fact that the polynomial functions for synthesizing the filters are composed for any matched loads. By normalizing the polynomial functions with assumed complex matched load impedance by a real reference load impedance using power waves normalization, a set of new polynomial functions for the same filter, but with real load impedance, can be formulated, from which the coupling matrix for the physical filter design can be obtained using a standard direct filter synthesis approach. This new direct synthesis approach can find many applications. A practical application is the direct diplexer design with a realistic junction model being taken into account. With the diplexer design is concerned, a fast-converged iterative scheme is proposed. The effectiveness and the validation of the proposed scheme are demonstrated by two design examples. Index Terms—Chebyshev filter, diplexer, filter synthesis, impedance matching.

I. INTRODUCTION

T

HE SYNTHESIS of microwave filters has attracted a great deal of attention over the last few decades. The most significant work for the exact synthesis of microwave filters includes the multicoupled resonator filter network by Atia and Williams [1] and Atia et al. [2] and the direct synthesis approach for general Chebyshev filters by Cameron [3], [4]. All of these direct synthesis techniques assume that the twoport filter network is terminated by real valued reference impedances at the two ends. In fact, this reference impedance is a symbolic substitute for the characteristic impedance of the transmission line connected to the microwave filter. Generally, the two reference impedances at the two ports of a filter network, i.e., and in Fig. 1, can be arbitrary impedance values. However, the filters synthesized by the existing direct approaches can only Manuscript received October 24, 2006; revised January 15, 2007. This work was supported by the Research Grants Council of the Hong Kong Special Administrative Region under Grant 2150499. K.-L. Wu is with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong (e-mail: [email protected]). W. Meng was with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong. He is now with the Department of Electrical and Computer Engineering, University of Maryland at College Park, College Park, MD 20742 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895175

Fig. 1. Filter network terminated with reference impedances Z and Z .

be used for the applications where the two ports of a filter are interfaced to the same reference impedance. In many applications, a filter network is used in the circumstance in which the reference impedance at one end is a complex valued impedance, whereas the other end of the filter is terminated by a real valued reference impedance. Such complex valued impedance could be the input impedance of an antenna prefixed to the filter or the output impedance of a power amplifier suffixed to the filter in a front-end system. In the scenario of a diplexer design, the complex impedance would be the input impedance looking into the junction of the diplexer where the channel filters are attached to. However, the issue of how to directly synthesize a general Chebyshev filter with a complex reference impedance has never been systematically addressed. Synthesis of a diplexer is a classic subject in the community and has led to a large amount of literature. An early work on direct synthesis techniques can be found in the paper by Haine and Rhodes [5] published in the 1970s. The effort has been continued by many researchers, such as in [6]–[8] and a very recent work [9]. An investigation on how to optimize the performance of a diplexer using a symmetric junction was also performed [10]. The major limitations in [5]–[9] include: 1) the junction is modeled by a simple series resistance or a shunt reactance, which has a large variation from the actual junction and 2) the parameters for the two channel filters are initially derived at the same working frequency. Very recently, people has attempted to incorporate the actual effect of the junction into the channel filter design by modifying the first irises and cavities next to the junction of the two channel filters to compensate for the complex impedance effect of the junction [11]. On the other hand, the diplexer design could start from an actual junction model and two channel filters that are separately designed. Nonlinear optimization is then used to find a satisfactory overall performance of a diplexer [12]–[14]. Although the optimization approaches are considered to be practical and can give reasonable results for most of cases if the junction meets the required conditions and the initial starting point is lucky enough,

0018-9480/$25.00 © 2007 IEEE

WU AND MENG: DIRECT SYNTHESIS APPROACH FOR MICROWAVE FILTERS WITH COMPLEX LOAD AND ITS APPLICATION TO DIRECT DIPLEXER DESIGN 1011

Fig. 2. Schematic diagram of a diplexer; all ports are terminated by the matched reference impedances.

people have never stopped seeking an efficient, systematic, and mathematically elegant direct technique for synthesizing microwave diplexers. A more systematic design technique that can take into account the actual junction effect is desirable. This paper will firstly propose a new concept for direct synthesis of a general Chebyshev microwave filter with a complex load as the reference impedance. The concept is based on the fact that the rational polynomial functions that define the reflection and transfer functions of a filter are virtually referenced to two matched impedances of any value. Using the theory of power waves normalization [15], the rational functions with an assumed matched complex load can be normalized by real valued loads at the both filter ends so that the existing direct synthesis techniques for general Chebyshev filters, such as [3], can still be employed. In other words, all the existing direct approaches can be extended to the cases in which the filter is terminated by a complex load at one end and a real valued load on the other. As depicted in Fig. 2, when the common port of the junction and the is connected to the matched reference impedance right-bottom port of the junction is loaded with channel filter looking into the above-right port 2, the input impedance of junction can be viewed as the complex load impedance at the port of channel filter 1. Via the new concept proposed in this paper, channel filter 1 can be synthesized straightforwardly. Obviously the same procedures can be applied to channel filter 2. Therefore, a diplexer with a specific junction model can be designed in an iterative manner. Before the iterative design approach is detailed, the new concept for direct synthesis of a general Chebyshev microwave filter with a complex load will be formulized. The new concept is justified by two diplexer design examples: a coaxial diplexer with a simple wire Y-junction and a waveguide diplexer using an -plane T-junction with a coupled slit, respectively.

impedance at one end and a real reference impedance at the other end. The main idea of this new concept is to normalize the assumed complex matched impedance at one end of the filter to the same real valued matched impedance as that at the other end of the filter. Being aware of that, the evaluation of transfer and reflection functions depends on the terminal conditions [15], and for real valued three new polynomials terminations can be formulated from those with a complex termination and the complex load. It is obvious that the responses of a filter with two real valued load impedances correspond to the measurement of a standalone filter. A. Renormalization of Reference Impedances of a two-port network Suppose that the scattering matrix at port is given and is referenced by the matched impedance 1 and at port 2, as shown in Fig. 1. If the terminal impedances of the two-port network are to ( and ), the new scattering changed from can be expressed in terms of the original and the matrix reflection coefficient of with respect to [15] as (1) where is the identity matrix, and are the diagonal matrices with their th diagonal elements being (2) (3) and the superscript “ 1” and represent the matrix inverse and matrix complex conjugate transpose, respectively. Considering that

(4)

(5a)

where II. SYNTHESIS OF A FILTER WITH A COMPLEX LOAD The starting point for the direct synthesis of generalized Chebyshev filter is to construct three polynomials, i.e., and , using a well developed procedure with pre-described transmission zeros (TZs), a reflection level, and and the the order of the filter [3]. The transfer function reflection functions are then defined from these polynomials. It is worth mentioning that the terminating conditions to evaluate the transfer and reflection functions do not stipulate any specific impedance value. Therefore, a filter with equiripple responses can be interpreted to be with a complex reference

(5b) the new scattering matrix after the change of the terminal impedances from to ( and ) becomes (6), shown at the bottom of the following page. In designing a channel filter for a diplexer, the reference impedances at two ports of a channel filter, when detached from the junction, are the same reference impedance associated to the transmission line connected to the filter. When the filter is loaded on the junction, the reference impedance at the connecting port will be amended to a complex number to reflect the

1012

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

presence of the junction. However, in this scenario, only the reference impedance at one port is changed. Without losing generality, assuming port 2 of a filter is originally connected to a complex load and is to be changed to the same real valued impedance as that of port 1, i.e., , where is a positive real number standing for the characteristic impedance of the transmission line connected to , which is a complex value the ports of the filter, and related to the complex load, the above equations can be greatly simplified as

(7)

(10b) where is a normalization constant related to the prescribed return loss level. According to the procedure, for a matched Chebyshev characteristics, there is (10c) It is assumed that the polynomials and have been normalized to their respective highest degree coefficients. and are th-degree polynomials, is the deBoth , which contains the gree of the filtering function, whereas is the finite-position prescribed TZs, is of degree , where number of finite-position TZs. It is also noticed that the polynoand are related by mials (11)

(8a) (8b)

where the roots of polynomial form mirror imaginary pairs about the imaginary axis with corresponding roots of poly, and is a Hurwitz polynomial and all of its nomial roots are located in the left half-plane of the complex -plane. Substituting (10) into (8) yields

(8c) (8d) Since the real part of input impedance of a passive network is always positive, the following relation has been used in deriving in (8c): (12a) (9) (12b) B. Transformation of Transfer and Reflection Functions It is our hypothesis that a general Chebyshev filter that is with equiripple return loss in its passband and is with a complex load is to be designed. The transfer and reflection polynomials for a matched general Chebyshev filter can be composed by an existing standard procedure [3] in the format of

(10a)

(12c) Therefore, the new transfer and reflection polynomials, after to , can changing the terminal impedance at port 2 from be transformed as (13a) (13b) (13c)

(6)

WU AND MENG: DIRECT SYNTHESIS APPROACH FOR MICROWAVE FILTERS WITH COMPLEX LOAD AND ITS APPLICATION TO DIRECT DIPLEXER DESIGN 1013

TABLE I 5–1 FILTERING FUNCTION: ROOTS OF E (s); F (s); AND P (s) POLYNOMIALS

TABLE II 5–1 FILTERING FUNCTION: ROOTS OF E (s); F (s); AND P (s) POLYNOMIALS

Fig. 4. Locations of roots of s-plane.

Fig. 3. (a) Coupling matrix [M ] synthesized from polynomials E (s); F (s); and P (s). (b) Coupling matrix [M ] from polynomials E (s); F (s); and P (s ) .

and (13d) is still a Hurwitz polynomial and It can be shown that and form mirror imaginary pairs as the roots of expected for an unmatched filter. In fact, (13) gives all the required polynomials for the same general Chebyshev filter that was originally terminated by one complex load and this complex load has been replaced by the same real load as that connected to the other end of the filter. Note that the complex load is evaluated at the center frequency of the filter. C. Illustration Example To illustrate the new concept, an example is given here for a fifth-degree 22-dB equiripple return-loss filter with a TZ positioned at in the normalized -plane to give a rejection sidelobe of 30 dB on the upper side of the passband. Following the standard procedure [3], the polynomials and according to the filter requirement can be easily constructed. The roots of the polynomials are given in Table I. at two ports, the For unit reference impedance coupling matrix according to the polynomials and can be synthesized and is given in Fig. 3(a). Theoretically, the -parameters directly derived from this coupling

E

(s ); F

(s); and

F

(s) on the complex

matrix should give an equiripple response in the passband and a TZ at normalized frequency 1.42. having the In order to synthesize the coupling matrix same responses, but with a complex reference impedance at one and port, say, at port 2, a new set of polynomials must be sought. Without losing generality, the complex is considered in this exreference impedance to unit impedance , the reflection coeffiample. By setting cient will be . and Using (13), the new polynomials can be found, the roots of which are given in Table II. It is and form mirror shown in Fig. 4 that the roots of image pairs about the imaginary axis, and the roots of still satisfy the Hurwitz condition, all of which lie in the left plane of the complex -plane. An existing coupling matrix synthesis procedure can be applied to the new polynomials to obtain a new coupling matrix , as given in Fig. 3(b). Moreover, the lossless condition of a two-port network has to be guaranteed, which means that an additional coefficient must be used to adjust the -parameters. In this case, a coefficient can be found to be multiin addition to the coefficient . Complied to polynomial paring the two coupling matrices in Figs. 3(a) and (b), the only changes happen on coupling values of the load coupling from and the resonant frequency of the last resonator to the load the last resonator next to the load. Three sets of -parameters curves are superimposed in Fig. 5. The “matched” and “unmatched” cases correspond to the rewith any matched loads and sponses of coupling matrix with real impedance at the two ends of the filter, respectively. For “verification” purposes, the circuit model simulation with port 1 terminated by results of coupling matrix and port 2 terminated by are also provided in

1014

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 6. Transmission line model of a wire Y-junction with a shunt capacitor.

Fig. 5. Comparison of S -parameters for the matched, unmatched, and verification filters.

this figure. As expected, the “verification” curves are identical to those of the “matched” case. III. DIRECT DIPLEXER DESIGN The most common approach to realize a diplexer is to use two bandpass filters that are combined through a three-port junction. Different types of junction configurations have been seen for various of applications. For RF coaxial diplexers for mobile phone base-station applications, a star-shaped wire Y-junction is commonly used, whereas for the microwave diplexers consisting of waveguide channel filters, a waveguide junction should be employed, commonly including - or -plane waveguide T- or Y-junctions. For designing broadband diplexers, one needs to optimize the performances of the junctions to satisfy certain necessary conditions, e.g., using a symmetric Y-junction [10] or a waveguide T-junction with a tuning conductor post [16]. Since the two channel filters are electrically connected to each other through a junction, the parameters of the two filters must be considered together in conjunction with the properties of the chosen junction in order to take into account the interaction among the filters and the junction. As depicted in Fig. 2, assuming that channel filter 2 of a diplexer with center frequency has been appropriately designed and the common port of the junction is matched, looking into the junction at the port where channel filter 1 is connected, one can find a load impedance at , which is the center frequency of channel filter 1. If the ref(which corresponds to ) is given and erence impedance the load impedance (which corresponds to ) is known, the polynomials for channel filter 1 can be determined by (13). for a designated Consequently, the related coupling matrix filter topology can be easily obtained from the polynomials by using an existing direct filter synthesizing approach. It will be an iterative process to design the coupling matrices for the two channel filters. Having understood how channel filter 1 is directly synthesized under the assumption that the design of channel filter 2 is converged, one can alternatively apply the same scheme to channel 2 and channel 1 until the solution for the two channel filters converged. It needs to be pointed out that since the load impedance at the center frequency of a channel is used, the approach is, in

principle, a narrowband approach. However, if the slope of the complex load with respect to frequency is small, the approach can be extended to a diplexer design with moderate bandwidth. In the proposed design procedure, appropriate lengths for the transmission lines between the junction and the channel filters have been incorporated in the junction model. In fact, the lengths need to be carefully optimized although there are some empirical rules-of-thumb to follow. From a theoretical point-of-view, the lengths should be chosen in the way that the slopes of the complex loads at the ports facing to the two filters become minimum. How to analytically design the lengths using the proposed theory is still a future research topic. IV. PRACTICAL DESIGN EXAMPLES A. Diplexer With Wire Y-Junction The first design example is with a wire Y-junction as the common junction connecting to two channel filters. Fig. 6 shows a generic transmission line model for a wire Y-junction with a shunt capacitor. It is assumed that port 1 of the junction is connected to the common port, and ports 2 and 3 are connected to the low and high channel filters, respectively. The channel filters in this example are synthesized by the approach discussed in Section III. The input impedance looking (center frequency of the low channel filter), into port 2 at when a high channel filter is connected at port 3, is, in general, a complex number. The impedance will be used as the complex load impedance in synthesizing the low channel filter. Similarly, the input impedance looking into port 3 at (center frequency of the high channel filter), when a low channel filter is connected at port 2, is the complex load impedance in synthesizing the high channel filter. By default, port 1 is always terminated by the unit reference impedance. , To simplify the design, the characteristic impedances of the three sections of the transmission line in Fig. 6 and and are assumed to be the same, and the lengths are adjustable. The two channel filters are initially designed as fifth-degree 22-dB return-loss Chebyshev filters with a TZ at for the low channel filter and a TZ at for the high channel filter, respectively. The center frequencies of two GHz and GHz, respectively, filters are at and the bandwidth of both filters is approximately 0.08 GHz. To begin with, the coupling matrices for the two channels with matched loads are obtained and are listed in Table III in the columns of the zeroth iteration. Connecting two channel filters

WU AND MENG: DIRECT SYNTHESIS APPROACH FOR MICROWAVE FILTERS WITH COMPLEX LOAD AND ITS APPLICATION TO DIRECT DIPLEXER DESIGN 1015

TABLE III COUPLING MATRICES OF LOW AND HIGH CHANNEL FILTER IN EACH ITERATION

Fig. 8. Coaxial combline diplexer with two five-pole channel filters.

Fig. 9. Waveguide diplexer using an E -plane T-junction with a coupled slit. TABLE V INPUT IMPEDANCES AT THE PORTS OF E -PLANE T-JUNCTION

Fig. 7. Responses of the diplexer design using a wire Y-junction.

TABLE IV INPUT IMPEDANCES AT THE PORTS OF Y-JUNCTION

directly onto the Y-junction, the circuit response of the diplexer in this iteration is marked by “0th” and is shown in Fig. 7. Table IV lists the input impedances looking into ports 2 and 3, while the channel filter at the other channel that is designed in the previous iteration is connected. The coupling matrices directly synthesized for each iteration are given in Table III. The circuit responses of the diplexer for each iteration are superimposed in Fig. 7. It should be mentioned that the diplexer design reaches its satisfactory converged response only by three iterations. Notice that the responses in Fig. 7 are simulated based on the circuit model of the Y-junction and the circuit model of channel filters. A possible realization of this diplexer in a coaxial combline structure is proposed in Fig. 8. B. Diplexer Design Using an

-Plane T-Junction

The performance of a waveguide T-junction is of great importance in designing a waveguide diplexer. The standard T-junction is widely used for narrowband diplexers. In practice, additional adjusting elements, such as coupled slit [14], inductive post [16], and reflection stub [12], [13] are required to

TABLE VI CHANGED COUPLING ELEMENTS OF CHANNEL FILTERS IN EACH ITERATION

minimize the slope of the reflection with respect to frequency over the frequencies of the channel filters. This example will demonstrate a waveguide diplexer designed using a slit-coupled -plane T-junction with a bandwidth of 600 MHz. Fig. 9 shows the waveguide diplexer using an -plane T-junction with a coupled slit. The two channel filters are required to be fifth-degree 22-dB return-loss pure Chebyshev GHz filters with two channel center frequencies at GHz, respectively. The bandwidth of both filters and is approximately 600 MHz. The diplexer specifications were used for a communication satellite. A WR 75 waveguide is used as the interface. A similar design process as that for the first design example is carried out. Again, only three iterations are required. The complex load impedances in each iteration for each channel filter are summarized in Table V. Only the changed coupling elements for the filters are given in Table VI. The circuit model responses of the diplexer in each iteration are shown in Fig. 10.

1016

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

REFERENCES

Fig. 10. Responses of the diplexer using E -plane T-junction. (a) First iteration and second iteration. (b) Circuit simulation (third iteration) and EM simulation.

It is noted that the simulation of the diplexer is based on the electromagnetic (EM) mode-matching (MM) T-junction model and the circuit channel filter model. The circuit model of the channel filters is converted into the physical model with physical dimensions by an MM program that has been fully verified for communication satellite payload applications. The complete physical model of the designed diplexer has also been simulated by the EM-based MM program. It is anticipated that the EM model will reflect the dispersion effects of the waveguide filters outside of the passband. An excellent agreement between the responses of the designed circuit model and the EM model can be observed.

[1] A. E. Atia and A. E. Williams, “Narrow-bandpass waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 4, pp. 258–265, Apr. 1972. [2] A. E. Atia, A. E. Williams, and R. W. Newcomb, “Narrow-band multiple-coupled cavity synthesis,” IEEE Trans. Circuits Syst., vol. CAS-21, no. 9, pp. 649–655, Sep. 1974. [3] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 433–442, Apr. 1999. [4] ——, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [5] J. L. Haine and J. D. Rhodes, “Direct design formulas for asymmetric bandpass channel diplexer,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 10, pp. 807–814, Oct. 1977. [6] J. D. Rhodes and R. Levy, “A generalized multiplexer theory,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 2, pp. 99–111, Feb. 1979. [7] ——, “Design of general manifold multiplexer,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 2, pp. 111–123, Feb. 1979. [8] R. Levy, “Synthesis of non-contiguous diplexers using broadband matching theory,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 10–14, 1991, pp. 543–546. [9] G. Macchiarella and S. Tamiazzo, “Synthesis of diplexers based on the evaluation of suitable characteristic polynomials,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 2006, pp. 111–114. [10] A. Morini and T. Rozzi, “Constrains to the optimum performance and bandwidth limitations of diplexers employing symmetric three-port junctions,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 2, pp. 242–248, Feb. 1996. [11] A. Morini, T. Rozzi, M. Farina, and G. Venanzoni, “A new look at the practical design of compact diplexers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3515–3520, Sep. 2006. [12] H.-W. Yao, A. E. Abdelmonem, J.-F. Liang, X.-P. Liang, and K. A. Zaki, “Wide-band waveguide and ridge waveguide T-junctions for diplexer applications,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 12, pp. 2166–2173, Dec. 1993. [13] Y. Rong, H.-W. Yao, K. A. Zaki, and T. Dolan, “Millimeter-wave Ka-band H -plane diplexers and multiplexers,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2325–2330, Dec. 1999. [14] J. Dittloff and F. Arndt, “Computer-aided design of slit-coupled H -plane T-junction diplexers with E -plane metal-insert filters,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1833–1840, Dec. 1988. [15] K. Kurokawa, “Power waves and the scattering matrix,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 3, pp. 194–202, Mar. 1965. [16] K.-L. Wu and H. Wang, “A rigorous modal analysis of H -plane waveguide T-junction loaded with a partial-height post for wideband applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 893–901, May 2001.

V. CONCLUSION A direct synthesis approach for microwave filters with a complex load impedance at one end and a real load impedance at the other end has been presented. A new set of filter design polynomials for the synthesizing required coupling matrix can be obtained by the power waves impedance normalization. The standard direct filter synthesis theory can be applied to the modified polynomials to derive the filter coupling matrix. The capability to incorporate a complex load impedance in the design of general Chebyshev filters makes this approach very useful to many applications. The approach can particularly be used for designing a diplexer with a realistic junction model. A novel iterative scheme for direct synthesizing the channel filters has also been proposed. The validation of the proposed scheme is firmly made through two design examples.

Ke-Li Wu (M’90–SM’96) received the B.S. and M.Eng. degrees from Nanjing University of Science and Technology, Nanjing, China, in 1982 and 1985, respectively, and the Ph.D. degree from Laval University, Quebec, QC, Canada, in 1989. From 1989 to 1993, he was with the Communications Research Laboratory, McMaster University, Hamilton, ON, Canada, as a Research Engineer and a Research Group Manager. In March 1993, he joined the Corporate Research and Development Division, Com Dev International, where he was a Principal Member of Technical Staff in charge of developing advanced electromagnetic (EM) design software for passive microwave subsystems for communication satellites. Since October 1999, he has been with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong, where he is currently a Professor. He has authored or coauthored

WU AND MENG: DIRECT SYNTHESIS APPROACH FOR MICROWAVE FILTERS WITH COMPLEX LOAD AND ITS APPLICATION TO DIRECT DIPLEXER DESIGN 1017

numerous publications in the areas of EM modeling, microwave, and antenna engineering. His current research interests include numerical and analytical methods in electromagnetics, passive microwave circuits, filters, antennas for communication systems, low-temperature co-fired ceramic (LTCC)-based multichip modules (MCMs) for wireless communications, and RF identification (RFID) technologies.

Wei Meng received the B.Eng. degree (with first-class honors) and M.Phil. degree in electronic engineering from The Chinese University of Hong Kong, Shatin, Hong Kong, in 2003 and 2006, respectively, and is currently working toward the Ph.D. degree in electrical and computer engineering at the University of Maryland at College Park. His research is focused on the modeling and design of passive microwave components, especially on the synthesis and design techniques of microwave filters.

1018

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Experimental Analysis of Passive Intermodulation at Waveguide Flange Bolted Connections Carlos Vicente, Dieter Wolk, Hans L. Hartnagel, Life Fellow, IEEE, Benito Gimeno, Member, IEEE, Vicente E. Boria, Senior Member, IEEE, and David Raboso

Abstract—In this paper, the generation of passive intermodulation at rectangular waveguide flange bolted connections is investigated. An exhaustive series of tests has been performed in order to provide understanding on the physics lying behind such a phenomenon. In particular, the intermodulation response of the system has been studied as a function of the applied torque to the flange screws. It has been found that, in some situations, the intermodulation response differs from its expected behavior. An interpretation of such discrepancies is given, and practical guidelines for the design of waveguide flanges free of passive intermodulation are provided as well. Index Terms—Intermodulation distortion, intermodulation level, nonlinear systems, waveguide junctions.

I. INTRODUCTION ASSIVE intermodulation [1]–[3] presents an increasing risk for future satellite missions in which output power level, bandwidth, number of channels, and component dimensions are being driven to further limits. Despite being wellknown for years, it still represents a critical issue for designers due to its erratic behavior and to the lack of confident theoretical analysis. As a consequence, the design of the device follows some general rules (see, e.g., [1]), which do not generally ensure the best quality in the intermodulation response of a particular system. This always makes it necessary to carry out a huge amount of laboratory tests, which are extremely time ffconsuming.

P

Manuscript received November 3, 2006; revised February 13, 2007. This work was supported by the European Space Agency under the Surface Treatment and Coating for the Reduction of Multipactor and Passive Intermodulation Effects in RF Components Project, by the European Space Research and Technology Centre under Contract 17025/03/NL/EC, by the Generalitat Valenciana (Spain) under PREDECTOR Project IIARC0/2004/020, and by the Spanish Government (MEC) under a Juan de la Cierva Program Fellowship. C. Vicente is with the Departamento de Comunicaciones, Universidad Politécnica de Valencia, E-46022 Valencia, Spain (e-mail: carlos.vicente@ uv.es). D. Wolk is with Tesat-Spacecom GmbH & Co. KG, D-71522 Backnang, Germany (e-mail: [email protected]). H. L. Hartnagel is with the Institut für Hochfrequenztechnik, Technical University Darmstadt, D-64283 Darmstadt, Germany (e-mail: hartnagel@ hf.tudarmstadt.de). B. Gimeno is with the Departamento de Física Aplicada y Electromagnetismo, Instituto de Ciencia de Materiales, Universidad de Valencia, E-46100 Burjasot (Valencia), Spain (e-mail: [email protected]). V. E. Boria is with the Departamento de Comunicaciones, Universidad Politécnica de Valencia, E-46022 Valencia, Spain (e-mail: vboria@ dcom.upv.es). D. Raboso is with the Payloads Systems Division, European Space Agency, 2200-AG Noordwijk, The Netherlands (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895400

Passive intermodulation originates due to the existence of a nonlinear component in the system, which generates harmonics of the input signal. If, additionally, more than one signal is present, intermodulation products are also excited. These new frequencies originated in the transmission band of the satellite can fall in the reception band. Despite the fact that these excited signals have low power (since they have been originated by passive components), the receive carriers are also low-power signals and, therefore, interference can occur. Many passive intermodulation sources have been identified along the years [4], [5]. For instance, it has been found [6] that waveguide flange connections can result in high intermodulation levels due to the surface irregularities and to the native contaminant layer (mainly oxides) on the metallic surfaces [7]–[11]. Its mitigation, both in the test setup and flight hardware, commonly becomes hard to achieve because extremely low intermodulation levels (as low as 140 dBm) can be relevant. In this study, an experimental investigation has been performed to quantify intermodulation levels generated at aluminum and silver-plated aluminum waveguide flange bolted junctions in terms of the applied torque to the flange screws. This paper extends the work presented in [12] to the case of silver-plated aluminum waveguides and to the use of gaskets for the reduction of intermodulation levels. II. EXPERIMENTAL CONSIDERATIONS The input signal frequencies used for the measurements presented below were GHz

GHz

with the third-order intermodulation product falling in the upper side of the spectrum being measured, i.e., GHz A. Samples The waveguides employed to perform the tests were pure aluminum ( 99.9% purity) and silver-plated aluminum WR-90 waveguides (22.86 10.16 mm). The silver coating was around 10- m thick. Aluminum as the base material and silver as the coating were chosen because they are the most representative waveguide materials for space applications. As can be seen in Fig. 1, the waveguides had two different flanges. One of them was the flange under test (six screw holes), whereas the other one (eight screw holes) was the interface with the test setup. The latter should not add more intermodulation

0018-9480/$25.00 © 2007 IEEE

VICENTE et al.: EXPERIMENTAL ANALYSIS OF PASSIVE INTERMODULATION AT WAVEGUIDE FLANGE BOLTED CONNECTIONS

Fig. 1. Waveguides used. The flange under test (six holes) and the interface flange (eight holes) with the test setup are shown.

level to the noise floor. This is the reason why an eight-hole flange configuration was used and the waveguides were joined to the test setup interface flanges with the maximum possible torque (80 N/cm for M3 (3-mm diameter) screws). On the other hand, the flange under test was a six-hole flange instead of the standard one (four holes) to minimize mechanical instabilities. Apart from the pure waveguide-to-waveguide junction, gaskets were inserted between them in order to investigate their impact on the intermodulation response of the connection. The gaskets employed were made of aluminum, 3-mm thick and with two configurations: completely flat or bridged (with a 1-mm raised lip around the waveguide cavity, and on the outer border, in order to improve the contact pressure in the RF path region). The photographs and design of these gaskets are shown in Figs. 2 and 3. All surfaces presented a finishing of around 0.4 m. No further polishing was done in order to measure intermodulation levels of typical engineering surfaces. The torque applied to the bolts was measured by means of calibrated screwdrivers, which provided a precision below 5% for the range of the torque levels applied. The torque was always applied to the screws following a zig–zag pattern. B. Test Setup The achievement of an excellent test setup in intermodulation measurements is crucial due to the extremely high sensitivity that must be reached. The setup used provided a noise floor level of around 145 dBm for an input power of 170 W per carrier. The schematic of the test setup, which is described in the following, is shown in Fig. 4. The test power for both carriers was generated by synthesizers (1, 2) and power amplifiers (3, 4). Low-pass filters (7, 8) were installed in order to suppress the harmonics coming from the amplifiers. Isolators (5, 6) were used to avoid any reflected signal reaching the amplifiers. Filters (9, 10) were selected to reduce the noise coming from the amplifiers at the frequency of the intermodulation product to be measured (around 55-dB rejection). The power of both carriers was measured by means of power meters (15, 16). They were adjusted to display the power at the output of the low-pass filter (18) after considering losses and the coupling factor of the couplers. Both carriers were

1019

Fig. 2. Gaskets employed. (left) Flat gasket. (right) High-pressure (“bridged”) gasket.

Fig. 3. Dimensions (in millimeters) of the gaskets used. The waveguide flanges have the same dimensions. (a) Flat gasket. (b) Bridged gasket.

combined by means of the transmit diplexer (17). The rejection between channels of this diplexer at the input frequencies was around 55 dB. A low-pass filter (18) was used to suppress potential intermodulation (rejection at the intermodulation frequency around 70 dB) generated in front of the device-under-test (DUT). The intermodulation product was separated from the carriers with the receive diplexer (20). Additional suppression of the carriers was reached by means of a low-pass filter (23). A low-pass filter (21) was used to suppress the intermodulation (rejection around 70 dB) generated in the high-power load (22). To measure the exact intermodulation product level at the output of the DUT, the detection unit was calibrated at the selected intermodulation frequency. This involved the measurement of the loss/amplification of the path from the output port of the DUT up to the input port of the spectrum analyzer. Since a low-noise amplifier (25) was inside this chain, an attenuator (24) was installed in front of the input detection path to avoid the overdrive of the amplifier or the input of the network analyzer. The value of the attenuator was measured in advance and then taken into account in the measurement result. The loss/amplification measured this way was entered as a reference level offset into the spectrum analyzer so that the display showed the intermodulation level at the output port of the DUT. This calibration was performed for several values of the variable attenuator.

1020

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 4. Passive intermodulation test setup.

1) Repeatability in the intermodulation level among different measurements was caused by the low average contact pressure reached between the waveguide flanges. 2) The intermodulation level decreases very steeply in the low torque range due to large-scale irregularities of the surfaces. 3) The existence of an intermodulation valley indicates a change of the physical sources responsible for intermodulation generation. At low mechanical loads, intermodulation sources are basically located at the void regions between the metals, whereas at high pressures, the intermodulation sources are located in the metal-to-metal contacts usually separated by a contaminant (dielectric) layer.

Fig. 5. Passive intermodulation level versus the applied torque to the screws for a combination of two Al waveguides. The measurements were repeated three times. Input combined power of P = 60 W. Extracted from [12].

A. Gaskets

III. ALUMINUM WAVEGUIDES The intermodulation response of several aluminum waveguides was investigated in [12]. Fig. 5 shows one of the results presented in that study. The main conclusions of [12], as far as the intermodulation level as a function of the applied torque is concerned, are as follows.

Gaskets were inserted between the aluminum waveguides whose intermodulation response is presented in Fig. 5. Both pure and silver-plated Al gaskets were tested. Three type of measurements were performed: inserting one flat gasket, using one bridged gasket, and employing two flat gaskets together. After this, measurements were carried out without any gasket at all in order to check if the performance of the measurements was affecting the intermodulation response of the connection.

VICENTE et al.: EXPERIMENTAL ANALYSIS OF PASSIVE INTERMODULATION AT WAVEGUIDE FLANGE BOLTED CONNECTIONS

1021

Fig. 6. Effect of inserting aluminum gaskets at the connection between two rectangular aluminum waveguides, P = 60 W. Gaskets numbered “1,” “2,” and “3” are flat, whereas gaskets “4,” “5,” and “6” are “bridged.” (a) Passive intermodulation level versus applied torque when one flat gasket is inserted. (b) Passive intermodulation level versus applied torque when one “bridged” gasket is inserted. (c) Passive intermodulation level versus applied torque when two flat gaskets are inserted. (d) Passive intermodulation level versus applied torque without gaskets after the insertion of aluminum gaskets (four tests).

All the measurements were performed at a combined input W. power of 1) Aluminum Gaskets: For these gaskets, the results are shown in Fig. 6. It is observed that the use of gaskets led to an overall increase of the intermodulation level at low torques [see Fig. 6(a)]. In fact, at this torque range, the intermodulation response was quite unstable because two junctions (three in the case of two gaskets) were present and, thus, the surfaces barely deformed. However, at high torques, the intermodulation level decreased when using flat gaskets [one or two, as shown in Fig. 6(a) and (c)]. In principle, this behavior is unexpected since each additional metallic junction should rise the intermodulation level (an increase of 3 dB per extra connection should be observed, assuming that each connection has the same contribution). To understand this, there are three main mechanical effects to be considered as follows, which occur when the gasket is inserted between the flanges. • Increase of the elasticity of the connection, which is related to the ability of the gasket to be deformed in order to adapt itself to the waveguide flange surface imperfections [13]. This improves the seal of the junction on the whole flange surface resulting in a decrease of the intermodulation level excited. However, due to the relatively large thickness of the

gasket (3 mm), it is not expected that this effect is playing a leading role in the sealing of the junction. • Raise of the “effective thickness” of the flanges, which is caused by an increase of the thickness of the region between the bolt head and nut. This results in a better transmission of the load exerted by the bolts [14], which, in turn, produces a better distribution of the contact pressure. Indeed, Song and Moran [15] derived a simplified formula based on finite-element (FE) computations, which relates to the thickthe radius of the nominal area of contact and the radius of the ness of the connecting plates when one single bolt is used to bolt head or washer connect flat plates (1) Extrapolating this simple rule to the flange under test, in order to have a good contact, the distance between screws . For the flanges employed (5-mm should be less than thick) and the washers used (2.85-mm radius), the distance is equal to 10.7 mm. In Fig. 3, it is seen that the distance between screws in the broad wall is 13 mm, whereas the distance between bolts in the narrow wall is 20 mm. Thus, the flange design is not optimized regarding the rule

1022

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 7. (a) Seal for the case that no gasket is introduced. (b) Seal for the case that two flat gaskets are inserted.

given in (1). This is more critical in the narrow wall, although it is expected that, in this zone, a lower intermodulation level is generated since there is no electrical current flowing through the interface [16]. Of course, this is a rather simplified approach since a bolted connection with several screws requires a much more complex analysis (see the Appendix). However, it can be used as a guideline for a conservative flange design procedure. was larger Indeed, when two gaskets were added, than 13 mm (it is around 13.7 mm) and the intermodulation response was significantly improved [see Fig. 6(c)] due to the fact that the contact on the overall connection had been notably enhanced. To visualize this effect, thin sheets (which react under pressure by coloring themselves) were inserted between the flanges to check the quality of the contact at the junction. This is shown in Fig. 7 (the darker the sheet becomes, the better is the contact). It is seen that in the pure waveguide connection [see Fig. 7(a)], a very good contact was achieved only around the bolts. However, when two flat gaskets were inserted [see Fig. 7(b)], the contact was more spread on the whole surface. Moreover, the pressure at the narrow walls was clearly improved as well. • Decrease of the surface deformation due to a lowering of the available force per interface, which is caused by the absorption of elastic energy in several junctions instead of one single connection. As a consequence, for the same applied mechanical load, a lower total pressure is available per interface and, thus, the small-scale surface deflection decreases. Hence, the intermodulation level must be increased due to the lack of formation of metal-to-metal contacts by contaminant layer breaking. This effect is also responsible for exciting high intermodulation levels at low torque ranges before the gasket(s) adapts(adapt) to the waveguide flanges. Indeed, at low torques, high electromagnetic radiation was detected flowing out of the flange when gaskets were used, exciting large and unstable intermodulation levels. In this situation, it was experimentally verified that the screws were strongly contributing to the intermodulation level due to the lack of seal of the junction. To sum up, the addition of gaskets has the following two main opposite effects on the intermodulation response of the connection. 1) An increase of the intermodulation level due to the increment of the number of metallic connections and a decrease of the total applied pressure per interface.

2) A decrease of the intermodulation level caused by the increase of the area of contact due to the improvement of the elastic response of the connection and of the “effective flange thickness.” Therefore, depending on each particular situation, the insertion of gaskets can lead to an improvement of the intermodulation response of the system or to a further degradation. In this case, at nominal torque levels, the use of gaskets importantly improves the intermodulation performance of the connection. At low torque levels, however, the insertion of gaskets always leads to an increase of intermodulation caused by the lack of deformation of the metallic surfaces in contact. The intermodulation behavior when bridged gaskets were inserted [see Fig. 6(b)] was, in principle, rather surprising as well. This combination presented the worst results (intermodulation level higher than 110 dBm at the highest torque). However, this configuration results in an important increase of the pressure in the RF path zone and, thus, an improvement in the intermodulation level would be expected, at least at high torques. Nevertheless, it was found by simple visual inspection that the surface of these gaskets was quite irregular, presenting many flaws and high-scale defects, which explains the high intermodulation levels measured. Finally, it is remarkable that a displacement in the location of the intermodulation valley occurred after the use of the gaskets (see Fig. 6(d) compared to Fig. 5). This was caused by the plastic deformation of the waveguides close to the RF path region (by using the bridged samples), a higher force being necessary in order to join these flange regions when the contact was made again between the waveguides. 2) Silver-Plated Gaskets: Silver-plated Al gaskets were also inserted between the aluminum waveguides (see results in Fig. 8). It is expected that silver-plated connections present lower intermodulation levels than aluminum ones at metallic connections, as shown by previous researchers [7], [9]. On the other hand, it is important to notice that, since the base material was the same (aluminum), the “elasticity” of the gasketed junction remained basically unchanged. It was found that the insertion of such gaskets followed a similar behavior to the case of Al gaskets in the sense that very high intermodulation levels were detected at low torque levels, but a reduction in the high torque range was found. Nevertheless, no substantial improvement was observed with respect to the case of aluminum gaskets, except for the case of silver-plated bridged gaskets, which indicates that the mechanical effects were mainly dominating the overall intermodulation response. For the bridged gaskets case, the intermodulation level was driven around the noise floor ( 145 dBm) at high torques. This improvement was caused by the increase of contact around the waveguide cavity (RF current path zone) and the good intermodulation response of Ag. The measurements performed after this set of measurements for the bare connection [see Fig. 8(d)] showed a further modification of its intermodulation response [by comparison to Fig. 6(d)], indicating a further surface flattening caused by the use of high-pressure gaskets.

VICENTE et al.: EXPERIMENTAL ANALYSIS OF PASSIVE INTERMODULATION AT WAVEGUIDE FLANGE BOLTED CONNECTIONS

1023

Fig. 8. Effect of inserting silver-plated aluminum gaskets at the connection between two rectangular aluminum waveguides. P = 60 W. (a) Passive intermodulation level versus applied torque when one flat gasket is inserted. (b) Passive intermodulation level versus applied torque when one “bridged” gasket is inserted. (c) Passive intermodulation level versus applied torque when two flat gaskets are inserted. (d) Passive intermodulation level versus applied torque without gaskets after the insertion of silver-plated aluminum gaskets (three tests).

B. Summary of Aluminum Waveguide Connections From the experimental results and analysis presented above, several conclusions can be extracted as follows. 1) At low loads, the use of gaskets results in rather high and unstable intermodulation levels. In fact, on many occasions, electromagnetic radiation flows out of the flange. At this point, the bolts themselves notably contribute to the measured intermodulation level. 2) The use of high-pressure gaskets changes the surface profile of the waveguide flanges in the RF path zone, as observed by the change in the intermodulation response after the series of tests. 3) The use of more than one gasket does not generally result in a worse intermodulation response at high torque values. The increase in the ability of the screws to transmit the applied torque positively compensates for the decrease of the surfaces deformation and the intermodulation generation caused by the increase in the number of metallic connections. 4) As a conservative baseline/guideline, flat flanges should be designed in such a way that the distance between screws is never larger than , as defined in (1).

IV. SILVER-PLATED ALUMINUM WAVEGUIDE CONNECTIONS Here, passive intermodulation measurements on silver-plated aluminum flange bolted junctions are presented. The first important fact that appeared when the waveguides were joined was that the full available input power (170 W per carrier) was necessary in order to excite measurable intermodulation levels even for the lowest torque (4 N/cm), demonstrating, as expected, that Ag connections are much better than aluminum junctions regarding their passive intermodulation performance. In other words, whereas a combined power of 60 W was enough in the case of aluminum to measure a significant intermodulation level even at high torques, this did not happen for silverplated waveguides. Fig. 9 shows the intermodulation level as a function of the applied torque to the screws for the connection of two particular silver-plated waveguides. It is seen that the decrease of the intermodulation level with the applied torque was not as important as in the case of aluminum waveguides. In fact, the intermodulation level remained almost torque independent in the entire range. More connections were tested in order to verify if this behavior was repeatable from connection to connection. An example is given in Fig. 10, which shows that, again, the inter-

1024

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 9. Passive intermodulation level versus applied torque for a combination of two silver-plated aluminum waveguides. The measurements were repeated five times. P = 340 W.

a saturation level that cannot be improved by further tightening. However, this is suspicious because the rest of connections in the test setup were also silver-plated bolted junctions and a lower intermodulation level was achieved (noise floor). The difference between the tested interface and the other waveguide junctions that were forming the test setup in the critical zone (between the input and output diplexers, see Fig. 4) was that the latter were eight-hole flanges together with a high pressure (bridged) configuration. Such a configuration provides a better seal, which results in a lower intermodulation level. Moreover, these flanges . As menhave a distance between bolts always lower to tioned before, such a rule is not accomplished by the flanges under test. On the other hand, Song and Moran [15] have also shown that the contact resistance (which is unequivocally related to the intermodulation response, see e.g., [16]) of bolted connections is basically torque and material independent and is directly related to (1) provided that the surfaces are clean enough (no contaminant layer or it can be disrupted easily). This is in complete agreement with the measured intermodulation level for these connections, which does not significantly vary with the applied torque. A. Gaskets

Fig. 10. Passive intermodulation level versus applied torque for a combination of two different (with respect to Fig. 9) silver-plated aluminum waveguides. The measurements were repeated three times. P = 340 W.

modulation level kept more or less constant independent of the applied torque. This clear pattern can only be explained by the following three possibilities. 1) The measured intermodulation was not generated at the investigated interface, but in the flanges between the DUT and test setup. 2) The distortion was produced by the waveguides themselves and not by the junction. 3) The intermodulation level was originated at the expected interface, but a kind of saturation mechanism with respect to the applied torque was reached. The first and second options are discarded because a gasket was introduced between the flanges showing that the intermodulation level could be driven below the noise floor (see below). Furthermore, the second possibility is even more unlikely since all the samples were manufactured by the same company (Tesat-Spacecom GmbH & Co. KG, Backnang, Germany), following the same process as the rest of the components in the test setup. Hence, it is clear that the measured intermodulation was not generated at any other part of the system, but at the tested connection. As a consequence, the only feasible explanation is related to the third point. It is possible that the connection quickly reaches

As in the case of Al waveguides, both pure aluminum and silver-plated Al gaskets were inserted between the waveguide flanges in order to assess its impact in the intermodulation performance of the system. All the gaskets were inserted between the silver-plated Al waveguides whose intermodulation response is presented in Fig. 10. All the measurements were performed at a combined input power of W. 1) Aluminum Gaskets: Fig. 11 shows the measured data for these gaskets. Again, at the highest torque levels, the insertion of the gaskets did not result in a higher intermodulation level, except for the bridged gaskets (as in the case of aluminum waveguides). In fact, for the flat gasket case (one or two), the intermodulation level was lower than the pure waveguide connection. Such a behavior is rather surprising since it means that the silver waveguide connection could produce a higher intermodulation level than two silver-aluminum contacts if a gasket was used. Moreover, the results when two gaskets were employed were quite good considering that an Al–Al connection was present. This is understood, again, by the increase of the seal of the junction caused by the increment of the “effective flange thickness,” and shows that, in general, this improvement affects the intermodulation level to a much larger extent than the presence of additional metallic connections. After this set of measurements [see Fig. 11(d)], the intermodulation response of the waveguides was practically unaltered, indicating that, for Ag connections, the surface topography seems to be of secondary importance. 2) Silver-Plated Gaskets: As shown in Fig. 12, the insertion of silver-plated gaskets (flat or bridged) did not improve the intermodulation response of the system. In fact, exactly the same intermodulation level was measured (around 135 dBm) at high torques. Even the use of bridged gaskets could not improve the intermodulation level. However, the use of two gaskets at once reduced the intermodulation level around the noise floor, evidencing that, in this case, the improvement on the ability of

VICENTE et al.: EXPERIMENTAL ANALYSIS OF PASSIVE INTERMODULATION AT WAVEGUIDE FLANGE BOLTED CONNECTIONS

1025

Fig. 11. Effect of inserting aluminum gaskets at the connection between two rectangular silver-plated aluminum waveguides. P = 340 W. (a) Passive intermodulation level versus applied torque when one flat gasket is inserted. (b) Passive intermodulation level versus applied torque when one “bridged” gasket is inserted. (c) Passive intermodulation level versus applied torque when two flat gaskets are inserted. (d) Passive intermodulation level versus applied torque without gaskets after the insertion of aluminum gaskets (three tests).

the screws to transmit the mechanical load is especially relevant for the reduction of the intermodulation level of bolted flange connections. Indeed, for silver-plated Al connections, the importance of reaching a contact pressure threshold on the whole surface becomes evident, being that this threshold is relatively low. Finally, the intermodulation response of the silver-plated waveguide connection was not significantly changed due to the performance of these measurements [see Fig. 12(d)] suggesting, once more, that the surface aspect plays a secondary role. B. Summary of Silver-Plated Aluminum Waveguide Connections The following conclusions related to the intermodulation data for silver-plated connections can be extracted. 1) Silver-plated waveguides show, as expected, lower intermodulation levels than aluminum contacts. In fact, the intermodulation level generated can be detected thanks to the quality of the test setup employed. 2) The importance of reaching high pressures in such contacts is secondary. From a particular torque value, the intermodulation level becomes rather torque independent. It is by far more important to have a relatively low pressure—enough to form metal-to-metal contacts—but be evenly distributed.

3) The application of gaskets can improve the intermodulation performance of the connection, which confirms that the intermodulation lowering due to a better pressure distribution is more relevant than the intermodulation level rise caused by the increase in the number of metallic contacts. 4) The performance of the tests does not affect the intermodulation response of the waveguide connection significantly, which indicates that small-scale surface irregularities (roughness) are secondary for these connections. 5) As in the case of Al waveguides, the flanges should be designed in such a way that the distance between screws . is never larger than V. CONCLUSION A systematic series of laboratory tests has been presented for the evaluation of intermodulation levels in waveguide flange bolted connections. Relevant results have been found for both aluminum and silver-plated aluminum connections. In particular, the relevance of reaching a high, but homogeneous pressure distribution at the flanges has been clearly addressed. The importance of proper flange design for the reduction of intermodulation levels with clean surfaces similar to those formed by silver connections has been noted as well. For this case, the advantage of a clever flange geometric design over torque

1026

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 12. Effect of inserting silver-plated aluminum gaskets at the connection between two rectangular silver-plated aluminum waveguides. P = 340 W. (a) Passive intermodulation level versus applied torque when one flat gasket is inserted. (b) Passive intermodulation level versus applied torque when one “bridged” gasket is inserted. (c) Passive intermodulation level versus applied torque when two flat gaskets are inserted. (d) Passive intermodulation level versus applied torque without gaskets after the insertion of silver-plated aluminum gaskets (two tests).

levels applied and over small-scale surface irregularities has been demonstrated. Future research should be focused on the study of the intermodulation response in terms of flange, gasket, and bolt thicknesses for a wide range of dimensions, including different frequency bands. Low-weight intermodulation-free flanges based on the test data acquired should be designed for practical satellite applications. APPENDIX CONTACT PRESSURE EVALUATION An estimation of the contact pressure between the flanges can be easily performed. The resulting force ( ) is related to the applied torque to the bolts by (2) where is the “nut factor” (with a typical value of 0.2) and is the diameter of the bolt or of the washer used (in this case, 2.85 mm). The pressure is simply obtained using , is determined from the dimensions given in Fig. 3. For where the flat case mm and for the bridged case, it is apmm , and, thus, for an applied torque of, proximately

for instance, 95 N/cm and since there are six screws, the pressure of contact is around 14.7 10 Pa (2130 lbf/in ) for the flat case, and 53.7 10 Pa (7800 lbf/in ) for the bridged flange. The space industry normally uses as a condition for intermodulation-free flanges a contact pressure of 10000 lbf/in (69 10 Pa), which indicates that even the high-pressure flange does not fulfill such a requirement in average. However, this pressure is determined assuming that the force is uniformly applied on the whole surface. Obviously, this is far from the truth, and it is expected to have larger contact pressures close to the bolts and much lower far from them. In order to estimate this pressure distribution with accuracy, numerical methods for mechanical junctions have to be employed. An option is to use a commercial software in order to determine the pressure profile on the surface. For example, ANSYS, which is based on the FE method, is a very well-known software tool for such a purpose. A simulation with ANSYS is shown in Fig. 13 for the case of a bridged flange. It is found, as expected, that the pressure is extremely inhomogeneous. In fact, the requirement regarding the 69-N/mm contact pressure is only reached in very few places for this bridged configuration. Moreover, close to the inner hole of the waveguide, the contact pressure never exceeds 13 N/mm , whereas in the outer part of the inner bridge, the contact pressure can reach values around 120 N/mm in the nearest points to the bolts. This indicates the difficulties to reach high

VICENTE et al.: EXPERIMENTAL ANALYSIS OF PASSIVE INTERMODULATION AT WAVEGUIDE FLANGE BOLTED CONNECTIONS

1027

Fig. 13. Contact pressure estimation of a “bridged” connection using ANSYS. (d) and (e) “Dist” is the distance from the black mark in (b). (a) Geometry and 3-D mesh with ANSYS. (b) 2-D view of the mesh. (c) Qualitative contact pressure: the darker the region, the higher the pressure. (d) Contact pressure in the inner rim of the inner “bridge.” (e) Contact pressure in the outer rim of the inner “bridge.”

pressures, even with a high-pressure flange configuration close to the RF path zone. ACKNOWLEDGMENT The authors would like to thank Tesat-Spacecom GmbH & Co. KG, Backnang, Germany, for the facilities provided in order to perform the measurements presented in this study. REFERENCES [1] P. L. Lui, “Passive Intermodulation interference in communication systems,” Electron. Commun. Eng. J., pp. 109–118, Jun. 1990. [2] C. F. Hoeber, D. L. Pollard, and R. R. Nicholas, “Passive intermodulation product generation in high power comunications satellites,” in 11th AIAA Commun. Satellite Syst. Conf., Mar. 1986, pp. 361–374. [3] J. W. Boyhan, H. F. Lenzig, and C. Koduru, “Satellite passive intermodulation: Systems considerations,” IEEE Trans. Aerosp. Electron. Syst., vol. 32, no. 2, pp. 1058–1064, Jul. 1996. [4] A. P. Foord and A. D. Rawlings, “A study of passive intermodulation interference is space RF hardware,” ESTEC, Noordwijk, The Netherlands, Final Rep., Contract 111036, , May 1992. [5] J. V. Rootsey, “Intermodulation study (intermodulation products in satellite ground antennas),” Philco-Ford Corporation, Palo Alto, CA, Tech. Rep., Aug. 1973. [6] R. D. Cox, “Measurement of waveguide component and joint mixing products in 6-GHz frequency diversity systems,” IEEE Trans. Commun. Technol., vol. COM-18, no. 1, pp. 33–37, Feb. 1970.

[7] M. Bayrak and F. A. Benson, “Intermodulation products from nonlinearities in transmission lines and connectors at microwave frequencies,” Proc. Inst. Elect. Eng., vol. 122, pp. 361–367, Apr. 1975. [8] F. Arazm and F. A. Benson, “Nonlinearities in metal contacts at microwave frequencies,” IEEE Trans. Electromagn. Compat., vol. EMC-3, no. 3, pp. 142–149, Aug. 1980. [9] E. Weibel and U. Hügel, “Tests evaluate the influence of junctions on PIM,” Microw. RF, pp. 70–80, 1998. [10] R. H. Martin, “Nonlinearity in RF cables and connectors,” ERA, Leatherhead, Surrey, U.K., ERA Rep. 2885, Apr. 1976. [11] R. H. Martin and A. Williams, “Measurement of intermodulation products at UHF in aircraft related situations,” ERA, Leatherhead, Surrey, U.K., ERA Rep. 3082, Sep. 1976. [12] C. Vicente, D. Wolk, H. L. Hartnagel, and D. Raboso, “An experimental investigation on passive intermodulation at rectangular waveguide interfaces,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 2006, pp. 242–245. [13] J. H. Bickford, Gaskets and Gasketed Joints. New York: Marcel Dekker, 1997. [14] S. Willibald, “Bolted connections for rectangular hollow sections under tensile loading,” Ph.D. dissertation, Dept. Civil Eng., Geo-, Environ. Sci., Univ. Fridericiana zu Karlsruhe, Karlsruhe, Germany, 2003. [15] S. Song and K. P. Moran, “Thermal and electrical resistances of bolted joints between plates of unequal thickness,” in 9th Annu. IEEE Semiconduct. Thermal Meas. Management Symp., Feb. 1993, no. 4, pp. 28–34. [16] C. Vicente and H. L. Hartnagel, “Passive-intermodulation analysis between rough rectangular waveguide flanges,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2515–2525, Aug. 2005.

1028

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Carlos Vicente was born in Elche, Spain, in 1976. He received the Dipl. degree in physics from the University of Valencia, Valencia, Spain, in 1999, and the Ph.D. degree from Technical University of Darmstadt, Darmstadt, Germany, in 2005. From 1999 to the beginning of 2001, he was a Research Assistant with the Department of Theoretical Physics, University of Valencia. Since 2005, he has been with the Department of Communications, Technical University of Valencia, Valencia, Spain. His research concerns the electromagnetic analysis and design of microwave passive components and its response in terms of power handling. Dieter Wolk was born in Wilhelmshaven, Germany, in August 1948. He received the Dipl. Ing. degree in electrical engineering (RF technique) from the Technical University of Hannover, Hannover, Germany, in 1975. He then joined the Radio Link Division, AEGTelefunken, Backnang, Germany, where he was involved in the development of solid-state power amplifiers [Gunn, IMPATT, field-effect transistor (FET)]. Since 1979, he has been with the Space Communications System Division, AEG-Telefunken (now Tesat Spececom GmbH & Co. KG), Backnang, Germany, where he is currently a Senior Engineer involved with the Passive RF Equipment Division and engaged in research and development of advanced filters, multiplexers, and passive components for space application. His specialized research interest includes nonlinear effects in passive high-power devices (multipactor, corona, and passive intermodulation). Hans L. Hartnagel (SM’72–F’92–LF’03) received the Dipl.-Ing. degree from the Technical University of Aachen, Aachen, Germany, in 1960, and the Ph.D. and Dr. Eng. degrees from the University of Sheffield, Sheffield, U.K., in 1964 and 1971, respectively. In January 1971, he became a Professor of electronic engineering with the University of Newcastle-upon-Tyne, Newcastle-upon-Tyne, U.K. Since October 1978, he has been the Professor of High Frequency Electronics with the Technical University of Darmstadt, Darmstadt, Germany. He has held many consulting positions, partly while on temporary leave of absence from his university positions. He has authored several books and numerous scientific papers on microwave semiconductor devices, their technology, and circuits. Prof. Hartnagel was the recipient of the 1990 Max-Planck-Prize, the 1994 Dr. h.c. presented by the University of Rome Tor Vergata, Rome, Italy, and the 1999 Dr. h.c. presented by the Technical University of Moldova, Moldova, Kishinev. Benito Gimeno (M’01) was born in Valencia, Spain, on January 29, 1964. He received the Licenciado degree in physics and Ph.D. degree from the Universidad de Valencia, Valencia, Spain, in 1987 and 1992, respectively. From 1987 to 1990, he was a Fellow with the Universidad de Valencia. Since 1990, he has been an Assistant Professor with the Departamento de Física Aplicada y Electromagnetismo, Universidad de Valencia, where, in 1997, he became an Associate Professor. During 1994 and 1995, he was with the European Space Research and Technology Centre (ESTEC), European Space Agency (ESA), as a Research Fellow. In 2003, he was with the Università degli Studi di Pavia, Pavia, Italy, as a Visiting Scientist for a three-month period. His current research interests include computer-aided techniques for analysis of passive components for space applications, waveguides, and cavities including dielectric objects, electromagnetic-bandgap structures, frequency-selective surfaces, and nonlinear phenomena appearing in power microwave subsystems (multipactor and corona effects). Dr. Gimeno was the recipient of a 2003 Spanish Government Fellowship.

Vicente E. Boria (S’91–A’99–SM’02) was born in Valencia, Spain, on May 18, 1970. He received the Ingeniero de Telecomunicación degree (with first-class honors) and Doctor Ingeniero de Telecomunicación degree from the Universidad Politécnica de Valencia, Valencia, Spain, in 1993 and 1997, respectively. In 1993, he joined the Departamento de Comunicaciones, Universidad Politécnica de Valencia, where since 2003 he has been a Full Professor. In 1995 and 1996, he was held a Spanish Trainee position with the European Space Research and Technology Centre (ESTEC)–European Space Agency (ESA), Noordwijk, The Netherlands, where he was involved in the area of electromagnetic (EM) analysis and design of passive waveguide devices. He has authored or coauthored five chapters in technical textbooks, 40 papers in refereed international technical journals, and over 100 papers in international conference proceedings. His current research interests include numerical methods for the analysis of waveguide and scattering structures, automated design of waveguide components, radiating systems, measurement techniques, and power effects (multipactor and corona) in waveguide systems. Dr. Boria is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antennas and Propagation Society (IEEE AP-S) since 1992. He serves on the Editorial Boards of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He is also a member of the Technical Committees of the IEEE MTT-S International Microwave Symposium (IMS) and of the European Microwave Conference. He was the recipient of the 2001 Social Council of Universidad Politécnica de Valencia First Research Prize for his outstanding activity during 1995–2000. David Raboso was born in Alcázar De San Juan, Spain, in 1967. He studied at the Instituto Cervantes, Madrid, Spain. He received the M.S. degree in physics from the University Autonoma, Madrid, Spain, in 1992. In 1992, he joined the European Space Research and Technology Centre (ESTEC), the main Research Center of the European Space Agency (ESA), Noordwijk, The Netherlands. Since then, he has been deeply involved in all European space programs where RF breakdown was considered a potential threat to the success of the mission. He is the contact point of all research activities at ESA in the field of multipactor, corona, and passive intermodulation, including the organization of the only international workshop dedicated to these issues, MULCOPIM.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

1029

Generalized Coupled-Mode Approach of Metamaterial Coupled-Line Couplers: Coupling Theory, Phenomenological Explanation, and Experimental Demonstration Hoang Van Nguyen, Student Member, IEEE, and Christophe Caloz, Senior Member, IEEE

Abstract—A generalized coupled-mode approach of metamaterial coupled-line couplers is presented. This approach is an extension of the traditional coupled-mode theory (CMT) to the cases of arbitrary types of conventional or metamaterial couplers with lines represented by the most general composite right/left-handed (CRLH) transmission line models. The proposed approach is completely rigorous and applicable to any type of coupler, symmetric or asymmetric, conventional or metamaterial. The CRLH generalized CMT is thoroughly derived and closed-form results for both the complex propagation constants and scattering parameters are provided. Simplified formulas based on a quasi-TEM approximation (exact in the perfectly TEM limit) are derived for contra-directional couplers, which are the most common types in microwaves. The phenomenology of both symmetric CRLH–CRLH and asymmetric conventional CRLH metamaterial coupled-line couplers is completely explained from the proposed approach. The theoretical predictions and phenomenological explanations are validated by experimental demonstration, accompanied by ideal circuit simulation, for the case of a symmetric conventional coupler, a symmetric CRLH coupler, and an asymmetric conventional CRLH coupler. Index Terms—Composite right/left-handed (CRLH) transmission lines, coupled-line couplers, coupled-mode theory (CMT), metal–insulator–metal capacitor, microstrip lines.

I. INTRODUCTION COUPLED-LINE coupler is a four-port network comprised from the juxtaposition of two unshielded transmission lines exchanging power between each other in ratios that can be controlled with design parameters such as the interspacing between the two lines and the length of the overall structure [1]–[3]. While branch-line type couplers have all their ports interconnected physically by transmission line sections and are based on multipath interference mechanisms, coupled-line type couplers have no connection between the ports located on either side of each line and are based on more complex coupling phenomena. Coupled-line couplers have the advantage of providing much broader bandwidth (typically more than 25%) than branch-line couplers (typically less than 10%). However, they are generally restricted to weak coupling

A

Manuscript received September 28, 2006; revised January 29, 2007. The authors are with the École Polytechnique de Montréal, Montréal, QC, Canada H3T 1J4 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895646

levels (typically less than 10 dB) in uniplanar edge-coupled configurations (e.g., microstrip or coplanar waveguide). In broadside-coupled configurations (e.g., stripline), strong coupling levels can be achieved, but the structure is nonuniplanar and requires at least four metal layers. Lange-type couplers [1] can combine the benefits of broad bandwidth and strong coupling at the expense of requiring cumbersome and parasitic-prone bonding wires. Recently, metamaterial transmission line structures [4] have lead to novel coupled-line couplers with unprecedented characteristics [5]–[9] such as arbitrary coupling level in addition to the broad bandwidth of conventional coupled-line couplers. Two different types of couplers employing metamaterial transmission lines have been reported. The first type is comprised of two identical composite right/left-handed (CRLH) transmission lines operated in their left-handed (LH) frequency range, as illustrated in Fig. 1(c) [5], [9]; the second type includes one conventional right-handed (RH) and one CRLH transmission line, as illustrated in Fig. 1(d) [6]–[8]. CRLH–CRLH couplers have been rigorously analyzed and synthesized by the even/odd mode decomposition technique [4], [5], [9]. In contrast, RH–CRLH couplers, which cannot be described by even/odd mode decomposition due to their asymmetric nature, have been studied from a speculative prospect [6]–[8]; for this type of coupler, a theory for the complete understanding and efficient design over the full coupling bandwidth is still lacking. In this paper, we present a generalized coupled-mode approach of coupled-line couplers [10]–[12], which is valid for any type of coupled-line couplers and equally applicable to both the conventional and metamaterial couplers. This theory is developed in the most general case of a coupled-line coupler comprised of two CRLH transmission lines, and then particularized to the cases of the CRLH–CRLH and RH–CRLH couplers. Both couplers, implemented in a convenient metal–insulator–metal technology, are demonstrated experimentally in comparison with theoretical predictions. This paper is organized as follows. Section II presents the CRLH generalized coupled-mode theory (CMT). Section III develops a quasi-TEM approximation (exact for TEM structures) of the CMT, which provides both simplified formulas for practical contra-directional couplers and straightforward insight into coupling phenomena. The CMT is demonstrated experimentally and compared to circuit simulation predictions in Section IV for three different types of couplers. Finally, Section V presents conclusions.

0018-9480/$25.00 © 2007 IEEE

1030

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 1. Different possible types of coupled-line couplers. (a) RH (conventional) symmetric (two identical RH lines). (b) RH asymmetric (two different RH lines). (c) CRLH symmetric or asymmetric (two identical or different CRLH lines). (d) RH–CRLH line asymmetric (one RH l and one CRLH line).

II. CRLH-GENERALIZED CMT Fig. 1 represents the different existing types of conventional and metamaterial, symmetric and asymmetric, coupled-line couplers. All of them can be rigorously analyzed with the generalized CMT, which is presented in this paper. Fig. 2 shows a coupled-line coupler constituted of the generalized CRLH transmission lines [4]. Fig. 2(a) shows the forward -direction) and backward (propagating (propagating in the -direction) coupled-mode waves used in the CMT , in the where the subscript indicates the line considered denotes forward ( ) or backward ( ) and the superscript wave propagation [11], [12]. Fig. 2(b) shows the equivalent cir, are the cuit for the coupled-line structure, where , are the RH–LH self-caRH–LH self-inductances and pacitances per unit length of line in the presence of other line, and where magnetic and electric coupling between the two lines and , respectively. are represented by The CRLH coupled-line coupler shown in Fig. 2 is the most general coupled-line configuration because its CRLH-based transmission line can represent any physical transmission line structure. Therefore, any type of coupled-line coupler (Fig. 1) can be described with this generalization with the particular configurations shown in Table I. Formally, couplers including can also be purely LH transmission lines handled by the model of Fig. 2(b); however, it is known that such structures cannot be realized physically due to parasitic [4] and are, therefore, not considered per se. The Kirchhoff’s voltage and current laws for the circuit of Fig. 2(b) in the harmonic regime with the time-dependence conconstitute the following system of first-order couvention pled differential equations:

Fig. 2. Generalized CRLH line coupled-line coupler representing any of the possible coupler types shown in Fig. 1. (a) Generic representation with forward and backward coupled-mode waves on each line. (b) Infinitesimal CRLH equivalent circuit.

TABLE I DIFFERENT COUPLER TYPES AS A FUNCTION OF THE LC PARAMETERS IN THE GENERAL MODEL OF FIG. 2(b) (THE CROSSES INDICATE FINITE NONZERO VALUES)

(1d) In essence, the coupled-mode solution is an extension of the solution to the uncoupled system, taking into account coupling. Let us, therefore, first consider the uncoupled system. In the , (1a)–(1d) reduce to the absence of coupling telegrapher’s equations, whose solutions are (2a) (2b) where (2c) and

(1a) (1b) (1c)

(2d) are the propagation constants and characteristic impedance of each isolated CRLH line. The isolated self-inductance

NGUYEN AND CALOZ: GENERALIZED COUPLED-MODE APPROACH OF METAMATERIAL COUPLED-LINE COUPLERS

and self-capacitance can be approximated to the coupled-structure self-inductance and capacitance as (2e) (2f) Adding and subtracting (2a) and (2b) multiplied by the normalized forward and backward waves

yields

(3) Inverting this relation provides the following alternative expression to (2a) for the voltage and current:

(4) Equation (4) can now be inserted into system (1a)–(1d) to account for coupling. This leads, after some algebraic manipulations, to the following linear system of equations: (5a) (5b) (5c)

1031

Although this expression has been cancelled after insertion of (4) into (1a)–(1d), as seen in (5a)–(5h), it represents the coupled for line #2 in the impedances of each line ( for line #1 and presence of other line and, therefore, are the impedances to be considered for exact matching of the coupled-line structure. The coupled-mode system (5a)–(5h) may be interpreted as , each forfollows. In the absence of coupling ward or backward wave is coupled only to itself, and the four equations (5a)–(5d) reduce to the wave equations of two isolated of CRLH transmission lines with propagation constant (2a)–(2f). If coupling is present, then the waves are coupled to each other via the general form of (5a)–(5h). The two following , the particular cases are of particular interest. If wave incident at port 1 of line 1 is coupled only to the wave of the opposite direction on line 2; consequently, only backward-wave coupling is produced and the resulting coupler is , the wave incident termed contra-directional. If at each port is coupled only to the wave of the same direction in the other line; consequently, only forward-wave coupling is produced and the resulting coupler is termed co-directional [10], [12]. In the most general case, where , the incident wave is coupled to all the other waves; depending on whether the ratio is closer to 1 or to 1, we have a contra-directional or a co-directional coupler with imperfect isolation. Let us now solve the system of (5a)–(5h) in order to deter, as well mine the coupled forward and backward waves as the subsequent scattering parameters for the coupler. For this purpose, we assume general solutions of the form

(5d) (7)

where (5e) (5f) with

where represents the coupled complex propagation constant to be determined. Although the coupled-mode approach can straightforwardly handle nonuniform lines, we will restrict the analysis here, for simplicity, to the case of uniform lines, where the transmission parameters (propagation constant and characteristic impedance ) do not vary with the position . By substituting the waveform of (7) into (5a)–(d), we obtain the following homogenous matrix equation:

(5g) (5h) and are defined as the magnetic and electric couwhere pling coefficients, respectively, and and are defined as the forward and backward coupling coefficients in reference to (5a)–(5h) and Fig. 2(a), respectively. The coupled-mode characteristic impedances are obtained from the characteristic impedances of the isolated lines with (2e) and (2f) as

(6)

(8a)

and (8b), shown at the bottom of the following page, which admits a nontrivial solution only if the determinant of the matrix vanishes. Setting this determinant to zero yields a biquadratic equation in , which has the solutions shown in (9), at the bottom of the following page. The general forward and backward wave solutions of (7) can now be written explicitly in terms of superposition of the waves with the propagation constants found in (9), and their unknown amplitude coefficients can be determined by the application of appropriate boundary conditions, as done in Appendix A. The

1032

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

explicit solutions are given by (A1)–(A4). The scattering parameters are then obtained from their definitions as

(11b) into (5a)–(5h) and assuming that the excitation is applied at port 1, we obtain the reduced linear equations (12a)

(10a)

(12b) (10b)

Inserting (7) into this system leads to the matrix equation

(10c) (10d)

(13a) where (13b)

where and are given by (A5) and (A6), respectively, and – are given by (A8a)–(A8d). It is important to note that (10a)–(10d) assume that all ports are matched, which, in practice, is a reasonable assumption. If this was not assumed, multiple reflections would occur and result in more complicated expressions.

By setting the determinant of this matrix to zero yields the coupled propagation constant solution (14a) where (14b)

III. QUASI-TEM CONTRA-DIRECTIONAL APPROXIMATION Most planar microstrip microwave couplers are quasi-TEM, which result into contra-directional couplers [10]. In the case of a quasi-TEM symmetric coupler, it may be shown [1] that the magnetic and electric coupling coefficients are approximately (e.g., microstrip), where the approximation beequal, comes an equality in the TEM case (e.g., stripline). Under this condition, the forward and backward coupling coefficients (5f) and (5g) reduce to (11a) (11b) which shows with (5a)–(5h) that the forward wave couples only to the backward wave and vice versa. Substituting (11a) and

and (14c) (14d) The propagation constants of the three couplers analyzed in this paper correspond to the following three cases. Case 1) RH–RH coupler [see Fig. 1(a)] and, In this case, so that therefore, . This corresponds to two purely real solutions since and are always the coupling coefficients smaller than one. Case 2) CRLH–CRLH coupler [see Fig. 1(c)] In this case, we may write from , where and

(8b)

I;I I =

2 0K2 + KFW BW

2 2 CRHL1 + CRLH2

2

6

2 2 2 CRLH1 0 CRLH2

2

2 ( 2 0 KBW CRLH1 0 CRLH2 )2 + KFW ( CRLH1 + CRLH2 )2

(9)

NGUYEN AND CALOZ: GENERALIZED COUPLED-MODE APPROACH OF METAMATERIAL COUPLED-LINE COUPLERS

1033

. We then have , which, for a given coupler, can be either purely real or purely imaginary depending on frequency. Case 3) RH–CRLH coupler [see Fig. 1(d)] ; therefore, In this case, , and the propagation conare either purely real or complex in the stants is purely real or purely frequency ranges where imaginary, respectively. These three cases will be illustrated in Section IV with the experimental demonstration of corresponding prototypes. As in the general case presented in Section II, the general forward- and backward-wave solutions in (7) can be written explicitly in terms of superposition of the waves with the propagation constants found [here, (14a)–(14d)], and their unknown amplitude coefficients can be determined by the application of appropriate boundary conditions. This is done in Appendix B and the solutions are given by (B1) and (B2). The scatexplicit tering parameters are then obtained from their definitions as (15a)

(15b)

(15c) (15d) These expressions are considerably simpler than those given for the general CMT of Section II in (10a)–(10d) and are, therefore, very convenient for contra-directional couplers. They are exact for TEM symmetric couplers and approximate, but practically satisfactory for quasi-TEM symmetric couplers. Since , due to the assumption , finite isolation in nonperfect TEM couplers cannot be predicted, but the through and coupled parameters and , respectively, are accurately predicted. In the case of co-directional couplers, where the coupled and isolated ports are 4 and 3, respectively, the general solutions of Section II must be used. Dual approximate solutions corresponding to the assumption of , or according to (5g), could also be developed, but this is not done here due to the rarity of co-directional coupled-line couplers in microwaves.

IV. EXPERIMENTAL DEMONSTRATIONS The generalized CMT presented above is experimentally demonstrated here. For this purpose, we consider an RH–RH symmetric coupler [see Fig. 1(a)], a CRLH–CRLH symmetric coupler [see Fig. 1(c)], and an RH–CRLH asymmetric coupler [see Fig. 1(d)], whose prototypes are shown in Fig. 3(a)–(c),

Fig. 3. Coupled-line coupler prototypes used for the validation of the generalized CMT. (a) RH–RH. (b) CRLH line–CRLH line [9]. (c) RH–CRLH.

respectively. The asymmetric RH–RH coupler [see Fig. 1(b)] is not considered explicitly, as it may be considered as a particular (and simplified) case of the RH–CRLH one. The three couplers considered are essentially contra-directional. All three prototypes are designed using Agilent’s ADS Momentum (method of moments) commercial software. The Momentum results have shown very close agreement with measurements in all testing prototypes. Therefore, Momentum results are not reported since they provide little information beyond measurements. Instead, a comparison with the more informative, fast, and insightful ideal circuit model of Fig. 2(c) is provided.

1034

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 4. Coupled-mode propagation constants computed by (14a)–(14d) for the RH–RH coupled-line coupler prototype of Fig. 3(a) with the extracted param= C = 0:298 pF, L , L , C , eters L = L = 0:684 nH, C C , L = 0:167 nH, and C = 0:072 pF. The (identical) propagation constants for the two coupled lines (i.e., each line in the presence of the other one) are also shown for comparison.

!1

A. RH–RH Coupler (Case #1) Here, we consider the RH–RH coupled-line coupler prototype shown in Fig. 3(a). Since this coupler is quasi-TEM, it may be analyzed by the quasi-TEM approximation of CMT developed in Section III. Here, we have , , and with . The purely real forward and backward propagation constants are shown in Fig. 4 along with the propagation constants of each line in the presence of the other one. The coupled propagation constants are slightly smaller than those of the isolated lines due to the presence of coupling since, from the above, . These propagation constants can be shown to be equivalent to the well-known even and odd mode propagation constants [2], where the assumption is typically made. The expressions for the through and coupled scattering parameters can be simplified here from (15a)–(15d) to yield (16a) (16b) which are recognized to be equivalent to the well-known even/odd mode expressions [1], [13] with . The return loss, through, coupling, and isolation parameters obtained by measurement, circuit simulation, quasi-TEM theory [see (15a)–(15d) and (16a) and (16b)], and CMT [see (10a)–(10d)] are shown in Fig. 5. Excellent agreement is observed for the through and coupled parameters. The fact that the quasi-TEM approximate solution for is closer than the CMT solution to the measurement result may seem paradoxical, but is most likely due to the fact that the measured is reduced by losses, not accounted for in the current CMT modeling (for simplicity). In contrast, significant disagreement is observed between circuit simulation/measurement and the CMT for the return loss and isolation results. This

Fig. 5. Scattering parameters obtained by measurement, circuit simulation, quasi-TEM approximation of CMT [see (15a)–(15d) and (16a) and (16b)] and general CMT [see (10a)–(10d)] for the RH–RH coupled-line coupler of Fig. 3(a). (a) Return loss: S . (b) Through: S . (c) Coupled: S . (d) Isolation: S .

disagreement is explained by the fact that reflections due to imperfect matching [the impedance seen into the four ports of the

NGUYEN AND CALOZ: GENERALIZED COUPLED-MODE APPROACH OF METAMATERIAL COUPLED-LINE COUPLERS

1035

Fig. 6. Coupled-mode propagation constant computed by (14a)–(14d) for the CRLH line–CRLH line coupler prototype of Fig. 3(b) with the extracted param= L = 1:938 nH, C = C = 0:841 pF, L = L = eters L 0:749 nH, C = C = 0:416 pF, L = 0:361 nH, and C = 0:189 pF. The (identical) propagation constants for the two coupled lines (i.e., each line in the presence of the other one) are also shown for comparison.

coupler were designed based on the isolated lines impedance (2d), whereas the exact impedance as modified by coupling is that given in (6)] are not taken into account in the current CMT, while it is naturally included in the circuit simulation. In the case of perfect matching, the return loss and isolation would be very close to the CMT result. B. CRLH–CRLH Coupler (Case #2) Consider now the CRLH–CRLH coupled-line coupler prototype shown in Fig. 3(b). Similar to the RH–RH coupler, this coupler is quasi-TEM and, therefore, can be analyzed by the , quasi-TEM CMT of Section III. Here, we have with , and may be either purely real or purely imaginary depending on frequency. These forward and backward propagation constants are plotted in Fig. 6, and are seen to be purely imaginary in the coupling range and purely real outside of the coupling range, as may be easily verified mathematically. Also plotted in Fig. 6 are the propagation constants of each line in the presence of the other one. The coupled-mode propagation constants can be shown to be equivalent to the even and odd mode propagation constants described in [5] and [9], where the assumption is typically made. The expressions for the through and coupled scattering parameters within the range where the coupled-mode propagation constants are purely imaginary can be simplified here from (15a)–(15d) to yield

(17b)

Fig. 7. Scattering parameters obtained by measurement, circuit simulation, quasi-TEM approximation of CMT [see (15a)–(15d) and (17a) and (17b)] and general CMT [see (10a)–(10d)] for the CRLH line–CRLH line coupler of Fig. 3(b). (a) Return loss: S . (b) Through: S . (c) Coupled: S . (d) Isolation: S .

which are recognized to be equivalent to the even/odd mode expressions of [5] and [9] with . The return loss, through, coupling, and isolation parameters obtained by measurement, circuit simulation, quasi-TEM theory [see (15a)–(15d) and

(17a) and (17b)] and CMT [see (10a)–(10d)] are shown in Fig. 7. Similar observations as in the previous case may be made for the comparison of the different results.

(17a)

1036

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 8. Coupled and through parameters computed by (18a) and (18b) as a function of the coupler length (L = N p) at the center frequency of coupling region. N : number of unit cell. p: size of each unit cell.

As first shown in [5], this CRLH–CRLH metamaterial coupler has the capability of achieving any level of coupling (up to quasi-zero decibels) even in an edge-coupled structure. This unusual and useful property is due to the imaginary nature of the coupled-mode propagation constant in the coupling range. As seen in (17b) and plotted in Fig. 8, when becomes imaginary, the trigonometric functions in (17a) and (17b) transform into hyperbolic functions and the coupling level consequently increases monotonically with the length of the coupler until complete coupling is achieved. Whereas the RH–RH coupler exhibits a well-known propagating coupled-mode periodic (trigonometric) response as a function of length, the CRLH–CRLH coupler exhibits an evanescent coupled-mode monotonic (hyperbolic) response as a function of length, which explains why the former has a bounded maximum coupling level, whereas the latter can achieve complete power transfer to the coupled port. Mathematically, maximum coupling occurs in (17a) and (17b) when (i.e., ) and, consequently, these equations reduce to (18a) (18b) It should be noted that, even though maximum coupling occurs in the frequency range where the propagation constants are purely imaginary, coupling does not abruptly drop when frequency is moving to the bands where they are purely real. In fact, in these bands, the coupler operates as a conventional coupled-line structure exhibiting coupling levels periodically varying with the length of the structure [see (15a)–(15d)] instead of monotonically increasing to complete coupling. C. RH–CRLH Coupler (Case #3) Finally, consider the RH–CRLH coupled-line coupler shown in Fig. 3(c). This coupler is still quasi-TEM, but asymmetric and generally more complicated. As mentioned in Section IV, in the quasi-TEM approximation of the CMT, here we have

Fig. 9. Coupled system propagation constant from (14a)–(14d) of RH–CRLH line coupler prototype for the parameters L = 2:903 nH, C = 0:507 pF, L = 1:225 nH, C = 0:287 pF, L = 1:415 nH, C = 0:681 pF, L ,C , L = 0:684 nH, and C = 0:130 pF. The propagation constants for the two coupled lines (i.e., each line in the presence of the other one) are also shown for comparison.

!1

, and is either purely real or complex in the frequency ranges where is purely real and purely imaginary, respectively. These complex propagation constants are plotted in Fig. 9. They may be shown to be equivalent to the and mode propagation constants described in [7] and [8] for a metamaterial microscope/negative refractive index (MS/NRI) coupled-line coupler. As in the case of the CRLH–CRLH coupler, an arbitrary level of coupling can also be achieved, due here to the imaginary part of the complex propagation constant in the coupling frequency band. The expressions for the through and coupled scattering parameters within the coupling range and at the frequency point , simplified from (15a)–(15d), read where here as (19a) (19b) which are recognized to be equivalent to the and mode expressions with and [7], [8]. The return loss, through, coupling, and isolation parameters obtained by measurement, circuit simulation, quasi-TEM theory [see (15a)–(15d) and (19a) and (19b)], and CMT [see (10a)–(10d)] are shown in Fig. 10. Similar comments as in the previous two cases can be made here. V. CONCLUSION A completely rigorous and general coupled-mode approach of metamaterial coupled-line couplers has been presented. This approach is based on the CRLH generalization of the transmission lines constituting the coupler. Exact and quasi-TEM approximation formulas for the coupled mode propagation constants and scattering parameters have been derived, and accurate explanations have been provided to explain the unusual coupling phenomena, such as super-high coupling in edge couplers, occurring in the presence of metamaterial lines. The theoretical

NGUYEN AND CALOZ: GENERALIZED COUPLED-MODE APPROACH OF METAMATERIAL COUPLED-LINE COUPLERS

1037

Fig. 11. Coupled-line structure description with appropriate source and terminations for the application of boundary condition in the CMT.

Fig. 12. Coupled-line structure description with appropriate source terminations for the application of boundary condition in the quasi-TEM approximation.

APPENDIX A By superposition, the coupled forward and backward waves propagating on line 1 are given from (7) as (A1) (A2) are given by (9) and – are unknown amplitudes where to be determined. The coupled forward and backward waves propagating on line 2 are obtained by substituting (A1) and (A2) into (5a) and (5b), which yields (A3) (A4) where (A5) (A6) The coefficients – can now be determined by applying the following boundary conditions at the ports of the coupled-line structure, which yields with reference to Fig. 11, (A7a) (A7b) Fig. 10. Scattering parameters obtained by measurement, circuit simulation, quasi-TEM approximation of CMT [see (15a)–(15d) and (19a) and (19b)] and general CMT [see (10a)–(10d)] for the RH–CRLH line coupled-line coupler of Fig. 3(c). (a) Return loss: S . (b) Through: S . (c) Coupled: S . (d) Isolation: S .

(A7d)

predictions and phenomenological explanations have been validated by experimental demonstration and circuit simulations for different conventional and metamaterial couplers.

This represents an algebraic system of four equations with four unknowns – . After lengthy, but straightforward algebraic manipulations, these coefficients are found to be (A8a)–(A8d), shown at the top of the following page.

(A7c)

1038

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

(A8a)

(A8b) (A8c) (A8d)

APPENDIX B

ACKNOWLEDGMENT

By superposition, the coupled forward wave propagating on line 1 are given from (7) as

The authors wish to thank R. Brassard, Poly-Grames Research Center, Montréal, QC, Canada, for the fabrication of the prototypes.

(B1) where are given by (14a)–(14d) and and are unknown amplitudes to be determined. The coupled waves propagating on line 2 are obtained by substituting (B1) into (5a), which yields

(B2) The coefficients and can now be determined by applying the following boundary conditions at the ports of the coupledline structure, which yields with reference to Fig. 12,

(B3a)

(B3b)

This represents an algebraic system of two equations with two unknowns and . After some algebraic manipulations, these coefficients are found to be

(B4a) (B4b)

REFERENCES [1] R. Mongia, I. Bahl, and P. Bhartia, RF and Microwave Coupled-Line Circuits. Norwood, MA: Artech House, 1999. [2] K. C. Gupta, R. Garg, and I. J. Bahl, Microstrip Lines and Slotlines. Norwood, MA: Artech House, 1996. [3] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. New York: McGraw-Hill, 1964. [4] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications. Piscataway, NJ: Wiley–IEEE Press, 2005. [5] C. Caloz, A. Sanada, and T. Itoh, “A novel composite right/left-handed coupled-line directional coupler with arbitrary coupling level and broad bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 980–992, Mar. 2004. [6] C. Caloz and T. Itoh, “A novel mixed conventional microstrip and composite right/left-handed backward-wave directional coupler with broadband and tight coupling characteristics,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 1, pp. 31–33, Jan. 2004. [7] R. Islam, F. Elek, and G. V. Eleftheriades, “Coupled-line metamaterial coupler having co-directional power flow,” Electron. Lett., vol. 14, no. 5, Mar. 2004. [8] R. Islam and G. V. Eleftheriades, “Printed high-directivity metamaterial MS/NRI coupled-line coupler for signal monitoring applications,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 4, pp. 164–166, Apr. 2006. [9] H. V. Nguyen and C. Caloz, “Simple-design and compact MIM CRLH microstrip 3-dB coupled-line coupler,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 2006, pp. 1733–1736. [10] B. M. Oliver, “Directional electromagnetic couplers,” Proc. IRE, vol. 42, no. 11, pp. 1686–1692, Nov. 1954. [11] W. H. Louisell, Coupled Mode and Parametric Electronics. New York: Wiley, 1960. [12] M. K. Krage and G. I. Haddad, “Characteristics of coupled microstrip transmission lines—I: Coupled-mode formulation of inhomogeneous lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 4, pp. 217–222, Apr. 1970. [13] D. M. Pozar, Microwave Engineering, 3rd ed. Hoboken, NJ: Wiley, 2005. [14] S. Ramo, J. R. Whinnery, and T. Van Duzer, Fields and Waves in Communication Electronics, 3rd ed. New York: Wiley, 1994.

NGUYEN AND CALOZ: GENERALIZED COUPLED-MODE APPROACH OF METAMATERIAL COUPLED-LINE COUPLERS

Hoang Van Nguyen (S’01) was born in Hanoi, Vietnam, in 1978. He received the B.A.Sc (with honors) degree in electrical engineering from the University of Toronto, Toronto, ON, Canada, in 2001, the M.A.Sc degree in electrical engineering from Carleton University, Ottawa, ON, Canada, in 2004, and is currently working toward the Ph.D. degree at the École Polytechnique de Montréal, Montréal, QC, Canada. His master’s thesis involved collaborative research with Sirenza Microwave Devices Inc., Kanata, ON, Canada, where he developed linearization circuits for RF power amplifiers. In Summer 2004, he was a Summer Research Fellow with the Advanced Silicon Devices and Materials Laboratory, National Tsing-Hua University, Hsinchu, Taiwan, R.O.C., where he developed CMOS ultra-wideband low-noise amplifier. His research interests include microwave and millimeter-wave circuits and devices, ultra-wideband systems, and electromagnetic metamaterial devices and components.

Christophe Caloz (S’99–M’03–SM’06) was born in Sierre, Valais, Switzerland, in 1969. He received the Diplôme d’Ingénieur en Électricité and Ph.D. degree from the École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, in 1995 and 2000, respectively. From 2001 to 2004, he was a Post-Doctoral Research Engineer with the Microwave Electronics Laboratory, University of California at Los Angeles (UCLA), where he conducted research on microwave devices, antennas and systems, photonic bandgap (PBG) structures, and electromagnetic metamaterials. In June 2004, he joined the École Polytechnique of Montréal, Montréal, QC, Canada, where he is

1039

currently an Associate Professor, a member of the Microwave Research Center Poly-Grames, and the Holder of a Canada Research Chair (CRC) entitled “Future Intelligent Radio-frequency Metamaterials” (FIRMs), associated with a novel Canadian Foundation for Innovation (CFI) infrastructure. He is also the Holder of the Natural Sciences and Engineering Research Council (NSERC) of Canada Strategic Project Grant “Novel Ultra-Wideband (UWB) Front-End Transceiver Systems.” He has authored or coauthored 200 technical conference, letter, and journal papers, among which 35% were invited papers (over 45% of conference papers). He authored the first unified textbook on metamaterials Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications (Wiley–IEEE Press, 2005). He has also authored three book chapters. He was the Guest Editor of the March–April 2006 Special Issue on Metamaterials of the International Journal for Numerical Methods (IJNM). He is currently a member of the Editorial Board of the IJNM, the International Journal of RF and Microwave Computer-Aided Engineering (RFMiCAE), and Metamaterials, created by the Metamorphose Network of Excellence. In addition, he is a reviewer for many journals, including Electronic Letters, the Journal of Applied Physics, Applied Physics Letters, the Journal of Optics, the New Journal of Physics, and other international periodicals. He holds several patents. His current research interests include novel metamaterials for millimeter-wave and optical applications’ nonlinear and active devices, thin-film/bulk ferroelectric and ferromagnetic components, ultra-wideband (UWB) systems, terahertz technology, and numerical methods. Dr. Caloz is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Technical Coordinating Committee (TCC) MTT-15, and the chair of the Commission D (Electronics and Photonics) of the Canadian Union de Radio Science Internationale (URSI). He has also participated in 15 courses, tutorials, and workshops around the world over the past three years, and he has organized several focused sessions and workshops at international conferences. He is the Technical Program Committee (TPC) chair of the 2007 International Symposium of Signals, Systems and Electronics (ISSSE), Montréal, QC, Canada, July 30–August 2, 2007. In March 2004, he was the recipient of the UCLA Chancellor’s Award for Postdoctoral Research. He was also the recipient of the 2007 MTT-S Outstanding Young Engineer Award.

1040

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Design, Fabrication, and Measurement of Benzocyclobutene Polymer Zero-Level Packaging for Millimeter-Wave Applications Seonho Seok, Nathalie Rolland, and Paul-Alain Rolland

Abstract—This paper presents a whole benzocyclobutene (BCB) membrane zero-level packaging using a wafer-level BCB bonding technique and a membrane transfer technique with silicon carrier wafers for millimeter-wave devices. The developed packaging technology has been applied to a coplanar line and thin-film planar resonator to evaluate the effect of the packaging on their RF performance. The packaged coplanar line has shown an insertion loss change less than 0.01 dB/mm from dc to 110 GHz and thin-film resonator has 0.6-dB return loss change at 62.5-GHz resonant frequency after packaging. In addition, a flip-chip compatible BCB packaging technology has been implemented using gold-electroplating technology for a vertical interconnection. The effects of a vertical interconnection on the coplanar line and a BCB layer over the gold-plugged coplanar line have also been investigated. Index Terms—Benzocyclobutene (BCB) film, millimeter wave, wafer-level packaging, zero-level packaging.

I. INTRODUCTION ICROELECTROMECHANICAL systems (MEMS) packaging is a challenging technology because MEMS contains movable fragile parts such as a membrane or spring. The released moving parts should be encapsulated and protected during wafer handling, wafer dicing, or plastic moulding. The packaging techniques that can be carried out on the wafer during the wafer process are preferable. This kind of packaging is called as zero-level packaging. One big approach for fabricating a wafer-scale zero-level package is to adhesive bond a cover wafer that contains etched cavities on its surface over a wafer containing active devices. There have been many reports about an adhesive-bonding using epoxy, glass frit, and liquid-crystal polymer. Another promising candidate is the photopatternable benzocyclobutene (BCB) polymer. It was used for the packaging of MEMS devices because it has good electrical properties, as given in [1]. Generally, it was utilized as an intermediate sealing layer between a capping material and a device wafer because its flow characteristics during curing provide a good seal for signal feedthroughs [2], [3]. As a capping material, Pyrex glass and high resistive silicon have

M

Manuscript received October 24, 2006; revised January 3, 2007. This work was supported by the European Fonds Europeen de Developpement Regional. The authors are with the Institute de Rechecher sur les Composant logiciels et materiels pour l’Information et la Communication Avancee, Institute d’Electronique de Microelectronique et de Nanotechnologie, University of Lille 1, 59652 Villeneuve d’Ascq, France (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895411

been mainly chosen because of their processibility and low-loss property at high frequencies [2]–[4]. However, one should use a relatively high cavity for a packaged device to minimize the RF characteristics variation [2]. This kind of cavity can be made by a glass wet-etching technique, deep silicon etching, and sandblasting. These process steps make the fabrication complex, and hence, cost becomes high. BCB can be easily spin coated and patterned by a conventional photolithography process. Futhermore, multilayered BCBs can be fabricated by controlling the exposure intensity because they also have an excellent adhesion between themselves, which makes it possible to realize an encapsulation cap for the packaging of RF MEMS devices. Recently, the demands for a flip-chip compatible packaging increase. For this purpose, single crystalline silicon [5], glass [5], and ceramic material like low-temperature co-fired ceramic (LTCC) [6] have been used because via feedthroughs can be implemented by a conventional manufacturing process. However, these materials have a limited dimension for a vertical interconnection line due to the difficulty of a fabrication process to pattern a high aspect ratio via. In this paper, a novel BCB film encapsulation technology is presented to implement chip-scale packaging of RF MEMS or monolithic microwave integrated circuit (MMIC) devices. A BCB film membrane was fabricated and transferred to device wafer using silicon-carrier wafer transfer technology. The effect of BCB package was characterized using coplanar lines and thin-film planar resonator. Compared to the Pyrex #7740 package [8], the RF performance of the BCB film packaged devices was significantly improved. A flip-chip compatible packaging has been also implemented and the measured results of its critical components were presented. In Sections II–IV, detailed fabrication processes, packaging results, and RF measurements results will be described. II. DESIGN The metal (gold) post for a vertical interconnection can be modeled as a short piece of a transmission line of length , where is the thickness of the gold plug and BCB polymer. Therefore, a series inductance and a shunt capacitance will be added to the coplanar line after packaging. The values of both and depend on the number of the plugs, their radius “ ,” the separation between their centers “ ,” and the permittivity and permeability of the packaging material, as shown in (1) [12]. Based on (1), it is said that the resultant reactance of the

0018-9480/$25.00 © 2007 IEEE

SEOK et al.: DESIGN, FABRICATION, AND MEASUREMENT OF BCB POLYMER ZERO-LEVEL PACKAGING

1041

Fig. 1. HFSS model of the proposed packaging.

gold plug becomes more inductive for a designed package dim, m) as the height of the gold mension ( plug increases as follows: (1)

The proposed package has been simulated with Ansoft’s High Frequency Structure Simulator (HFSS) simulator including a coplanar line and BCB membrane, as shown in Fig. 1. The HFSS model consists of a 50- coplanar line on Pyrex glass, BCB membrane with a vertical interconnection gold plug, and an upper thin gold electrodes for an RF feed. The coplanar line has 1-mm length, 75- m width of the central strip, and 15- m spacing between the signal line and ground plane. The thickness of the coplanar line is 3 m. For the BCB membrane, the heights of cavities for devices packaging are fixed to 20 m and the thickness has been changed from 40 to 160 m with a step of 40 m, which is the same as the gold plug. BCB has a relative low dielectric constant of 2.65 and, thus, the insertion loss change can be minimized. It is assumed that this value is valid up to 110 GHz in this study. The diameter of the gold plug for a vertical interconnection was fixed to 50 m considering the and fabrication process. The simulated -parameters ( , , ) are shown in Fig. 2 depending on the height of the gold plug. As the height of gold plug increases, the insertion loss increases and the resonant frequency decreases in the -band because the effective length of coplanar line becomes longer, as predicted above.

Fig. 2. HFSS simulation results depending on the height of a gold plug, which is the same as the BCB’s height. (a) S , S . (b) S , S .

III. FABRICATION The fabrication process is based on a wafer-level BCB membrane transfer technique using a silicon carrier wafer [7] and gold electroplating technology to implement a flip-chip compatible package. The process flow is shown in Fig. 3(a)–(f). It is, respectively: (a) a gold plug electroplated using a thick

Fig. 3. Fabrication process. (a) Gold plug electroplating. (b) Removal PR mold. (c) Formation of BCB cap. (d) Bonding with device wafer. (e) Removal of carrier wafer. (f) Removal of metal layers.

1042

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 4. Released BCB membranes.

Fig. 6. BCB film packaged CPW and its measured film packaged CPW. (b) Measured S .

S

(from [7]). (a) BCB

RF accesses have been successfully implemented inside the BCB membrane. Hermeticity of the BCB film was compensated by depositing 0.5- m-thick PECVD nitride on the BCB membrane. The hermeticity of the compensated one was verified qualitatively by monitoring the BCB shape change at the vacuum level of 1 mtorr. IV. RF MEASUREMENTS AND DISCUSSIONS Fig. 5. Fabrication results. (a) Completed a flip-chip compatible BCB package. (b) Gold plugs for a vertical interconnection.

photoresist (AZ9260) mold, (b) the mold removed after electroplating, (c) BCB membrane fabricated by a multilayer BCB coating process, (d) thermocompression bonding performed at wafer level, (e) silicon carrier wafer removed, and (f) packaging completed after removal of metal layers. Before applying BCB polymer to packaging, BCB membranes were fabricated and released to investigate their possibility as a packaging material. If the BCB membrane itself cannot sustain its shape, it is impossible to use the membrane for packaging. Fig. 4 shows the fabrication results. It is confirmed that the membrane and the cavities for devices have been well defined. A little bending at the edge has been observed due to a residual stress of BCB film. The fabricated prototype BCB polymer packaging and the scanning electron microscope (SEM) photograph of electroplated gold plugs are presented in Fig. 5. Gold-electroplated

The developed BCB film package was applied to coplanar waveguide (CPW) lines on a Pyrex #7740 glass substrate to investigate its effects at high frequencies. The lines were designed to have 50- characteristic impedance, as depicted in Section II. The CPW lines have shown an insertion loss of 0.3 dB/mm at 60 GHz. After packaging, the BCB film packaged CPW lines were measured from dc to 110 GHz. The measured result and a comparison with a not-packaged device are illustrated in Fig. 6. The measured -parameters for both cases have almost the same characteristics in the measured frequency range. A thin-film planar resonator based on a CPW structure has also been used to evaluate the effect of the BCB film package on resonant devices. The packaged resonator and its measurement results are presented in Figs. 7 and 8, respectively. As can be seen in Fig. 8, the resonant frequency change is approximately 0.1 GHz for a 62.5-GHz resonant frequency and the return loss change for the packaged resonator is approximately 0.7 dB at the same frequency, while the glass packaged [8] resonator has 1.4-dB return loss change.

SEOK et al.: DESIGN, FABRICATION, AND MEASUREMENT OF BCB POLYMER ZERO-LEVEL PACKAGING

1043

Fig. 7. (a) BCB film packaged thin-film resonator. (b) Enlarged view of part A in (a).

Fig. 9. Test patterns. (a) CPW with only gold plugs. (b) Gold-plugged CPW with BCB sealing ring above the line in (a).

Fig. 8. Measured S

for a planar resonator. Fig. 10. Comparison between HFSS simulation and measured S -parameters of CPW and a gold-plugged CPW.

From the above results, it can be said that the BCB polymer package has little effect on encapsulated devices. The effect of a flip-chip compatible package using the same material has also been characterized. To investigate the effect of the gold-plug interconnection, test patterns (a gold-plugged CPW line and a gold-plugged CPW covered with a BCB sealing ring) have been fabricated. The photographs of the test patterns are shown in Fig. 9. These test patterns have been measured using a HewlettPackard network analyzer HP8510C from dc to 110 GHz. ) are shown in Figs. 10 The measured -parameters ( , and 11 respectively. The measured insertion losses of a 50CPW and of a gold-plugged CPW are compared with HFSS simulation results in Fig. 10. Insertion losses of 0.35 dB/mm

at 80 GHz were measured for both the CPW line and a gold-plugged CPW line. Return losses of less than 20 dB at the same frequency were obtained for both lines. As mentioned in Section II, the resonant frequency of a gold-plugged CPW line was decreased because of the added series inductance components. The effect of the BCB polymer has also been examined and the measured -parameters are presented in Fig. 11. As you can see in this figure, the insertion loss does not change up to 80 GHz. From 80 to 110 GHz, the insertion loss is increased by 0.1 dB/mm. The return loss is increased by a few decibels over the whole frequency band and the resonant frequency was

1044

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 11. Measured BCB sealing ring.

S -parameters

for a gold-plugged coplanar with/without

increased by a few gigahertz because of an increased shunt capacitance generated from the deposited BCB. V. CONCLUSION A novel BCB film zero-level packaging has been proposed. Since BCB has good electrical and mechanical properties, it was chosen as the packaging material for millimeter-wave devices. As compared with conventional methods, which uses BCB as an intermediate layer between a capping material and device chip, this proposed approach relies on an entire BCB encapsulating cap. This BCB cap package has an optical transparency, which makes it possible to inspect optically after packaging, and it also exhibits low-loss characteristics. Multilayer coating process using BCB (type: CYCLOTENE 4026-46) was developed to make an encapsulation BCB cap. The average height of the BCB cap was 40 m for package dimensions of 2 mm 3 mm. The BCB film package with a vertical interconnection using gold electroplating was also implemented and its RF characteristics was presented. The developed package has been applied to a CPW line on a Pyrex glass substrate to evaluate the RF characteristics. The measured results of the BCB film packaged CPW lines have shown that the insertion-loss increase after the BCB film package was below 0.01 dB up to 110 GHz. The BCB film packaged planar resonator has 0.1-GHz resonant frequency change and 0.7-dB return loss change at 62.5-GHz resonant frequency. Therefore, the fabricated BCB polymer film package can be an excellent candidate for millimeter-wave devices. ACKNOWLEDGMENT The authors wish to acknowledge the technical staffs of the Institute d’Electronique de Microelectronique et de Nanotechnologie (IEMN), University of Lille 1, Villeneuve d’Ascq, France. REFERENCES [1] Dow Chemical Company, Midland, MI, “Processing procedures for CYCLOTENE 4000 series photo BCB resins,” Feb. 2005.

[2] A. Jourdain, P. D. Moore, K. Baert, I. D. Wolf, and H. A. C. Tilmans, “Mechanical and electrical characterization of BCB as a bond and seal material for cavities housing (RF-)MEMS devices,” J. Micromech. Microeng., vol. 15, no. 7, pp. 89–96, 2005. [3] H. A. C. Tilmans, H. Ziad, H. Jansen, O. D. Monaco, and A. Jourdain, “Wafer-level packaged RF-MEMS switches fabricated in a CMOS fab,” in IEEE Int. Electron Devices Meeting, 2001, pp. 921–924. [4] J. Oberhammer, F. Niklaus, and G. Stemme, “Sealing of adhesive bonded devices on wafer level,” Sens. Actuators A, Phys., vol. 110, no. 1–3, pp. 407–412, 2004. [5] Y.-K. Park, H.-W. Park, D.-J. Lee, J.-H. Park, I.-S. Song, C.-W. Kim, C.-M. Song, Y.-H. Lee, C.-J. Kim, and B.-K. Ju, “A novel low-loss wafer-level packaging of the RF-MEMS devices layer,” in 15th IEEE Int. Microelectromech. Syst. Conf., Jan. 2002, pp. 681–684. [6] K.-I. Kim, J.-M. Kim, G.-C. Hwang, C.-W. Baek, and Y.-K. Kim, “Packaging for RF MEMS devices using LTCC substrate and BCB adhesive layer,” J. Micromech. Microeng., vol. 16, no. 1, pp. 150–156, 2006. [7] S. Seok, N. Rolland, and P.-A. Rolland, “A new BCB film zero-level packaging for RF devices,” in 36th Eur. Microw. Conf., 2006, pp. 1118–1121. [8] ——, “Zero-level packaging using BCB adhesive bonding and glass wet-etching for W -band applications,” Electron. Lett., vol. 42, no. 13, pp. 755–756, Jun. 2006. [9] A. Polyakov, P. M. Mendes, S. M. Sianga, M. Bartek, B. Rejaei, J. H. Correia, and J. N. Burghartz, “Processibility and electrical characteristics of glass substrates for RF wafer-level chip-scale packages,” in IEEE Electron. Compon. Technol. Conf., 2003, pp. 875–880. [10] B. Lee, S. Seok, and K. Chun, “A study on wafer-level vacuum packaging for MEMS devices,” J. Micromech. Microeng., vol. 13, no. 5, pp. 663–669, 2003. [11] J. Oberhammer and G. Stemme, “BCB contact printing for patterned adhesive full-wafer bonded 0-level package,” J. Microelectromech. Syst., vol. 14, no. 2, pp. 419–425, Apr. 2005. [12] M. Sanad, “Effect of the shorting posts on short circuit microstrip antennas,” in IEEE AP-S Int. Symp., 1994, pp. 794–796.

Seonho Seok received the M.S. and Ph.D. degrees in electrical engineering from Seoul National University, Seoul, Korea, in 1999 and 2004, respectively. He spent six months as a Post-Doctoral Researcher with the Center for Advanced Transceiver Systems (CATS), Seoul National University. In February 2005, he joined the Institute d’Electronique de Microelectronique et de Nanotechnologie (IEMN), University of Lille 1, Villeneuve d’Ascq, France, as a Post-Doctoral Research Scholar, where he has been involved with packaging of electronic devices such as MEMS, MMICs, antennas, etc. His current research interests are wafer-level packaging, microsystems, MEMS antenna and system-in-packages (SiPs) for sensor network application.

Nathalie Rolland received the Engineer degree in microelectronics from the Polytech’Lille, Villeneuve d’Ascq, France, in 1986, and the Ph.D. degree in electronics and HDR degree from the University of Lille 1, Villeneuve d’Ascq, France, in 1989 and 2002, respectively. She is currently an Assistant Professor with the Institute d’Electronique de Microelectronique et de Nanotechnologie (IEMN), University of Lille 1, Villeneuve d’Ascq, France. From 1989 to 2000, she investigated quasi-optical approaches and 3-D interconnects for millimeter-wave devices, circuits, and subsystems for radar and communication application. Since 2000, she is mainly involved in the field of advanced communication systems for smart object communication and sensors networks in the millimeter-wave range. She is responsible for two projects on these topics at IEMN. She possesses lengthy experience in circuits and subsystems design, assembly, and characterization.

SEOK et al.: DESIGN, FABRICATION, AND MEASUREMENT OF BCB POLYMER ZERO-LEVEL PACKAGING

Paul-Alain Rolland was born in Bangui, Republic of Central Africa, on June 24, 1947. He received the Engineer degree and Ph.D. degree in electronics from the Institute Supèrieur d’Electronique et du Numerique (ISEN), Lille, France in 1971 and 1973, respectively, and the Thèse d’Etat degree from the University of Lille 1, Villeneuve d’Ascq, France, in 1978. He is currently a Professor with the Institute d’Electronique de Microelectronique et de Nanotechnologie (IEMN), University of Lille 1, Villeneuve

1045

d’Ascq, France. He is also Head of the Microwave Department, IEMN, where he is responsible for the Circuit System and Microwave Application Group and recently Head of the new Institute de Rechecher sur les Composant logiciels et materials pour l’Information et la Communication Avancee (IRCICA), where he develops interdisciplinary researches on hardware/software interfaces for advanced communication. His current research interests are in the field of advanced communication systems for system-on-chip (SOC) and sensors networks in the millimeter-wave range with emphasis on the ultrawide-band (UWB) technique up-converted in the millimeter wave (60, 140, and 220 GHz). Since 1973 he has amassed a large amount of experience with microwave and millimeter-wave circuits, subsystems, and systems for radars and communication.

1046

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

A New Methodology for the On-Wafer Characterization of RF Integrated Transformers Iosu Cendoya, Joaquín de Nó, Beatriz Sedano, Andrés García-Alonso, Daniel Valderas, and Iñigo Gutiérrez

Abstract—This paper presents a new methodology for measuring integrated transformers. It gives a description of the transformer and its specifications and develops a measurement system. It also presents different transformer geometries and transformer terminal combinations. After the theoretical exposition of the measurement system, a differential–differential integrated transformer and a single–single integrated transformer are measured using this system in order to validate the methodology proposed. In the state-of-the-art, there is no fixed methodology for measuring the coupling factor of a transformer. This paper presents a new methodology for obtaining this figure-of-merit systematically. As a result of this measurement, this paper presents the values obtained and corroborates the measurement system. Thus, this paper presents and explains a new systematic method for measuring transformers. Index Terms—Coupling factor, integrated transformer, measurement system, methodology.

I. INTRODUCTION ODAY, technology is heading towards total integration of the passive elements for RF applications [1], which are usually part of already integrated complex systems such as power amplifiers, low-noise amplifiers, voltage-controlled oscillators, etc. In recent years, one of the newest trials has focused on the integration of transformers [2], [3]. The transformer is the latest member of an already consolidated integrated family: passive devices [4]–[6]. The transformer is suitable for matching or isolating adjacent stages [7]; it can also be used instead of inductors to add a higher quality factor [8]. An integrated transformer can be an attractive element for any RF circuit since, in theory, it is a device that transmits energy without losses. The main points that reflect the loss of an integrated transformer [9] are the coupling factor and other issues, such as the resistivity of the winding metals or the losses of the substrate. The measurement systems of most integrated devices have already been defined. However, there is no methodology for measuring the coupling factor of an integrated transformer. There

T

Manuscript received September 29, 2006; revised January 24, 2007. This work was supported by the Department of Education, Universities and Research of the Basque Government. I. Cendoya and B. Sedano are with the Department of Electrical Engineering, University of Navarra, San Sebastian 20018, Spain (e-mail: [email protected]; [email protected]; [email protected]). J. de Nó, A. García-Alonso, D. Valderas, and I. Gutiérrez are with the Centro de Estudios e Investigaciones Técnicas de Gipuzkoa and Department of Electrical Engineering, University of Navarra, San Sebastian 20018, Spain (e-mail: deno @tecnun.es; [email protected]; [email protected] [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895648

Fig. 1. Electrical model of an ideal transformer. The current i flowing through the primary inductor creates a magnetic field, which causes a current i in the secondary. In this ideal circuit, there is no loss or capacitive coupling.

is a measurement system with a four-port vector network analyzer (VNA) [10], [11], but it is not unusual for the only available measuring device to be a two-port VNA. In this case, several methodologies are used [12]–[15], but none of them are developed in the literature. Moreover, there is no fixed common methodology, which makes it difficult to compare the results obtained. This is the aim of this paper: the development of a generic measuring methodology for any integrated transformer. In Section II, the characteristics of integrated transformers are introduced. The basic integrated transformer geometries are then presented and their most important parameters are defined. The measuring devices for the purpose of this study are described in Section III. Along with this description, an explanation is given of the methodology for different transformer types. Finally, the results obtained are given and commented upon in Section IV. The conclusions drawn from this study are then summarized in Section V. II. BASIS OF INTEGRATED TRANSFORMERS A. Applications and Electrical Model Basically, transformers are used for the following three different functions. 1) Impedance matching: Depending on the number of windings, the transformer has the property to change the impedance of the primary or secondary when measuring from the opposite port [7]. 2) Balun: Balanced to unbalanced conversion based on the magnetic coupling of a balanced or differential inductor and a single inductor [14], [15]. 3) DC isolation: Obtained with the magnetic (nonelectric) connection of the primary and secondary [12]. Fig. 1 summarizes the basis of an ideal transformer where and the secondary self-inducthe primary self-inductance are characterized with ideal inductors. The mutual intance

0018-9480/$25.00 © 2007 IEEE

CENDOYA et al.: NEW METHODOLOGY FOR ON-WAFER CHARACTERIZATION OF RF INTEGRATED TRANSFORMERS

1047

Fig. 3. Interleaved transformer. These transformers are symmetric, have a medium k , and use only two metal layers (one for the underpass). However, C is medium and L and L are low.

Fig. 2. Electrical model of an integrated transformer. This model shows the different parasitic effects in an integrated transformer.

ductance is represented by , the primary and secondary currents and voltages are , , , and , and the primary and and , respectively. The secondary winding numbers are and represents coupling factor is defined by , , and the energy transmitted from the primary port to the secondary port. The behavior of the ideal transformer in Fig. 1 is ruled by its characteristic equations (1) (2) (3) (4) However, in the case of an integrated transformer, there not only will be two inductors, but two models coupled, as shown in Fig. 2 [9]. and represent the ohmic losses due to the • resistivity of the inductor metal tracks. (in femtofarads) is the capacitive coupling caused by • the voltage difference between the turns in the same metal, which form a spiral. (in femtofarads) represents the capacitive coupling • caused by the voltage difference between the turns of the primary and secondary spirals. (in femtofarads) is the capacitive coupling between • the metal used for each inductor and ground. This capacitance can be very similar in the primary and secondary depending on the geometry of the transformer. (in femtofarads) and represent the coupling and • ohmic losses due to the conductive substrate.

Fig. 4. Stacked transformers. On the other hand, stacked transformers have a high k , and L and L are also high and need less silicon area. However, they need more metal layers and all capacitive parasitic effects are higher.

B. Transformer Classification As shown in Fig. 1, the main component of a transformer is the inductor. In order to achieve certain given properties for the transformer, each inductor can be designed in different ways, such as balanced, short circuited with different metal layers, joining different metal layers with a via, etc. These designs result in different combinations between the primary and secondary inductors. In fact, there are different geometries or structures that can be used for the design of transformers, such as interleaved transformers, stacked transformers, or combinations of both designs [17]. Figs. 3 and 4 show typical interleaved and stacked transformers. Therefore, each transformer will have different coupling properties. In addition, the primary and secondary inductor values will be different with regard to the design. The most important parameters of a transformer for an RF designer are as follows: • primary impedance: ; • secondary impedance: ; • resonating frequency: ; • coupling factor: . Primary and secondary impedances provide the primary and secondary inductances, as well as the lower resonating frequency of the transformer. The coupling factor is obtained (or ) [18]. by

1048

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 5. Possible port combinations of a transformer. (a) Differential–differential. (b) Single–single. (c) Differential–single. “S” and “G” represent signal and ground, respectively, as well as the measuring probes used.

The coupling factor does not give a direct value of the power loss in a transformer, but rather a high coupling factor is essential for minimizing the power loss. The effect of the parasitic elements, shown in Fig. 2, can be measured or mathematically estimated, depending on the technology, and the state-of-the-art has design rules for minimizing them [4]. Thus, the coupling factor is the main variable that controls the power loss value. It is fundamental to obtain a high coupling factor in order to avoid the loss between the primary and secondary. This coupling reflects the power transmission efficiency of the transformer, which is essential regardless of the final application of the transformer. To date, there is no methodology for measuring the coupling factor of a generic integrated transformer. Thus, this study develops a specific transformer characterization system. Therefore, the coupling measurement is one of the most important steps in the characterization of a transformer. The measurement method of this coupling will depend on the following: • transformer type; • measuring instrument. Transformers could be differential–differential (SGS–SGS), single–single (GSG–GSG), or differential–single (SGS–GSG), as shown in Fig. 5, where “S” and “G” refer to signal and ground. Measurements are made on-wafer, which explains the nomenclature used. This paper measures differential-to-differential and single-tosingle transformers with a two-port VNA. The third transformer type, i.e., differential–single, is a combination of both transformer types. The procedure applied for such measurements will differ in each case, as shown in Section III. III. MEASUREMENT SYSTEM AND GENERIC TRANSFORMER CHARACTERIZATION A. Measurement System On-wafer measurements of the transformers were made using a two-port HP8719ES -parameter VNA, RF Suhner Sucoflex 104A cables, and GSG or SGS RF probes, as applicable (Fig. 5). The measurement system shown in Fig. 6 was calibrated using the short-open-load-thru (SOLT) technique in the 50-MHz–13.5-GHz frequency range [4]. The calibration reference planes are moved from A and B to A and B , respectively, in order to remove the influence of the measuring elements between the VNA and device-under-test (DUT). The A and B planes are directly on the measuring pads. The deembedding technique used was the open/short deembedding (OSD) method [4]. Once all the parasitic effects had

Fig. 6. On-wafer measurement setup: HP8719ES S -parameter VNA, RF Suhner Sucoflex 104A cables, and Cascade ACP40 probes. The calibration reference planes are marked with A–A and B–B .

Fig. 7. SGS–SGS transformer self-primary inductance measurement. There is no coupling from the secondary inductor because the secondary is open. The calibration reference planes are moved from A and B to A B.



been removed from the measurements, the coupling factor and the primary and secondary inductor values were calculated. B. Transformer Port Combinations Sections III-B.1–B.3 describe the measuring methodology for a differential-to-differential transformer, a single-to-single transformer and, finally, for a differential-to-single transformer. 1) Differential-to-Differential (SGS–SGS) Transformer: These transformers are normally used for impedance conversion and/or dc isolation. The first step is a two-port calibration, which will be valid for the entire process. In order to obtain , a measurement of the primary is obtained with an SGS probe without connection in the secondary, as shown in Fig. 7 [4]. This is a way of avoiding the effect of the secondary inductor and measuring the primary inductor only. A two-port measurement of the primary is obtained. After the corresponding deembedding process, the inductance value is the input impedance of the is calculated with (5), where primary (5) The secondary is measured reciprocally and calculated with is the input impedance of the secondary (6), where (6) Now the coupling factor is determined. It is calculated indirectly with a new measurement. The reason for this new

CENDOYA et al.: NEW METHODOLOGY FOR ON-WAFER CHARACTERIZATION OF RF INTEGRATED TRANSFORMERS

1049

Fig. 8. Two-port generic device. This DUT represents any two-port transformer.

Fig. 10. Stacked and interleaved SGS–SGS integrated transformer.

TABLE I GEOMETRICAL SPECIFICATIONS OF THE FABRICATED TRANSFORMER

Fig. 9. SGS–SGS transformer primary admittance measurement. The secondary is short circuited. The calibration reference planes are moved from A B. and B to A



As the primary and secondary inductors are symmetric, all the parameters in Table I are common for the primary and secondary inductors.

measurement is the analysis of the two-port network, shown in Fig. 8, as follows:

(7)

(8) is the input admittance of the primary with the secondary is calculated, then (8) shows the exshort circuited. In (7), pression of the mutual inductance. Finally, the coupling factor is calculated with (4). must be measured to obtain (7). The same conTherefore, , but figuration as that shown in Fig. 7 is used to calculate the secondary is short circuited with a GSG probe, as shown in Fig. 9. The secondary has SGS pads, but they are short circuited using the GSG probe. The GSG probe introduces parasitic effects that could distort the measurements obtained. However, in the integrated transformers, which have been designed and measured for this study, this effect is noticed only beyond the resonating frequency of the transformers. A two-port measurement is obtained and its deembedding process is completed. The input admittance is directly measured and used to obtain (7). The input admittance of the secondary is reciprocally measured. The following figures show a real measurement of a differential–differential transformer in order to check the measurement system. Fig. 10 shows a stacked and interleaved SGS–SGS integrated transformer made using two different metal layers and

Fig. 11. Summary of the measurement system of an SGS–SGS transformer. This figure shows the different steps of the measuring methodology used to obtain L , L , and M .

an extra metal layer for the underpass. Both inductors in the primary and secondary are symmetric. The parameters used to define the design are as given in is the external diameter, is the track Table I, where is the number of turns, and is the spacing between width, the tracks. The measurement system is summarized briefly in Fig. 11. 2) Single-to-Single (GSG–GSG) Transformer: The usual application of these transformers is impedance transformation and/or dc isolation. A two-port calibration is used throughout this measuring process. , the secondary port must be open to In order to obtain avoid the effect of the magnetic field from the secondary inductor ( is measured reciprocally). Therefore, a GSG probe is connected to the primary with the secondary open, as shown in Fig. 12.

1050

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 12. GSG–GSG transformer self-primary inductance measurement. The secondary port is open to measure L exclusively. The reference plane of the calibration is moved from A to A . Fig. 15. Summary of a GSG–GSG transformer measurement system. This figure shows the different steps of the measuring methodology to obtain L , L , and M .

Fig. 13. GSG–GSG transformer Z measurement. The effect of the coupling is measured. The calibration reference planes are moved from A and B to A and B , respectively.

Fig. 14. Interleaved GSG–GSG integrated transformer.

Once the measurements have been obtained, the deembedding process has been completed, and the self-inductances and have been calculated with (5) and (6), the mutual coupling must be obtained in order to calculate the coupling factor is measured directly with the configuration shown with (4). is also directly calculated with (8). in Fig. 13. Therefore, The measuring methodology used in this case is similar to that is directly obtained of Section II-B.1. However this time, from the measurement. As in Section II-B.1, a real measurement of a single–single transformer is shown in the following figures. Fig. 14 shows an

interleaved GSG–GSG integrated transformer fabricated using the top metal layer and an extra metal layer for the underpass. The geometric specifications of both inductors are the same as Table I. The only difference is that commented above and the single–single feature. The measurement system is summarized briefly in Fig. 15. 3) Differential-to-Single (SGS–GSG) Transformer or Balun: The SGS–GSG transformer or balun can be used to change the impedance of a device, but its particular characteristic is the possibility of converting a differential device into a single device. The measuring method of this transformer is a combination of the above methods. However, in this case, two different calibrations are necessary to obtain the desired results. First of all, only the primary differential port is measured with no connection in the secondary, as shown in Fig. 7. Before this measurement, a two-port calibration is completed. Once the deembedding is applied, the inductance value of is calculated with (5). The secondary inductance, which has a single configuration, is measured as shown in Fig. 12 (correspondingly on port 2). However, a new preliminary one-port calibration is necessary. is calculated After the deembedding process, the value of with (6). The coupling factor is indirectly calculated with a new measurement. The same calibration and configuration applied to calare used, but the primary is short circuited with a GSG culate probe, as shown in Fig. 16. is measured instead of and Therefore, in this case, is calculated, changing the corresponding variables in (7). Finally, the coupling factor is obtained with (8). The complete measuring process is described briefly in Fig. 17. C. Measurement Validation The results of the transformer characterization depend on the SOLT calibration. This calibration consists of varying the test reference plane from the measuring element to the DUT. In order to perform the calibration, a calibration substrate made up of short, open, load (50 ), and thru equivalents is

CENDOYA et al.: NEW METHODOLOGY FOR ON-WAFER CHARACTERIZATION OF RF INTEGRATED TRANSFORMERS

1051

Fig. 16. SGS–GSG transformer secondary admittance measurement. The primary is short circuited. The calibration reference plane is moved from B to B .

Fig. 18. Error introduced by the calibration load equivalents. Difference between the measured value and 50 .

Fig. 17. Summary of the measurement system of an SGS–GSG transformer. This figure shows the different steps of the measuring methodology used to obtain L , L , and M .

used. These values will undergo dispersion in the manufacturing process and the calibration reference will not be exactly the same for each calibration process. Therefore, an error will be introduced, which has to be analyzed. In order to perform this analysis, ten 50- calibration load equivalents are measured by the following steps. Step 1) Calibration of the VNA with a calibration substrate (SOLT). Step 2) Measuring of the load equivalents of ten calibration substrates, a priori identical to that used to calibrate the VNA, each one five times. Step 3) Calculation of the average and standard deviation of the tests. Fig. 18 shows the difference of the measured calibration load equivalents in relation to 50 . Taking 50 of the calibration substrates used in this test as a reference, it gives a standard deviation value of . This value is not significant in the global measurement. IV. RESULTS AND DISCUSSION In order to check the validity of the proposed measurement system, the measured values of the characteristic parameters of a differential–differential integrated transformer and a single–single integrated transformer are presented here.

Fig. 19. Measured primary and secondary inductances of an SGS–SGS transformer.

Fig. 20. Coupling factor calculated for an SGS–SGS transformer.

1) Differential-to-Differential (SGS–SGS) Transformer: After measuring the real transformer shown in Fig. 10 using the methodology explained above for SGS–SGS transformers, the following values for , , and were obtained. As expected, the inductance values of the primary and secondary shown in Fig. 19 are virtually the same because both inductors are symmetric. The inductance value obtained is 4.2 nH@3 GHz and the is 7 GHz. Fig. 20 shows a high coupling factor of approximately 0.8, is obtained measured from both ports of the transformer:

1052

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 21. Measured primary and secondary inductances of a GSG–GSG transformer. Fig. 23. Simulation of the primary inductance of an integrated transformer.

Fig. 22. Coupling factor calculated for a GSG–GSG transformer.

from port 1 and is obtained from port 2. This double measurement is not necessary because is a bidirectional parameter, but it is useful for validating the measurement. This transformer has a wide working frequency range due to its differential characteristic. Therefore, a high coupling factor is presented up to 6.8 GHz so this transformer would be useful for RF applications such as a wireless local area network. 2) Single-to-Single (GSG–GSG) Transformer: Applying the measuring process for GSG–GSG transformers to the real transformer shown in Fig. 14 gives the values obtained for , , and , as shown in Figs. 21 and 22. As expected, the inductance values of the primary and secondary shown in Fig. 21 are very similar to the values given in Fig. 19 because the geometric characteristics of the windings are the same. The inductance value obtained is 4.5 nH@3 GHz is 6.8 GHz. and Fig. 22 shows a new double measurement of the coupling factor for checking the measurement. In this case, there is an initial high coupling factor of 0.8 and then a descending slope typical of a noninverting single transformer [2]. The coupling factor is also lower than in the case of the differential transformer because the resistivity of the winding metals used is higher. 3) Error Analysis: An electromagnetic (EM) simulator (ADS Momentum) was used in order to validate the presented measurement methodology, as shown in Fig. 23:

Fig. 24. Comparison between measured and simulated primary inductances of an SGS–SGS transformer.

Fig. 25. Comparison between measured and simulated primary inductances of a GSG–GSG transformer.

As an example, Figs. 24 and 25 show a comparison between the simulation and measurement of the primary inductances of both SGS–SGS and GSG–GSG integrated transformers. Figs. 24 and 25 show an error between measurement and simulation less than 9%, which validates the proposed methodology. V. CONCLUSION This paper has presented a systematic methodology for measuring integrated transformers based on classic circuit theory.

CENDOYA et al.: NEW METHODOLOGY FOR ON-WAFER CHARACTERIZATION OF RF INTEGRATED TRANSFORMERS

Prior to this study, no such methodology for on-wafer integrated transformers had been presented in the state-of-the-art. The main points of this study are summarized as follows. 1) A methodology has been presented for measuring the coupling factor regardless of the integrated transformer type. 2) A precise measurement system has been developed for any transformer type. 3) The measuring method has been validated by real measurements. 4) The measurements have been checked by EM simulations with an error of 9%. REFERENCES [1] J. D. Neal, “The RF semiconductor evolution: From single components to system solutions,” Microw. J., vol. 48, no. 2, Feb. 2005. [2] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000. [3] J. Cabanillas, “Analysis of integrated transformers and its application to RFIC design,” Ph.D. dissertation, Dept. Elect. Eng., Univ. Barcelona, Barcelona, Spain, 2002. [4] J. Aguilera and R. Berenguer, “Inductor’s test and characterization,” in Design and Test of Integrated Inductors for RF Applications. Dordrecht, The Netherlands: KAP, 2003, ch. 3. [5] I. Gutiérrez, J. Garcia, N. Sainz, J. R. Sendra, J. de Nó, and A. Hernandez, “PN junction integrated varactors for RF applications at different standard frequencies,” presented at the IEEE Silicon Monolithic Integrated Circuits in RF Syst. Top. Meeting, Grainau, Germany, Apr. 2003. [6] N. Sainz, I. Cendoya, U. Alvarado, H. Solar, and J. de Nó, “Design considerations for high pass frequency passive filters,” in SPIE Microtechnol. for the New Millennium, Seville, Spain, May 2005, pp. 550–558. [7] I. Aoki, S. D. Kee, D. B. Rutledge, and A. Hajimiri, “Distributed active transformer—A new power combining and impedance-transformation technique,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 316–331, Jan. 2002. [8] N. J. Oh and S. G. Lee, “11 GHz CMOS differential VCO with backgate transformer feedback,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 733–735, Nov. 2005. [9] S. Mohan, “The design, modeling and optimization of on-chip inductor and transformer circuits,” Ph.D. dissertation, Dept. Elect. Eng., Univ. Stanford, Stanford, CA, 1999. [10] “Three and four port S -parameter measurement,” Anritsu, Morgan Hill, CA, May 2002. [11] D. E. Bockelman and W. R. Eisenstadt, “Combined differential and common-mode scattering parameters: Theory and simulation,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1530–1539, Jul. 1995. [12] K. T. Ng, B. Rejaei, N. O. Ormando, and J. N. Burghartz, “Optimized geometrical features of monolithic spiral RF transformers on silicon,” Lab. Electron. Compon., Technol., Mater., Delf Univ. Technol., Delft, The Netherlands, 2001. [13] T. Liang, J. Gillis, D. Wang, and P. Cooper, “Design and modeling of compact on-chip transformer/balun using multi-level metal windings for RFIC,” in IEEE Radio Freq. Integrated Circuits Symp., Phoenix, AZ, May 2001, pp. 117–121. [14] H. Y. D. Yang and J. A. Castaneda, “Design and analysis of on-chip symmetric parallel-plate coupled-line balun for silicon RF integrated circuits,” in Radio Freq. Integrated Circuits Symp., Philadelphia, PA, Jun. 2003, pp. 527–530. [15] K. Y. Huang, C. J. Hsu, and L. Y. Leu, “Modeling methodology of integrated five-port balun using two-port RF measurement,” in IEEE Radio Freq. Integrated Circuits Symp., Long Beach, CA, Jun. 2005, pp. 295–298. [16] W. Bakalski, W. Simbürger, R. Thüringer, H. D. Wohlmuth, and A. L. Scholtz, “A fully integrated 4.8–6 GHz power amplifier with on-chip output balun in 38 GHz-ft Si-bipolar,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 695–698. [17] S. J. Pan, W. Y. Yin, and L. W. Li, “Comparative investigation on various on-chip center-tapped interleaved transformers,” Int. J. RF Microw. Comput.-Aided Eng., vol. 14, pp. 424–432, Sep. 2004. [18] D. Kehrer, “Design of monolithic integrated lumped transformers in silicon-based technologies up to 20 GHz,” M.S. thesis, Dept. Elect. Eng., Univ. Vienna, Vienna, Austria, 2000.

1053

Iosu Cendoya received the M.S. degree in electronics and communication engineering from the University of Navarra (TECNUN), San Sebastian, Spain, in 2002, and is currently working toward the Ph.D. degree at TECNUN. He was involved with management projects with the Centro de Estudios e Investigaciones Técnicas de Gipuzkoa (CEIT). In 2003, he joined the Department of Electrical Engineering, TECNUN. His research interests focus on integrated inductors and transformers. Joaquín de Nó received the Ph.D. degree in 1996. His doctoral research concerned the development and application of simulation tools for the resolution of electric and electronic problems. He is currently a Researcher and Assistant Director with the University of Navarra (TECNUN), San Sebastian, Spain. His current research focuses on the integration of passive devices for RF applications. He has been involved with six industrial projects. He has authored or coauthored eight technical papers.

Beatriz Sedano received the Technical Telecommunications Engineering degree from the University of Cantabria, Santander, Spain, in 2000, the Telecommunications Engineering degree in 2003, and is currently working toward the Ph.D. degree at the University of Navarra (TECNUN), San Sebastian, Spain. Her research interests include ultra-wideband RF/microwave circuits.

Andrés García-Alonso received the Ph.D. degree from the University of Navarra (TECNUN), San Sebastian, Spain, in 1993. From 1996 to 1997, he was with the Fraunhofer Institut für Integrierte Schaltungen, Erlangen, Germany. He is currently the Director of the Electronics and Communications Department, Centro de Estudios e Investigaciones Técnicas de Gipuzkoa (CEIT), San Sebastián, Spain. His research focuses on the design of analog integrated circuits for communications front ends. He has been involved with nine research projects and has managed six other projects. He has authored or coauthor 50 scientific and technical publications. Daniel Valderas received the M.S. and Ph.D. degrees from the University of Navarra (TECNUN), San Sebastian, Spain, San Sebastian, Spain, in 1998 and 2006, respectively. Following involvement with management projects, he joined the Electronics and Communications Department, Communications Department, Centro de Estudios e Investigaciones Técnicas de Gipuzkoa (CEIT), San Sebastián, Spain, in 2001. His current research interests focus on broadband and ultra-wideband (UWB) antenna design and analysis. Iñigo Gutiérrez received the M.S. degree in industrial engineering from the University of Navarra (TECNUN), San Sebastian, Spain in 1998, the and the Ph.D. degree in electronics and communications engineering in 2004. His doctoral research concerned the design and characterization of integrated varactors for RF applications. He is currently an Assistant Professor of basic electronics and general electronics with the TECNUN. He has authored four technical publications and has made eight contributions at international congresses. His current research interests include integrated passive filers using varactors and integrated transformers for RF applications.

1054

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Signal Integrity Analysis of the Traces in Electromagnetic-Bandgap Structure in High-Speed Printed Circuit Boards and Packages Mu-Shui Zhang, Yu-Shan Li, Chen Jia, and Li-Ping Li

Abstract—In this paper, signal integrity analysis of traces between two parallel planes with an electromagnetic-bandgap (EBG) structure is made. It is shown that, within the stopband, the signal transmission quality is excellent, traces in the EBG structure are free from cavity resonances, and behave as regular standard transmission lines. It is shown that the high-impedance surface behaves as a solid continuous reference plane within the stopband. The impedances of the traces between the high-impedance surface and the reference planes are extracted. It is found that the extracted impedances are exactly the same as striplines with the same parameters. It is shown that the traces between the high-impedance surface and the reference planes are regular standard striplines within the stopband. In addition, a novel four-via EBG structure is proposed to broaden the stopband for simultaneous switching noise suppression in high-speed digital printed circuit boards and packages. This four-via EBG structure has a higher relative bandwidth and lower center frequency. Compared with one-via EBG structure with the same parameters, the stopband is broadened three times and relative bandwidth is increased 1.3 times, while there is very little additional cost since there is no more change than number of vias. Two test boards with four-via EBG structure were fabricated to verify the signal integrity of the traces and the impedances extraction. Good agreements are observed between the simulations and measurements. Index Terms—Electromagnetic bandgap (EBG), high-speed digital circuit, printed circuit board, signal integrity, simultaneous switching noise (SSN).

I. INTRODUCTION

W

ITH THE trend of current packaging technologies, data, video, voice sensing, and other function modules such as digital, analog, RF, memory devices, and sensors, etc., are required to be integrated into one package known as system on package or system in package, which are used more widely in cell phones and other communication systems [1]. Simultaneous switching noise (SSN) on the power/ground planes have become one of the major concerns during the design cycle of high-speed

Manuscript received December 11, 2006; revised January 28, 2007. This work was supported by the National Natural Science Foundation under Grant 60672027, by the Doctoral Program Foundation of the Ministry of Education under Grant 20050701002, and by Xidian University under the Graduate Innovation Fund. The authors are with the Institute of Electronic Computer-Aided Design, Xidian University, Xi’an Shanxi, China (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.895413

Fig. 1. Square patch shape EBG structure. (a) Top view. (b) Side view of unit cell. “HIS” refers to high-impedance surface.

digital communication systems with even faster edge rates and lower voltage levels. The cavity resonance modes formed by the power/ground planes can be stimulated by SSN caused by thousands of gates switching simultaneously [2], [3], which would introduce significant signal integrity problems, power integrity issues, and electromagnetic interference. SSN mitigation is of great importance in today’s high-speed digital communication systems design. Most recently, a novel concept of mitigating SSN using an electromagnetic-bandgap (EBG) structure or high-impedance surface was introduced [4] to suppress the SSN and reduce radiation between two reference planes in high-speed digital systems. Fig. 1 shows a square patch Sievenpiper EBG structure. However, the signal transmission characteristic of the traces routed between the high-impedance surface and the reference planes has not been investigated at present. Characteristic impedance calculation of the traces and coupled traces are unavailable. No design guidelines are available for circuit designers. However, if traces are not routed between the power/ground planes with EBG structures, there are only two layers (top and bottom layers) can be used for trace routing. This implementation is of high cost and is unpractical. EBG structure exhibits a novel electromagnetic characteristic within its stopband. It provides a low-impedance path for highfrequency currents between the two reference planes, therefore shorting the two planes at the physical location of the patches, which trap the noise within the location where it is generated, thus suppressing SSN, cavity resonances, and reducing radiation [5]. It is well known that single-end striplines would be affected by the cavity resonances formed by the two parallel reference planes. Their transmission quality is degraded considerably compared with regular striplines (regular stripline in this

0018-9480/$25.00 © 2007 IEEE

ZHANG et al.: SIGNAL INTEGRITY ANALYSIS OF TRACES IN EBG STRUCTURE

paper refers to lossy stripline, which is free-form cavity resonances). A differential pair (coupled striplines) can be used to transmit high-speed signals in high quality due to their high rejection ratio of the common mode current, but differential implementation is expensive, and for most high-speed application, single-end signaling standards are preferred, such as high-speed static random access memories/dynamic static random access memories, digital signal processors, and field programmable gate arrays, due to their high routing density and low cost. The routing requirement of single-end traces, however, is much more rigorous than a differential pair because they are noise sensitive. Reference planes must be kept continuous. It is known that SSN and cavity resonances are suppressed within the stopband. Thus, we believe that if traces are routed between the reference planes with EBG structures, the signal transmission quality will be greatly upgraded within the stopband since they are free from noise at that frequency range. The traces will behave as a kind of ideal transmission lines. Their characteristic impedance can be extracted, and some design guidelines can be drawn. The other reason that prevents the EBG structure from popularizing is that the stopband of EBG structures is narrow, while the SSN is distributed in a large-frequency range from dc up to a very high harmonic of the switching currents. There is much research focused on the EBG structure design to either lower the stopband center frequency or broaden the stopband bandwidth for more efficient noise suppression. Enhancing the inductance of the vias [6] and using high dielectric constant thin film [7] can lower the lower corner frequency of the stopband. The stopband bandwidth can be broadened by cascading EBG with different structures [5]. However, these implementations are expensive and will degrade the reliability of the boards. Two other low-cost EBG structures, i.e., a low-period coplanar EBG structure [8] and L-bridged EBG structure [9], were proposed by Wu et al. to provide wideband SSN suppression. However, signal integrity problems are introduced since the continuity of the reference plane is broken. In this paper, we focus on investigating the signal integrity of the traces between a power/ground plane pair with an EBG structure and designing a wideband EBG structure. In Section II, the signal transmission characteristic of single-end traces are investigated. It is shown that the traces behave as regular transmission lines within the stopband. These single-end traces are free from cavity resonances and the transmission quality is excellent within the stopband. In Section III, it is shown that the high-impedance surface behave as an ideal continuous reference plane as seen by the traces routed close to it. It is shown that single-end traces routed between the high-impedance surface and reference planes behave exactly as regular standard striplines within the stopband. All stripline design rules are valid. In Section IV, a wideband four-via EBG structure is proposed to broaden the stopband. This EBG structure has a higher relative bandwidth and lower center frequency. Compared with one-via EBG with the same parameters, the stopband is broadened three times and relative bandwidth is increased 1.3 times, while there is very little additional cost since there is no more change than the number of vias. Experiments are implemented in Section V. In Section VI, conclusions are drawn. The finite-element method (FEM) analysis is implemented throughout this paper.

1055

Fig. 2. Effect of cavity resonances on transmission gain of stripline.

Fig. 3. Electromagnetic simulation setup of the characteristic impedance extracted circuit. The dielectric is FR4 with " = 4:4 and  = 0:002 and the metal is copper. The signal trace is localized at center of the two planes with a thickness of 0.035 mm. The stripline is centered horizontally between the left and right edges of the power and ground planes. Z and Z are the port impedances in full-wave simulation. They are connected directly below the end of the trace in the reference plane.

II. EFFECT OF THE BANDGAP BEHAVIOR ON SIGNAL INTEGRITY A. Effect of Cavity Resonances on Striplines Microstrips are routed above a single reference plane, which cannot support any resonance mode, thus, they are free from the cavity resonance modes. However, microstrips are environmentally sensitive because they are exposed to the environment. Striplines are routed between two parallel metal planes, which work as a perfect shield, thus, they are environmentally insensitive. However, the cavity resonance modes formed by the power/ ground planes can be stimulated by SSN [2], [3], which would introduce significant signal integrity problems besides power integrity and electromagnetic interference issues. Shown in Fig. 2 is the simulated result of the transmission gain of a stripline between two parallel planes that are 50 mm 50 mm in size and 0.6 mm in height. The stripline has a characteristic impedance of 50 and a length of 40 mm. The electromagnetic simulation setup is shown in Fig. 3. Ports are connected to the two ends of the stripline. The simulated results clearly show that the transmission gains at resonance frequencies are greatly reduced, and consequently, the transmission quality of the stripline is degraded. However, this degradation is slight and has a smaller impact on the signal integrity compared with power integrity and electromagnetic interference problems caused by cavity resonances. Therefore, they are not given much attention. Attention about the cavity resonances is focused on power integrity and electromagnetic interference problems, which would affect the reliability of the board with even higher edge rates and lower voltage levels.

1056

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 4. Bandgap behaviors of the four tested EBG structure.

B. Effects of Bandgap Behavior on Single-End Traces The stopband bandwidth of the EBG structure is narrow in general if no improvement is made. This does not matter in RF, antenna, or microwave circuits where the EBG structure is initially introduced since the working bandwidth of these circuits is narrow. However, when it comes to the digital world, things are totally different. The working frequencies are extended to a wide frequency range, from dc to a very high-frequency component of the high-speed and high-edge-rate digital signals. Here, we investigated how bandgap behavior affects the electrical transmission characteristic of the single-end signal traces between two power/ground planes with an EBG structure in depth. There are two reference planes above and below the high-impedance surface, in order not to confuse which reference plane is referred to, we call the plane that is capacitive coupled to the high-impedance surface the -plane, and the reference plane that connects to high-impedance surface through vias the -plane (see Fig. 1). Four types of bandgap behaviors, including narrowband low-center-frequency, narrowband high center frequency, wideband, and ultra-wideband, as shown in Fig. 4, are investigated. These bandgap behaviors are obtained by choosing different , , and [6], [10]. parameter combinations of , , mm, For case I (narrow-band low center frequency), mm, mm, mm, and . mm, For case II (narrow-band high center frequency), mm, mm, mm, and . For mm, mm, mm, case III (wideband), mm, and . For case IV (ultra-wideband), mm, mm, mm, mm, and . Simulation setup is shown in Fig. 3. The trace investigated is routed between the high-impedance surface and -plane and its characteristic impedance is 50 (how to design the 50- trace is introduced in Section III). The trace has a width of 0.23 mm. The distance between the trace and -plane is 0.3 mm, and the distance between the trace and the high-impedance surface is also 0.3 mm. The port impedances . are 50 Transmission gains of the trace under the four different bandgap behaviors are shown in Fig. 5(a)–(d), respectively. The transmission gains of a regular transmission line and a stripline between a plane pair are also provided for comparison.

of the regular stripline is related to the dielecThe slope of tric loss and the length of the stripline. The simulated results clearly show that the transmission quality of narrowband low center frequency (see Fig. 4, case I) EBG structure is excellent within the stopband, as good as a regular transmission line. The transmission gain is a slope. However, when it comes outside the stopband, the transmission quality deteriorates and became much worse than that under parallel planes in which transmission gain is affected by the cavity resonances. It is noted that a large number of resonances are introduced into the passband near the stopband, which would cause signal integrity problems to the signal traces routed between the high-impedance surface and the - or -plane. The narrowband high center frequency EBG structure (see Fig. 4, case II) exhibits a similar characteristic to that of the narrowband low center frequency structure, as shown in Fig. 5(b). The signal quality is perfect within the stopband, as good as a regular transmission line, while the transmission quality is severely degraded when it comes outside the stopband. If the stopband is extended to a wide frequency range and pulls the low corner frequency as low as possible, the signal transmission will be greatly upgraded, as shown in Fig. 5(c). The bandgap behavior of the wideband EBG structure is shown in Fig. 4, case III. In an extreme case, the stopband cover from dc to a very high frequency component, the signal transmission will be perfect, as shown in Fig. 5(d). In this case, the 40-dB stopband (see Fig. 4, case IV) is widened from dc to 7 GHz. The transmission quality is extremely upgraded from dc to 7 GHz. The transmission gain curve is excellent and correlated to a regular transmission line. III. CALCULATION OF THE CHARACTERISTIC IMPEDANCE OF TRACES IN EBG STRUCTURES In Section II, we have shown that traces in the EBG structure behave as regular transmission lines. In order to figure out the impedances of the traces, an impedance-matched tested circuit is designed, as shown in Fig. 6. It is assumed that the port impedances at the two ends of the transmission line are equal . The transmission line is the trace between the highimpedance surface and the reference planes. By the transmission line theory, only when the characteristic impedance of the transmatches the port impedances, namely, mission line , would the transmission gain profile be a slope. If there is a mismatch between the transmission line and the two ports, ripples will appear in the transmission curve due to reflections, as shown in Fig. 7. The cycle of the ripple is related to the length of the line, and the amplitude of the ripples is related to the coefficients of reflections (mismatch). When the transmission line and the two ports match each other, the ripples will disappear, and the transmission gain reaches its maximum value. Using this circuit, the characteristic impedance of the target trace, be it a microstrip, stripline, or any other type of transmission line that have a characteristic impedance, can be extracted using electromagnetic simulation by continuously adjusting the port impedances until the transmission curve became a slope or reaches its maximum value. This method is of high accuracy since a very small discrepancy between the transmission curves can be identified. The following simulations have shown that the error of

ZHANG et al.: SIGNAL INTEGRITY ANALYSIS OF TRACES IN EBG STRUCTURE

1057

Fig. 5. Effects of bandgap behaviors on signal transmission of single-end trace in EBG structure. (a) Low center frequency narrowband. (b) High center frequency narrowband. (c) Wideband. (d) Ultra-wideband.

Fig. 6. Impedance matched verification circuit.

Fig. 7. Transmission gain curves of the verification circuit with different Z , Z = Z = 50 .

the extracted impedances compared to that of from 2-D electromagnetic solver is smaller that 5%.

The physical-based electromagnetic simulation setup of the impedance match tested circuit is shown in Fig. 3. In simulation, the impedances of the two ports are kept equal and are adjusted at the same time to find the exact target impedance. Single-end traces between the high-impedance surface and -plane are investigated first. Many configurations of parameters are investigated and the results are illustrated in Tables I and II. In Table I, and are kept constant, the dielectric height mil. The width of the traces is changed to get different characteristic impedances. Extracted results are shown in the column. In Table II, the width of the traces is kept constant and and to obtain different charwe alter the dielectric height acteristic impedances. Extracted results are shown in the column. The characteristic impedances of standard configuration striplines with the same parameters calculated from the 2-D column. electromagnetic solver are also provided in the As expected, it is found that the extracted impedances are completely consistent with that of standard striplines with the same parameters. Similar simulations were also made for traces between the -plane and the high-impedance surface. Similar results are obtained. Results are illustrated in Tables III and IV. The electromagnetic simulated extraction shows that the extracted characteristic impedances of the traces between the high-impedance surface and the reference planes are closely approximate regular striplines with the same parameters. Since all the physical configurations are the same, except the

1058

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

TABLE I CHARACTERISTIC IMPEDANCES OF TRACES BETWEEN HIGH-IMPEDANCE SURFACE AND L-PLANE EXTRACTED BY SIMULATION

TABLE II CHARACTERISTIC IMPEDANCES OF TRACES BETWEEN HIGH-IMPEDANCE SURFACE AND L-PLANE EXTRACTED BY SIMULATION

the high-impedance surface does not cause impedance discontinuity since the -plane, -plane, and the high-impedance surface are ac shorted within the stopband. The electrical behavior seen by the traces between the high-impedance surface and - or -plane is that the - or -plane and the high-impedance surface are two ideal continuous reference planes. From the aforementioned discussion, we can draw the conclusion that the high-impedance surface behaves as a regular continuous reference plane as seen by the traces routed between it and the - or -plane. Single-end traces routed between the high-impedance surface and - or -plane are regular standard single-end striplines within the stopband, as shown in Fig. 8. It is noticed that when the traces are routed too close to the high-impedance surface, such as smaller than three times the thickness of metal layer, the difference in characteristic impedance between the extracted values and the regular striplines became obvious. This is due to the nonideal surface introduced by the high-impedance surface. It is easy to extend the conclusions to differential traces in EBG structure. They behave as ideal coupled striplines and all the design guidelines of coupled striplines are valid. IV. NOVEL WIDEBAND EBG STRUCTURE: FOUR-VIA EBG

TABLE III CHARACTERISTIC IMPEDANCES OF TRACES BETWEEN HIGH-IMPEDANCE SURFACE AND C -PLANE EXTRACTED BY SIMULATION

TABLE IV CHARACTERISTIC IMPEDANCES OF TRACES BETWEEN HIGH-IMPEDANCE SURFACE AND C -PLANE EXTRACTED BY SIMULATION

high-impedance surface compared with regular striplines configuration, we can conclude that the high-impedance surface behaves as a regular continuous reference plane. This can be explained as follows. Within the stopband, the - and -plane can be considered as ac shorted by the high-impedance surface since the transmission gain between any two ports with a small distance is very small and almost all signals (noise) take the local lowest impedance path from the - to -plane. For a signal trace crossing two or more patches, when the signal transmits from one patch to the other patch, the return current on the high-impedance surface will switch to the or/and -plane. However, unlike the typical discontinuity in reference planes, which will cause impedance discontinuity (great increase in loop inductance), the switching current on

A. Four-Via EBG Structure A novel EBG structure, in which four-via patches are introduced to replace the typical one-via patches, is proposed for the wideband SSN suppression in high-speed digital printed circuit boards/packages, as shown in Fig. 9. It is implemented by adding some vias between the patches and the reference plane without changing any other geometrical parameter from one-via EBG structure. The corresponding geometrical parameter set of , , , ), where , the unit cell is denoted as ( , , , , , , , and are the same as a one-via structure. is the unit cell period, is the size of the patch, and are the distances between the high-impedance surface and the two reference planes, respectively, and and are the dielectrics above and below the four-via EBG structure, respectively. There is only one additional parameter that describe the positions of the vias in each patch, is the distance between each via and the center of the patch in the - or -axis. Compared with the one-via EBG structure, there is very little additional cost since there is no more change than the number of vias in each patch. B. Super Wideband SSN Suppression of the Four-Via EBG Structure A tested board was designed to verify to the wideband stopband, as shown in Fig. 10(a). 5 5 unit cells were etched. The board has a size of 50 mm 50 mm. The parameter set of the unit cell is (10 mm, 0.25 mm, 3 mm, 0.05 mm, 4.4, 0.5 mm, 4.4). The measured and simulated bandgap behaviors are shown in Fig. 10(b). A test board of one via EBG structure with the same parameters (only the number and position of the vias are different) was also fabricated. Simulated and measured results are also provided for comparison. A slight discrepancy is seen between the simulations and measurements in both one- and four-via EBG structures. This is mainly caused by two factors, which are: 1) dielectric loss is not accurately

ZHANG et al.: SIGNAL INTEGRITY ANALYSIS OF TRACES IN EBG STRUCTURE

1059

Fig. 8. High-impedance surface behaves as ideal continuous reference plane within the stopband. HIS refers to high-impedance surface.

Fig. 9. Four-via EBG structure. (a) Top view and side view. HIS refers to highimpedance surface. (b) 3-D view.

included in simulation and 2) errors introduced by the printed circuit board technology. The measured 40-dB bandwidth of four-via EBG structure is 5.8 GHz, from 1.2 to 7.0 GHz, while the measured 40-dB bandwidth of one via EBG is 2.0 GHz, from 0.8 to 2.8 GHz. The stopband bandwidth is broadened by approximately three times. The relative bandwidth of the four-via EBG structure is 1.41, while it is 1.11 for one-via structure. The relative bandwidth is increased by 30%. It is also noted that the bandgap behavior of the four-via EBG structure is “U-shaped,” while the one-via structure is “V-shaped,” the four-via EBG structure has a better frequency response. Furthermore, the center frequency of the stopband is low enough, which is extremely important in the SSN suppression in high-speed digital applications. C. How the Stopband is Broadened Now we analyze how the stopband is broadened. The stopband is completely described by the lower corner frequency ,

Fig. 10. (a) Test board with four-via patch EBG structure. The parameter set is (10 mm, 0.25 mm, 3 mm, 0.05 mm, 4.4, 0.5 mm, 4.4). (b) Transmission gains of the four via EBG and one via EBG.

upper corner frequency , and center frequency . The center frequency and relative bandwidth are given as [4] (1) (2)

1060

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 11. (a) Current distribution of the four-via EBG structure. (b) Effective patch size of the four-via EBG structure is about one-fourth of the one-via structure.

TABLE V STOPBAND BANDWIDTH COMPARISON BETWEEN FOUR- AND ONE-VIA EBG STRUCTURE FROM SIMULATION. LOSSES OF DIELECTRICS AND METAL ARE INCLUDED. H = 0:1 mm, H = 0:5 mm, " = 4:4, METAL THICKNESS IS 1 oz Fig. 12. (a) Single-end deembedded sketch. (b) Side view of the connector and test board 2. (c) Side view of test board 1.

where and represent the sheet inductance and sheet capacitance of the patches, respectively. When the Sievenpiper high-impedance surface is introduced into two parallel planes, is much smaller than (in most wideband SSN supif is much smaller than ), the capacipression applications tance is mainly determined by the capacitance between each patch and -plane. The inductance is mainly affected by the distance between the gap (edge of the patch) and the nearest via since the height of the vias is much smaller compared with the size of the patch, as shown in Fig. 11. For tested boards in Section IV-B, the effective capacitance in the four-via structure is approximately one-fourth that of one-via structure since there are four vias sharing one patch and the inductance is approximately two-fifths that of one-via structure . By , and (1) and (2), , where and are the center frequencies of the four- and one-via EBG structure, and are the relative bandwidth respectively, of the four- and one-via EBG structure, respectively. From the and above measured results, . The measured data are better than expected due to the above calculations having overestimated the decrease in . It is inductance, which will lead to an overestimate on is mainly caused by the decease in shown that the shift in sheet capacitance. The fact that is increased by 30% due to the decease in capacitance is significant compared with that in inductance. The aforementioned analysis showed that the relative bandwidth can be broadened by decreasing the patch size. Comparisons between the proposed four-via EBG structure and other one-via EBG structures with smaller patch size are made. Results are shown in Table V. It is shown that the four-via EBG

structure has a wider stopband, lower center frequency, and higher relative bandwidth, which make it extremely suitable in the SSN suppression in high-speed digital circuit systems. The four-via structure has a wider stopband bandwidth for a given size of patch. V. EXPERIMENTAL VERIFICATIONS Two test boards with four-via EBG structure, i.e., test board 1 and test board 2, were designed and fabricated to verify the effects of the bandgap behavior on signal transmission in two parallel planes with an EBG structure. Test board 1 is wideband; its parameter set is (10 mm, 0.25 mm, 6 mm, 2 mil, 4.3, 30 mil, 4.3). Test board 2 is narrowband; its parameter set is (10 mm, 0.25 mm, 6 mm, 16 mil, 4.3, 30 mil, 4.3). The metal thickness is 1 oz. Test board 1 has six metal layers and test board 2 has four metal layers [see Figs. 12(c) and (b)]. Twelve single-end traces between the high-impedance surface and reference planes are designed and investigated. Six traces are routed between the high-impedance surface and the -plane in test board 1 and six other traces are routed between the high-impedance surface and -plane in test board 2. To remove the error matrices and extract the characteristic impedances accurately, two different length transmission lines [12]–[14] and deembed technique [14], [15] are applied. In our mm and mm. Each invesexperiments, has an associated deembedded trace tigated trace since their characteristic impedances are different from each other. Advanced shielding vias [14] are designed and optimized to improve the measurement accuracy. The deembedded sketch, advanced shielding vias structure, and the layer stacks of the test boards are shown in Fig. 13. The -parameters deembedded process we used was discussed in [14] and [15]. The extracted characteristic impedance is calculated by (3)

ZHANG et al.: SIGNAL INTEGRITY ANALYSIS OF TRACES IN EBG STRUCTURE

1061

TABLE VI CHARACTERISTIC IMPEDANCES OF TRACES BETWEEN HIGH-IMPEDANCE SURFACE AND L-PLANE EXTRACTED FROM EXPERIMENT (TEST BOARD 1)

Fig. 13. Measured bandgap behaviors of two test boards. TB1 is the acronym of test board 1 and TB2 is the acronym of test board 2.

Fig. 15. Transmission gains of the single-end traces between high-impedance surface and C -plane in test board 2. TABLE VII CHARACTERISTIC IMPEDANCES OF TRACES BETWEEN HIGH-IMPEDANCE SURFACE AND C -PLANE EXTRACTED FROM EXPERIMENT (TEST BOARD 2) Fig. 14. Transmission gains of the single-end traces between high-impedance surface and L-plane in test board 1. s1 refers to single-end trace in layer S1, s2 refers to single-end trace in layer S2 [see Fig. 13(c)].

where and ( ) are the -matrix of -matrix is transmission lines A and B, respectively. The converted from measured -parameter. The bandgap behaviors of the two test boards are shown in Fig. 13. The wide stopband is implemented by using a thin dielectric [7] and introducing the four-via EBG structure. The measured 40-dB bandwidth of the test board 1 is 6.1 GHz, from 1.4 to 7.5 GHz. There is a small discrepancy between this stopband bandwidth and the measured result shown in Fig. 10 because is increased by 50%, from 0.5 to 0.75 mm (30 mil), to increase the relative bandwidth further. The stopband is extremely wide and almost covers all frequencies of SSN in highspeed digital circuits. The thin-film thickness is 50 m (2 mil), which can be easily fabricated by current printed circuit board technology. Measured transmission gains of single-end traces -plane with between the high-impedance surface and in test board 1 are shown in Fig. 14. The transmission characteristic of single-end traces between the high-impedance surface and -plane in the wideband EBG structure is excellent, and much better than that in the cavity. The transmission gain is a slope, as good as a regular stripline within the stopband. It is also shown that traces routed closer to the solid reference planes ( - or -plane) have a better performance. The extracted characteristic impedances are listed in Table VI. Good correlation is observed between the extracted impedances and

the regular striplines’ impedances. The measured transmission gain of a single-end trace between the high-impedance surface in test board 2 is shown in and the -plane with Fig. 15. The narrow stopband is realized by an increase of to 630 m (16 mil). The measured 40-dB stopband bandwidth is 3.3 GHz, from 3.3 to 6.6 GHz. It is clearly seen that the transmission quality is excellent within the stopband, while it is badly degraded outside the stopband. The extracted characteristic impedances are listed in Table VII. Good agreement between the extracted impedances and the regular striplines is seen. VI. CONCLUSION From the aforementioned discussion and experimental verifications, the following two conclusions about the signal integrity issues of the traces routed between the high-impedance surface and - or -plane can be drawn. • Signal transmission quality is excellent within the stopband. They are as good as a regular transmission line and free from cavity resonances. The transmission quality is greatly degraded outside the stopband. • The high-impedance surface behaves as a regular solid continuous reference plane, as seen by the traces between

1062

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

it and - or -plane. Traces routed between the highimpedance surface and - or -plane behave as regular striplines within the stopband. In addition, a novel four-via EBG structure with wideband SSN suppression is proposed. Compared with a one-via EBG structure with the same parameters, the stopband is broadened by three times and the relative bandwidth is increased by 1.3 times, while very little additional cost is added. The wide bandgap behavior is verified by experiments. Good performance is noted. REFERENCES [1] R. R. Tummala, “SOP: What is it and why? A new microsystem-integration technology paradigm—Moor’s law for system integration of miniaturized convergent systems of the next decade,” IEEE Trans. Adv. Packag., vol. 27, no. 2, pp. 241–249, May 2004. [2] L. D. Smith, “Simultaneous switching noise and power plane bounce for CMOS technology,” in Proc. IEEE 8th Elect. Performance Electron. Packag. Top. Meeting, 1999, pp. 136–166. [3] S. V. Berghe, F. Olyslager, D. de Zutter, J. D. Moerloose, and W. Temmerman, “Study of the ground bounce caused by power plane resonances,” IEEE Trans. Electromagn. Compat., vol. 40, no. 2, pp. 111–119, May 1998. [4] R. Abhari and G. V. Eleftheriades, “Metallo-dielectric electromagnetic bandgap structures for suppression and isolation of the parallel-plate noise in high-speed circuit,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1629–1639, Jun. 2003. [5] S. Shahparnia and O. M. Ramahi, “Electromagnetic interference (EMI) reduction from printed circuit boards (PCBs) using electromagnetic bandgap structures,” IEEE Trans. Electromagn. Compat., vol. 46, no. 4, pp. 580–586, Nov. 2006. [6] T. Kamgaing and O. M. Ramahi, “Design and modeling of high-impedance electromagnetic surface for switching noise suppression in power planes,” IEEE Trans. Electromagn. Compat, vol. 47, no. 3, pp. 479–489, Aug. 2005. [7] J. Lee, H. Kim, and J. Kim, “Broadband suppression of simultaneous switching noise and radiated emissions using high-DK thin film electromagnetic bandgap power distribution network for high-speed digital PCB applications,” in Proc. IEEE Int. Electromagn. Compat. Symp., Aug. 2005, vol. 3, pp. 967–970. [8] T. L. Wu, Y. Y. Lin, C. C. Wang, and S. T. Chen, “Electromagnetic bandgap power/ground planes for wideband suppression of ground bounce noise and radiated emission in high-speed circuits,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2935–2942, Sep. 2005. [9] T. L. Wu, C. C. Wang, Y. H. Lin, T. K. Wang, and G. Chang, “A novel power plane with super-wideband elimination of ground bounce noise on high speed circuits,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 3, pp. 174–176, Mar. 2005. [10] G. Chen and K. L. Melde, “Cavity resonance suppression in power delivery system using electromagnetic bandgap structure,” IEEE Trans. Adv. Packag., vol. 29, no. 1, pp. 21–30, Feb. 2006. [11] D. Sievenpiper, L. Zhang, R. F. J. Broas, N. G. A. Alexopolous, and E. Yablonovitch, “High-impedance electromagnetic surfaces with a forbidden frequency band,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2059–2073, Nov. 1999. [12] K. J. Silvonen, “Calibration of test fixtures using at least two standard,” IEEE Trans Microw. Theory Tech., vol. 39, no. 4, pp. 624–630, Apr. 1991. [13] R. B. Marks, “A multiline method of network analyzer calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7, pp. 1205–1215, Jul. 1991.

[14] K. Narita and T. Kushta, “An accurate experimental method for characterizing transmission lines embedded in multilayer printed circuit boards,” IEEE Trans Adv. Packag., vol. 29, no. 1, pp. 114–121, Feb. 2006. [15] G. Antonini, A. C. Scogna, and A. Orlandi, “S -parameters characterization of through, blind, and buried via holes,” IEEE Trans Mobile Comput., vol. 2, no. 2, pp. 174–184, Apr. 2003.

Mu-Shui Zhang received the B.S. degree in electrical engineering from Xidian University, Xi’an, China, in 2004, and is currently working toward the Ph.D. degree at Xidian University. His current interests focus on signal integrity analysis and high-speed digital design.

Yu-Shan Li received the B.S. degree from Harbin Engineering University, Harbin, China, in 1968, and the M.S.E. degree from Xidian University, Xi’an, China in 1981, both in electronic engineering. In 1981, he joined the faculty of the School of Electronic Engineering, Xidian University, where he is a Professor/Ph.D. Candidate Advisor, and the Director of the Institute of Electronic Computer-Aided Design. From February 1986 to December 1987, he was a Visiting Scholar and Research Associate with the Department of Electrical and Computer Engineering, University of Miami. He is engaged in research on digital vision system and circuit design, electronic design automation, and signal integrity analysis.

Chen Jia received the B.Sc. and M.Sc. degrees in electronic engineering from Xidian University, Xi’an, China, in 2003 and 2006, respectively, and is currently working toward the Ph.D. degree at Xidian University. His current research interests are in the field of signal integrity in deep-submicrometer (DSM) and system-on-package (SOP) design.

Li-Ping Li received the B.Sc. and M.Sc. degrees in electronic engineering from Xidian University, Xi’an, China, in 2002 and 2005, respectively, and is currently working toward the Ph.D. degree at Xidian University. Her current research interest is in the field of jitter in high-speed serial links design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

1063

Rigorous Characterization of Resonant Hot Spot Conditions in a Stratified Tissue Model Daniel Razansky, Pinchas D. Einziger, and Dan R. Adam

Abstract—A unified approach for determining the lossy resonance (hot-spot) conditions in a lossy stratified biological tissue model is proposed. These conditions may lead to a significant enhancement of local electromagnetic power deposition in a single layer as compared to the power dissipated in the background. Rigorous analysis of electromagnetic wave power absorption in a planar stratified tissue model renders a closed-form characterization of six possible asymptotic cases and the associated conditions and bounds on the optimal absorption in the particular layer as a function of its normalized thickness and parameters of the surrounding layers. It is shown that, even very thin and low attenuating layers (sites) of biological tissue, are capable of dissipating a very substantial amount of the incident power, subject to specific lossy resonance conditions. From a dosimetric point of view, the results obtained allow for prediction of naturally occurring spatial resonances in biological tissues on both macroscopic and microscopic scales. On the other hand, they also provide an effective mean for design and synthesis of optimally absorbing materials and tissues in therapeutic applications. Index Terms—Electromagnetic dosimetry, lossy biological tissues, resonant absorption.

I. INTRODUCTION

M

ANY therapeutic modalities make use of RF and light power absorption mechanisms in biological media in order to deliver thermal energy to the treated site (e.g., [1]–[5]). Various absorption considerations are also important in applications like biological samples processing [6], rapid and uniform warming of cryopreserved cells and tissues [7], as well as in some noninvasive diagnostic procedures [8]. From the dosimetric perspective, many concerns arise regarding the possible thermal and nonthermal effects of electromagnetic fields and waves on living tissues, on both the macroscopic [9]–[12] and microscopic [13]–[15] scale. Other concerns are also of interest regarding localized power deposition in the presence of implants and other foreign objects in the body [16].

Manuscript received August 4, 2006; revised January 16, 2007. D. Razansky was with the Department of Biomedical Engineering, Technion–Israel Institute of Technology, Haifa 32000, Israel. He is now with the Laboratory for Biooptics and Molecular Imaging, Center for Molecular Imaging Research, Medical School, Harvard University, Boston, MA 02129 USA (e-mail: [email protected]). P. D. Einziger is with the Department of Electrical Engineering, Technion–Israel Institute of Technology, Haifa 32000, Israel (e-mail: [email protected]). D. R. Adam is with the Department of Biomedical Engineering, Technion–Israel Institute of Technology, Haifa 32000, Israel (e-mail: [email protected]. il). Digital Object Identifier 10.1109/TMTT.2007.895638

For any given configuration, the distributions of the electric field strength and the specific absorption rate (SAR) are usually found by numerically solving the electromagnetic problem, where the tissue is characterized by its basic macroscopic parameters [3], [12]. Indeed, for some cases, numerical methods are capable of providing accurate quantitative information; however, they present many challenges in the presence of temporal/spatial high quality factor resonances [10]. Also, since broadband SAR and field calculations or measurements are quite cumbersome, when considering each and every point in the body on the nanometer or even micrometer scale, a method is required for predicting these resonances, capable of creating hot-spot conditions. Although some evidence exists of naturally occurring enhanced power deposition (hot spots) in tissue (e.g., in the eye cornea [10], skin [12] and fatty [1] layers, cell membranes [15], or in the vicinity of high contrast implants [16]), a generalized characterization of all possible lossy resonance modes, occurring in a layered medium, is still unavailable. Resonant absorption of electromagnetic waves in lossy biological targets was usually attributed to objects whose dimensions are on the order of the incident wavelength [11]. It is shown here, however, that, given appropriate conditions, a large portion (up to 100%) of the power of the incident wave can be captured even by ultrathin low-attenuating sites (layers), leading to significant enhancement of the local SAR. In this way, the hot-spot layers can be either diagnosed or artificially created (e.g., by optimizing the parameters of the configuration or using engineered tissues and materials [17]), depending on the demands of particular application. The derivation proposed here is based on a rigorous power absorption optimization scheme for a layered tissue model, revealing a closed-form characterization of the parameters of optimally absorbing layers and the corresponding power absorption efficiency bounds for various possible general and asymptotic cases. This mechanism of electromagnetic energy capturing was recently formulated for normal incidence of plane waves upon a symmetrically surrounded lossy slab [18] and was further developed for thin-film spectroscopy applications utilizing oblique incidence above the critical total internal reflection angle [19]. Plane stratified models were proven to provide insightful information regarding electromagnetic behavior of an irradiated biological media [4], [6], [8], [9], [12]. It should be noted, however, that the analysis of localized power absorption in layered structures is far from being limited to the research on living systems. Early discussions of the absorption of electromagnetic waves in lossy layers of dielectrics and conductors are given, for example, in [20] and [21]. More recent investigations, related to

0018-9480/$25.00 © 2007 IEEE

1064

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

the optimal absorption of electromagnetic waves by complex stratified media, can be found in [22]–[25]. These previous attempts of describing power absorption mechanisms in lossy layered media were all limited to specific cases, obtaining only partial optimal solutions. Herein, no restrictions are made on the thickness of the layer and the wave propagation characteristics of the surrounding media. Furthermore, the solutions cover all angles of incidence including the evanescent plane-wave spectrum. Therefore, the results can be readily extended to the cases of finite sources located in layered absorbing configurations, utilizing the plane-wave spectrum decomposition approach [26], [27].

TABLE I NORMALIZED DEFINITIONS FOR THE THREE-LAYER MODEL

II. MODEL FORMULATION A plane stratified model of tissue is considered. Assuming, for simplicity, that resonant (dominant) absorption occurs in a single layer, any multilayer model can be readily simplified into the three-layer configuration [19], in which all the nonabsorbing (weakly absorbing) layers are represented by two equivalent impedances of half spaces surrounding a resonantly absorbing (lossy) layer. Thus, the basic prototype configuration consists of a lossy layer of thickness , characterized by generally complex permittivity and permeability , which is asymmetrically surrounded by two semi-infinite layers, having and , , all assumed to the corresponding constants , be linear and isotropic. The corresponding wavenumbers , , and , the generally , , and complex wave impedances , and the normalized permeability are also introduced. Since the current study considers nonmag. An incident netic media, it is generally assumed that plane wave, whose transversial -field amplitude is denoted as , propagates through a semi-infinite layer and impinges at the plane. The definitions reupon the lossy layer quired for the analysis of this model are generally well known (e.g., [18], [19], and [28]) and summarized in Table I. The distinand , corresponding to the two elguishing superscripts ementary plane-wave polarizations, have been partially omitted in Table I only for relations applying to both polarizations. This rule is adapted throughout this paper for all the equations that apply to both polarizations. Generally, it is of interest here to find all possible impedance combinations of the lossy layer and its surroundings leading to the optimal value of the power absorption efficiency , i.e., maximal amount of incident power absorbed within the lossy layer. These conditions are referred thereof as lossy resonance conditions. of the optimally abThe normalized impedance ratio sorbing layer, depending parametrically on its normalized thickness and the normalized impedance ratio of the two sur, can be readily obtained via optimization rounding layers of with respect to or, equivalently, with respect to , i.e., (1) Utilizing Table I in conjunction with (1) leads to an implicit

equation for the optimal

,

, and

, i.e.,

(2) where denotes the complex conjugate of . Equation (2) implicitly characterizes the exact extremum points of the power absorption efficiency , which can be represented by infinite number of optimal absorption paths [18], plane, depending, parametrically, on [19] in the complex and . It should be noted that the optimal power absorption efficiency, obtained implicitly via (2), specifies, equivalently, the exact hot spot (lossy resonance) conditions as applied to the lossy layer. III. LOSSY RESONANCE MODES Indeed, (2) can be numerically solved for , as is done in Section IV; however, the essential physical insight is obtainable via its explicit asymptotic solutions. Furthermore, it turns out that these asymptotic solutions can be grouped into six basic categories, spanning, effectively, all possible optimal absorption plane. These paths are associated with paths in the complex

RAZANSKY et al.: RIGOROUS CHARACTERIZATION OF RESONANT HOT SPOT CONDITIONS IN STRATIFIED TISSUE MODEL

1065

TABLE II EXACT AND ASYMPTOTIC PHASE RELATIONS FOR THE SIX LIMITING CASES

all the optimally absorbing combinations of tissue layers. The classification is made based on the normalized thickness of the lossy layer and the normalized impedance ratio of the sur. Since the general asymptotic derivation rounding media procedure for two from the six cases was already introduced in [18] and [19], below we only briefly review an asymptotic case and . of a thick layer and light substrate, i.e., The underlining assumptions, leading to the asymptotic results for the remaining five cases, are then summarized in Tables II and III. When the lossy layer is thick in terms of the incident waveand length and the substrate is light, the limit of interest is , corresponding to . In this case, in order to facilitate the asymptotic derivation, it is convenient to in Table I. introduce the following replacement It should also be noted that the derivation becomes much more

straightforward when equivalently operating the phase relations , , and , as compared to dealing directly with the nor, , and thickness . Consequently, malized impedances using asymptotic phase relations for case D, as summarized in Table II, one obtains the following asymptotic equivalent of (2):

(3) Equation (3) can be satisfied, provided that

, when

(4)

1066

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

TABLE III CLOSED-FORM ASYMPTOTIC EXPRESSIONS FOR THE OPTIMAL ABSORPTION EFFICIENCIES AND THE ASSOCIATED NORMALIZED IMPEDANCES

An asymptotic solution of the quadratic equation (4) for , and , leads to a closed-form assuming of asymptotic expression for an infinite number the lossy resonance modes, i.e.,

(5) or, in terms of

, via Table II and (4),

(6) , , Finally, substituting the asymptotic results for and , which are summarized in Table II, into the expressions for , , and in Table I, renders the following universal asymptotic value of the optimal power absorption efficiency, valid, simultaneously, for all the lossy resonance modes, namely, (7)

Power absorption efficiency of thick layers with a light substrate , is thus asymptotically bounded by (7) for any selection of only, i.e., thickness of the layer, depending on and

and , and the wave impedances of the surrounding media of the plane wave. Above the critical angle incidence angle , however, for which (assuming ), in (7) attains its maximum, , regardless of the imaginary part of . namely, The derivation of the first four (A–D) cases can be similarly obtained, as summarized in Table II. The resonant absorption in those cases is essentially of the Fabry–Perot lossy resonance type. Case E corresponds to a thick nonreflecting layer. In this straightforward limit, as opposed to the previous four cases, most of the power of the incident wave is absorbed by a thick nonreflecting slightly attenuating layer. The asymptotic derivais unbounded for tion is facilitated by assuming that . Thus, most of the incident power can be absorbed for small losses , provided that , leading to and . Case F is also completely different from the other five, which are valid for any generally com, subject to the appropriate limitations and constraints. plex This special case occurs for TM polarized incidence above the , leading, for critical angle, i.e., , to pure imaginary . One notes that, in this case, real and , thus, utilizing Table I, this asymptotic limit might be obtained at the poles of , i.e., or, equivalently, . This as limit corresponds to the surface plasmon resonance (SPR) phenomenon [30], which occurs in ultrathin metallic layers in their

RAZANSKY et al.: RIGOROUS CHARACTERIZATION OF RESONANT HOT SPOT CONDITIONS IN STRATIFIED TISSUE MODEL

1067

Z

Fig. 1. Optimal absorption paths for the six limiting cases. Solid and dashed lines denote TE and TM incidence, respectively. (a) = 0:8 and  = 45 . (b) = 50 and  = 45 . (c) = 0:02 and  = 45 . (d) Oblique incidence above critical angle, i.e.,  = 50 > 49:5 =  , Z = 0:76, Z = 1:33, = 0:14i, and = 4i .

Z

Z

Z Z

0

near-plasma frequency band, lying in the visible and infrared light spectra. Although this case is not directly applicable for studying intrinsic interactions and radiation hazards in living medium, it is indeed relevant when dealing with, for example, metallic nanoparticles or nanoshells, subjected to near-infrared radiation. The latter were recently suggested for increasing the efficiency of light-mediated hyperthermic treatments [5]. and for all six lossy resonance The results for modes are summarized in Table III. Note that, in general, either for angles of incidence above the critical angle or, generally, when the surrounding layers are lossy. IV. EXACT NUMERICAL SOLUTIONS As mentioned above, optimization equations (1), (2) can be solved using an exact numerical scheme. The numerical optican be depicted as optimal mally absorbing solutions for domain, as shown in absorption paths in the complex Fig. 1. The selection of the parameters was chosen as to cover the above stated six asymptotic limits. Each path in Fig. 1 corresponds to an exact solution of (2) versus , setting as a constant parameter. The for direction along the graphs, in which is increasing, is shown

in Fig. 1(a). The limiting cases are depicted for incidence angles below the critical angle in Fig. 1(a)–(c), setting and above the critical angle in Fig. 1(d), setting and . For all cases, it was assumed . It is readily observed that, for incidence angle that below the critical angle [see Fig. 1(a)–(c)], the optimal absorption paths for the TE polarization are invariant, as can be verified via Table III, whereas the behavior of the TM polarization paths is indeed dependent. Also, above the critical angle Fig. 1(d), only the TM polarization exhibits the SPR-type lossy resonance mode, as discussed in Section III. One notes that the TE and TM polarization A-type paths (limit of a thin layer with nondense substrate) are overlapping [see Figs. 1(b)–(d)]. As the layer becomes thick, however, the corresponding TM paths approach the E-type behavior. The optimal absorption paths for the TE polarization are truncated for [see Fig. 1(a)]. above and below The field distributions, associated with the various lossy resonance cases, are depicted in Fig. 2. It is readily noted that A- and always exhibit the Fabry–Perot-type C-type paths for lossy resonances. Furthermore, while the standing wave field amplitude, associated with the C-type paths, show significant

1068

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 2. Lossy resonance transversial field distributions inside the absorbing layer (z corresponds to a longitudinal direction). Solid and dashed lines denote TE and TM incidence, respectively. (a) A-type field distributions inside the layer for  = 0:1. (b) B-type field distribution inside the layer for  = 1. (c) C-type and E-type field distributions inside the layer for  = 50. (d) F-type field distribution inside the layer for  = 1:8.

field amplifications within the layer [see Fig. 2(c)], the standing wave A-type fields are bounded by the amplitude of the incident field [see Fig. 2(a)]. In contrast, the amplitudes of both E- and F-type fields decay exponentially away from the right and left boundaries of the layer, respectively. However, the F-type fields peak significantly above the incident field level, as appropriate for the surface plasmon field enhancement phenomenon [see Fig. 2(d)] [30]. On the other hand, the E-type fields are bounded by the amplitude of the incident field [see Fig. 2(c)]. Finally, the transitional B- and D-type fields exhibit an exponentially attenuated standing wave form [see Fig. 2(b)]. The dependence of the optimal power absorption efficiency , where is a parameter, is depicted in Fig. 3, utilizing on (2) and Table III. The efficiency curves represent global bounds on power absorption in a single lossy layer. One notes that for , the optimal efficiency is always 0.5, thin layers, given as expected. It can readily be verified (Fig. 3) that the asymptotic

expressions in Table III agree well with the appropriate portions of the exact optimal efficiency curves. V. DISPERSION CLASSIFICATION FOR THE OPTIMALLY ABSORBING MEDIA While the optimally absorbing media, represented by in Table III, can be readily matched at a single frequency (narrowband matching), its broadband matching introduces a rather sophisticated challenge. For the narrowband case, the local frequency sensitivity of the power absorption efficiency is determined, in the vicinity of the lossy resonance, via its series expansion in the neighborhood of , where , i.e.,

(8)

RAZANSKY et al.: RIGOROUS CHARACTERIZATION OF RESONANT HOT SPOT CONDITIONS IN STRATIFIED TISSUE MODEL

1069

The above analysis reveals that broadband optimization can to those be achieved by matching higher order derivatives of at . Alternatively, can be matched of to at a larger set of optimal frequencies. An ultimate lossy resonance mode (Table III, limmatching of the iting case A) over a continuum set of optimal frequency points can be achieved using good conductors [31], whose dispersion, domain, is expressed in the (14) Using (14) and Table III (case A) renders, for and , an explicit expression of the optimal layer’s thickness (15) Fig. 3. Global bound on power absorption efficiency. Solid and dashed lines denote the exact equation (2) and approximate (Table III, cases A and B) solutions, respectively.

The expansion coefficients in (8) are given via Table I as (9) (10) and

(11) respectively. Note that in Table III is inherently frequency independent for the limiting cases E and F and also for the cases is frequency independent. This leads A and C provided that to a parabolic form of (8), i.e.,

(12) Furthermore, assuming that at a single freonly, results in a parabolic decay (of order quency ) of away from . This observation has been utilized recently for a novel absorption spectroscopy scheme [19], where it was shown that the dispersion behavior of low-loss Debye’s domain as type materials [12], expressed in the (13) at a single opintersects each optimal absorption path timal frequency. It should be noted that the additional matching at of the first derivative, i.e., , results in a significant reduction of the frequency sensitivity due to cancellation of the quadratic term in (12), and leads to a more broadband matching.

As can readily be seen, (15) is valid over ultrawide band of freA-type quencies, from dc up to the termination of the path. The last result can be readily reduced to that of [18, eq. and for the normal incidence sym(20)] setting is a constant, namely, metric case. It should be noted that frequency independent, provided that all the parameters on the right-hand side of (15) are frequency independent. In general, can be assumed frequency independent for a broad range . of frequencies, particularly for the symmetric case However, while the conductivity is indeed constant, for many frequency decades above dc, when dealing with some metal-like inorganic compounds, it may notably change for highly lossy biological tissues, resulting in reduction of the effective optimal absorption band. The implementation of narrowband and broadband absorption in a stratified tissue is now demonstrated via SAR evaluations for these two opposite cases. The SAR of the lossy layer can be expressed in terms of its absorption efficiency as W/kg

(16)

where denotes the intensity of the incident plane wave in W/m and , , and are the power absorption efficiency of the layer (as defined in Table I), its density in kg/m , and thickness, respectively. The frequency dependence of the absorption factor for a thin water layer, satisfying Debye’s dispersion in (13), is depicted in Fig. 4(a), revealing a narrowband optimal absorption behavior in the vicinity of the optimal , where and frequency in Table III (case A). The other two peaks (for ) do not fully satisfy the optimal conditions, namely, only , whereas [see . The Fig. 4(b)], leading to dotted lines in Fig. 4 correspond to a layer of water, which is an , integral part of a homogeneous water medium, where and , leading to i.e., both extremely unmatched absorption conditions, as opposed to the optimal lossy resonance cases. The opposing broadband case is presented in Fig. 5, utilizing layers of muscle tissue, which can be considered as a highly

1070

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 4. Power absorption factor SAR=P and the associated amplitude and for water layers versus frequency. phase of the ratio Z =Z

lossy (good conductor) medium for a broad range of frequencies [32]. Indeed, the reduction of the absorption factor from its maximal value is due to changes in the effective muscle conductivity with frequency [32]. This is readily verified by for muscle tissue from the opobserving the deviation of in Table III (case A), associated with good timal values mm, both and conductors. For within the band , and in that band. For mm, however, the thus, optimal absorption band becomes significantly narrower, i.e., . The thinnest layer mm cannot be matched to at any point, leading to in the entire frequency band. One should note that, while the optimal power absorption efficiency in the thin layer limit remains 0.5, both the optimal and the actual power factors are inversely proportional to . The dotted lines in Fig. 5 correspond to a muscle layer, which is an integral part of a homogeneous muscle medium. Clearly, the absorptivity of these layers is far below , the optimal lossy resonance conditions since, again, , and .

Fig. 5. Power absorption factor SAR=P and the associated amplitude and phase of the ratio Z =Z for muscle layers versus frequency.

VI. SUMMARY AND CONCLUSIONS Rigorous characterization of the optimal electromagnetic power absorption in a single tissue layer renders closedform asymptotic expressions for its optimal normalized wave impedance and power absorption efficiency depending on the thickness of the specified layer, excitation frequency , and . The normalized impedance of the surrounding media resultant infinite number of lossy resonance modes is derived for the six categories of limiting cases, whose asymptotic dispersion and power absorption efficiency characteristics are summarized in Table III. The lossy resonance modes are represented via optimal absorption paths in the complex impedance domain, as depicted in Fig. 1, with the associated modal field distributions, depicted in Fig. 2. Note that the lossy resonance modes are solutions of the power absorption efficiency optimization problem (1) and (2) and should not be confused with the conventional slab modes, which are solutions of the associated eigenvalue problem, i.e., poles of

RAZANSKY et al.: RIGOROUS CHARACTERIZATION OF RESONANT HOT SPOT CONDITIONS IN STRATIFIED TISSUE MODEL

the global reflection or transmission coefficients in Table I, respectively. The potential promise of the outlined optimization scheme is demonstrated by applying it to the evaluation of the SAR in an absorbing layer, for both broadband and narrowband excitations, enabling for prediction of naturally occurring hot spot conditions and effective energy localization. Recently, this scheme was also successfully implemented for the ultrasensitive thin-film broadband absorption spectroscopy method from RF to light wavelengths [19]. Finally, the results obtained here, which are valid for any arbitrary angle of incidence, can be readily applied for the case of finite sources (e.g., dipole or beam excitations) by representing them via their plane-wave spectrum. Furthermore, a general 3-D analysis using the proposed method will allow for a design of finite optimally absorbing bodies and may provide additional information regarding the lossy resonances in more complex structures. Naturally, it is not always possible to derive direct conclusions regarding possible hot-spot conditions in realistic configurations based on the first-order model presented herein. Therefore, it is indeed important to extend the analysis into three dimensions by also applying numerical discretization schemes. Nevertheless, it is expected that the main phenomena first described here will exhibit a similar behavior also in more complicated geometries. Although not associating their results with the lossy resonance phenomena, several authors have already presented resonant field distribution behavior in biological medium, both on the macroscopic and microscopic scales (e.g., [1], [10], [12], and [15]). In particular, a fatty cellular membrane, analyzed in [15], may actually create the thick layer lossy resonance type (case C) at certain frequencies. Thus, essentially, the first-order prototype model analyzed here may serve as a general guide for finding lossy resonance conditions and might also be used as a priori information for more precise numerical methods. REFERENCES [1] Y. Kotsuka, E. Hankui, and Y. Shigematsu, “Development of ferrite core applicator system for deep-induction hyperthermia,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 10, pp. 1803–1810, Oct. 1996. [2] M. A. Rojavin and M. C. Ziskin, “Medical application of millimeter waves,” Quart. J. Med., vol. 91, pp. 57–66, 1998. [3] S. K. Das, S. T. Clegg, and T. V. Samulski, “Electromagnetic thermal therapy power optimization for multiple source applicators,” Int. J. Hyperthermia, vol. 15, no. 4, pp. 291–308, 1999. [4] M. J. C. Van Gemert, S. L. Jacques, H. J. C. M. Sterenborg, and W. M. Star, “Skin optics,” IEEE Trans. Biomed. Eng., vol. 36, no. 12, pp. 1146–1154, Dec. 1989. [5] L. R. Hirsch et al., “Nanoshell-mediated near-infrared thermal therapy of tumors under magnetic resonance guidance,” Proc. Nat. Acad. Sci. USA, vol. 100, pp. 13549–13554, 2003. [6] S. I. Alekseev and M. C. Ziskin, “Millimeter wave power density in aqueous biological samples,” Bioelectromagnetics, vol. 22, pp. 288–291, 2001. [7] M. P. Robinson and D. E. Pegg, “Rapid electromagnetic warming of cells and tissues,” IEEE Trans. Biomed. Eng., vol. 46, no. 12, pp. 1413–1425, 1999. [8] J. R. Reuss, “Multilayer modeling of reflectance pulse oximetry,” IEEE Trans. Biomed. Eng., vol. 52, no. 2, pp. 153–159, Feb. 2005. [9] P. W. Barber, O. P. Gandhi, M. J. Hagmann, and I. Chatterjee, “Electromagnetic absorption in a multilayered model of man,” IEEE Trans. Biomed. Eng., vol. BME-26, no. 7, pp. 400–405, Jul. 1979.

1071

[10] E. P. Khizhnyak and M. C. Ziskin, “Heating patterns in biological tissue phantoms caused by millimeter wave electromagnetic irradiation,” IEEE Trans. Biomed. Eng., vol. 41, no. 9, pp. 865–873, Sep. 1994. [11] K. R. Foster, “Thermal and nonthermal mechanisms of interaction of radio-frequency energy with biological systems,” IEEE Trans. Plasma Sci., vol. 28, no. 1, pp. 15–23, Jan. 2000. [12] O. P. Gandhi and A. Riazi, “Absorption of millimeter waves by human beings and its biological implications,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 2, pp. 228–235, Feb. 1986. [13] A. G. Pakhomov, Y. Akyel, O. N. Pakhomova, B. E. Stuck, and M. R. Murphy, “Current state and implications of research on biological effects of millimeter waves,” Bioelectromagnetics, vol. 19, pp. 393–413, 1998. [14] T. F. Eibert, M. Alaydrus, F. Wilczewski, and V. W. Hansen, “Electromagnetic and thermal analysis for lipid bilayer membranes exposed to RF fields,” IEEE Trans. Biomed. Eng., vol. 46, no. 8, pp. 1013–1021, Aug. 1999. [15] F. Apollonio, M. Liberti, G. d’Inzeo, and L. Tarricone, “Integrated models for the analysis of biological effects of EM fields used for mobile communications,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 2082–2093, Nov. 2000. [16] R. L. McIntosh, V. Anderson, and R. J. McKenzie, “A numerical evaluation of SAR distribution and temperature changes around a metallic plate in the head of a RF exposed worker,” Bioelectromagnetics, vol. 26, pp. 377–388, 2005. [17] D. K. Kim et al., “Monodispersed Fe–Pt nanoparticles for biomedical applications,” J. Appl. Phys., vol. 97, no. 10, p. 10Q918, 2005. [18] D. Razansky, P. D. Einziger, and D. R. Adam, “Optimal dispersion relations for enhanced electromagnetic power deposition in dissipative slabs,” Phys. Rev. Lett., vol. 93, no. 8, Aug. 2004, 083902. [19] ——, “Broadband absorption spectroscopy via excitation of lossy resonance modes in thin films,” Phys. Rev. Lett., vol. 95, no. 1, Jul. 2005, 018101. [20] J. A. Stratton, Electromagnetic Theory. New York: McGraw-Hill, 1941. [21] K. S. Cole and R. H. Cole, “Dispersion and absorption in dielectrics. I. Alternating current characteristics,” J. Chem. Phys., vol. 9, pp. 341–351, 1941. [22] D. K. Gramotnev and J. A. Ross, “Anomalous absorption of electromagnetic waves in a layered structure with complex permittivities,” Opt. Comm., vol. 162, pp. 280–290, 1999. [23] H. L. Bosman, Y. L. Yue, and R. M. Gilgenbach, “Power absorption by thin films on microwave windows,” IEEE Trans. Plasma Sci., vol. 32, no. 3, pp. 1292–1297, Mar. 2004. [24] A. Q. Valenzuela and F. A. Fernandez, “General design theory for single-layer homogeneous absorber,” IEEE Trans. Antennas Propag., vol. 44, no. 7, pp. 822–826, Jul. 1996. [25] S. F. Mahmoud, “A two-layer planar microwave absorber,” Microw. Opt. Technol. Lett., vol. 15, no. 3, pp. 170–173, 1997. [26] D. Razansky, D. F. Soldea, and P. D. Einziger, “Bounds and estimates for power absorption in two-dimensional highly-lossy configurations,” J. Appl. Phys., vol. 95, no. 12, pp. 8298–8308, 2004. [27] ——, “Generalized transmission-line model for estimation of cellular handset power absorption in biological tissues,” IEEE Trans. Electromagn. Compat., vol. 47, no. 1, pp. 61–67, Feb. 2005. [28] M. Born and E. Wolf, Principles of Optics: Electromagnetic Theory of Propagation, Interference and Diffraction of Light, 7th ed. Cambridge, U.K.: Cambridge Univ. Press, 1999. [29] R. M. Corless, G. H. Gonnet, D. E. G. Hare, D. J. Jeffrey, and D. E. function,” Adv. Comput. Math., vol. 5, no. Knuth, “On the Lambert 4, pp. 329–359, 1996. [30] H. Raether, Surface Plasmons on Smooth and Rough Surfaces and on Gratings. Berlin, Germany: Springer-Verlag, 1988. [31] J. D. Jackson, Classical Electrodynamics. New York: Wiley, 1975. [32] F. A. Duck, Physical Properties of Tissue. A Comprehensive Reference Book. San Diego, CA: Academic, 1990.

W

Daniel Razansky received the B.Sc. and M.Sc. degrees in electrical engineering and Ph.D. degree in biomedical engineering from the Technion–Israel Institute of Technology, Haifa, Israel, in 1995, 2001, and 2006, respectively. From 1998 to 2002, he had also served in the telecommunication industry in various RF and system engineering positions. He is currently a Research Fellow with the Laboratory for Biooptics and Molecular Imaging, Center for Molecular Imaging Research, Medical School, Harvard University, Boston, MA. His general research interests are biomedical applications of ultrasound, microwaves, and light.

1072

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Pinchas D. Einziger received the B.Sc. and M.Sc. degrees in electrical engineering from the Technion–Israel Institute of Technology, Haifa, Israel, in 1976 and 1978, respectively, and the Ph.D. degree in electrophysics from the Polytechnic University, Brooklyn, NY, in 1981. Since 1981, he has been on the faculty of the Department of Electrical Engineering, Technion–Israel Institute of Technology. His main interests are electromagnetic wave theory, nonlinear wave phenomena, and bioelectromagnetics.

Dan R. Adam was born in Haifa, Israel. He received the B.Sc. and M.Sc. degrees in electrical engineering and D.Sc. degree in biomedical engineering from the Technion–Israel Institute of Technology, Haifa, Israel, in 1968, 1973, and 1977, respectively. In 1977, he joined the Technion–Israel Institute of Technology, as a member of faculty. From 1978 to 1980, he was with Tufts University, Boston, MA. In 1980, he joined the Massachusetts Institute of Technology (MIT), Cambridge, as an Assistant Professor teaching for the Harvard–MIT Health Sciences and Technology Program. Since 1983, he has been with the Department of Biomedical Engineering, Technion–Israel Institute of Technology. From 1992 to 1993, he held a visiting appointment with the National Institutes of Health (NIH), Bethesda, MD. His research interests have been in biomedical signal processing.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

1073

Arctangent Demodulation With DC Offset Compensation in Quadrature Doppler Radar Receiver Systems Byung-Kwon Park, Student Member, IEEE, Olga Boric-Lubecke, Senior Member, IEEE, and Victor M. Lubecke, Senior Member, IEEE

Abstract—Direct-conversion microwave Doppler radar can be used to detect cardiopulmonary activity at a distance. One challenge for such detection in single channel receivers is demodulation sensitivity to target position, which can be overcome by using a quadrature receiver. This paper presents a mathematical analysis and experimental results demonstrating the effectiveness of arctangent demodulation in quadrature receivers. A particular challenge in this technique is the presence of dc offset resulting from receiver imperfections and clutter reflections, in addition to dc information related to target position and associated phase. These dc components can be large compared to the ac motion-related signal, and thus, cannot simply be included in digitization without adversely affecting resolution. Presented here is a method for calibrating the dc offset while preserving the dc information and capturing the motion-related signal with maximum resolution. Experimental results demonstrate that arctangent demodulation with dc offset compensation results in a significant improvement in heart rate measurement accuracy over quadrature channel selection, with a standard deviation of less than 1 beat/min. Index Terms—Arctangent demodulation, biomedical monitoring, biomedical signal detection, dc offset, direct conversion receiver, Doppler radar.

I. INTRODUCTION

D

IRECT-CONVERSION microwave Doppler radar has been introduced for noncontact detection or monitoring of human cardiopulmonary activity [1]–[3]. These functions can be a promising tool for health care, emergency, military, or security applications if reliable and robust sensing can be provided. One challenge in providing robust sensing is detection sensitivity to target position due to the periodic phase relationship between the received signal and local oscillator (LO), resulting in “optimum” and “null” extreme target positions. A quadrature Doppler radar receiver with channel selection has been proposed to alleviate this problem [3]. This method selects the better of the quadrature (I and Q) channel outputs, and is thus limited to the accuracy of a single channel. A frequency tuning technique with double-sideband transmission -band radar [4]; however, this has also been proposed for

Manuscript received September 8, 2006; revised February 1, 2007. This work was supported by the National Science Foundation under Contract CS0428975. The authors are with the Department of Electrical Engineering, University of Hawaii at Manoa, Honolulu, HI 96822 USA (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.895653

technique requires more complex hardware with a tunable intermediate frequency. In this paper, we propose to combine quadrature outputs using arctangent demodulation with dc offset compensation. Arctangent demodulation overcomes position sensitivity issues while removing the small-angle limitation on the range for phase deviation detection, which can be significant in single-channel systems operating at high frequencies. The use of dc offset compensation ensures that unwanted dc components produced by receiver imperfections and clutter reflections are removed, while dc information required for accurate arctangent demodulation is preserved. Typically, a Doppler radar motion sensing transceiver transmits a continuous wave signal, and phase demodulates the signal reflected from a target [1]. A stationary human body presents two independent time-varying sources of motion with zero net velocity, resulting from respiration and cardiac activity, and the largest reflection of incident RF power occurs at the body surface. In terms of phase demodulation, the two extreme cases, i.e., “null” and “optimum,” occur periodically for target posiinterval from the antenna with separation tions at each between null and optimum points [4]. The mathematical basis for Doppler radar sensitivity to a target’s position has been introduced with supporting experimental results [5]. For the optimum case, the demodulated phase variation is linearly proportional to chest displacement, assuming the target displacement is small compared to . However, in the null case, the demodulated heart and respiration related phase data can be self-coupled or mutually coupled, resulting in large detection errors [5]. This paper will present relevant quadrature receiver theory, followed by experimental results demonstrating expected signal distortion in “null” cases. Arctangent demodulation will then be introduced as a means of overcoming this issue, thus providing a more robust sensing system. Before arctangent demodulation can be performed, quadrature channel imbalance and dc offset issues must be addressed. Both of these issues are well known in direct conversion receivers for radar [6] and communications applications [7]–[10]. With known channel imbalance factors, the Gram–Schmidt procedure can be used to correct imbalance errors [11]. Several dc offset compensation techniques have been proposed for communications receivers [8], [12], [13], where all of the dc signal is assumed to be undesired. The simplest solution for dc offsets is to remove them by using a high-pass filter. However, several modulation methods, such as the phase modulation method in this paper, contain critical “dc information,” which must be distinguished from unwanted

0018-9480/$25.00 © 2007 IEEE

1074

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 1. Block diagram of a quadrature Doppler radar heart rate measurement system. The LO signal is divided by a two-way 90 power splitter to get two orthonormal baseband signals (I and Q), which can be combined to minimize sensitivity to target position. Processed I and Q channels are compared with a wired finger pulse sensor reference.

“dc offsets” caused by imperfections in circuit components and reflections from stationary objects. The dc information component, associated with target position in Doppler radar, is typically several orders of magnitude larger than the amplitude of the periodic baseband signal related to heart activity, making it impractical to simply digitize the full signal with reasonable resolution. Thus, this paper explores techniques for isolating dc offset, dc information, and the ac motion signal to overcome dynamic range limitations for pre-amplifiers and analog-to-digital converters (ADCs) without discarding important components of the desired data. The results of arctangent demodulation experiments with a target at several different positions are described here, demonstrating proper preservation of cardiopulmonary-related motion information, and verifying accuracy insensitivity to target position. In each case, the heart rate obtained from combined quadrature outputs agreed with a wired reference, with a standard deviation of less than 1 beat/min. For the same measurements, the standard deviation of data from each in-phase (I) or quadrature (Q) channel varied from 1.7 beats/min in the optimum case, to 9.8 beats/min in the null case, with the additional problem of heart rate tracking drop-outs in the latter case.

II. QUADRATURE RECEIVER Fig. 1 shows the block diagram of a quadrature Doppler radar system. A single signal source provides both the RF output and LO signals. The LO signal is further divided using a 90 power splitter to provide two orthonormal baseband outputs. Assuming and , the quadrathat heart and lung motion is given by ture baseband outputs can be expressed as

(1) and (2)

is the residual phase noise, and is the constant where phase shift related to the nominal distance to the subject including the phase change at the surface of a target and the phase delay between the mixer and antenna. The null and optimum extreme cases for the output signal with respect to can be observed in (1) and (2). When is an odd , the baseband signal of the Q channel is at an multiple of optimum point, while that of the I channel is at a null point. On the other hand, when is an integer multiple of , the baseband signal of the I channel is at an optimum point, while that of the Q and are channel is at a null point. Assuming that both much smaller than (the small angle approximation) and that they can be simplified as sinusoidal waves of frequency and , with , an integer multiple of , (1), and (2) become (3) (4) and . Note that the small angle condition where becomes more challenging as decreases. In this case, the “optimal” I channel output is linearly proportional to chest motion, and it should be possible to obtain the desired data accurately with appropriate filtering. The “null” Q channel output given by (4) can be expanded and rearranged as

(5) Several problematic phenomena can be observed for this “null” case from (5). There is a significant dc component present at the output, and the output is no longer linearly proportional to displacement. The square terms result in signal distortion either by doubling the signal frequency or by mixing heart and respiration frequencies, while the linear terms are multiplied by the residual phase noise, thus degrading the SNR. The above described phenomena were confirmed by experimental measurements using a custom direct conversion quadrature-receiver Doppler system, like that shown in Fig. 1 [5], and looking at each output channel independently. A commercially available Antenna Specialists ASPPT2988 2.4-GHz patch antenna was used, with a gain of 7.5 dBi, an -plane range of 65 , and an -plane range of 80 . A Mini-Circuits JTOS-2700 V voltage-controlled oscillator (VCO) was used as the signal source, which delivered 0.8 dBm at 2.4 GHz to the antenna port. A Mini-Circuits RPS-2-30 was used for each two-way 0 power splitter, and a Mini Circuits QCN-27 was used for the two-way 90 power splitter. A Mini-Circuits SKY-42 was used for each mixer. As the measurement setup shown in Fig. 1 indicates, the baseband output signals were amplified ( 1000 ) and bandpass filtered (0.03–10 Hz) with SR560 low-noise amplifiers (LNAs), and then digitized with a DT9801 ADC card. Heart and respiration rates were extracted in real time with custom software based on an autocorrelation algorithm described in [14], and heart rate was compared with

PARK et al.: ARCTANGENT DEMODULATION WITH DC OFFSET COMPENSATION IN QUADRATURE DOPPLER RADAR RECEIVER SYSTEMS

1075

“null” position the other will be in an “optimum” position. Furthermore, by combining the two channels, accurate phase demodulation can be achieved regardless of the target position or displacement amplitude, the latter being restricted to the small angle deviation condition for even the optimum case in a single channel receiver. As shown in (1) and (2), the I and Q outputs are the cosine and sine of a constant phase delay caused by the nominal distance to a target with a time varying phase shift that is linearly proportional to the chest displacement. By applying the arctangent operation to the I and Q output data ratio, accurate phase demodulation can always be obtained regardless of the target’s position as

(6) is the superposition of the where phase information due to respiration or heart signals. However, quadrature channel imbalance and dc offset act as a linear transform on the I and Q components, thus modifying (6) to

(7)

Fig. 2. Rate measurement history data for both respiration (f ) and heart (f ) with a receiver output at either the: (a) optimum or (b) and (c) null points. At the optimum point, the Doppler measured heart rate corresponds closely to the reference for all f . At the null point during continuous breathing (b), the Doppler measured heart rate and reference differ by the respiration reference frequency f , while with breath-holding (c), it jumps between either double or equal to the actual frequency. The respiration “(ref)” signal in (b) is that measured from the other quadrature (not null) channel. Data from [5].

that obtained from a wired finger pressure pulse sensor (UFI 1010) used as a reference. Measurement results are shown in Fig. 2, and the distortion cases discussed above were observed. In Fig. 2(a), corresponding to the “optimum” case, the baseband data is linearly proportional to the actual signal resulting in an output that corresponds well with the reference. Fig. 2(b) and (c) shows the “null” case data taken both during continuous breathing and breath holding, respectively. As predicted in (5), Fig. 2(b) shows the detected heart rate decreased by an amount equal to the respiration rate, and a doubled respiration rate is also evident. Fig. 2(c) shows the heart rate doubling effect. III. ARCTANGENT DEMODULATION CHALLENGES The single receiver-channel Doppler radar system limitations previously described can be eliminated by using a quadrature receiver system like the one shown in Fig. 1 with both channels considered simultaneously. A quadrature receiver provides two orthonormal outputs, thus ensuring that when one channel is in a

and refer to the dc offsets of each channel, and where and are the amplitude error and phase error, respectively. Correction for a known phase and amplitude imbalance is straightforward using the Gram–Schmidt procedure [6]. The dc offset issue is more complex, however, due to the fact that the total dc signal contains dc information required for accurate demodulation. The dc offset is caused by two main sources: reflections from stationary objects (clutter) and hardware imperfections. Hardware imperfections include circulator isolation, antenna mismatch, and mixer LO to RF port isolation, resulting in self-mixing, which produces a dc output. On the other hand, as indicated by (5), dc information associated with the target’s position is also part of each baseband signal. The magnitude of this dc level is dependent on the target’s position, such that the dc level is higher for target positions closer to the “null” case. Consequently, before arctangent demodulation is performed, the dc information must be extracted from the total dc output, and preserved. A coaxial quadrature radar system, also as shown in Fig. 1, was used to examine arctangent demodulation issues. The same antenna, baseband pre-amplification, and data acquisition and heart rate extraction systems were used as previously described. An HP E4433B signal generator was used as the LO and divided into RF and LO signals by a Mini-Circuits ZFSC-2-2500 signal splitter. A Narda 4923 circulator was used to isolate transmit and receive signals with the circulator RF to LO isolation measured to be 22 dB. The LO signal was further divided by a hybrid splitter (Narda 4033C) to provide quadrature outputs. A Mini-Circuits ZFM-4212 was used for the mixer in each channel. Amplitude and phase imbalance factors for this coaxial radar system were determined to be 1.013 and 1 , respectively, using the measurement method described

1076

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 4. Polar plot of I/Q data. The I/Q data with dc preserved forms a portion of a circle centered at the origin, verifying preservation of all phase information, while the I/Q signals without dc information form a line near the center for which phase information cannot be accurately recovered.

Fig. 3. Measurement setup for dc compensation. Overall radar setup is shown (a) with data acquisition [dashed region in (a)] details provided for the I channel (the Q channel is exactly the same) (b). The clutter and circuit based dc offset measured with no target present is reproduced (dc supply) and subtracted from the response for a human subject so that the heart motion signal (which includes a dc component) can be digitized with maximum resolution.

in [15]. The dc offset caused by hardware imperfections was measured by terminating the antenna port with a 50- load. The main contribution to this dc offset is caused by self-mixing with circulator leakage power, dependent on the phase difference between the LO and antenna feed line. By connecting a phase shifter between the LO feed line and varying the phase delay, the dc offset range for each channel can be measured at the corresponding mixer’s IF port. This was determined to be 19.4 mV for the I channel and 19.8 mV for the Q channel with an LO power of 0 dBm. The dc offset due to reflections was estimated by putting a large metal reflector at a distance of 1 and 2 m from the radar with a half-wavelength position variation used to find the maximum and minimum dc values. The dc offset range for the I and Q channels from a reflector at a 1- or 2-m distance are 3 and 3.4 mV and 0.6 and 0.8 mV, respectively. As expected, experimental results show that the dc offset is dominated by the contribution from imperfections in the circuit components rather than from clutter located 2 m away from radar. IV. EXPERIMENTAL RESULTS The measurement setup for dc compensation is shown in Fig. 3. The coaxial radar described in Section III was used to collect data from a seated subject facing the antenna at a distance of approximately 1 m. A wired finger pressure pulse sensor was used to provide the reference for heart rate. Once the dc offset components were determined, as described above, they could be subtracted from the output signal. The remaining challenge was to preserve the relatively large dc information level while sufficiently amplifying the weak time-varying heart-related signal. In this coaxial radar system, the maximum dc information, which occurs at the null case, reaches approximately 3.8 mV, while peak-to-peak voltage for heart motion

Fig. 5. Heart rate measurements for both channels in an intermediate position. Bandpass filtered (0.9–2 Hz) Doppler radar I and Q signals are shown along with the combined arctangent demodulated output (AT) and a wired finger pulse reference (a). Heart rate history (using autocorrelation) is also shown (b), where the Q channel data is at times off by the respiration rate value, as predicted. Standard deviation is less than 1 beat over the full 40-s interval for the AT data, while it is 3.9 and 9.8 beats for the I and Q channels, respectively.

typically results in less than 25 V. In other words, the dc information is 2–3 orders of magnitude larger than the signal amplitude. This makes it difficult to amplify the signal associated with heart displacement sufficiently for high-resolution digitization without saturating the amplifiers or the ADC. Details for the method used for achieving high amplification without saturation is shown in Fig. 3(b). With no object within 1 m in front of the radar, the internally or externally induced dc offset of each channel could be measured. These dc offsets were then calibrated by using differential amplifiers, each with

PARK et al.: ARCTANGENT DEMODULATION WITH DC OFFSET COMPENSATION IN QUADRATURE DOPPLER RADAR RECEIVER SYSTEMS

Fig. 6. I, Q, and arctangent (AT) demodulated signals (a) measured for a position where the Q channel is close to a null condition. The Q channel rate (b) shows drop-out regions (in 35% of the interval) when the SNR is insufficient for digitization, as occurs with the squaring effect when in the null position. Excluding drop-outs, the I and Q channels have errors of 4.8 or 5.2 beats, respectively, over the same 40-s interval where the AT data has an error of only 0.9 beats.

one input port connected to a dc power supply. The dc supplies were then used to generate the same voltage as the dc offset of each channel, thus producing a zero dc level at the output. While preserving this condition, a human subject was then located at a distance of approximately 1 m from the radar. In this experiment, the full dc level, including the heart motion signal, was detected at each channel. To achieve sufficient amplification of the signals, three amplifiers were used at the baseband stage of the I and Q channels. The first one was a differential amplifier with a gain of 50 that amplified both the dc and heart motion signal, and calibrated the dc offset. Subsequently, the output of the first amplifier was divided into two outputs, one of which was saved in the data acquisition system and the other was saved after the dc was removed and the ac content was amplified. Two amplifiers were used for the dc blocking filter with a cutoff frequency of 0.03 Hz and gain settings of 20 and 2, respectively, in order to obtain a high- ( 80 dB/dec) and, thus, a sharp cutoff. Arctangent demodulation was performed using these signals with and without dc content using MATLAB software. The signal with dc content was multiplied by 40 in the MATLAB code before summation with the ac signal that was pre-amplified before the ADC. At the same time, the ac-only signal was filtered with a Butterworth filter that passed frequencies between 0.9–2 Hz to eliminate the still-detectable low-frequency component due to respiration and, thus, avoid including this effect twice when summing with the dc-included signal. Consequently, a high-resolution heart motion signal combined with a virtual dc com-

1077

Fig. 7. I, Q, and arctangent demodulated signals (a) measured for the I channel close to a null position. Data drop out regions occur for both I (23% of the interval) and Q (5%) channels. Standard deviation is 7.5 or 1.7 beats for the I and Q channels, respectively, and only 0.6 for the arctangent output.

ponent was created. Without this procedure, the dc component would saturate the amplifiers before the smaller heart motion signal could be sufficiently amplified for recording. To verify that the dc information was properly preserved, I/Q data after imbalance and dc offset compensation was plotted on a polar plot. Two orthonormal sinusoidal functions of the same phase information will compose part of circular trace centered at the origin, corresponding to the phase information. As shown in Fig. 4, the I/Q baseband signals dc information form a part of an almost perfect circle centered at the origin, confirming that the dc information was correctly accounted for (it would be a circle for two orthonormal sinusoids). The same measurement with the dc portion removed is also shown, appearing at the origin where the phase information cannot be recovered with the same certainty. Figs. 5–7 show the I, Q, and arctangent demodulated signals obtained using the measurement setup shown in Fig. 3 for the subject in an intermediate position for both channels (Fig. 5), close to a null position for the Q channel (Fig. 6), and close to a null position for the I channel (Fig. 7). The null and optimum positions cannot be set exactly for heart rate measurements as the nominal distance (and associated phase) varies as a result of respiration and effects rate data accordingly. To examine the effectiveness of arctangent demodulation, standard deviation was used to provide a quantitative comparison of accuracy. As shown in Figs. 6 and 7, a drop-out region occurs at the null point due to degradation in signal power, and this region is excluded when calculating standard deviation. In Fig. 5, the Q channel heart signal is affected by the presence of the

1078

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

respiration signal, which is around 20 beats/min, at the beginning of the measurement interval. The I and Q channels show an error of 3.9 or 9.8 beats, respectively, during the 40-s time interval, while the arctangent combined output has an error of only 0.95 beats. In Fig. 6, 35% of the Q channel data could not be acquired or, dropped out, and the rest has an error of 4.8 beats. The more stable I channel data still has an error of 5.2 beats, while the arctangent combined output has an error of only 0.9 beats. In Fig. 7, both I and Q channels drop out for 23% and 5% of the total time interval, respectively. The I channel data has an error of 7.5 beats and the Q channel data has an error of 1.7 beats, while the arctangent combined output has an error of only 0.6 beats. From the measurement results described above, it is evident that arctangent demodulation results are significantly more accurate than any single channel output, with an error that is consistently less than 1 beat in standard deviation over the 40-s monitoring interval, and when using this data, there is no drop-out region. Thus, arctangent demodulation produces robust and accurate data for rate tracking regardless of a target’s position without need for channel selection.

V. CONCLUSION Single channel Doppler radar systems for detecting cardiopulmonary activity are subject to a systematic functional limitation in that their accuracy is highly dependant on exact target position, and limited by the small angle approximation of motion amplitude for even the optimum target position. By combining output signals in a quadrature receiver system using arctangent demodulation, robust and accurate output data can be obtained regardless of the target’s position and motion amplitude. Unwanted dc offset was successfully eliminated while dc information was correctly preserved, even when measuring ac signals with amplitudes that were several orders of magnitude smaller. The effectiveness of arctangent demodulation has been demonstrated for several subject positions illustrating theoretical worst case positional scenarios. Arctangent combined output data did not result in drop-out intervals and maintained an error rate of less than 1 beat over the 40-s test intervals, while individual I and Q data was subject to drop-out during up to 35% of the interval with rate errors up to 9.8 beats for the remainder of the interval. While the arctangent demodulation demonstrated here was processed separately after recording, the technique can be transferred to real-time processing with an appropriate dc tracking and compensation scheme. This could be implemented on chip, complete with low-pass filtering, using techniques such as those described in [16].

ACKNOWLEDGMENT The authors would like to thank S. Yamada, University of Hawaii at Manoa, Honolulu, for his help with measurements, and A. Host-Madsen, University of Hawaii at Manoa, for helpful discussions.

REFERENCES [1] J. C. Lin, “Microwave sensing of physiological movement and volume change: A review,” Bioelectromagnetics, vol. 13, pp. 557–565, Dec. 1992. [2] V. M. Lubecke, O. Boric-Lubecke, G. Awater, P.-W. Ong, P. Gammel, R.-H. Yan, and J. C. Lin, “Remote sensing of vital signs with telecommunications signals,” presented at the World Congr. Med. Phys. Biomed. Eng., Chicago, IL, USA, Jul. 2000. [3] A. D. Droitcour, O. Boric-Lubecke, V. M. Lubecke, J. Lin, and G. T. Kovacs, “Range correlation and I/Q performance benefits in single chip silicon Doppler radars for noncontact cardiopulmonary monitoring,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 838–848, Mar. 2004. [4] Y. Xiao, J. Lin, O. Boric-Lubecke, and V. M. Lubecke, “Frequency tuning technique for remote detection of heartbeat and respiration using low-power double-sideband transmission in Ka-band,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2023–2032, May 2006. [5] B.-K. Park, S. Yamada, V. M. Lubecke, and O. Boric-Lubecke, “Single-channel receiver limitations in Doppler radar measurements of periodic motion,” in IEEE Radio Wireless Symp., San Diego, CA, 2006, pp. 99–102. [6] R. Moraes and D. H. Evans, “Compensation for phase and amplitude imbalance in quadrature Doppler signals,” Ultrasound Med. Biol., vol. 22, pp. 129–137, 1996. [7] A. A. Abidi, “Direct-conversion radio transceiver for digital communications,” IEEE J. Solid-State Circuits, vol. 30, no. 12, pp. 1399–1410, Dec. 1995. [8] R. Svitec and S. Raman, “DC offsets in direct-conversion receivers: Characterization and implications,” IEEE Micro., vol. 6, pp. 76–86, Sep. 2005. [9] L. Noor and A. Anpalagan, “Direct conversion receiver for radio communication systems,” IEEE Potentials, vol. 24, no. 5, pp. 32–35, Dec. 2005. [10] C. Masse, “2.4 GHz direct conversion transmitter for Wimax application,” presented at the IEEE RFIC Symp., 2006. [11] F. E. Churchill, G. W. Ogar, and B. J. Thompson, “The correction of I and Q errors in a coherent processor,” IEEE Trans. Aerosp. Electron. Syst., vol. AES-17, no. 1, pp. 131–137, Jan. 1981. [12] A. Mashhour, W. Domino, and N. Beamish, “On the direct conversion receiver—A tutorial,” Microw. J., vol. 44, no. 6, pp. 114–128, Jun. 2001. [13] B. Matinpour and J. Laskar, “A compact direct-conversion receiver for C -band wireless applications,” in IEEE RFIC Symp. Dig., 1999, pp. 25–28. [14] B. Lohman, O. Boric-Lubecke, V. M. Lubecke, P. W. Ong, and M. M. Sondhi, “A digital signal processor for Doppler radar sensing of vital signs,” presented at the IEEE Eng. Med. Biol. Conf., Istanbul, Turkey, Oct. 2001. [15] B.-K. Park, S. Yamada, and V. M. Lubecke, “Measurement method for imbalance factors in direct-conversion quadrature radar systems,” IEEE Microw. Wireless Compon. Lett., to be published. [16] S. Solis-Bustos, J. Silva-Martinez, F. Maloberti, and E. SanchezSinencio, “A 60-dB dynamic-range CMOS sixth-order 2.4-Hz low-pass filter for medical applications,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 47, no. 12, pp. 1391–1398, Dec. 2000.

Byung-Kwon Park (S’05) received the B.S. and M.S. degrees in electrical engineering from Korea University, Seoul, Korea, in 2001 and 2003, respectively, and is currently working toward the Ph.D. degree in electrical engineering at the University of Hawaii at Manoa, Honolulu. For three months in 2006, he was an Intern with Lucent Technologies/Bell Laboratories. His research interests include biomedical applications of wireless technology. Mr. Park is a student member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He was a Student Paper Competition finalist at the 2006 IEEE Radio and Wireless Symposium (RWS).

PARK et al.: ARCTANGENT DEMODULATION WITH DC OFFSET COMPENSATION IN QUADRATURE DOPPLER RADAR RECEIVER SYSTEMS

Olga Boric-Lubecke (S’90–M’90–SM’01) received the B.Sc. degree from the University of Belgrade, Belgrade, Yugoslavia, in 1989, the M.S. degree from the California Institute of Technology, Pasadena, in 1990, and the Ph.D. degree from the University of California at Los Angeles, in 1995, all in electrical engineering. Prior to joining the Department of Electrical Engineering, University of Hawaii at Manoa, Honolulu, where she is currently an Associate Professor, she was a Member of the Technical Staff with Bell Laboratories, Lucent Technologies, Murray Hill, NJ, where she conducted research in RF integrated circuit technology and biomedical applications of wireless systems. From 1996 to 1998, she was a Visiting Research Scientist with the Institute of Physical and Chemical Research (RIKEN), Sendai, Japan. From 1995 to 1996, she was a Resident Research Associate with the National Aeronautics and Space Administration (NASA) Jet Propulsion Laboratory (JPL), Pasadena, CA. She has authored or coauthored over 90 journal and conference papers. Her research has been featured in various newspapers, magazines, and radio programs. Her current research interests include silicon RF integrated circuits, high-frequency integrated circuits, and biomedical applications. Prof. Boric-Lubecke serves on Technical Program and Steering Committees for various IEEE and SPIE symposia. She was the corecipient of Student Paper Competition awards (honorable mention) at the 2001 IEEE Microwave Theory and Techniques (IEEE MTT-S) International Microwave Symposium (IMS), Third Place at the 2001 IEEE Engineering in Medicine and Biology Society (EMBS) Conference, First Place at the 2003 IEEE MTT-S IMS, and honorable mention at the 2006 IEEE Radio Wireless Symposium (RWS).

1079

Victor M. Lubecke (S’86–M’86–SM’98) received the B.S.E.E. degree from the California Polytechnic Institute, Pomona, in 1986, and the M.S. and Ph.D. degrees in electrical engineering from the California Institute of Technology, Pasadena, in 1990 and 1995, respectively. Prior to joining the Department of Electrical Engineering, University of Hawaii at Manoa, Honolulu, where he is currently an Associate Professor in 2003, he was with Bell Laboratories, Lucent Technologies, where his research focused on sensing and monitoring technologies for biomedical and industrial applications and on microelectromechanical systems (MEMS) and 3-D wafer-scale integration technologies for wireless and optical communications. From 1987 through 1996, he was with the National Aeronautics and Space Administration (NASA), Jet Propulsion Laboratory (JPL), and from 1996 to 1998, he was with the Institute for Physical and Chemical Research (RIKEN), Sendai, Japan, where his research involved remote sensing and space communications applications and related microelectromechanical systems (MEMS) and terahertz technologies. He holds four U.S. patents with several pending. His current research interests include sense-through-the-wall and other remote sensing and imaging technologies, biomedical applications, sensor networks, MEMS, heterogeneous integration, and microwave/terahertz radio. Dr. Lubecke is a Distinguished Microwave Lecturer (2006–2008) of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He is a member of the IEEE Engineering in Medicine and Biology, Electron Devices, Antennas and Propagation, and Education societies and serves on Technical and Steering Committees for various IEEE and SPIE symposia. He was the recipient of the 2000 Microwave Prize for best paper presented at the Asia–Pacific Microwave Conference. He also coauthored student competition papers, which were selected for honorable mention at the 2001 IEEE MTT-S International Microwave Symposium (IMS), Third Place at the 2001 IEEE Engineering in Medicine and Biology Society (EMBS) Conference, First Place at the 2003 IEEE MTT-S IMS, and honorable mention at the 2006 IEEE Radio Wireless Symposium (RWS).

1080

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

The Human Body Characteristics as a Signal Transmission Medium for Intrabody Communication Namjun Cho, Student Member, IEEE, Jerald Yoo, Student Member, IEEE, Seong-Jun Song, Student Member, IEEE, Jeabin Lee, Student Member, IEEE, Seonghyun Jeon, Student Member, IEEE, and Hoi-Jun Yoo, Senior Member, IEEE

Abstract—The human body characteristics as a signal transmission medium are studied for the application to intrabody communication. The measurements of the body channel cover the frequency range from 100 kHz to 150 MHz and the distance on the body up to 1.2 m. A distributed RC model is developed to analyze the large variation of the channel properties according to the frequency and channel length. The simulation results using the channel model match well with the measurements in both the frequency and time domains. The effect of the ground plane to the body channel transceivers is also investigated and an empirical formula for the minimum ground size is obtained. Finally, the amount of the electromagnetic radiation due to the body antenna effect is measured. With regards to the Federal Communications Commission regulations, the proper frequency range for the intrabody communication is determined to satisfy given bit error rate requirements. Index Terms—Body antenna effect, body channel model, distributed RC model, human body communication, intrabody communication, near-field coupling.

I. INTRODUCTION

I

NTRABODY communication is a new method to connect mobile devices on and inside the human body. This technique of using the human body as a signal transmission medium has many advantages over the conventional RF approaches. Since its operation is based on near-field coupling, most of the signal from the transmitter is confined to the body area without interference with external RF devices. Since the communication frequency can be lowered without enlarging antenna size, the power consumption of the transceiver is also much reduced compared to Bluetooth and Zigbee radios [1]. Many groups have studied intrabody communication systems, and the performance of such systems in data rate, operational distance, and size, has been improved. The initial prototypes of the transceiver used narrowband communication schemes, and their data rate was limited to several kilobits per second [2]–[4]. In addition, most of them had a bulky ground plane to enhance the coupling return path of the near-field. In contrast, the transceivers in newer systems use wide bandwidth to increase data rate, and avoid using a large ground plane by stabilizing the received signal with an electrooptic sensor or by enhancing

Manuscript received October 24, 2006; revised January 8, 2007. The authors are with the Division of Electrical Engineering, Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Daejeon 305-701, Korea (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected];). Digital Object Identifier 10.1109/TMTT.2007.895640

Fig. 1. Conventional circuit model of the body channel.

the receiver sensitivity [5], [6]. There are also other approaches to send a modulated current by using two electrodes at the transmitter and induce voltage differences around it with no consideration of the external ground [7]. Fig. 1 shows a conventional circuit model to describe the near-field coupling mechanism around the human body [1], [2]. The signal is transmitted between the body channel transceivers by making a current loop, which is composed of the transmitter electrode, the body channel, the receiver electrode, and the capacitive return path through the external ground. In this model, the human body is modeled as a perfect conductor, and the electric couplings among the electrodes of the transceiver, body, and environment are modeled as capacitors. The approximation of the human body as a single node is quite useful when the impedance of the return path is very large compared to that of the body channel [1]. However, as the communication frequency increases for a high data rate, the coupling capacitances of the return path have less effect and the body impedance cannot be ignored. As the transmission length of the body channel increases, both the resistance of the body and the coupling capacitance to the external ground increase. These elements cause signal loss at the receiver, and its amount depends on the channel length. In this paper, a distributed RC model of the human body is developed to analyze the signal transmission through the human body at higher frequencies. It consists of the cascaded unit blocks with an RC parallel network and shunt capacitors, which model the electrical couplings across the human body. By selecting the parameters of the composing passive elements to form a T-shaped human subject with cascaded unit blocks, the electrical circuit model of the body channel can be easily obtained. The simulated results based on the proposed model match well with the mea-parameters and the transient responses surement results of of the test body channel. In addition, the body channel transceivers with different sizes of the ground planes are measured to investigate their effects on the received power level.

0018-9480/$25.00 © 2007 IEEE

CHO et al.: HUMAN BODY CHARACTERISTICS AS SIGNAL TRANSMISSION MEDIUM FOR INTRABODY COMMUNICATION

1081

Although data transmission with a high-frequency carrier can exploit the strong return path coupling and high data rate, it has an interference problem. Even though the communication range by the near-field coupling is limited to the near body area, the high-frequency carrier may radiate electromagnetic waves into the air. The radiation effect limits the body channel transceivers in terms of frequency and transmission power. To evaluate these limits, the strength of the -field is measured around a human body with a body channel transmitter attached on the skin. The maximum power level and the optimum frequency range for the body channel communication are determined, with consideration for Federal Communications Commission (FCC) field strength regulations. II. BODY CHANNEL CHARACTERISTICS A. Distributed RC Model Fig. 2(a) shows a near-field coupling model of the human body, which consists of three cylinders. The larger one among them models the human torso of which height and diameter are 140 and 30 cm, respectively. Two slender cylinders approximate two arms with 10-cm diameter and 60-cm length. The three cylindrical models are virtually segmented into unit blocks, which are 10-cm long. For each unit block, its complex impedance is calculated from the Gabriel’s experimental results [8] and the coupling capacitance to the external ground is calculated based on the Zimmerman’s method [2]. Although the electrical properties of the human body are highly dependent on the frequency and the locations of the body, the conductivity is within 0.1–1 S/m and the relative permittivity varies from 50 to 200 in the frequency range of 1–150 MHz, which we are interested in. Under 1 MHz, the electrical properties have little effect on the body channel characteristics since the strength of the return path is extremely weak. Therefore, the electrical model of the unit block can be a discrete RC-parallel network with constant parameters. Assuming the unit block is isotropic and its cross sections are equipotential, the resistance and capacitance can be obtained from the simple equations and , where and are the length and the cross-sectional area of a unit block, respectively. to the external ground is comThe coupling capacitance puted by approximating the unit block as the part of a conductive sphere in the free space [2]. The unit capacitance value is obtained with the assumption that a person stands in an open space. If any large conductive object that can provide a coupling path with the human is present nearby, the capacitance value will further increase. The air coupling capacitances between the transceiver grounds and the unit block are highly affected by the body configurations. In most cases, their values are very small, ranging from 10 to 100 fF with little effect on the channel property. By cascading the multiple RC blocks to construct the three cylinder models and combining them, a complete circuit model of the human body can be obtained, as shown in Fig. 2(b). The R and C values in the distributed network are calculated from the electrical properties in 10–60 MHz and listed together. To consider the channel responses at the various locations on the body, the receiver model can be placed at the corresponding nodes of the circuit model. Since each sub RC circuit is for the 10-cm unit

Fig. 2. Proposed circuit model of the body channel. (a) Near-field coupling model of the human body. (b) Complete circuit model of the body channel.

block, -stage cascaded blocks are equivalent to the cm channel length. In the distributed network, the major return path is formed by the electrical coupling between the transmitter and receiver grounds through the external ground. Therefore, using a large ground plane or a special electrode for the return path loop is advantageous to enhance the SNR of the received signal. However, since a large ground plane is difficult to implement on the body channel transceiver, it is useful to find a lower limit on the plane size. B. Measurement Setup A careful setup is required to measure the body channel characteristics because the ground plane must be considered with special attention. Since the return path across the body channel transceivers is formed by the electrical couplings, the earthgrounded instruments, such as the signal generator and the network analyzer at the transmitter part, do not reproduce a real

1082

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Fig. 3. Experimental setup for the body channel measurement. (a) Transmitter boards. (b) Experimental configuration.

situation. To simulate an actual near-field coupled environment, a battery-powered signal generator is used with a programmable frequency synthesizer. Fig. 3(a) shows the structure of the designed transmitter board. The frequency synthesizer and divider are combined to provide frequencies from 100 kHz to 150 MHz with 50% duty cycle, and the available output power from the transmitter is fixed to 3 dBm. A circular electrode with 1.5-cm diameter is used to interface electric power to the skin. This electrode is also used at the receiving part. The material for the electrode has little effect on the magnitude of the received signal if it is a conductor [9]. The entire plane of the transmitter board is treated as the ground. To investigate the ground effect, the area of the ground plane is varied from 24 to 60 cm . The minimum height of the plane is determined by the AA-sized battery. Fig. 3(b) is the experimental configuration for the body channel measurement. The height of the human subject is 1.8 m. During the measurement, various poses of the subject—standing with two arms outstretched, attached at his side, sitting down, etc. —are considered and multiple data are collected for the same frequency and distance. The transmitting electrode is attached to the right hand of the human body. The transmitter is separated from the external ground by more than 0.7 m. At the receiver side, a spectrum analyzer or an oscilloscope is connected at the receiving electrode through a coaxial cable to measure the signal. The distances between the transmitter and receiver are set to 10, 40, and 120 cm by changing the receiver locations

Fig. 4. Measured S -parameters of the body channel. (a) With 6 cm ground size. (b) With different ground sizes.

2 4 cm

from the right arm holding the transmitter to the left arm across the chest. With these settings, the receiver shares its ground with the earth-grounded instruments so that the strength of the return path is rather increased. The increment is less than 6 dB when the transmitter and receiver have the same size. This increment is considered in the following analysis to determine the minimum ground size of the transceiver. C. Measurement Results The channel characteristics of the human body are investigated in both the frequency and time domains. For the frequency-domain analysis, -parameters of the body channel are measured. The transient step response through the body is also measured for the time-domain channel characteristics. -parameters with The graphs in Fig. 4 show the measured respect to the transmitted signal frequency. The effects of the channel distance and the transmitter ground size are consid-parameters with the distributed RC ered, and the simulated model are also included. The graph shows that below 4 MHz, the body channel is relatively deterministic, with at most 5 dB

CHO et al.: HUMAN BODY CHARACTERISTICS AS SIGNAL TRANSMISSION MEDIUM FOR INTRABODY COMMUNICATION

1083

lowered and its width becomes wider. These results correspond well to the results of the frequency-domain analysis, i.e., the high-frequency components of the signal attenuate drastically with the distance. In summary, the body channel characteristics highly depend on the distance, ground size, and frequency of the signal. If the , receiver sensitivity , carrier transmitting power frequency , and the channel length ( ) are given, the mincan be obtained as imum ground size

else.

Fig. 5. Step response of the body channel. (a) Measured step response. (b) Simulated step response.

of deviation regardless of the distance. This is because the body impedance is negligible compared to the impedance of the return path, and a very small amount of power is lost from the body to the external ground. In this region, the body channel looks like a high-pass filter due to the capacitive return path [6]. This property implies that better SNR and power savings are possible by using a high frequency for the body channel communication. However, beyond 10 MHz, the channel distance has a great effect on the transferred power. When the distance between the transceivers is short enough, the channel remains as the high-pass filter since the power loss on the signal path is still low. As the channel length increases, the capacitive coupling between the body and the external ground becomes larger, and induces larger signal loss. Especially at 120-cm distance, much of the transmitted power is lost to the external ground through the torso. This effect becomes more evident at high frequencies. curve of the body channel is bent gradually As a result, the downward and its cutoff frequency is lowered with the distance. values at the 120-cm distance The large fluctuation of the comes from the different poses of the human body. Since the air coupling between the transmitter and receiver increases with frequency, the signal radiated into the air may be scattered on the surface of the skin and may arrive at the receiver with shifted phases. The scattered signal can be superimposed on the signal through the body constructively or destructively, and it causes large variations of the received power at high frequencies. The graph presented in Fig. 4(b) shows that the size of the ground values. When the ground plane is in proportion with the -parameters grow by area increases by 2 and 2.5 times, the 3 and 4 dB, respectively. The graph also shows that the ground size of the transceiver has little effect on the overall shape of the channel response. Fig. 5 shows the transient channel response of a 2-MHz square wave with 3.5-V amplitude and 10-ns transition time. The output waveform has positive and negative pulses, and at 10-cm distance, it has 15-ns duration and 40-mV peak. As the distance on the body increases, the peak value of the pulse is

For example, if a body channel transmitter is to send 1-Mb/s frequency shift-keying (FSK) modulated data with 5 dBm of power using a carrier frequency from 10 to 150 MHz, and if a receiver’s sensitivity is 70 dBm for the bit error rate (BER) of 10 [10], then a ground plane of at least 32-cm size is sufficient regardless of the location of the transceivers on the body. III. BODY ANTENNA EFFECT Using high frequencies for the body channel communication is obviously beneficial to obtain a high SNR and data rate. However, the rapid change of the electric field induces electromagnetic radiation outside of the human body. At the high frequencies, the human body operates as an antenna radiating the signal power into the air. To investigate the proper frequency range for the body channel communication with little interference to the nearby RF devices, the -field strength is measured around a human body with a body channel transmitter on the skin. The measured frequency range is from 1 to 150 MHz. The signal power is maintained at 3 dBm for the entire frequency range. The size of the transmitter board is 6 cm 4 cm, and the distance from the body to the antenna is 3 m. At low frequencies under 30 MHz, a rod antenna of 1-m height is utilized for measurements. At higher frequencies, a biconic dipole antenna, which has 0.5-m diameter and 1.3-m height, is used. Both of the receiving antennas are oriented vertically at 1 m above the ground plane. The signal received from the antenna is transferred to an electromagnetic interference (EMI) receiver to analyze the -field strength. Measurements are performed in an anechoic chamber, which conforms to the emission measurement standards. The external dimensions of the chamber are 9.7 m 6.38 m 6 m and each internal wall is constructed with ferrite and hybrid absorbers. Fig. 6 shows the measured -field pattern around the human body. The view direction of the person wearing the body channel transmitter on is set to 0 . As expected, the -field magnitude increases with the transmitting frequency. The maximum radiated power is 30 times larger than that of the minimum power at 4 MHz. The radiation pattern of the transmitted signal is irregular and its directivity at the different frequencies is unpredictable. This is a common phenomenon in the near-field situation. In addition, there are many factors that affect the measurements—the complex shape of a human body, height differences of human beings, and various

1084

Fig. 6.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

E -field pattern around a person with a body channel transmitter.

Fig. 7. Measured E -field data from multiple individuals.

poses of the person. Therefore, the -field data from multiple individuals are measured and displayed in Fig. 7 for a statistical analysis. The people who participate in the experiment have different heights, ranging from 1.5 to 1.9 m, and they are requested to take various poses. According to the measurement results, the -fields are mainly affected by the poses of the experimental person and the directions of the receiving antenna at low frequencies. The heights of the human subjects make little difference. As the frequency increases, however, a taller person radiates larger electromagnetic power around him. This is because taller people have lower resonance frequencies, which are closer to the interested frequency region. From the measured data, we can determine the maximum power spectral density of the transmitted signal that does not violate the FCC regulations [11] at each frequency. As the frequency decreases, the transmitting power can be increased without violation of FCC regulations. However, the power attenuation through the body channel also increases in the low-frequency region, as shown in Fig. 4. These opposite trends imply that there is an optimal frequency range for the body channel communication to maximize the signal level at the receiver. To determine the optimal frequency band,

Fig. 8. Maximum RX power in the body channel communication.

the maximum power allowed at each frequency is applied to the body channel and the received power level is obtained, as shown in Fig. 8. At the 10-cm distance, the peak power spectral density is 46 dBm/MHz at 30 MHz and the upper 3-dB frequency is 80 MHz. When the channel length increases, the bandwidth and signal power are diminished, limiting the communication channel capacity of the body. To evaluate the practical limits, BERs reported for low-power wireless receivers are also denoted in Fig. 8 [10], [12]. The BER data measured in the air channel can be applied to the body channel estimation because most of the noise signals such as electrocardiogram (ECG), electromyogram (EMG) and electroencephalogram (EEG) generated by a human body exist within 10 kHz [13], and little noise consideration, except additive white Gaussian noise (AWGN) is required in the measured frequency region. According to the required BER, the available frequency band for the intrabody communication can be determined. For instance, voice transmission through the body channel would be successful under a BER of 10 . In this case, any frequency range of the measurement can be utilized. If data packets of vital signals and private messages need to be transmitted through the body channel, the frequencies below 150 MHz are recommended for a BER of 10 [14]. IV. CONCLUSION The body channel characteristics for intrabody communicavalues through the body channel tion are investigated. The are measured up to 150 MHz and 1.2-m distance. From the measurement results, the body channel shows high-pass filter characteristics under 4-MHz frequency due to the capacitive return path. Over 10 MHz, the body channel has a wide variation with the frequency and distance. A distributed RC model is developed and verified by comparing the measurement data with simulation results in both the frequency and time domains. In addition, the effect of the ground size of the transceivers is investigated and an empirical formula for the minimum ground size is obtained. Finally, the electromagnetic radiation from a human body with a body channel transceiver on the skin is examined. From the -field measurements, the limit on the output

CHO et al.: HUMAN BODY CHARACTERISTICS AS SIGNAL TRANSMISSION MEDIUM FOR INTRABODY COMMUNICATION

Fig. 9. Body channel characteristics from the empirical formula.

power of the transmitter is investigated with regard to FCC regulations. Through the maximum received power analysis, the body channel can guarantee the BER of 10 below 150 MHz, and better bit error performance can be achieved by lowering the communication frequency and shortening the channel distance. APPENDIX From the measurement results in Fig. 4(a), we can derive an empirical equation describing the body channel characteristics as

1085

[2] ——, “Personal area networks (PAN): Near-field intra body communication,” M.S. thesis, Media Lab., Massachusetts Inst. Technol., Cambridge, MA, 1995. [3] K. Partridge, B. Dahlquist, A. Veiseh, A. Cain, A. Foreman, J. Goldberg, and G. Borriello, “Empirical measurements of intrabody communication performance under varied physical configurations,” in User Interface Softw. Technol. Symp., Nov. 2001, pp. 183–190. [4] N. Matsushita, S. Tajima, Y. Ayatsuka, and J. Rekimoto, “Wearable key: Device for personalizing nearby environment,” in Int. Wearable Comput. Symp., Oct. 2000, pp. 119–126. [5] M. Shinagawa, M. Fukumoto, K. Ochiai, and H. Kyuragi, “A nearfield-sensing transceiver for intrabody communication based on the electrooptic effect,” IEEE Trans. Instrum. Meas., vol. 53, no. 6, pp. 1533–1538, Dec. 2004. [6] S.-J. Song, N. Cho, S. Kim, J. Yoo, and H.-J. Yoo, “A 2 Mb/s wideband pulse transceiver with direct-coupled interface for human body communications,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2006, pp. 558–559. [7] M. S. Wegmueller, M. O. Norbert Felber, N. Kuster, and W. Fichtner, “Galvanical coupling for data transmission through the human body,” in Instrum. Meas. Technol. Conf., Apr. 2006, pp. 1686–1689. [8] S. Gabriel, R. W. Lau, and C. Gabriel, “The dielectric properties of biological tissues: II. Measurements in the frequency range 10 Hz to 20 GHz,” Phys. Med. Biol., pp. 2251–2269, Nov. 1996. [9] K. Hachisuka, A. Nakata, T. Takeda, Y. Terauchi, K. Shiba, K. Sasaki, H. Hosaka, and K. Itao, “Development and performance analysis of an intra-body communication device,” in Int. Solid-State Sens., Actuators, Microsyst. Conf., Jun. 2003, pp. 1722–1725. [10] H. Darabi, J. Chiu, S. Khorram, H. J. Kim, Z. Zhou, H. Ming, B. Ibrahim, E. Geronaga, L. H. Tran, and A. Rofougaran, “A dual-mode 802.11b/Bluetooth radio in 0.35-m CMOS,” IEEE J. Solid-State Circuits, vol. 40, no. 3, pp. 698–706, Mar. 2005. [11] FCC, Washington, DC, “Title 47 of the code of federal regulations: Part 15—Radio frequency devices,” 2001. [12] H. Komurasaki, T. Sano, T. Heima, K. Yamamoto, H. Wakada, I. Yasui, M. Ono, T. Miwa, H. Sato, T. Miki, and N. Kato, “A 1.8-V operation RF CMOS transceiver for 2.4-GHz-band GFSK applications,” IEEE J. Solid-State Circuits, vol. 38, no. 5, pp. 817–825, May 2003. [13] S. Arnon, D. Bhastekar, D. Kedar, and A. Tauber, “A comparative study of wireless communication network configurations for medical applications,” IEEE Wireless Commun., vol. 10, no. 1, pp. 56–61, Feb. 2003. [14] C. Chien, Radio System Design, Digital Radio Systems on a Chip, 1st ed. Norwell, MA: Kluwer, 2001.

else. This equation includes the parameters that cause the channel variation—frequency , channel distance , and ground of the transceiver. is the empirical coefficient and area the value of depends on whether the receiver is located across the human chest or not. Fig. 9 graphically shows the channel responses of transceiver systems with 0.0024 m (6 cm 4 cm) . Based on the empirical formula, we can deground area of a body channel transcide the minimum ground size and ceiver assuming that the transmitter’s output power the receiver’s sensitivity are known as follows:

To get a numerical value from this equation, we refer the reader to the sensitivity data of a previously reported wireless receiver [10]. REFERENCES [1] T. G. Zimmerman, “Personal area networks: Near-field intra body communication,” IBM Syst. J., vol. 35, no. 3–4, pp. 609–617, 1996.

Namjun Cho (S’04) received the B.S. (summa cum laude) and M.S. degrees from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2004 and 2006, respectively, and is currently working toward the Ph.D. degree at KAIST. He has been involved with the development of UHF RF identification (RFID) tag chips integrated with environmental monitoring sensors and the low-power digital-to-analog converter for hearing-aid systems. His current research interests include low-power biomedical microsystems and wireless transceivers for body area networks.

Jerald Yoo (S’05) received the B.S. and M.S. degrees in electrical engineering and computer science from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2002 and 2007, respectively, and is currently working toward the Ph.D. degree in electrical engineering and computer science at KAIST. He has been involved with the development of the embedded processor for PRAM chips. His current research interests include energy-efficient body channel networks, low-power biomedical microsystems, and network processors for body area and sensor networks.

1086

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 55, NO. 5, MAY 2007

Seong-Jun Song (S’01) received the B.S. (summa cum laude) and M.S. degrees in electrical engineering and computer science from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2001 and 2004, respectively, and is currently working toward the Ph.D. degree in electrical engineering and computer science at KAIST. Since 2001, he has been a Research Assistant with KAIST, where he has been involved with the development of high-speed optical interface integrated circuits using submicrometer CMOS technology, phaselocked loops and clock and data recovery circuits for high-speed data communications, and RF CMOS integrated circuits for wireless communications. His current research interests include ultra low-power wearable/implantable biomedical microsystems and energy-efficient communication systems for body area and sensor networks.

Jeabin Lee (S’07) received the B.S. degree (summa cum laude) in electrical engineering and computer science from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2006, and is currently working toward the M.S. degree in electrical engineering and computer science at KAIST. His research interest includes body channel communication systems and low-power circuit design.

Seonghyun Jeon (S’06) was born in Seoul, Korea, in 1984. He received the B.S. degree in electrical engineering and computer science from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2006, and is currently working toward the M.S. degree at KAIST. His research interest is body channel communication.

Hoi-Jun Yoo (M’95–SM’04) received the B.S. degree in electronics from Seoul National University, Seoul, Korea, in 1983, and the M.S. and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 1985 and 1988, respectively. His doctoral research concerned the fabrication process for GaAs vertical opto-electronic integrated circuits. From 1988 to 1990, he was with Bell Communications Research, Red Bank, NJ, where he invented the 2-D phase-locked vertical-cavity surface-emitting laser (VCSEL) array, the front-surface-emitting laser, and the high-speed lateral HBT. In 1991, he became Manager of the DRAM Design Group, Hyundai Electronics, and designed a family of fast-1 MDRAMs and synchronous DRAMs, including a 256M SDRAM. From 1995 to 1997, he was a faculty member with Kangwon National University. In 1998, he joined the faculty of the Department of Electrical Engineering, KAIST. In 2001, he founded the System Integration and IP Authoring Research Center (SIPAC), a national research center, funded by the Korean Government to promote worldwide IP authoring and its system-on-chip (SoC) application. From 2003 to 2005, he was the Project Manager for SoC with the Korea Ministry of Information and Communication. He authored DRAM Design (Hongleung, 1996; in Korean) and High Performance DRAM (Sigma, 1999; in Korean). His current interests are SoC design, IP authoring, high-speed and low-power memory circuits and architectures, design of embedded memory logic, opto-electronic integrated circuits, and novel devices and circuits. Dr. Yoo was the recipient of the 1994 Electronic Industrial Association of Korea Award for his contribution to DRAM technology and the 2002 Korea Semiconductor Industry Association Award.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2007.894879

Digital Object Identifier 10.1109/TMTT.2007.898310

EDITORIAL BOARD Editors: D. WILLIAMS AND A. MORTAZAWI Associate Editors: D. DE ZUTTER, K. ITOH, J. LIN, Y. NIKAWA, J. PEDRO, Z. POPOVIC, S. RAMAN, R. SNYDER, K.-L. WU, R. WU, A. YAKOVLEV P. Aaen M. Abe R. Abhari A. A. Abidi A. Abramowicz M. Acar L. Accatino R. Achar D. Adam E. Adler M. Adlerstein K. Agawa S. Aggarwal A. Agrawal D. Ahn H. R. Ahn M. Aikawa J. S. Aine C. Aitchison M. Akaike J. Akhtar E. Akmansoy S. Aksoy C. Albert M. Ali R. Allam D. Allstot J. I. Alonso B. Alpert A. Alphones A. Alu S. Amari H. An J. P. Anderson Y. Ando A. Andrenko W. Andress C. L. Andrew M. Andrés K. S. Ang I. Angelov G. Antonini C. Antonopoulos H. Aoki R. Araneo J. Archer F. Ares J. Armstrong F. Arndt F. Aryanfar M. Asai Y. Asano P. Asbeck H. Ashoka A. Atalar A. Atia S. Auster P. Awai A. Aydiner M. S. Ayza R. Azadegan M. T. Azar V. I. B A. Babakhani P. Baccarelli M. Baginski I. Bahl D. Bajon W. Bakalski S. Bakhtiari B. Bakkaloglu M. Bakr S. Balasubramaniam J. V. Balbastre J. Ball K. G. Balmain S. Banba J. Bandler R. Bansal D. Barataud A. Barbosa M. F. Barciela Z. Bardai F. Bardati I. Bardi S. Barker F. Barnes R. Bashirullah D. Becker J. P. Becker H. C. Bell P. Bell J. P. Berenger P. V. Berg M. Berroth H. Bertoni E. Bertran A. Bessemoulin A. Bevilacqua A. Beyer A. V. Bezooijen F. Bi M. Bialkowski E. Biebl P. Bienstman R. Biernacki S. Bila A. L. Billabert H. Bilzer A. Biswas D. Blackham M. Blank Y. Bliokh P. Blondy D. Boccoli G. Boeck L. Boglione R. Boix P. H. Bolivar C. R. Bolognesi G. Bonaguide G. Bonmassar F. Boone V. Boria O. Boric-Lubecke A. Borji J. Bornemann W. Bosch R. Bosisio M. V. Bossche S. Boumaiza K. Boutros M. Bozzi T. Brabetz J. E. Bracken P. Bradley R. Bradley J. Brannan J. R. Bray T. Brazil J. Breitbarth M. Bressan P. Bretchko K. Breuer B. Bridges J. Brinkhoff A. Brown F. Broyde S. Brozovich S. Bruce E. Bryerton

M. Bucher D. Budimir T. Budka K. Buell M. Bujatti C. Buntschuh G. Burdge W. Burger J. Burghartz P. Burghignoli B. Cabon P. Cabral J. M. Cabrera A. Cabuk E. Callaway E. Camargo R. Cameron C. Campbell M. Campovecchio F. Canavero B. Cannas W. Cantrell H. Cao J. Cao F. Capolino F. Cappelluti R. Carter N. B. Carvalho F. Caspers R. Caverly M. Celuch N. Ceylan D. Chadha R. Chair S. Chakraborty H. Chaloupka B. Chambers C. H. Chan C. Y. Chang F. Chang H. C. Chang K. Chang S. F. Chang E. Channabasappa H. Chapell B. Chappell M. Chatras S. Chaudhuri C. C. Chen C. F. Chen C. H. Chen J. Chen J. H. Chen R. Chen S. Chen W. Z. Chen X. Chen Y. Chen Y. J. Chen Y. K. Chen Z. Chen Z. D. Chen K. K. Cheng M. K. Cheng Y. Cheng C. Cheon W. C. Chew C. Y. Chi I. T. Chiang Y. C. Chiang A. Chin B. S. Chiou C. C. Chiu A. Chizh T. Cho Y. Cho C. T. Choi J. Choi W. Y. Choi M. Chongcheawchamnan C. K. Chou Y. H. Chou Y. L. Chow A. Christ C. Christodoulou C. Christopoulos K. R. Chu T. H. Chu L. H. Chua Y. H. Chun S. J. Chung Y. Chung B. C. Chye R. Cicchetti T. Cisco C. Cismaru D. Citrin P. Civalleri R. Clemmens G. Conciauro M. Condon D. Consonni A. Constanzo M. Converse F. Cooray M. Copeland I. Corbella E. Costamagna Y. L. Coz J. Craninckx J. Crescenzi S. Cripps T. Crowe M. Cryan T. J. Cui J. Culver T. Cunha C. Curry W. Curtice G. D’Inzeo A. Dadej N. Dagli W. L. Dai G. Dambrine K. Dan B. Danly F. Danneville I. Darwazeh A. M. Darwish A. Daryoush N. Das M. Davidovich I. Davis L. Davis E. A. Daviu H. Dayal J. A. Dayton D. DeGroot M. DeLisio R. DeRoo D. De Zutter B. Deal W. Deal A. Dearn A. Deleniv S. Demir V. Demir T. Denidni D. R. Denison W. Dennis

A. Deutsch V. Devabhaktuni Y. Deval T. Dhaene N. Dib C. Dietlein L. Ding A. Djordjevi J. Dobrowolski W. B. Dou P. Draxler R. Drayton A. Dreher J. Drewniak L. Dunleavy J. Dunsmore L. Dussopt M. Dvorak J. East K. W. Ecclestone M. L. Edwards R. Egri R. Ehlers N. Ehsan G. Eleftheriades F. Ellinger T. Ellis B. Elsharawy A. Elsherbeni N. Engheta T. Enoki M. Enqvist K. Entesari H. Eom K. Erickson N. Erickson C. Ernst D. Erricolo I. Eshrah M. Essaaidi H. Esteban M. C. Fabres C. Fager D. G. Fang S. J. Fang A. Faraone M. Farina W. Fathelbab A. E. Fathy A. P. Feresidis A. Fernandez A. Ferrero T. Fickenscher S. J. Fiedziuszko G. Fikioris I. Filanovsky F. Filicori D. Filipovic F. D. Flaviis B. Floyd P. Focardi N. H. Fong S. S. Fort K. Foster P. Foster C. C. Franco M. C. Francos J. C. Freire F. Frezza I. Frigyes J. Fu R. Fujimoto O. Fujiwara C. Fumeaux V. Fusco D. Gabbay T. Gaier J. D. Gallego B. Galwas A. Gameiro O. Gandhi S. Gao J. R. Garai H. Garbe J. A. Garcia K. Gard P. Gardner R. Garg J. L. Gautier B. Geelen F. Gekat B. Geller J. Gering F. German M. Geshiro S. Gevorgian H. Ghali M. Ghanevati F. Ghannouchi K. Gharaibeh G. Ghione K. Ghorbani O. Giacomo E. D. Giampaolo F. Giannini P. Gilabert A. Goacher M. Goano E. Godshalk M. Goldfarb P. Goldsmith M. Golosovsky R. Gonzalo S. Gopalsami D. Gope A. Gopinath R. Gordon A. Gorur M. Gottfried G. Goussetis W. Grabherr J. Graffeuil R. Graglia L. Gragnani J. Grahn G. Grau A. Grebennikov I. Gresham J. Grimm A. Griol E. Grossman Y. Guan J. L. Guiraud S. E. Gunnarsson L. Guo Y. X. Guo C. Gupta K. C. Gupta M. Gupta W. Gwarek J. Hacker S. Hadjiloucas S. H. Hagh S. Hagness A. Hajimiri D. Halchin P. Hale P. Hall D. Ham K. Hanamoto T. Hancock

A. Hanke E. Hankui G. Hanson Z. Hao H. Happy A. R. Harish L. Harle L. D. Haro F. J. Harris H. Harris M. Harris P. Harrison R. G. Harrison O. Hartin H. Hashemi K. Hashimoto O. Hashimoto J. Haslett S. Hay J. Hayashi L. Hayden T. Heath J. Heaton M. P. Heijden G. Heiter J. Helszajn R. Henderson D. Heo P. Herczfeld H. Hernandez J. J. Herren K. Herrick F. Herzel J. S. Hesthaven K. Hettak P. Heydari T. S. Hie M. Hieda A. Higgins A. Hirata J. Hirokawa T. Hirvonen J. P. Hof K. Hoffmann R. Hoffmann M. Hoft E. Holzman J. S. Hong S. Hong W. Hong A. Hoorfar K. Horiguchi Y. Horii T. S. Horng J. Horton J. Hoversten H. Howe H. M. Hsu H. T. Hsu J. P. Hsu P. Hsu C. W. Hsue M. Z. Hualiang C. W. Huang F. Huang G. W. Huang J. Huang T. W. Huang W. Huei M. Huemer H. T. Hui J. A. Huisman A. Hung C. M. Hung J. J. Hung I. Hunter M. Hussein E. Hutchcraft B. Huyart J. C. Hwang J. N. Hwang R. B. Hwang M. Hélier Y. Iida S. Iitaka P. Ikonen K. Ikossi M. M. Ilic A. Inoue T. Ishikawa T. Ishizaki S. Islam Y. Isota M. Ito N. Itoh T. Itoh Y. Itoh T. Ivanov D. Iverson M. Iwamoto Y. Iyama D. Jablonski D. Jachowski R. Jackson R. W. Jackson A. Jacob M. Jacob S. Jacobsen D. Jaeger B. Jagannathan V. Jamnejad V. Jandhyala M. Janezic M. Jankovic R. A. Jaoude B. Jarry P. Jarry J. B. Jarvis A. Jastrzebski B. Jemison W. Jemison S. K. Jeng A. Jenkins Y. H. Jeong A. Jerng T. Jerse P. Jia X. Jiang B. Jim J. G. Jiménez J. M. Jin J. Joe R. Johnk L. Jonathan J. Joubert E. J. Jr N. C. Jr R. Judaschke J. Juntunen D. Junxiong T. Kaho M. Kahrs T. Kaiser S. Kalenitchenko V. Kalinin T. Kalkur Y. Kamimura H. Kanai S. Kanamaluru H. Kanaya K. Kanaya

Digital Object Identifier 10.1109/TMTT.2007.898309

S. Kang P. Kangaslahtii V. S. Kaper B. Karasik N. Karmakar A. Karwowski T. Kashiwa L. Katehi H. Kato K. Katoh A. Katz R. Kaul R. Kaunisto T. Kawai K. Kawakami A. Kawalec T. Kawanishi S. Kawasaki H. Kayano M. Kazimierczuk R. Keam S. Kee L. C. Kempel P. Kenington A. Kerr A. Khalil A. Khanifar A. Khanna F. Kharabi R. Khazaka J. Kiang J. F. Kiang Y. W. Kiang B. Kim C. S. Kim D. I. Kim H. Kim H. T. Kim I. Kim J. H. Kim J. P. Kim M. Kim W. Kim S. Kimura N. Kinayman A. Kirilenko V. Kisel M. Kishihara A. Kishk T. Kitamura K. I. Kitayama T. Kitazawa T. Kitoh M. Kivikoski G. Kiziltas D. M. Klymyshyn R. Knochel L. Knockaert Y. Kogami T. Kolding B. Kolundzija J. Komiak G. Kompa A. Konczykowska H. Kondoh Y. Konishi B. Kopp K. Kornegay T. Kosmanis P. Kosmas Y. Kotsuka A. Kozyrev N. Kriplani K. Krishnamurthy V. Krishnamurthy C. Krowne V. Krozer J. Krupka W. Kruppa D. Kryger R. S. Kshetrimayum H. Ku H. Kubo A. Kucar A. Kucharski W. B. Kuhn T. Kuki A. Kumar M. Kumar C. Kuo J. T. Kuo H. Kurebayashi K. Kuroda D. Kuylenstierna M. Kuzuhara Y. Kwon G. Kyriacou P. Lampariello M. Lancaster L. Langley U. Langmann Z. Lao G. Lapin L. Larson J. Laskar M. Latrach C. L. Lau A. Lauer J. P. Laurent D. Lautru P. Lavrador G. Lazzi B. H. Lee C. H. Lee D. Y. Lee J. Lee J. F. Lee J. H. Lee J. W. Lee R. Lee S. Lee S. G. Lee S. T. Lee S. Y. Lee T. Lee T. C. Lee D. M. Leenaerts Z. Lei G. Leizerovich Y. C. Leong R. Leoni P. Leuchtmann G. Leuzzi A. Leven B. Levitas R. Levy G. I. Lewis H. J. Li L. W. Li X. Li Y. Li H. X. Lian C. K. Liao M. Liberti E. Lier L. Ligthart S. T. Lim E. Limiti C. Lin F. Lin H. H. Lin

J. Lin K. Y. Lin T. H. Lin W. Lin Y. S. Lin E. Lind L. Lind L. F. Lind D. Linkhart P. Linnér D. Linton A. Lipparini D. Lippens V. Litvinov A. S. Liu C. Liu J. Liu J. C. Liu Q. H. Liu S. I. Liu T. Liu T. P. Liu O. Llopis D. Lo J. LoVetri N. Lopez Z. Lou M. Lourdiane G. Lovat D. Lovelace H. C. Lu K. Lu L. H. Lu S. S. Lu Y. Lu V. Lubecke S. Lucyszyn R. Luebbers N. Luhmann A. Lukanen M. Lukic A. D. Lustrac J. F. Luy C. Lyons G. Lyons G. C. M H. Ma J. G. Ma Z. Ma P. Maagt S. Maas G. Macchiarella P. Macchiarella J. Machac M. Madihian A. Madjar V. Madrangeas A. Maestrini G. Magerl S. L. Mageur A. A. Mahmoud S. Mahmoud F. Maiwald A. H. Majedi M. Makimoto S. Makino J. Malherbe G. Manara R. Manas G. Manes T. Maniwa R. Mansour D. Manstretta J. Mao S. G. Mao A. Margomenos R. Marques G. Marrocco J. Martel J. Martens J. Marti G. Martin E. Martinez K. Maruhashi J. E. Marzo H. Masallaei N. Masatoshi D. Masotti G. D. Massa B. Matinpour T. Matsui A. Matsushima S. Matsuzawa H. Matt G. Matthaei L. Maurer J. Mayock J. Mazierska S. Mazumder G. Mazzarella K. McCarthy G. McDonald R. McMillan D. McNamara D. McQuiddy F. Medina C. Melanie A. Á. Melcon F. Mena C. C. Meng H. K. Meng W. Menzel F. Mesa A. C. Metaxas R. Metaxas P. Meyer E. Michielssen A. Mickelson D. Miller P. Miller B. W. Min R. Minasian J. D. Mingo J. Mink B. Minnis F. Miranda D. Mirshekar C. Mishra S. Mitilineos R. Mittra K. Miyaguchi M. Miyakawa H. Miyamoto R. Miyamoto M. Miyashita M. Miyazaki K. Mizuno S. Mizushina J. Modelski W. V. Moer S. Mohammadi H. Moheb J. Mondal M. Mongiardo P. Monteiro C. Monzon A. D. Morcillo J. Morente T. Morf D. R. Morgan M. Morgan

K. Mori A. Morini H. Morishita A. Morris J. Morsey H. Mosallaei H. Moyer M. Mrozowski C. H. Mueller J. E. Mueller B. Nabet P. Nadia A. S. Naeini Y. Nagano I. Naidionova K. Naishadham M. Nakajima M. Nakao Y. Nakasha M. Nakatsugawa A. Nakayama J. Nakayama M. Nakayama M. Nakhla J. C. Nallatamby S. Nam T. Namiki T. Narhi S. Naruhashi A. Nashashibi A. Natarajan J. Nath J. M. Nebus I. Nefedov D. Neikirk B. Nelson A. Neri H. S. Newman G. Ng E. Ngoya C. V. Nguyen T. Nichols K. Nickolas K. Niclas E. Nicol E. Niehenke S. Nightingale N. Nikita P. Nikitin A. M. Niknejad N. K. Nikolova K. Nishikawa T. Nishikawa T. Nishino F. Niu E. Niver D. Nobbe S. Nogi T. Nojima C. D. Nordquist Z. Nosal B. Notaros K. Noujeim D. Novak T. Nozokido E. Nyfors K. O M. O’Droma J. Obregon M. Odyniec K. Oh K. Ohata T. Ohira A. Ohta I. Ohta H. Okabe Y. Okano H. Okazaki V. Okhmatovski A. Oki G. Olbrich A. Ø. Olsen A. S. Omar M. Omiya K. Onodera B. L. Ooi A. Orlandi R. Orta B. Ortega S. Ortiz J. Osepchuk H. Ota S. Otaka J. Ou C. Oxley S. Pacheco M. Pagani G. W. Pan Y. H. Pang H. Y. Pao J. Papapolymerou A. Parfitt S. Parisi C. S. Park J. S. Park A. E. Parker D. Pasalic D. Pasquet M. Pastorino H. M. Pau M. Paul T. Pavio D. Pavlidis J. C. Pedro C. Peixeiro S. Pellerano G. Pelosi R. Pengelly C. Penney J. Pereda D. Peroulis L. Perregrini M. Petelin R. Petersen W. Petersen A. Peterson C. C. Peñalosa U. R. Pfeiffer A. V. Pham M. Pieraccini L. Pierce P. Pieters B. Pillans Z. Y. Ping A. Piovaccari M. Pirola E. Pistono C. Plett C. Pobanz A. Podell R. J. Pogorzelski J. L. Polleux J. Poltz G. Ponchak J. Pond J. Portilla M. Pospieszalski V. Postoyalko B. Potter D. Pozar L. Pradell

J. Prasad S. Prasad D. Prescott H. Pretl M. Prigent A. Priou S. Prosvirnin H. Qian Y. Qian D. Qiao J. X. Qiu T. Quach C. K. Queck C. Quendo R. Quéré F. Raab V. Radisic M. Raffetto T. Rahkonen R. Raich C. Railton S. Raman R. S. Rana P. Ratanadecho C. Rauscher J. Rautio B. Rawat T. Razban R. Reano G. M. Rebeiz J. Rebollar S. Remillard K. Remley L. Reynolds S. K. Reynolds A. Reynoso E. Rezek J. K. Rhee A. Riddle J. S. Rieh J. Ritter E. Rius J. Rizk R. Robert I. Robertson P. Roblin C. Rodenbeck M. Rodwell O. T. Rofougaran H. Rogier U. Rohde Y. Rolain J. Rolf N. Rolland R. Romanofsky S. Rondineau Y. Rong D. Ronnow M. J. Rosario L. Roselli A. Rosen U. Rosenberg M. Rosker E. Rothwell J. Roy L. Roy T. Rozzi J. Rubio A. Ruehli D. Rutledge T. Ruttan A. Rydberg D. Rytting D. Rönnow C. Saavedra R. Saedi A Safaai-Jazi M. Sagawa K. Saito K. Sakaguchi A. Samelis C. Samori L. Samoska A. Sanada M. Sanagi A. Sangster L. Sankey K. Sano K. Sarabandi T. Sarkar C. Sarris H. Sato M. Sato K. Sawaya H. Sayadian C. Schaffer H. Schantz I. Scherbatko G. Schettini M. J. Schindler E. Schlecht E. Schmidhammer D. Schmitt J. Schoukens D. Schreurs W. Schroeder A. Schuchinsky P. Schuh L. Schulwitz F. Schwering K. F. Schünemann J. B. Scott F. Sechi Y. Segawa E. M. Segura T. Seki E. Semouchkina H. Serizawa J. Sevic O. Sevimli F. Seyfert O. Shanaa Z. Shao I. Shapir M. Shapiro A. Sharma S. K. Sharma J. Sharp J. R. Shealy Z. X. Shen Y. Shestopalov H. Shigematsu Y. C. Shih M. Shimozawa T. Shimozuma H. Shin S. Shin N. Shinohara G. Shiroma W. Shiroma K. Shu C. N. Shuo D. Sievenpiper A. Sihvola J. M. Sill C. Silva M. G. Silveirinha K. Silvonen W. Simbuerger G. Simin R. N. Simons D. Simunic H. Singh

B. Sinha D. Sinnott Z. Sipus K. Sivalingam A. Skalare R. Sloan M. Slominski A. Smith P. Smith C. Snowden R. Snyder N. Sokal V. Sokolov K. Solbach J. Sombrin R. Sorrentino A. Soury N. Soveiko B. E. Spielman P. Staeker D. Staiculescu J. Stake A. Stancu S. P. Stapleton P. Starski J. Staudinger D. Steenson P. Steenson M. Steer J. Stenarson K. Steve M. Steyaert W. Steyn S. Stitzer B. Strassner E. Strid M. Stubbs M. Stuchly B. Stupfel A. Suarez G. Subramanyam N. Suematsu C. Sullivan S. Sun J. Svacina R. Svitek M. Swaminathan D. Swanson D. M. Syahkal M. Syahkal B. Szendrenyi A. Taflove M. Taghivand G. Tait Y. Tajima T. Takagi I. Takenaka K. Takizawa T. Takizawa S. Talisa S. G. Talocia N. A. Talwalkar A. A. Tamijani B. T. Tan C. Y. Tan J. Tan S. Tanaka C. W. Tang D. W. Tang W. C. Tang M. Taromaru A. Tasic P. Tasker J. J. Taub J. Tauritz D. Taylor R. Tayrani D. Teeter F. Teixeira M. Tentzeris V. Teppati M. Terrovitis J. P. Teyssier K. P. Thakur H. Thal W. Thiel B. Thompson M. Thorburn C. E. Thorn Z. Tian M. Tiebout R. Tielert L. Tiemeijer G. Tkachenko M. R. Tofighi P. Tognolatti T. Toifl T. Tokumitsu R. Tomar A. Tombak K. Tomiyasu A. Topa E. Topsakal G. Town I. Toyoda N. Tran S. Tretyakov R. Trew C. M. Tsai E. Tsai R. Tsai J. Tsalamengas T. Tsiboukis M. Tsuji T. Tsujiguchi T. Tsukahara M. Tsutsumi S. H. Tu W. H. Tu N. Tufillaro A. Turudic G. Twomey C. K. Tzuang H. Uchida S. Uebayashi M. Ugajin J. Uher F. H. Uhlmann Y. Umeda V. J. Urick T. Uwano N. Uzunoglu R. Vahldieck P. Vainikainen K. Vanhille G. Vannini J. C. Vardaxoglou K. Varian G. Vasilescu C. Vaucher J. Vaz J. Venkatesan F. Verbeyst A. Verma J. Verspecht P. Vial H. O. Vickes A. Vilcot F. Villegas C. Vittoria S. Vitusevich R. Voelker S. Voinigescu

V. Volman A. Vorobiev A. V. Vorst B. Vowinkel L. D. Vreede M. A. Vérez B. Z. Wang K. Wagner K. Wakino P. Waldow M. Wale A. Walker D. Walker C. Walsh C. Wan S. Wane C. Wang C. F. Wang H. Wang N. Wang S. Wang T. Wang X. Wang Y. Wang J. Ward K. Warnick P. Warr S. Watanabe Y. Watanabe R. Waugh J. Webb K. Webb R. Webster C. J. Wei D. V. Weide R. Weigel G. Weihs B. Weikle R. M. Weikle C. Weil T. Weiland A. Weily S. Weinreb T. Weller S. Wentworth D. D. Wentzloff R. Wenzel J. Whelehan J. Whitaker D. A. White J. Wiart W. Wiesbeck J. Wight D. Willems D. Williams J. Wiltse D. Wittwer I. Wolff K. Wong W. Woo J. Wood C. Woods G. Woods R. C. Woods D. Woolard M. Wren C. Wu H. Wu K. Wu K. L. Wu Q. Wu T. L. Wu C. Wwang T. Wysocki S. Z. Xiang G. Xiao Y. Xiaopeng C. Xie Z. Xing H. Xu J. Xu S. Xu W. Xu X. B. Xu Y. Xu Y. P. Xu Q. Xue M. Yagoub T. Yakabe A. Yakovlev K. Yamamoto K. Yamauchi F. Yang G. M. Yang H. Y. Yang J. Yang K. Yang L. Yang X. Yang H. Yano F. Yanovsky H. W. Yao J. Yao B. Yarman A. G. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto Y. Yasuoka S. Ye K. S. Yeo S. P. Yeo L. K. Yeung H. R. Yi W. Y. Yin D. Yongsheng J. G. Yook Y. Yoon Y. J. Yoon R. York J. L. Young H. K. Yu M. Yu P. Yu W. Yu Z. Yuanjin P. Yue S. W. Yun A. I. Zaghloul A. G. Zajic K. Zaki J. Zamanillo P. J. Zampardi J. Zapata L. Zappelli J. Zehentner H. Zhang L. Zhang Q. J. Zhang R. Zhang X. Zhang A. P. Zhao J. Zhao Y. Zhao F. Zhenghe W. Zhiguo W. Zhou A. Zhu L. Zhu N. H. Zhu H. Zirath S. Zouhdi A. J. Zozaya T. Zwick