JUNE 2010 
IEEE MTT-V058-I06 (2010-06) [58, 6 ed.]

Citation preview

JUNE 2010

VOLUME 58

NUMBER 6

IETMAB

(ISSN 0018-9480)

PAPERS

Signal Generation, Frequency Conversion, and Control Phase-Noise Analysis in Rotary Traveling-Wave Oscillators Using Simple Physical Model ..... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... .. K. Takinami, R. Walsworth, S. Osman, and S. Beccue Ferroelectric and Ferrite Components Layout Optimization of Small-Size Ferroelectric Parallel-Plate Varactors ....... ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ .. M. Norling, D. Kuylenstierna, A. Vorobiev, and S. Gevorgian A Two-Port WR75 Waveguide Turnstile Gyromagnetic Switch . ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... .... J. Helszajn, A. Casanueva, A. Mediavilla Sánchez, J. A. Pereda, M. Caplin, and B. C. O’Donnell Ferrite-Filled Antisymmetrically Biased Rectangular Waveguide Isolator Using Magnetostatic Surface Wave Modes . .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ... C. K. Seewald and J. R. Bray

1465

1475 1485 1493

Wireless Communication Systems Improving Backscatter Radio Tag Efficiency ..... ........ ......... ......... . A. Bletsas, A. G. Dimitriou, and J. N. Sahalos A Comparative Analysis of the Complexity/Accuracy Tradeoff in Power Amplifier Behavioral Models ........ ......... .. .. ........ ......... ......... ........ ......... .. A. S. Tehrani, H. Cao, S. Afsardoost, T. Eriksson, M. Isaksson, and C. Fager

1502

Field Analysis and Guided Waves Spatial Harmonics and Homogenization of Negative-Refractive-Index Transmission-Line Structures .. ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ....... M. Zedler and G. V. Eleftheriades Study of Extraordinary Transmission in a Circular Waveguide System .. ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... . F. Medina, F. Mesa, J. A. Ruíz-Cruz, J. M. Rebollar, and J. R. Montejo-Garai Generating Pure Circular TE Modes Using Y-Type Power Dividers ... . T.-H. Chang, C.-H. Li, C.-N. Wu, and C.-F. Yu

1521

Filters and Multiplexers Novel Multistub Loaded Resonator and Its Application to High-Order Dual-Band Filters .... .. F.-C. Chen and Q.-X. Chu Low-Loss Frequency-Agile Bandpass Filters With Controllable Bandwidth and Suppressed Second Harmonic ........ .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... X. Y. Zhang, Q. Xue, C. H. Chan, and B.-J. Hu

1510

1532 1543 1551 1557

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Packaging, Interconnects, MCMs, Hybrids, and Passive Circuit Elements Single-Ended and Differential Radial Power Combiners Implemented With a Compact Broadband Probe ..... ......... .. .. ........ ......... ......... ........ ......... ......... Y.-P. Hong, D. F. Kimball, P. M. Asbeck, J.-G. Yook, and L. E. Larson Phase-Shifter Design Using Phase-Slope Alignment With Grounded Shunt Stubs . ....... X. Tang and K. Mouthaan On the Applications of the Coupled-Line Composite Right/Left-Handed Unit Cell ..... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ..... A. E. Fouda, A. M. E. Safwat, and H. El-Hennawy

1565 1573

Instrumentation and Measurement Techniques Realization of a Calculable RF Power Standard in Coplanar Technology on Alumina Substrate ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... . F. Ziadé, E. Bergeault, B. Huyart, and A. Kazemipour Complete On-Wafer Noise-Figure Characterization of 60-GHz Differential Amplifiers ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... L. F. Tiemeijer, R. M. T. Pijper, and E. van der Heijden

1592

Microwave Photonics 60-GHz Ultra-Wideband Radio-Over-Fiber System Using a Novel Photonic Monocycle Generation .. ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ...... M. Beltrán and R. Llorente

1584

1599

1609

MEMS and Acoustic Wave Components Contributions to the Modeling and Design of Reconfigurable Reflecting Cells Embedding Discrete Control Elements .. .. ........ ......... ... J. Perruisseau-Carrier, F. Bongard, R. Golubovic-Niciforovic, R. Torres-Sánchez, and J. R. Mosig High-Performance 1.5–2.5-GHz RF-MEMS Tunable Filters for Wireless Applications . ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ...... M. A. El-Tanani and G. M. Rebeiz

1621

Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

1638

1629

CALLS FOR PAPERS

Special Issue on RF Nanoelectronics ..... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... .

1639

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $23.00 per year for electronic media only or $46.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE S. M. EL-GHAZALY, President L. BOGLIONE W. CHAPPELL M. GUPTA

R. SNYDER, President Elect

J. HACKER M. HARRIS J. HAUSNER

K. ITOH S. KOUL J. LASKAR

T. LEE J. LIN

M. MADIHIAN, Secretary A. MORTAZAWI V. J. NAIR

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

N. KOLIAS, Treasurer

Y. NIKAWA G. PONCHAK

D. SCHREURS W. SHIROMA

R. SNYDER B. SZENDRENYI

Distinguished Lecturers K. TOMIYASU L. YOUNG

A. CANGELLARIS S. GEVORGIAN F. ELLINGER F. GHANNOUCHI A. FERRERO S. LUCYSZYN

A. PHAM P. TASKER M. TENTZERIS

R. WEIGEL K. WU

Past Presidents K. WU M. YU

B. PERLMAN (2009) J. MODELSKI (2008) J. S. KENNEY (2007)

MTT-S Chapter Chairs Albuquerque: H. J. WAGNON Atlanta: D. LEATHERWOOD Austria: A. SPRINGER Baltimore: N. BUSHYAGER Bangalore: T. SRINIVAS Beijing: Z. FENG Belarus: A. GUSINSKY Benelux: D. VANHOENACKER-JANVIER Boston: J. MULDAVIN Brasilia: J. DA COSTA/ A. KLAUTAU Buenaventura: M. QUDDUS Buffalo: J. WHALEN Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: M. ROY Central & South Italy: G. D’INZEO Central No. Carolina: N. S. DOGAN Chengdu: Z. NEI Chicago: H. LIU Cleveland: M. SCARDELLETTI Columbus: F. TEXEIRA Connecticut: C. BLAIR Croatia: Z. SIPUS

Czech/Slovakia: P. HAZDRA Dallas: Q. ZHANG Dayton: A. TERZUOLI Delhi/India: S. KOUL Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHISH Finland: A. LUUKANEN Florida West Coast: K. A. O’CONNOR Foothills: F. FREYNE France: P. EUDELINE Germany: K. SOLBACH Greece: R. MAKRI Harbin: Q. WU Hawaii: R. MIYAMOTO Hong Kong: W. S. CHAN Houston: J. T. WILLIAMS Houston, College Station: G. H. HUFF Hungary: T. BERCELI Huntsville: H. G. SCHANTZ Hyderabad: M. CHAKRAVARTI India/Calcutta: D. GUHA India: D. BHATNAGER Indonesia: E. T. RAHARDO Israel: S. AUSTER Japan: K. ARAKI Kansai: T. OHIRA

Editors-In-Chief AMIR MORTAZAWI Univ. of Michigan Ann Arbor, MI 48109-2122 USA Phone: +1 734 936 2597 Fax: +1 734 647 2106 email: [email protected] DYLAN WILLIAMS NIST Boulder, CO 80305 USA Phone: +1 303 497 3138 Fax: +1 303 497 3970 email: [email protected]

Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: W. DEAL Los Angeles, Metro/San Fernando: F. MAIWALD Malaysia: M. ESA Malaysia, Penang: Y. CHOW Melbourne: K. LAMP Mexico: R. M. RODRIGUES-DAGNINO Milwaukee: S. G. JOSHI Mohawk Valley: E. P. RATAZZI Montreal: K. WU Nanjing: W. X. ZHANG New Hampshire: D. SHERWOOD New Jersey Coast: D. REYNOLDS New South Wales: A. M. SANAGAVARAPU New Zealand: A. WILLIAMSON North Italy: G. VECCHI North Jersey: H. DAYAL/K. DIXIT Northern Australia: M. JACOB Northern Nevada: B. S. RAWAT Norway: Y. THODESEN Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: X. GONG Ottawa: Q. YE

DANIEL DE ZUTTER Universiteit Gent Belgium email: [email protected] WOLFGANG HEINRICH Ferdinand-Braun-Institut (FBH) Germany email: [email protected] WEI HONG Southeast Univ. China email: [email protected] ROBERT W. JACKSON Univ. of Massachusetts,Amherst USA email: [email protected] K. REMLEY, Editor-in-Chief, IEEE Microwave Magazine C. TZUANG,

Philadelphia: J. NACHAMKIN Phoenix: S. ROCKWELL Poland: W. J. KRZYSZTOFIK Portugal: C. PEIXEIRO Princeton/Central Jersey: A. KATZ Queensland: A. RAKIC Rio de Janeiro: J. BERGMANN Rochester: S. CICCARELLI/ J. VENKATARAMAN Romania: G. LOJEWSKI Russia, Moscow: V. A. KALOSHIN Russia, Nizhny: Y. BELOV Russia, Novosibirsk: A. GRIDCHIN Russia, Saint Petersburg: M. SITNIKOVA Russia, Saratov: N. M. RYSKIN Russia, Tomsk: R. V. MESCHERIAKOV Saint Louis: D. MACKE San Diego: G. TWOMEY Santa Clara Valley/San Francisco: M. SAYED Seattle: K. A. POULSON Seoul: S. NAM Serbia and Montenegro: A. MARINCIC Shanghai: J. F. MAO Singapore: A. ALPHONES South Africa: C. VAN NIEKIRK South Australia: H. HANSON South Brazil: R. GARCIA

Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: E. ARVAS Taegu: Y.-H. JEONG Taipei: F.-T. TSAI Thailand: P. AKKARAEKTHALIN Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS Turkey: I. TEKIN Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Kiev: Y. POPLAVKO Ukraine, East, Kharkov: O. V. SHRAMKOVA Ukraine, East Student Branch Chapter, Kharkov: M. KRUSLOV Ukraine, Rep. of Georgia: D. KAKULIA Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West, Lviv: I. ISAYEV ˇ Venezuela: J. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKHMATOVSKI

Associate Editors JEN-TSAI KUO Nat. Chiao Tung Univ. Taiwan email: [email protected] YOUNGWOO KWON Seoul Nat. Univ. Korea email: [email protected] JENSHAN LIN Univ. of Florida USA email: [email protected]

MAURO MONGIARDO Univ. of Perugia Italy email: [email protected] JOSÉ PEDRO Univ. of Aveiro Portugal email: jcp.mtted.av.it.pt ZOYA POPOVIC Univ. of Colorado, Boulder USA email: [email protected]

Editor-in-Chief, IEEE Microwave and Wireless Component Letters

RICHARD SNYDER RS Microwave Company USA email: [email protected] CHI WANG Orbital Sciences Corp. USA email: [email protected] KE-LI WU Chinese Univ. of Hong Kong Hong Kong email: [email protected]

T. LEE, Web Master

IEEE Officers PEDRO A. RAY, President MOSHE KAM, President-Elect DAVID G. GREEN, Secretary PETER W. STAECKER, Treasurer JOHN R. VIG, Past President TARIQ S. DURRANI, Vice President, Educational Activities ROGER W. SUDBURY, Director, Division

JON G. ROKNE, Vice President, Publication Services and Products BARRY L. SHOOP, Vice President, Member and Geographic Activities W. CHARLTON (CHUCK) ADAMS, President, IEEE Standards Association ROGER D. POLLARD, Vice President, Technical Activities EVELYN H. HIRT, President, IEEE-USA IV—Electromagnetics and Radiation

IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer MATTHEW LOEB, Corporate Strategy & Communications BETSY DAVIS, SPHR, Human Resources RICHARD D. SCHWARTZ, Business Administration ANTHONY DURNIAK, Publications Activities CHRIS BRANTLEY, IEEE-USA JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities CECELIA JANKOWSKI, Member and Geographic Activities DOUGLAS GORHAM, Educational Activities IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $125.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2010 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2010.2052386

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1465

Phase-Noise Analysis in Rotary Traveling-Wave Oscillators Using Simple Physical Model Koji Takinami, Member, IEEE, Rich Walsworth, Senior Member, IEEE, Saleh Osman, Member, IEEE, and Steve Beccue, Member, IEEE

Abstract—We show that the rotary traveling wave oscillator (RTWO) is well treated as a superposition of multiple standing-wave oscillators (SWOs). Based on the proposed physical interpretation, we derive a phase-noise formula for the SWO, and extend it to the RTWO, which can predict thermally induced phase noise with no more complexity than the well-understood LC voltage-controlled oscillator. Measurement and simulation validate the analysis. The physically based approach and simple resulting expressions make it possible to design the RTWO for a given phase noise without lengthy simulations. Index Terms—Phase noise, rotary traveling wave, standing wave, voltage-controlled oscillator (VCO).

I. INTRODUCTION

W

HILE THE LC voltage-controlled oscillator (LC-VCO) is widely used in RF oscillators, the wave-based oscillators, known as the standing wave oscillator (SWO), the traveling wave oscillator (TWO), and the rotary traveling wave oscillator (RTWO), have gained recent interest as possible alternatives [1]. Recent developments in wave-based oscillator design have demonstrated the ability to operate at high frequencies [2]–[5] with low skew and low jitter for clock distribution [6], [7]. Among the variety of wave-based oscillators, the RTWO has the unique property of sustaining a traveling wave while achieving low power and low phase noise [6]. Since the signal propagates in one direction along the transmission line, multiple-phase signals are easily available by tapping off the signals from different positions on the transmission line. This is useful not only for obtaining quadrature signals from a single VCO, but also for exploring circuits utilizing multiphase signals such as high-frequency doublers [4], noninteger dividers [8], and so on. However, phase noise has not been modeled well for the RTWO. As a result, the design of RTWOs mainly relies on simulation, and due to the complexity of its structure, many lengthy simulations are required to reach an optimal solution. Manuscript received June 01, 2009; revised December 05, 2009; accepted February 18, 2010. Date of publication May 03, 2010; date of current version June 11, 2010. K. Takinami and S. Osman are with the Panasonic Wireless Research Laboratory, Panasonic Corporation Ltd., San Jose, CA 95128 USA (e-mail: [email protected]; [email protected]). R. Walsworth and S. Beccue are with Multigig Inc., Scotts Valley, CA 95066 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2047914

N = 4).

Fig. 1. Typical circuit topology of an RTWO (

An ability to predict or model the phase noise of the RTWO analytically should shorten its development cycle. References [9] and [10] attempt to derive a phase-noise formula for the RTWO by using the impulse sensitivity function (ISF) proposed by Hajimiri and Lee [11], but the quantitative analysis does not provide enough insight into a physical mechanism, without which circuit optimization is difficult. In this paper, we present simple models of the RTWO, derive a closed formula to predict phase noise and verify it with simulation and measurements. Section II reviews basics of the RTWO using the classical view of its operation. Section III describes a proposed model. In Section IV, we start from phase-noise analysis in the SWO, and then we extend it into the RTWO in Section V. Section VI discusses the phase noise figure-of-merit (FoM) of the SWO and RTWO. Section VII verifies the theory by simulation and measurement. II. CLASSICAL VIEW OF RTWO The typical circuit topology of the RTWO is illustrated in Fig. 1 [6]. A möbius twisted differential transmission line forms a closed loop for the required feedback. Distributed cross-coupled inverters compensate for the loss of the transmission line and voltage variable capacitors adjust the frequency of operation. Start up can occur in either direction, but in the steady state, the direction of propagation will have been determined by the path that offered the lowest loss [6]. One can also set the direction by introducing a control scheme such as [10]. Intuitively, this operation can be understood by viewing its structure as a distributed amplifier whose output is fed back to its input with

0018-9480/$26.00 © 2010 IEEE

1466

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 2. Lumped model of a differential transmission line.

180 phase rotation to satisfy Barkhausen’s criteria. The differential transmission line can be modeled by an LC ladder equivaand denote the lent circuit, as shown in Fig. 2, where lumped inductance and capacitance of each of the segments.1 The phase velocity of the wave in the transmission line is , where are the differential given by inductance and capacitance per unit length. The wave after one lap is inverted due to the möbius twist. Thus, two laps are needed to complete one cycle. This leads to the boundary condition, as , where represents the given by physical length of one lap and is the wave length. Since the fundamental mode tends to have the largest loop gain because of the high-frequency loss in higher modes, the fundamental mode prevails. Thus, the oscillation frequency can be given as

(1) (2)

Fig. 3. (a) Transformation of möbius ring into a single loop. RTWO model with: (b) möbius ring and (c) untwisted ring.

A. SWO With Ring Resonator To better understand our proposed model, we start from a ring cell connected, as shown in Fig. 4(a). resonator with one cell injects At every half of the oscillation period, the current into the resonator, which creates two voltage waves that propagate in opposite directions. We define the voltage waves at , which are propagating the fundamental frequency in clockwise and counterclockwise directions as (5)

where are the total differential inductance and capacitance of the line, and are given by

(6)

(3) (4)

and are the voltage amplitudes, is the where propagation constant, and is the displacement along the ring. A distribution of the voltage on the ring is then derived as follows [7]:

This classical view is useful to predict oscillation frequency, but unfortunately does not give us insight as to how to achieve better phase noise. III. PROPOSED MODEL This section introduces a model that will be used in the analysis in the paper. As illustrated in Fig. 3(a), the möbius structure used in the RTWO can be converted into a single closed loop by unfolding and untwisting its crossover. As shown in Fig. 3(b) and (c), we can then recast differential nodes to diagonally opposite nodes on the single closed loop where cells are connected at even spacings. This conceptual view was originally proposed in [12] to explain their unique VCO structure with a transmission line associated with four differential inductors, which essentially works as an RTWO. This simplification offered a hint as to how to establish a model suitable for phase-noise analysis. 1We assume a lossless transmission line, and the parasitic capacitance distributed along the line is merged into C for simplification.

(7)

The first term corresponds to a standing wave and the second term represents a traveling wave. If , i.e., the circuit is perfectly symmetrical, only a standing wave exists. As illustrated in Fig. 4(a), the standing wave has the same phase regardless of position, but the amplitude varies sinusoidally with position. One can also interpret this structure as a half-waveSWO, as shown in Fig. 4(b), where the energy is length injected as forward waves by a cell and reflected into reverse waves at the null positions.

TAKINAMI et al.: PHASE-NOISE ANALYSIS IN RTWOs USING SIMPLE PHYSICAL MODEL

1467

(8)

Fig. 4. (a) =2 SWO with a ring resonator. Dashed line represents distribution of voltage amplitude. (b) =2 SWO with a transmission line.

then only the clockwise traveling wave exists.2 (Note that the counterclockwise traveling wave in (8) is the sum of samples spacing.) of cosine on a circle with Comparing (7) and (8), one can also notice that the voltage amplitude in the RTWO becomes half of that in the SWO [5]. Equation (8) justifies that we can view the RTWO as a superposition of multiple SWOs. This model greatly simplifies phase-noise analysis, as we show in the following sections. IV. PHASE NOISE IN SWO

Fig. 5. RTWO with an untwisted resonator (N = 4).

In this section, before discussing the phase noise in an RTWO, we first derive a phase-noise formula in a quarter-waveSWO. Due to its similarity with the LC-VCO, length we can use Rael and Abidi’s approach [14], in which the mechanism of thermally induced phase noise breaks into three categories, which are: 1) resonator noise; 2) tail current noise; and 3) differential pair noise. The following analysis is based on their work, but with minor modifications is applicable to a distributed resonator. A. Resonator Noise

B. RTWO as a Superposition of SWOs As shown in Fig. 5, the RTWO can be decomposed into multiple SWOs, whose cells are connected with even spacing. cells inject curAssuming we have segments and the rent in the clockwise direction, the cells of adjacent SWOs , where is the oscilshould have a timing offset of . lation period, and a position offset of Assuming the circuit is perfectly symmetrical, superposition SWOs is given by of

Any resonator has loss and generates thermal noise. If we focus on the noise around the oscillation frequency, we can represent the noise current originated by the resonator with a single resistor, which has the impedance at the resonant frequency, as shown in Fig. 6(a). In the steady state, since the cell compensates for the loss of the resonator, the noise current flows into a lossless resonator modeled with an LC ladder cirshorted transmission line. The cuit, which is equivalent to a PM component will be shaped inversely with offset frequency, which appears as phase noise, whereas the AM component will cell. be made small by the limiting action of the shorted transmission The input impedance of a lossless is given by line at (9)

(10) 2The fact that multiple SWOs create a traveling wave seems to be known in different areas such as an acoustic motor [13].

1468

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 7. White noise in the tail current is commucated by white noise across the resonator.

Fig. 6. (a) Circuit model of a =4 SWO. (b) Realization of

0G

0G

and appears as

Assuming we use a complementary differential pair for the cell, as shown in Fig. 6(b), the differential voltage ampli, tude at the fundamental frequency is given by where is the tail current of the VCO. In this region, the amplitude is proportional to the tail current, which is known as the current limited region. As the tail current increases, the ampli, known tude also rises until it reaches the supply voltage as the voltage limited region. In reality, voltage headroom required for the current source limits the maximum voltage to be smaller than this value. Assuming half of the noise contributes to PM and the power , where spectral density of the current noise is is the Boltzmann’s constant and is the ambient absolute temperature, phase noise at offset frequency is evaluated by using (13) and (16)

cell.

where is the characteristic impedance, is the shorted transmission line, and is the wavelength of the . Substituting the oscillation frequency, which length at is determined by (11) (17) (12) into (10), then the magnitude of the input impedance is given by (13) Since the resonator consists of the parallel circuit of and , the quality factor of the resonator is derived by the 3-dB bandwidth definition as (14) (15) (16) It should be noted that the quality factor in the distributed resonator is different from the LC resonator, which is given by . Another difference is as indicated in (9), the input impedance of the distributed resonator has a high impedance not only at its fundamental frequency, but also at its harmonics. Therefore, a square-wave current injected into the resonator creates a near-square-wave voltage.

Interestingly, although the resonator is distributed, the end result is identical to that in the LC-VCO [14]. It is worth mentioning, however, that the assumption of “half the noise is phase noise and half the noise is amplitude noise” is the approximation and is not correct in general. As discussed in [11], [15], and [16], noise to phase-noise conversion depends on the waveform of the oscillations. Since our approach is based on the linear time-invariant (LTI) model, the cyclostationary property is ignored for the resonator noise and the tail current noise, and is partially included for the differential pair noise, which will be derived in Section IV-C. The validity and limitation of the proposed approach will be discussed later in Section VII. B. Tail Current Noise The noise in the tail current flows into the resonator through the differential pair. The differential pair acts like a mixer and commutates the noise by flipping the polarity at twice the oscillation frequency. Since the noise is uncorrelated versus time, its output spectrum is white after the commutation, as depicted in Fig. 7. The spectral density of the current noise of a field-effect transistor (FET) is given by (18)

TAKINAMI et al.: PHASE-NOISE ANALYSIS IN RTWOs USING SIMPLE PHYSICAL MODEL

1469

where and are the channel noise coefficients of nMOS . Since the noise around the and pMOS, and zero crossing produces pure phase noise [14],4 then from (17) and (20), the phase noise due to the differential pair is derived as (21)

D. Total Phase Noise By summing (17), (19), and (21), the thermally induced phase SWO is noise in the (22) (23) Fig. 8. (a) Differential pair noise appearing as cyclostationary noise. (b) Transconductance of the differential pair.

where

is the channel noise coefficient of the FET, and is the transconductance of the current source. By replacing the thermal noise in (17) with (18), and using , where is the effective gate voltage, the phase noise caused by the tail current noise is derived3

(19)

for for (24) is the voltage headroom required for the current where source. In the LC-VCO, where the harmonic voltages are signifi. In the cantly attenuated, can be approximated by SWO, on the other hand, the resonator can sustain harmonics as well, which increases the voltage slope and mitigates phase noise due to the differential pair. This suggests the potential advantage of the SWO against the LC-VCO. The details of predicting the slope in the distributed resonator will be discussed later.

C. Differential Pair Noise The noise from the differential pair is sampled by the finite width window at every differential zero crossing, which is twice the oscillation frequency. As illustrated in Fig. 8(a), the sampled noise is cyclostationary, but its spectrum is white [18], [19]. We now use the fast-switching approximation, i.e., the differential pair switches the output current between and within a short period of time, where is the slope of the differential waveform at zero crossing, and is the voltage required to fully switch the differential pair, as shown can be approximated in Fig. 8(b). The transconductance and in time by a sequence of square pulses of height width of [20]. Since the spectral density of the cur, rent noise of the differential pair is given by as derived in Appendix A, the sampled noise current from both nMOS and pMOS is given as (20) 3Reference [14] considers only the noise around the second harmonic, which accounts for the difference in the coefficient. Reference [17] gives an identical result to (19).

V. PHASE NOISE IN RTWO As discussed in Section III, we can model an RTWO as a superposition of multiple SWOs. Since the resonator shorted transmission line with can be converted into a total inductance, as shown in Fig. 9, the quality factor of the resonator in (16) has to be modified to (25) With this modification, phase-noise formulas given by (22)–(24) SWO. are valid for the In order to calculate the phase noise in the RTWO, we need to add up the phase noise of each SWO properly. Let us start SWO, as shown in Fig. 10(a). As discussed in from the Section IV, the phase noise measured across P1 and P2 is given cell and connect by (22)–(24). Suppose we bring another offset, as shown in Fig. 10(b). It then it to the ring with draws twice the current, but the phase noise measured across P1 4This has been stated in [14] without a detailed proof. Reference [16] better illustrates it by deriving the ISF theoretically.

1470

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

TABLE I SUMMARY OF THE SIMULATION PARAMETERS

TABLE II SIMULATED PHASE NOISE AT 10-MHz OFFSET

Fig. 9. Conversion of a =2 SWO into an equivalent =4 SWO.

but a similar effect is known in the quadrature LC-VCO where identical LC-VCOs are strongly injection locked to a common frequency. Reference [21] verifies phase-noise improvement by solving differential equations. The general case of -coupled oscillators is well treated in [22] and analytically proven that the phase noise improves by a factor of due to the coupling effect. However, the analytical approach leads to complex mathematical formulations and unfortunately gives less design insight. Instead, we have run a simulation to verify the assertion. In the simulation, we construct a symmetric ring by using segments and compare an LCR lumped model with the phase noise of a SWO and the RTWO by SPECTRE-RF. Simulation parameters are given in Table I. In this experiment, cells are varied from 1 to 8, whereas the the number of sections are kept constant . The paranumber of cells are carefully subtracted from sitic capacitances of the ring to keep the resonator structure consistent. Table II summarizes the simulation results. Note that the current consumption of the RTWO is twice that of the SWO, as stated earlier. As can be seen in Table II, SPECTRE-RF clearly predicts 3-dB improvement in the RTWO. Intuitively, this can be justified by twice the power consumption. cells while keeping the total deIf we further split the cell goes down and vice size constant, the dc current per the voltage amplitude induced by each SWO decreases. However, as deduced by (8), the superimposed voltage amplitude is cells. Since the total constant regardless of the number of noise injected into the resonator during one oscillation period is the same, the phase noise stays constant. This is also confirmed in Table II. From the foregoing discussion, the phase noise in the RTWO is finally given as

Fig. 10. Conceptual illustration of the transformation from SWO to RTWO.

(26) (27)

and P2 stays the same since two SWOs are connected at the null of each other, and ideally there is no interaction between them. cells into half, Now what happens if we split each of the as shown in Fig. 10(c)? At first glance, the phase noise may seem to be the same, but in reality it improves by a factor of 2 because of the coupling effect among SWOs. This may sound surprising,

for for (28)

TAKINAMI et al.: PHASE-NOISE ANALYSIS IN RTWOs USING SIMPLE PHYSICAL MODEL

The half coefficients in (26) and (28) compared to those of the SWO in (22) and (24) account for the coupling effect and the half voltage amplitude. Now we move on to the remaining question: how to estimate the voltage slope? Under a low-loss approximation, the number that a distributed transmission line can sustain of harmonics is given by (29) as derived in Appendix B. Using the well-known harmonic amplitudes of the square across the resonator is wave, the voltage waveform (30) Its slope

can then be calculated as (31)

Equation (29) and (31) suggests that by increasing , we can make the slope increasingly sharper. In reality, as the frequency goes higher, the signal is more attenuated due to high-frequency loss, and as a result, (29) and (31) give optimistic values. For an accurate estimate, one could use simulation to estimate the input impedance at each harmonic frequency individually and modify the amplitude of the harmonics in (30). It is worth mentioning that the phase noise is degraded due to second-order effects such as the asymmetry of the resonator,5 the differential pair’s parasitic capacitances at the common source node [23], [24] and drain node [25]. However, as we will demonstrate in Section VII, the proposed phase-noise formulas give reasonable accuracy in spite of the simplifications we have made through the derivation. VI. FOM IN SWO AND RTWO It may be interesting to compare the phase noise normalized to the power consumption and the center frequency, which is commonly defined as (32) is the power consumption expressed in milliwatts. where Suppose that the noise contribution from the tail current is suppressed by bypass capacitors, which is a reasonable assumption in a practical design, the oscillator noise factor in the SWO given by (23) can be simplified to (33) In the LC-VCO , this can be further simplified to , as is widely known [23]. 5Since all the SWOs are injection locked to a common frequency, the resonant frequency of each SWO must be close to the other to minimize the degradation of effective . Adding more crossover sections helps to improve symmetry. However, this introduces extra series resistance and might result in lower unless it is carefully introduced.

Q

Q

1471

If we ignore the voltage headroom required for the current . The source, the maximum value achieved by becomes FoM for the SWO is then found as (34) Surprisingly, the best FoM in the SWO solely depends on two and the oscillator noise process parameters, the resonator factor [23], [25]. By following the same steps for (26)–(28), we can reach exactly the same result as (34) for the FoM of the RTWO. It is instructive to discuss optimum parameters to reach the from best FoM. To obtain the maximum voltage amplitude than the SWO. the same current, the RTWO needs twice the This means that the total inductance in the RTWO must be SWO (or four times larger than the double that in the SWO), assuming the quality factor remains constant. Under this condition, the RTWO achieves the same phase noise as the SWO with the same power consumption. In reality, a fair comparison is not that simple since a resonator with a different dimension shows a different quality factor. In addition, the tradeoff between the phase noise and frequency tuning range is not taken into account in (32). The argument should include careful optimization of the resonator to achieve the best quality factor with the given parameters, which is subject to further study. VII. EXPERIMENTAL VALIDATION We have validated the present theory on a 3-GHz RTWO prototype designed in 110-nm CMOS. The -parameters of the transmission line were extracted by an electromagnetic (EM) simulator. The transmission line utilizes 5- m-thick Cu metal available from the wafer level chip size package (WLCSP). A 1000- m square-shape transmission line with 40- m width and 40- m spacing provides a total inductance of 3 nH. Fig. 11 shows the circuit schematic of a unit cross coupled pair and the varactors. It consists of a complementary cross-coupled pair with a pMOS current source. 2.5-V devices are used for the VCO core to obtain a large voltage swing. The bypass capacitor connected to the drain of the current source suppresses noise, making phase-noise degradation due to the current source negligible. It is preferable to use the noise filter proposed by Hegazi cell requires a noise and Abidi [23], but in the RTWO each filter, which leads to an unacceptable area penalty. Therefore, the maximum voltage swing is limited to about 2 V [26]. -parameter simulation predicts a loaded resonator and at 3 GHz. To validate the impact of the number of segments , a SPECTRE-RF simulation was performed for each value of . In the simulation, we changed while keeping the total device size constant. Fig. 12 shows simulated voltage waveforms across the transmission line. It is clearly seen that the increases. Table III waveform becomes more square-like as compares the slope predicted by (29) and (31) against simulated values. As increases, the predicted value deviates from simulation results. This is due to high-frequency loss, as men, prediction gives a 70% larger estitioned earlier. With mation. This leads to a 1-dB optimistic prediction in total phase noise by (26), which is acceptable for most initial designs.

1472

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

TABLE IV CALCULATED AND SIMULATED NOISE CONTRIBUTIONS (IN 10 AT 10-MHz OFFSET FREQUENCY

Fig. 11. Schematic of

0G

1

V

/HZ)

cell.

Fig. 12. Simulated waveforms of the voltage on the transmission line.

TABLE III PREDICTED VOLTAGE SLOPE COMPARED WITH SIMULATED VALUES

Fig. 14. Microphotograph of the prototype. Transmission lines fabricated in the package layer are not shown and guided with dashed lines.

nism of flicker-noise upconversion in the RTWO is subject to further study. To estimate the magnitude of the dominant effect, both calculated and simulated noise contributions from each noise source /Hz, as well as the contributions to the total noise in perin is the individual cent, are summarized in Table IV, where noise contribution defined by (35)

Fig. 13. Simulated phase noise compared with predictions from analysis.

Fig. 13 shows a comparison between simulated phase noise and the prediction based on (26)–(28). Tail current noise is neglected in the prediction. They agree within 3 dB. It also tracks phase-noise improvement by increasing . Although outside the scope of this paper, it should be noted that flicker-noise upconversion is also suppressed by using larger . The mecha-

There can be seen some discrepancies between calculations and simulations, which are, of course, due to the simplified models used in the analysis. For example, since the cyclostationary property in the resonator noise is ignored in the present theory, as mentioned earlier, the noise contribution of the tank stays the same in calculations, whereas it is slightly reduced in simulations. Despite these differences, it can be for understood that the present theory provides a quick yet reasonably accurate prediction, which will be useful for designers to find a starting point for the circuit simulation. Fig. 14 shows the microphotograph of the fabricated chip. are introduced to achieve Three crossover sections and better uniform distribution and symmetry of the ring. The fabricated circuit tunes from 3.05 to 3.65 GHz, consuming 44 mA.6 Measured phase noise at 3 MHz offset is 6The prototype operates in the voltage limited region. To reduce power consumption, it is recommended to reduce the tail current just enough to drive the amplitude to its maximum.

TAKINAMI et al.: PHASE-NOISE ANALYSIS IN RTWOs USING SIMPLE PHYSICAL MODEL

1473

noise due to the nMOS differential pair is then given as

(36) where is the transconductance of the nMOS differential pair. Similarly, the total noise due to the pMOS differential pair is given as (37) where is the transconductance of the pMOS differential pair. If we assume (38) Fig. 15. Measured phase noise of the RTWO prototype.

the total noise due to the complementary differential pair is derived as (39) where

Fig. 16. (a) Simplified model for transistor noise sources. (b) Differential equivalent circuit.

140.8 dBc/Hz, as shown in Fig. 15, which is about 6 dB higher than simulation. We presume the main reason of this discrepancy is an optimistic estimation of resonator , as well as higher loss at harmonic frequencies, which will be improved in the future through continuous effort to improve accurate transmission line and device modeling. VIII. CONCLUSION This paper has described phase-noise theory for the RTWO. The proposed model provides a different view of understanding of its operation and brings new insights. Using the closed phase-noise formula presented here, circuit designers can easily understand design tradeoffs and reach an optimized solution in a similar fashion to the well-understood LC-VCO design. We believe this work offers an opportunity to explore new uses of RTWOs.

.

APPENDIX B DERIVATION OF MAXIMUM NUMBER OF HARMONICS DISTRIBUTED LINE CAN SUSTAIN [9] A lumped distributed line presents a real constant impedance only over a finite bandwidth. Above it, the input impedance becomes purely reactive, indicating that real power cannot be delivered. The frequency at which this occurs is known as the line’s cutoff frequency, and is given by [28] (40) Substituting (41) Since the highest harmonics possible in the resonator should be lower than , (42) Substituting (41) into (42), the following condition is derived for the integer number : (43)

APPENDIX A DERIVATION OF NOISE DUE TO DIFFERENTIAL PAIR [27] The noise power densities of the noise sources can be evaluated at the most sensitive time, i.e., the zero crossing of the differential pair, to estimate the effect of these sources. Fig. 16(a) shows a simplified model of the noise sources in the differential pair, which can be converted to their Thevenin equivalent, as shown in Fig. 16(b). The spectral density of the current noise in an nMOS device , where is the transconducis given by tance of the single-ended nMOS device. The total differential

ACKNOWLEDGMENT The authors would like to thank S. Uemura and A. Ohara, both with the Research and Development Strategic Semiconductor Development Center, Panasonic Corporation Ltd., Osaka, Japan, and A. Pham, Multigig Inc., Scotts Valley, CA, for technical discussion, and J. Tran and D. Dela Cruz, both layout consultants, for assistance in the chip layout. The authors would also like to thank E. McCune, RF Communications

1474

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Consulting, Santa Clara, CA, and R. Booth, G. Do, P. Liang, and G. Le Grand de Mercey, all with the Panasonic Wireless Research Laboratory, Panasonic Corporation Ltd., Santa Clara, CA, for helpful advice and comments. REFERENCES [1] W. F. Andress and D. Ham, “Recent developments in standing-wave oscillator design: Review,” in Proc. IEEE RF Integr. Circuits Symp., Jun. 2004, pp. 119–122. [2] G. Le Grand de Mercey, “A 18 GHz rotary traveling wave VCO in CMOS with I/Q outputs,” in Proc. IEEE Eur. Solid-State Circuits Conf., Sep. 2003, pp. 489–492. [3] W. F. Andress and D. Ham, “Standing wave oscillators utilizing waveadaptive tapered transmission lines,” IEEE J. Solid-State Circuits, vol. 40, no. 3, pp. 638–651, Mar. 2005. [4] H.-H. Hsieh, Y.-C. Hsu, and L.-H. Lu, “A 15/30-GHz dual-band multiphase voltage-controlled oscillator in 0.18-m CMOS,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 3, pp. 474–483, Mar. 2007. [5] J.-C. Chien and L.-H. Lu, “Design of wide-tuning-range millimeterwave CMOS VCO with a standing-wave architecture,” IEEE J. SolidState Circuits, vol. 42, no. 9, pp. 1942–1952, Sep. 2007. [6] J. Wood, T. C. Edwards, and S. Lipa, “Rotary traveling-wave oscillator arrays: A new clock technology,” IEEE J. Solid-State Circuits, vol. 36, no. 11, pp. 1654–1665, Nov. 2001. [7] F. O’Mahony, C. P. Yue, M. A. Horowitz, and S. S. Wong, “A 10-GHz global clock distribution using coupled standing-wave oscillators,” IEEE J. Solid-State Circuits, vol. 38, no. 11, pp. 1813–1820, Nov. 2003. [8] C.-H. Heng and B.-S. Song, “A 1.8-GHz CMOS fractional-N freqeuncy synthesizer with randomized multiphase VCO,” IEEE J. Solid-State Circuits, vol. 38, no. 6, pp. 848–854, Jun. 2003. [9] G. Le Grand de Mercey, “18 GHz–36 GHz rotary traveling wave voltage controlled oscillator in a CMOS technology” Ph.D. dissertation, Dept. Elect. Inform. Technol., Univ. Bundeswehr, Munich, Germany, Aug. 2004 [Online]. Available: http://ub.unibw-muenchen.de/dissertationen/ediss/legrand-gregoire [10] C. Zhuo, H. Zhang, R. Samanta, J. Hu, and K. Chen, “Modeling, optimization and control of rotary traveling-wave oscillator,” in Proc. IEEE/ACM Int. Comput.-Aided Design Conf., Nov. 2007, pp. 476–480. [11] A. Hajimiri and T. H. Lee, “A general theory of phase noise in electrical oscillators,” IEEE J. Solid-State Circuits, vol. 33, no. 2, pp. 179–194, Feb. 1998. [12] J. Lee and B. Razavi, “A 40-Gb/s clock and data recovery circuit in 0.18-m CMOS technology,” IEEE J. Solid-State Circuits, vol. 38, no. 12, pp. 2181–2190, Dec. 2003. [13] P. H. Ceperley, “Split mode traveling wave ring-resonator,” U.S. Patent 4 686 407, Aug. 11, 1987. [14] J. J. Rael and A. A. Abidi, “Physical processes of phase noise in differential LC oscillators,” in Proc. IEEE Custom Integr. Circuits Conf., May 2000, pp. 569–572. [15] “Affirma RF Simulator (SpectreRF) User Guide, Version 4.4.6,” Cadence, San Jose, CA, 2000, product documentation. [16] P. Andreani, X. Wang, L. Vandi, and A. Fard, “A study of phase noise in colpitts and LC-tank CMOS oscillators,” IEEE J. Solid-State Circuits, vol. 40, no. 5, pp. 1107–1118, May 2005. [17] A. A. Abidi, “Phase noise and jitter in CMOS ring oscillators,” IEEE J. Solid-State Circuits, vol. 41, no. 8, pp. 1803–1816, Aug. 2006. [18] J. Phillips and K. Kundert, “Noise in mixers, oscillators, samplers, and logic: An introduction to cyclostationary noise,” in Proc. IEEE Custom Integr. Circuits Conf., May 2000, pp. 431–438. [19] E. Hegazi, “High purity frequency synthesizer design in CMOS,” Ph.D. dissertation, Dept. Elect. Eng., Univ. California at Los Angeles, Los Angeles, CA, 2002. [20] H. Darabi and A. A. Abidi, “Noise in RF-CMOS mixers: A simple physical model,” IEEE J. Solid-State Circuits, vol. 35, no. 1, pp. 15–25, Jan. 2000. [21] A. Mirzaei, M. E. Heidari, R. Bagheri, S. Chehrazi, and A. A. Abidi, “The quadrature LC oscillator: A completer portrait based on injection locking,” IEEE J. Solid-State Circuits, vol. 42, no. 9, pp. 1916–1932, Sep. 2007. [22] U. L. Rohde, A. K. Poddar, and G. Böck, The Design of Modern Microwave Oscillators for Wireless Applications. New York: Wiley, 2005. [23] E. Hegazi, H. Sjöland, and A. A. Abidi, “A filtering technique to lower LC oscillator phase noise,” IEEE J. Solid-State Circuits, vol. 36, no. 12, pp. 1921–1930, Dec. 2001.

[24] H. Rashtian and R. Dehghani, “A new model for contribution of triode noise to phase noise of CMOS LC oscillators,” in Proc. IEEE Silicon Monolithic Integr. Circuits RF Syst. Top. Meeting, Jan. 2009, pp. 80–83. [25] P. Andreani and A. Fard, “More on the 1=f phase noise performance of CMOS differential-pair LC-tank oscillators,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2703–2712, Dec. 2006. [26] S. L. J. Gierkink, S. Levantino, R. C. Frye, C. Samori, and V. Boccuzzi, “A low-phase-noise 5-GHz CMOS quadrature VCO using superharmonic coupling,” IEEE J. Solid-State Circuits, vol. 38, no. 7, pp. 1148–1154, Jul. 2003. [27] A. Hajimiri and T. H. Lee, “Design issues in CMOS differential LC oscillators,” IEEE J. Solid-State Circuits, vol. 34, no. 5, pp. 717–724, May 1999. [28] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 2004. Koji Takinami (M’04) received the B.S. and M.S. degrees in electrical engineering from Kyoto University, Kyoto, Japan, in 1995 and 1997, respectively. In 1997, he joined the Matsushita Electric Industrial (Panasonic) Corporation Ltd., Osaka, Japan, where he has been engaged in the design of analog and RF circuits for wireless communications. From 2004 to 2006, he was a Visiting Scholar with the University of California at Los Angeles (UCLA). In 2006, he joined the Panasonic Wireless Research Laboratory, Panasonic Corporation Ltd., San Jose, CA, where he is currently involved with high-efficiency transmitters and low phase-noise digital phase-locked loops (PLLs). Rich Walsworth (M’01–SM’06) received the B.S.E.E. degree from Heald Engineering College, San Francisco, CA, in 1961, and the M.S.E.E. degree from Stanford University, Stanford, CA, in 1968. His 48 years of professional experience have primarily been in the area of RF circuit design with a focus on low phase-noise oscillators and synthesizers with companies such as Argo Systems Inc., Wavetek Indiana, National Semiconductor, Tropian Inc., Panasonic, and currently Multigig Inc., Scotts Corner, CA, in a consulting role. He founded the company Spectrum Specialties Inc., which specialized in RF test equipment for two-way radios (acquired by Wavetek Indiana in 1981). Over the last few years, he has been involved with RF integrated circuit design. Saleh Osman (M’05) received the B.Sc. degree in electrical engineering from Napier Polytechnic, Edinburgh, U.K., in 1989, and the M.Sc. degree in electrical engineering from Heriot Watt University, Edinburgh, U.K., in 1990. He was a Doctoral Student with the Department of High Speed Electronics, KTH Kista, Kista, Sweden. Since 1990, he has held engineering positions with Plessey, Ericsson, Philips, and several startups. He is currently a Senior Integrated Circuit (IC) Design Engineer with Panasonic Wireless Research Labratory, San Jose, CA, where he develops digital transmitters for next-generation mobile devices. Steve Beccue (A’97–M’09) received the B.S. degree in mathematics and engineering and applied science from the California Institute of Technology, Pasadena, in 1985. He is currently the Chief Technical Officer (CTO) with Multigig Inc., Scotts Valley, CA, a startup company engaged in commercializing the RTWO. Prior to joining Multigig Inc., he was with Rockwell Scientific, as a Senior Scientist, a Director with Semtech, and Chief Executive Officer (CEO) of Practical Sciences Inc. His professional interests include analog-to-digital converters, delta–sigma converters, optics, and GaAs and InP track and hold circuits. More recently, phase-locked loops, low noise synthesizers, and applications of RTWOs has been his professional focus.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1475

Layout Optimization of Small-Size Ferroelectric Parallel-Plate Varactors Martin Norling, Dan Kuylenstierna, Andrei Vorobiev, and Spartak Gevorgian, Fellow, IEEE

Abstract—The extremely high permittivity of ferroelectric 100) imposes specific design and varactors (typically fabrication constraints for microwave and millimeter-wave applications. In this paper, small-size parallel-plate ferroelectric varactors insensitive to fabrication misalignment tolerances are studied experimentally. It is shown that the total resistance of the varactors strongly depends on the shape factor of the plates. Moreover, due to the small sizes of the varactors, the interconnecting strips become narrow and their contribution to the total resistance dominates. A simple procedure for optimization of the shape factor to minimize the varactor resistance is proposed. Index Terms—Ferroelectric capacitors, layout optimization, passive circuits.

I. INTRODUCTION

Fig. 1. Parallel-plate varactor defined by window in intermediate oxide layer. (a) Cross section and (b) micrograph of fabricated device.

ERROELECTRIC varactors are extensively considered for microwave and millimeter-wave applications, where low losses and high tuning speeds are required. In this frequency range, the quality factor of practical ferroelectric varactors is typically limited by ohmic losses in interconnects and electrodes, rather than by the quality of the ferroelectric film [1]. It is, therefore, crucial to optimize the varactor layout in order to improve the varactor performance. In the past, the quality factor variation with top-electrode periphery/area ratio of rectangular varactors has been investigated [2]. It has also been shown [3] that the ohmic loss of the electrodes of parallel-plate varactors strongly depends on their shape. The layout of semiconductor varactors has also been subject to optimization, e.g., [4], but due to constraints imposed by the extreme difference in dielectric permittivity, these optimization methods cannot be applied to the ferroelectric varactors. The dielectric permittivity of the ferroelectric films used in these

F

Manuscript received June 03, 2009; revised October 06, 2009; accepted February 19, 2010. Date of publication May 03, 2010; date of current version June 11, 2010. This work was supported in part by the Swedish Research Council, VR. M. Norling and A. Vorobiev are with the Physical Electronics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, 41296 Göteborg, Sweden (e-mail: [email protected]; martin. [email protected]; [email protected]). D. Kuylenstierna is with the Microwave Electronics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, 41296 Göteborg, Sweden (e-mail: [email protected]). S. Gevorgian is with the Physical Electronics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, 41296 Göteborg, Sweden, and also with the Microwave and High Speed Electronics Research Center, Ericsson AB, 43184 Mölndal, Sweden (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2047915

varactors is much higher (typically ) than the permit. tivity of the semiconductors A central issue when considering the layout of ferroelectric parallel-plate varactors is the potential for misalignment between the top and bottom electrodes during the fabrication process. At high frequencies, the necessary capacitance values are typically quite small. This, in turn, implies small varactor dimensions, particularly since the device permittivity is very high. The aligning precision of a typical mask aligner used in varactor processing may be about 0.1–1 m. For a simple varactor with an overlap area of, say, 5 5 m , a misalignment of that order may reduce the overlap area by 2%–20% or more. One possible solution to this issue is to define the varactor area by a window in an intermediate oxide layer [5], [6], as shown in Fig. 1. In addition to defining the varactor area, the oxide layer also protects the ferroelectric layer under subsequent processing steps. The solution further alleviates breakdown issues otherwise associated with the bottom electrode step coverage. However, it is not a suitable solution for high-frequency ferroelectric varactors fabricated in low-cost small-volume production (outside semiconductor foundries). Due to the high film permittivity, these devices are very small in relation to the misalignment marginal necessary for this type of production, and the associated area of the intermediate layer may consequently be 5–10 times larger than the window area. It is furthermore difficult to make the intermediate layer sufficiently thick when patterning small windows. As a result, the parasitic parallel capacitance associated with the intermediate oxide may easily account for 10%–20% of the total capacitance, thereby reducing the overall tunability substantially. In this study, special designs of ferroelectric varactors without oxide windows are considered. Instead, the layouts are arranged

0018-9480/$26.00 © 2010 IEEE

1476

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 2. (a) Cross section of ferroelectric varactor. (b) Equivalent schematic. TABLE I FERROELECTRIC VARACTOR SUBSTRATE TEMPLATE

to make the varactors insensitive to misalignment. Test varactors for investigation of the effects of the varactor shape factor are designed, fabricated, and measured. To assure high measurement accuracy, two types of test structures are used, which are: 1) one-port varactors for wideband reflection measurements and 2) Deloach test structures [7] for resonant transmission measurements. The experimentally observed varactor resistances, varying with layout parameters, are then described by simple models. These models are subsequently used for layout optimization. II. TEST STRUCTURES Parallel-plate ferroelectric varactors based on Ba Sr TiO (BST) films are formed on a wafer of oxidized high-resistivity silicon. The details of the fabrication process are given in [8]. Fig. 2 shows the cross section of the structures, and Table I presents the thicknesses of the involved layers. The ferroelectric film is sandwiched between bottom (M1) and top (M2) metal layers; the overlap defines the parallel-plate varactor. The bottom electrode in layer M1 is capacitively grounded with layer M2. Three varactor layouts are considered (see Fig. 3). The first type, denoted type-0, is the simplest kind of parallel-plate varactor [see Fig. 3(a)]. This type is sensitive to misalignment of the top and bottom electrode, while the remaining varactor types, denoted type-1 and type-2, are designed to be indifferent to small misalignments [see Fig. 3(b) and (c)]. Eight sets of structures are fabricated and measured (Table II). The first two sets, BST-ADL and BST-A, are type-0 varactors designed to facilitate comparison of resonant transmission measurements and wideband reflection measurements. BST-ADL

are two-port structures [see Fig. 4(a)] used in resonant transmission measurements, while BST-A are one-port structures [see Fig. 4(b)] designed for wideband reflection measurements. The dimensions of the BST-ADL and BST-A varactors are designed to be identical, but a small misalignment between top and bottom electrodes caused a discrepancy in the actual overlap areas of the varactors (the test sets are aligned along different axes on the wafer). This misalignment of about 2 m (due to fabrication tolerances) is estimated from capacitance measurements, assuming the capacitance per overlap area is constant. The misalignment is indicated in Fig. 5. The remaining sets of structures, BST-B—BST-G, are one-port varactors of type-0,1,2 with dimensions chosen so that the , is varied, while shape factor of the overlap, i.e., is kept fixed. Since the purpose the varactor area of the study is to see how the varactor resistance varies with and , interconnect dimensions and are also fixed. From a varactor designer’s point of view, these parameters should naturally be chosen as small as possible while regarding fabrication tolerances, in order to minimize the varactor resistance. To facilitate comparison, the three layouts type-0,1,2 were designed for the same range of shape factor and overlap area (the area of type-2, however, being split into two parts), and the same interconnect lengths. For this reason, the wide interconnect sections of the type-0,1 layouts [i.e., to the left of the reference planes indicated in Fig. 3(a) and (b)] were not additionally tapered to the narrow interconnect (such tapering is not possible for type-2). However, the misalignment between top and bottom electrodes again caused the areas to deviate somewhat from the design value. In addition to the listed varactor test structures, the wafer included calibration structures (short/open one-ports in Fig. 4(c) and (d) and the thru-reflect-line (TRL) kit). III. MEASUREMENT PROCEDURE In this study, the varactors were measured with an N5230A network analyzer from Agilent Technologies, Santa Clara, CA, using a set of ground–signal–ground microprobes. Two measurement techniques were used, i.e.: 1) direct reflection measurement and 2) resonant transmission measurement. The first method, described in Section III-A, provides the wideband response of the varactors, describing how the quality factor varies with frequency. Direct reflection measurement of high- reactive devices is, however, known to be somewhat unreliable since a small residual error in the measured reflection coefficient and/or a source mismatch will cause a large error in the resistive part of the measured impedance [9]. One way to reach a higher accuracy is to use some kind of resonant measurement technique [10], [11]. The second method, described in Section III-B, is based on a resonant measurement technique proposed by Deloach [7]. In contrast to the method of reflection measurement, this method is not to any significant degree furthermore influenced by variations in probe contact resistance or miscalibration, and is thus considered to offer a more reliable and accurate extraction of the varactor quality factor. On the other hand, being a resonant measurement technique, this method only provides information at the particular resonant frequency of the test structure.

NORLING et al.: LAYOUT OPTIMIZATION OF SMALL-SIZE FERROELECTRIC PARALLEL-PLATE VARACTORS

1477

Fig. 3. Ferroelectric varactor layouts. (a) Type-0. (b) Type-1. (c) Type-2. TABLE II FERROELECTRIC VARACTOR TEST STRUCTURES

Actual values, i.e., design values adjusted for misalignment.

A. Wideband Extraction of Varactor Parameters The measured device impedance is deembedded from pad parasitics , , , and (see reference planes in Fig. 3), which are derived from measurement of short and open structures [see Fig. 4(c) and (d)]. The remaining part, , is described by a simple i.e., the deembedded impedance model (see Fig. 6). Using a fitting routine over frequency and inductance are extracted from sweep, capacitance the imaginary part

(1)

assuming fined as

. The varactor impedance is then de-

(2) and the varactor quality factor is calculated as

(3) The quality factor generally varies with frequency and bias voltage.

1478

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 4. Coplanar test structures. (a) Resonant two-port structure. (b) One-port varactor structure. (c) One-port short. (d) One-port open.

where and , respectively, are the attenuation and propagation constants of the line. The quality factor of the inductive line is then calculated as (6) where the last approximation holds well for short lines. The propagation constant is (7)

Fig. 5. Micrograph of a one-port ferroelectric varactor showing the unintentional misalignment between top and bottom electrodes.

Parameters (versus frequency) and are extracted from measurements of a long thru transmission line with a cross section identical to the inductive line. The chain matrix for the (deembedded) transmission line offer estimations of , , and (8) (9) Fig. 6. Varactor model and equivalent network.

B. Resonant Extraction of Varactor Parameters This method is based on measurement of the transmission of a resonant two-port (see Fig. 7). The total quality factor of the , is accurately determined from the 3-dB transresonator, relates to the quality factors of mission bandwidth. In turn, and the varactor as the inductive element

(4) is known to be much bigger than , it must be deUnless termined before can be calculated. Since the inductive transmission line is short (about 20 at 15 GHz), it can be represented by an impedance in series with the varactor impedance (combined forming the series resonator shown in Fig. 7). For a transand length , the mission line of characteristic impedance impedance equals (5)

is the length of the long thru line [12]. where is determined, can be calculated from the meaOnce using (4). It should be equivalent to the quality factor sured calculated in the previous method using (3). IV. MEASUREMENT RESULTS The varactor quality factors extracted from wideband reflection measurements (BST-A varactors) using (3) are presented in Fig. 8. For comparison, the plots also include black lines representing the varactor quality factors extracted from the resonant measurements (BST-ADL varactors) following the procedure described in Section III-B. The dots on the lines indicate zero bias voltage; the resonant frequency (i.e., the frequency point for quality factor extraction) is increasing with increasing bias voltage. As previously mentioned, the dimensions of the BST-A and BST-ADL varactors are designed to be identical, but due to misalignment, there is a small difference in varactor sizes (cf. Table II). However, the difference is quite small and the extracted quality factors from the wideband and resonant measurements agree rather well. Thus, the main conclusion is that the wideband reflection measurement procedure offers reliable

NORLING et al.: LAYOUT OPTIMIZATION OF SMALL-SIZE FERROELECTRIC PARALLEL-PLATE VARACTORS

1479

Fig. 7. Two-port resonator equivalent schematics.

estimations of the varactor quality factors, provided that the calibration is good over the full frequency range. In general, the quality factor should increase with increased bias. The pronounced periodic reduction of the quality factor under applied bias observed in Fig. 8 is due to acoustic absorption peaks of the microwave power associated with electric field induced piezoelectric effect [13], [14]. Away from these acoustic absorption peaks the quality factor increases under applied bias, as expected. This absorption phenomenon may be effectively suppressed over a chosen frequency range by proper design of the substrate layers below the bottom plate [15], [16]. Using thicker top electrodes may also alleviate this problem (acoustic loading) [5]. In this case, however, the electrodes were fabricated rather thin due to technological reasons. Fig. 9 presents the measured zero-bias capacitance, extracted from the imaginary part of the deembedded impedance using . (1), for varactors having a range of shape factors Fig. 10 shows the capacitance versus bias voltage for a BST-A varactor. It is clear that for both varactor sizes (i.e., 400 m and 100 m of varactor area), the type-1 and type-2 varactors offer fairly constant capacitance despite the misalignment shown in Fig. 5. On the other hand, the overlap area and thereby the capacitance of the basic type-0 varactors deviate from the designed values because of the misalignment. The deviation increases with increased shape factor. There is, however, a small variation in capacitance also for the type-1 and type-2 varactors, particularly for small type-2 varactors. For small-size varactors of large shape factors, a large proportion of the overlap will be in the vicinity of the bottom electrode step (see Fig. 11). In this region, the quality, permittivity, and thickness of the ferroelectric film is somewhat uncertain and the contribution to the total capacitance may vary. This is a major concern when designing small-size devices. Fig. 12 presents the associated measured caV V , It is seen that pacitance tuning ratio, i.e., the type-0 varactors seem to offer the highest tunability, while type-1 and type-2 have lower, but similar, tunabilities. Hence, the more elaborated layouts of the type-1 and type-2 varactors apparently suffer from more fringing capacitances of lower or no tunability. The tunability tends to increase with shape factor.

Fig. 8. Comparison of varactor quality factor extracted from wideband measurements (gray lines indicating zero and maximum bias) and resonant measurements (black line, dot indicating zero bias). (a) BST-A-1 and BST-ADL-1. (b) BST-A-2 and BST-ADL-2. (c) BST-A-3 and BST-ADL-3. (d) BST-A-4 and BST-ADL-4.

Fig. 13 shows the measured total resistance, i.e., , where is defined by (2), for the same set of varactors at a frequency of 15 GHz. While it is extracted at zero bias, it is considered to be bias-independent disregarding the acoustic absorption peaks appearing when bias is applied. The difference

1480

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 9. Measured zero-bias capacitance of: (a) BST-B, BST-D, and BST-F varactors (nomina larea = 400 m ) and (b) BST-C, BST-E, and BST-G varactors (nominal area = 100 m ).

Fig. 12. Measured capacitance tuning ratio of: (a) BST-B, BST-D, and BST-F varactors (nominal area = 400 m ) and (b) BST-C, BST-E, and BST-G varactors (nominal area = 100 m ).

Fig. 10. Measured capacitance versus bias voltage at 1 GHz for BST-A-4.

Fig. 11. Detail of varactor layout [cf. Fig. 3(c)].

in resistance between the three varactor types, and the variation with a shape factor, is elaborated in Section V. V. RESISTANCE MODELING It is clear from the previously presented measurement results that the varactor resistance varies significantly with shape factor. , the It is furthermore obvious that for a given capacitance only way of increasing the quality factor, as defined by (3), is by reducing the total varactor resistance. This section investigates how the varactor resistance of the three types of varactors described in the previous sections varies with device geometry with the aim of maximizing the varactor quality factor.

Fig. 13. Measured zero-bias resistance at 15 GHz of: (a) BST-B, BST-D, and BST-F varactors (nominal area = 400 m ) and (b) BST-C, BST-E, and BST-G varactors (nominal area = 100 m ).

Assuming , the total varactor resistance of the model shown in Fig. 6 can be expressed as (10)

NORLING et al.: LAYOUT OPTIMIZATION OF SMALL-SIZE FERROELECTRIC PARALLEL-PLATE VARACTORS

1481

Fig. 14. ADS Momentum simulation of a ferroelectric varactor. (a) Type-0. (b) Type-1. (c) Type-2. Dark regions correspond to high current density, lines indicate current path. TABLE III MODEL PARAMETERS

Resistance represents ohmic losses in interconnects, ground is associated with plane, and electrodes, and conductance the loss tangent of the ferroelectric film (11) The loss tangent is frequency dependent and modeled as (12) where and represent losses associated with universal relaxation and charged defects, respectively [17]. Using (11) and (12) in (10) yields (13) Since both the first and third terms in (13) are constant with and frequency, it is not possible to entirely distinguish the contributions to from a frequency sweep of the impedance measured for a single varactor. Furthermore, since [6], it is neither possible to completely separate the and contributions over a bias sweep of the varactor impedance. It is, however, not necessary from the point of view of this study to distinguish between ohmic loss and loss in the ferroelectric film, but only to understand how the total resistance varies with geometry. varies with layout geTo support the investigation of how ometry, simulations in Advanced Design System (ADS) Momentum were carried out to study the current path through the

plates of the ferroelectric varactors of layout type-0, type-1, and type-2. The simulations qualitatively show where the current is concentrated (see Fig. 14). The dielectric losses, i.e., the last two , but are otherwise indeterms in (13) are proportional to pendent of the geometry. On the other hand, as it follows from the current distributions shown in Fig. 14, the ohmic losses strongly depend on the geometry. The current distributions of the type-0 and type-1 varactors are rather comparable. From the narrow lead interconnect, the resistance should have a contri. Furthermore, since the bution, which is proportional to varactors in this case are in coplanar one-port configuration, the ground-plane current is approximately vertically aligned. This part of the current path causes a contribution to the resistance . A final contribution proportional to proportional to is introduced to consider the film loss represented by , and possibly ohmic loss being proportional to the overlap area. The total varactor resistance may thus be modeled as

(14) , , and represent sensitivity to , where parameters , and in the , , and parameters. The frequency-dependent loss tangent [see (12)] results in a frequency-dependent (15)

1482

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 15. Modeling of R at 15 GHz. (a) BST-B. (b) BST-C. (c) BST-D. (d) BST-E. (e) BST-F. (f) BST-G. Circle indicates measurement, cross indicates model.

At high frequencies, parameters and will also be frequency dependent due to the skin effect. This dependence is omitted from the model below 20 GHz. Moreover, none of the , is bias dependent. Approximately, parameters, but . The resistance of type-2 varactors can similarly be described as

(16) In contrast to (14), the type-2 model includes a geometry-inde[a consequence of how the reference pendent contribution plane was chosen, cf. Fig. 3(c)]. There is furthermore no length since the current path is practically dependent contribution parallel to this dimension. for test sets BSTModel parameters were extracted from A–G, at zero bias voltage using a procedure as follows. First, the parameter was extracted from a frequency sweep of for

was chosen each individual varactor. The average value of as the final value for each test set. The remaining parameters were subsequently extracted for each test set using a least square fitting routine. All model parameters are presented in Table III. at 15 GHz, Fig. 15 shows the measured varactor resistance along with the models calculated using (14) and (16). Generally, the models agree well with the measurements, although some discrepancy is noticeable, particularly for the small-size (100 m ) BST-C and BST-G varactors of high shape factors [see Fig. 15(b) and (f)]. VI. DISCUSSION AND CONCLUSIONS Three layouts intended for small-size ferroelectric parallelplate varactors have been analyzed. Two of the layouts are designed to be insensitive to misalignment between top and bottom electrode layers. The varactor resistances of all varactor designs depend on the shape factor and area of the plates, as described by models (14) and (16). Resistance of the interconnect strips to bottom (M1)

NORLING et al.: LAYOUT OPTIMIZATION OF SMALL-SIZE FERROELECTRIC PARALLEL-PLATE VARACTORS

and top (M2) plates are the dominating contributions to the total resistance, as seen in the current distributions shown in Fig. 14. Changing the shape factor leads to changes in the current distributions and thereby the resistance contributions associated with has a minthese strips. According to the model (14), imum with respect to shape factor of

(17) . Considering the simple type-0 varactors in (BST-A–C), most of the ohmic loss originates from the interconnect to the top (M2) plate, and a typical optimal shape factor is about 2–3. Large ohmic loss in the bottom layer (M1) of the larger than , and the type-1 varactors (BST-D,E) renders optimal shape factor is about 0.7–1 for that type of varactor. As it follows from Fig. 13, type-2 has substantially lower resistance as compared with the two other types. This is mainly because the type-2 varactor comprises two half-sized overlap areas in parallel, both having the same interconnect width , but halved length [cf. Fig. 3(c)]. This reduces the resistance contribution from these interconnects significantly. The type-2 model, (16), should suggests no optimal shape factor. Instead the width simply be maximized while maintaining a length reasonably large with respect to fabrication tolerances. While the truly best design (shape factor) naturally depends on the quality of the electrodes (thickness, conductivity), the models with parameters presented here may provide a good initial design. Generally, the type-2 layout seems to offer the lowest resistance. On the other hand, the tuning ratio of this type is somewhat lower. Using the derived models, one can also predict how the varactor quality factor varies with device area. Following (17), the quality factor of type-0,1 varactors scales with area as

1483

It is moreover of interest to discuss how to further improve the device performance once the geometry is optimized, as suggested in this paper. The first, rather obvious, thing to consider is using thicker metal layers (if below skin depth). The thickness of the top metal layer (M2) may principally be increased . to reduce the resistance of the lead interconnect, i.e., In contrast, the thickness of the bottom metal layer (M1) is typically limited by processing constraints. In this case, both metal layers are 500-nm gold; somewhat less than the skin depth at 15 GHz, which is approximately 750 nm. Another approach to increasing the varactor quality factor is to lower the permittivity of the ferroelectric film (in other words, ). The advantage of this approach is illustrated by to lower , a reduction (18) and (19). For a fixed device capacitance will increase the area , and consequently increase the of quality factor. There are at least two ways this can be done. First, TiO mateit is well known that in the ferroelectric Ba Sr rial system, the composition factor may be tuned to tailor the permittivity. However, a reduction of the permittivity will inevitably also lower the tunability. The second alternative is to consider using composite films in which the ferroelectric material is combined with a fraction of a low permittivity nontunable material [18], [19] or amorphous ferroelectric material of lower permittivity [20]. As long as the permittivity of the nontunable material is much lower than the permittivity of the ferroelectric film, the effective permittivity of the composite can be significantly lowered without reducing the tunability too much. This is, however, only true for columnar composites, i.e., when the low permittivity material effectively is in parallel with the high-permittivity ferroelectric material. To conclude, the methods outline above can be used to control the effective permittivity of the varactor, which, in turn, also affects both the quality factor and tunability. The optimal tradeoff can be chosen using the commutation quality factor, formulated as (20) where

[21].

(18) ACKNOWLEDGMENT where is the capacitance per unit area. For type-2, if one assumes having a large device of a sufficiently high shape factor, vanishes, rendering the quality factor the contribution from as

(19) It is clear from (18) and (19) that the quality factor will always decrease with increasing device size , rather than being constant with area, which is a common misconception. It is crucial for designers to be aware of this area scaling of the varactor quality factor.

The authors wish to thank Prof. J. Stake, Chalmers University of Technology, Göteborg, Sweden, for valuable discussions. REFERENCES Sr TiO [1] A. Vorobiev, J. Berge, and S. Gevorgian, “Thin film Ba voltage tunable capacitors on fused silica substrates for applications in microwave microelectronics,” Thin Solid Films, vol. 515, no. 16, pp. 6606–6610, Jun. 2007. [2] N. K. Pervez and R. A. York, “Geometry-dependent quality factors in Ba Sr TiO parallel-plate capacitors,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 410–417, Feb. 2007. [3] A. Deleniv and S. Gevorgian, “Modeling of conductor losses in capacitors with rectangular and circular plates,” Int. J. RF Microw. Comput.Aided Eng., vol. 19, no. 2, pp. 170–176, 2009. [4] C. Han-Yu, C. Kun-Ming, H. Guo-Wei, H. Chi-Huan, Y. Tsung-Hsi, and C. Chun-Yen, “Layout design of high-quality SOI varactor,” in Proc. IEEE Int. VLSI Technol., Syst., Appl. Symp., 2003, pp. 273–275. [5] R. York, “Tunable dielectrics for RF circuits,” in Multifunctional Adaptive Microwave Circuits and Systems, M. Steer and W. Palmer, Eds. Raleigh, NC: SciTech Publishing, 2008.

1484

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

[6] S. Gevorgian, A. Vorobiev, and A. Deleniv, Ferroelectrics in Microwave Devices, Circuits and Systems. Berlin, Germany: Springer, 2009. [7] B. C. Deloach, “A new microwave measurement technique to characterize diodes and an 800-Gc cutoff frequency varactor at zero volts bias,” IEEE Trans. Microw. Theory Tech., vol. MTT-12, no. 1, pp. 15–20, Jan. 1964. [8] A. Vorobiev and S. Gevorgian, “Development of processes for heterogeneous integration of ferroelectric films and devices in microwave systems,” in Electroceram. XI, Manchester, U.K., 2008. [9] P. K. Petrov, N. M. Alford, and S. Gevorgyan, “Techniques for microwave measurements of ferroelectric thin films and their associated error and limitations,” Meas. Sci. Technol., vol. 16, no. 2, pp. 583–589, Feb. 2005. [10] A. B. Kozyrev, V. N. Keis, G. Koepf, R. Yandrofski, O. I. Soldatenkov, K. A. Dudin, and D. P. Dovgan, “Procedure of microwave investigations of ferroelectric films and tunable microwave devices based on ferroelectric films,” Microelectron. Eng., vol. 29, no. 1–4, pp. 257–260, Dec. 1995. [11] A. Deleniv, A. Vorobiev, and S. Gevorgian, “On-wafer characterization of varactor using resonating microprobes,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 5, pp. 1105–1111, May 2008. [12] D. M. Pozar, Microwave Engineering. New York: Wiley, 1998. [13] S. Tappe, U. Böttger, and R. Waser, “Electrostrictive resonances in (Ba Sr )TiO thin films at microwave frequencies,” Appl. Phys. Lett., vol. 85, no. 4, pp. 624–626, Jul. 2004. [14] S. Gevorgian, A. Vorobiev, and T. Lewin, “DC field and temperature dependent acoustic resonances in parallel-plate capacitors based on Sr TiO films: Experiment and modeling,” J. SrTiO and Ba Appl. Phys., vol. 99, no. 12, Jun. 2006, Art. ID 124 112-11. [15] S. Gevorgian, A. Vorobiev, and J. Berge, “Electromechanical modelling and reduction of the electroacoustic losses in parallel-plate ferroelectric varactors,” in Proc. Eur. Microw. Conf., 2006, pp. 851–853. [16] J. Berge, M. Norling, A. Vorobiev, and S. Gevorgian, “The effect of Bragg reflectors on the electromechanical performance of parallel-plate ferroelectric capacitors,” in IOP Conf. Ser. Mater. Sci. Eng. 8, 2010, vol. 8, no. 1, p. 012011-4. [17] A. K. Tagantsev, V. O. Sherman, K. F. Astafiev, J. Venkatesh, and N. Setter, “Ferroelectric materials for microwave tunable applications,” J. Electroceram., vol. 11, no. 1–2, pp. 5–66, Sep. 2003. [18] T. Yamada, V. O. Sherman, A. Noth, P. Muralt, A. K. Tagantsev, and N. Setter, “Tunable ferroelectric thin films with enhanced responses through nano-structural control,” in Proc. IEEE Int. Appl. Ferroelect. Symp., 2007, pp. 195–197. [19] F. Xiang, H. Wang, K. Li, Y. Chen, M. Zhang, Z. Shen, and X. Yao, “Dielectric tunability of Ba Sr TiO /poly(methyl methocrylate) composites in 1–3-type structure,” Appl. Phys. Lett., vol. 91, no. 19, Nov. 2007, Art. ID 192 907-1. [20] T. Yamada, V. O. Sherman, D. Su, P. Muralt, A. K. Tagantsev, and N. Setter, “Growth process approaches for improved properties of tunable ferroelectric thin films,” J. Eur. Ceram. Soc., vol. 27, no. 13–15, pp. 3753–3758, Mar. 2007. [21] I. B. Vendik, O. G. Vendik, and E. L. Kollberg, “Commutation quality factor of two-state switchable devices,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 5, pp. 802–808, May 2000. Martin Norling was born in Värnamo, Sweden, in 1981. He received the M.Sc. degree in electrical engineering and Ph.D. degree in microtechnology and nanoscience from the Chalmers University of Technology, Göteborg, Sweden, in 2004 and 2009, respectively. His research interests include the design of microwave components based on ferroelectric and piezoelectric materials.

Dan Kuylenstierna was born in Göteborg, Sweden, in 1976. He received the M.Sc. degree in physics and engineering physics and Ph.D. degree in electrical engineering from the Chalmers University of Technology, Göteborg, Sweden, in 2001 and 2007, respectively. His main scientific interests are frequency generation, monolithic microwave integrated circuit (MMIC) design, packaging technologies, and reconfigurable MMICs. Dr. Kuylenstierna was the recipient of the Second Prize of the Student Paper Award Competition at the 2004 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He was also the recipient of the 2005 IEEE MTT-S Graduate Fellowship Award.

Andrei Vorobiev received the M.S. degree in physics of semiconductors and dielectrics from Gorky State University, Gorky, Russia, in 1986, and Ph.D. degree in physics and mathematics from the Institute for Physics of Microstructures of Russian Academy of Sciences (IPM RAS), Nizhny Novgorod, Russia, in 2000. From 1986 to 1991, he was with the Design Office of Measuring Instruments, Gorky, Russia, where he developed hybrid film microwave integrated circuits. In 1991, he joined the IPM RAS as a Senior Research Associate and was involved with high-temperature superconductor films and multilayer structures. Since 2001, he has been with the Chalmers University of Technology, Göteborg, Sweden, initially as a PostDocoral Fellow and then as a Researcher. He has authored or coauthored over 70 papers and conference presentations. He holds four patents. His research interests are in area of emerging materials and devices for microwave applications.

Spartak Gevorgian (M’96–SM’97–F’08) received the Master’s degree in radio engineering from the Polytechnic Institute, Yerevan, Armenia, in 1972, and the Ph.D. and Dr. Sci. degrees from the Electrical Engineering University, St. Petersburg, Russia, in 1977 and 1991, respectively. He is currently a Professor with the Chalmers University of Technology, Göteborg, Sweden. He is also employed part time with Ericsson AB, Mölndal, Sweden. He has authored and/or coauthored Ferroelectrics in Microwave Devices, Circuits and Systems (Springer, 2009) and over 300 journals and conferences papers. He holds 30 patents and patent applications. His research is focused on emerging microwave technologies where new materials and physical phenomena are considered for device applications. This includes microwave semiconductor devices and integrated circuits, microwave photonics, integrated optics, and high-temperature superconductors. Tuneable microwave devices based on ferroelectrics and microwave integrated circuits have been his main research subject over the last ten years both at the Chalmers University of Technology and Ericsson AB. His activities in these fields include material science, physics of microwave devices, development (design, fabrication, and measurement) of microwave devices, and integrated circuits. He has initiated and acted as a coordinator of European and Swedish projects on the development of agile ferroelectric components microwave applications. Prof. Gevorgian is a Distinguished Lecturer for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He is a member of the Academy of Sciences of Armenia. He has been a Technical Program Committee (TPC) member/ chair for the IEEE MTT-S and the European Microwave Conference (EuMC).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1485

A Two-Port WR75 Waveguide Turnstile Gyromagnetic Switch Joseph Helszajn, Fellow, IEEE, Alicia Casanueva, Member, IEEE, Angel Mediavilla Sánchez, José A. Pereda, Associate Member, IEEE, Marco Caplin, and Brian C. O’Donnell

Abstract—The two-port reflection gyromagnetic waveguide switch described in this paper consists of a 90 Faraday rotator in a re-entrant or an inverted re-entrant turnstile geometry in the -plane at the junction of two -plane rectangular waveguides. It adjustment is a two-step eigenvalue problem. One adjustment fixes the electrical length of the circular Faraday rotator waveguide and produces a passband in its frequency response and the other fixes its gyrotropy and produces a stopband there. This paper also includes the separate synthesis of the passband condition in a WR75 waveguide at a frequency of 13.25 GHz using two different processes. The switch has two passband conditions at the design frequency, each of which is verified experimentally The stopband is separately established experimentally by magnetizing the gyromagnetic resonator. Index Terms—Ferrite switches, gyromagnetic resonators.

I. INTRODUCTION HE reflection switch described in this paper is a tee-junction formed by a two-port rectangular guide with a gyromagnetic circular waveguide mounted on a circular platform on one of its broad walls and a gap between its flat face and the other broad wall. It relies for its operation on a 180 Faraday rotation effect in a single 90 long turnstile gyromagnetic circular resonator with one open boundary at one flat face and a short circuit at the other mounted in its -plane. The switch may be visualized as a four-port network with two rectangular waveguide ports and two open gyromagnetic circular waveguide ports. This network is reduced to a two-port network by closing the circular ports by suitable pistons. The structure has a passband when its even (in-phase) and odd (out-of-phase) reflection eigenvalues are 180 out-of-phase; it has a stopband when the two are in-phase. The Faraday section establishes a 90 rotation in a wave traveling in its positive direction of propagation and a further 90 in the opposite direction. This has the effect of producing the required 180 phase change in the reflection

T

Manuscript received August 10, 2009; revised January 21, 2010; accepted February 17, 2010. Date of publication May 18, 2010; date of current version June 11, 2010. This work was supported by the Spanish Ministry of Science and Innovation (MICINN) under Project TEC2008-06684-C03-01 and Project CONSOLIDER-INGENIO 2010 CSD2008-00068. J. Helszajn was with the Department of Electrical and Electronic Engineering, Heriot-Watt University, Edinburgh EH14 4AS, U.K. A. Casanueva, A. Mediavilla Sánchez, and J. A. Pereda are with the Communication Engineering Department, University of Cantabria, Santander 39005, Spain (e-mail: [email protected]). M. Caplin is with Apollo Microwaves, Montreal, QC, Canada H9R 1G6. B. C. O’Donnell is with Trak Microwave, Dundee, Angus DD2 3QF, U.K. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2047917

angle of the odd eigenvalue upon which the switch relies for its operation. The even eigenvector does not produce any field across the flat face of the cylindrical resonator and its reflection eigenvalue is unaffected by the gyrotropy. The passband is separately established provided the isotropic resonator is 90 long at the design frequency. This paper outlines two numerical adjustments of such a switch at a frequency of 13.25 GHz in a standard WR75 waveguide. A property of the switch is that it supports large and small gap solutions. The former is due to degeneracy between a dominant even eigenvalue and a dominant odd one. The latter involves an intersection between a higher order even eigenvalue and a dominant odd one. Each choice of geometry displays the same mid-band frequency, albeit with a different bandwidth, and each is equally valid. The Faraday rotation effect has been dealt with in the classic papers in [1]–[5]. The eigenvalue problem is given [6]–[9]. Two typical related papers on the use of turnstile gyromagnetic resonators in the construction of three-port waveguide three-port circulators upon which this paper is based are available in [10] and [11]. A two-port reflection switch in stripline, which relies on the rotation of a figure-of-eight standing-wave pattern in a planar gyromagnetic resonator for its operation, is described in [12] and [13]. It has its origin in the use of a simple post resonator [9]. Some early papers on switches using three-port circulators with turnstile and post resonators are available in [14]–[17]. II. OPERATION OF A TWO-PORT TURNSTILE REFLECTION SWITCH The operation of the two-port reflection switch described in this paper is realized by having recourse to either a re-entrant or inverted re-entrant 90 long gyromagnetic cylindrical resonator capable of producing a 90 Faraday rotation effect at the -plane of two rectangular waveguides. The two possible arrangements are illustrated in Fig. 1(a) and (b). The switch described in this paper is the inverted re-entrant structure. There is no rotation of the polarization of the alternating field in the demagnetized circular waveguide and a typical arrangement displays a passband at the frequency of the resonator. When the polarization is rotated by 90 in the positive direction of propagation of the Faraday rotation section and by a similar amount in the negative one, for a total of 180 at the input plane of the rotation section, a wave at an input rectangular port is totally reflected. The operation of the switch may be understood by decomposing a single generator setting at one port into in-phase and out-of-phase (even and odd) eigenvectors settings at both ports. The even or in-phase eigenvector establishes a finite electric field across the symmetry plane of the rectangular waveguides and a null in the alternating magnetic

0018-9480/$26.00 © 2010 IEEE

1486

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 2. Even and odd eigen-networks of two-port reflection switch.

III. SCATTERING MATRIX OF TURNSTILE SWITCH Fig. 1. (a) Plan view of two-port waveguide reflection switch using single quarter-wave-long gyromagnetic resonator. (b) Side views of two-port waveguide reflection switches using re-entrant and inverted re-entrant single quarter-wave-long gyromagnetic resonators.

The scattering parameters of this two-port arrangement are specified in terms of the even and odd reflections eigenvalues of the geometry (3a)

field across the open flat face of the circular waveguide; it does not produce any propagation along the latter waveguide. Its geometry is a two-layer planar circular resonator consisting of a and a gap region dielectric region with a dielectric constant with a dielectric constant . The gap entering in the description of this eigenvalue is usually expressed in terms of a gap factor involving the ratio of the thickness of the gap between the open face of the resonator and the opposite waveguide wall (1) The odd or out-of-phase eigenvector, on the other hand, produces a null in the electric field at the symmetry plane of the rectangular waveguides and a finite alternating magnetic field across the circular one, which may be decomposed into counter-rotating fields. It is generally associated with an odd gap factor

(3b) where (4a) (4b) The reflection eigenvalues coincide with even and odd voltage settings at the ports of the network. The eigen-networks at the input terminals obtained in this way are indicated in Fig. 2. The passband condition is obtained for this network provided (5a) (5b) This gives

(2) The 180 phase change in the odd reflection coefficient may now be realized by incorporating a 90 Faraday rotation section in the circular waveguide. This has the effect of inverting the sense of the electric field of this eigenvalue at the input plane of the circular waveguide compared to that of the demagnetized regime.

(6a) (6b) The stopband condition coincides with (7a) (7b)

HELSZAJN et al.: TWO-PORT WR75 WAVEGUIDE TURNSTILE GYROMAGNETIC SWITCH

1487

Fig. 3. Eigenvalue diagrams at terminals of resonator of passband and stopband of two-port on–off switch.

This gives (8a) (8b) Fig. 3 illustrates the eigenvalues under considerations. Two different techniques have been adopted in this paper for the purpose of calculation. The first condition is obtained by establishing the required 180 phase difference between the even and odd reflection eigenvalues by optimizing the return loss of the device at the design frequency of the specifications without insisting that the two are commensurate. This is done by using a mode-matching (M.M.) engine across the waveguide. The specific plane where the absolute reflection eigenvalues are commensurate can then be deduced if required without any difficulty. The second method is obtained by directly optimizing the two eigenvalues. This is done here by using a finite-element (F.E.) approach. Semi-ideal solutions on either side of the electrical plane at the design frequency are indicated in Fig. 4.

Fig. 4. Eigenvalue diagrams at terminals of resonator of two-port reflection switch in vicinity of electrical reference plane.

wavenumber to the gap factors and design frequency using an F.E. process

at the

(10a) (10b) The required characteristic equation at the reference plane of is the resonator for the unknown product

IV. NUMERICAL ADJUSTMENTS OF PASSBANDS The eigenvalue adjustment of the switch starts by making use of the connection between the reflection eigenvalues and the scattering parameters of the junction at the reference plane of the junction

(11) This condition is satisfied provided (12)

(9a) (9b)

where (13)

It continues by constructing polynomial solutions and connecting and to at which and . The first adjustment of the switch reduces to the construction of odd and even reflection polynomials relating the radial

The scattering adjustment technique, which may also be employed to optimize the switch, is deduced by satisfying the RF fields across a plane tangential to the periphery of the resonator by minimizing the return loss. This is done by enforcing the

1488

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

condition equal to at an arbitrary plane, provided single-mode operation, without insisting on the need for the two eigenvalues to be commensurate. The plane at which the latter condition is satisfied is then separately established by aligning with a constant admittance circle. the reflection coefficient This method differs from the eigenvalue process in that it does . The independent variables are not involve a calculation of again taken as and and the dependent ones as and . The adjustment procedure for either process starts by fixing the rectangular waveguide, the frequency of the switch under consideration and the dielectric constant of the resonator

GHz

Each of the two adjustment techniques outlined in this paper takes and as the independent variables and and the as the dependent ones. One typical trial approxigap factor is mation in terms of the gap factor

Fig. 5. Graphical solution of passband condition of two-port on–off gyromagnetic.

rad. The initial drawing of the problem is described by mm mm mm. The switch exhibits small and large gap passband solutions at the frequency under consideration. For the purpose of tutorial, the former has been dealt with using the eigenvalue method and the latter using the reflection coefficient one. The small gap solution of the switch is investigated by having recourse to the eigenvalues approach using an F.E. commercial package

Fig. 6. Smith chart of large gap solution at the plane of the junction.

The large gap solution of the switch is investigated by minimizing the return loss at an arbitrary plane at one input port away from the discontinuity using a dedicated M.M. engine

rad. rad. is obtained by solving the characteristic equation in (11) is obtained thereafter by having recourse to (12). The and reference plane is in the process, unlike the situation met in connection with the scattering method below, restricted to the terminals of the resonator. Repetitive calibrations of the reference for paraplane of the junction are minimized by varying rather than the converse choice. A typical metric values of calculation involves partitioning the interval into segone into segments. A typical solution is ments and the and implying 24 problem drawobtained with ings and six calibration steps. Fig. 5 depicts the intersections of the even and odd reflection eigenvalues obtained in this way in WR-75 waveguide at 13.25 GHz.

A Smith chart display at the terminal plane of the resonator is shown in Fig. 6. Whereas these two solutions produce quite difand , each has the same midband ferent combinations of frequency and each is equally valid. The magnitudes of the scattering parameters of the two passbands are separately indicated in Fig. 7. The wider bandwidth displayed by the large gap solution is connected with the size of the gap of the junction rather than with the optimization process. A scrutiny of either result indicates that the radial wavenumber of the odd eigenvalue is, in contrast to the even one, a flat function of the filling factor. It also suggests that the intersection between the two is essentially

HELSZAJN et al.: TWO-PORT WR75 WAVEGUIDE TURNSTILE GYROMAGNETIC SWITCH

Fig. 7. Scattering parameters of small and large gap passband solutions.

1489

Fig. 8. Experimental return and insertion loss of small gap solution (R=L : ). : ; R : mm, q

2 0 = 2 57

= 0 87

=

determined by the location of the even eigenvalue. One classic way to tune the latter eigenvalue without affecting the former one is to introduce a thin metal post at the axis of the resonator [8]. Another is to fill the gap between the open flat face of the resonator and the top broad wall of the waveguide by a suitable dielectric material. V. EXPERIMENTAL ADJUSTMENTS OF PASSBANDS The experimental return and insertion loss of the small gap geometry are indicated in Fig. 8. The calculated solution has not been superimposed on this result in that the details of this arrangement were chosen empirically prior to the calculation based on some data on a commercial WR75 three-port junction circulator at the same frequency using a similar resonator. The experimental parameters are given by

rad.

These quantities are within 4.5% and 7.5%, respectively, of the calculation and are nested between the in-phase and out-ofphase reflection eigenvalues in Fig. 5. The experimental result for the large gap geometry is separately compared with the calculation in Fig. 9. The agreement between the two is excellent. The mid-band insertion loss of this device is 0.27 dB. The effects of the demagnetized permeability and the spread in the dielectric constant of the frequency of the gyromagnetic resonator are shown in Fig. 10. The possibility of employing a three-quarter-wave-long resonator is understood. It is of interest in the design of the millimeter switch.

Fig. 9. Comparison between calculated and experimental return and insertion : ). loss of large gap solution (R=L : ;R : mm. q

= 2 0 = 2 91

= 0 5364

VI. STOPBAND GYROMAGNETIC ADJUSTMENT The second eigenvalue or stopband adjustment of the switch involves application of the gyrotropy of the 90 rotator. The discussion at this time is restricted to its experimental adjustment. The reflection switch described in this paper relies on a 90 Faraday rotation effect in an open gyromagnetic waveguide. This quantity is defined in terms of the split phase constants of the waveguide by rad

(13)

1490

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 10. Effects of demagnetized permeability and dielectric tolerance on passband frequency.

Fig. 12. Experimental reflection loss responses of gyromagnetic switch for parametric values of direct magnetic flux density.

electric wall is also dealt with in the literature. A closed-form solution of the magnetic wall geometry based on perturbation theory and one on a related anisotropic waveguide are given in [5]. The agreement between the former description and the exact solution is excellent. A characteristic equation of the open anisotropic gyromagnetic waveguide is described in [4] and [5]. The exact description of the gyromagnetic waveguide has historically been avoided in this sort of problem because of its complexity and the simpler approximate formulation based on perturbation theory has been used instead. The split phase constants obtained in this way are given by (15) Fig. 11. Experimental passband and stopband frequency responses of two-port on–off gyromagnetic switch (B = M = 0:34).

The constant embodies the variation of the alternating magnetic field over the cross section of the waveguide

The first eigenvalue adjustment is separately satisfied provided

(16)

rad

(14)

The former condition fixes the gyrotropic of the resonator; the latter its length. in a longitudinally The nature of the split phase constant magnetized open and closed circular waveguide are classic topics in the literature. Characteristic equations for the calculations of the split phase constants in a gyromagnetic waveguide with either electric or magnetic walls are available [1]–[5]. The characteristic equation of the partially filled gyromagnetic circular waveguide with an

The above quantities display both split phase constants and split cutoff numbers in keeping with the exact solution. The result for the anisotropic waveguide is identical to that of the gyromagnetic one based on perturbation theory, except that the gyis unity. rotropy constant The optimum experimental return and insertion loss of the gyromagnetic switch is illustrated in Fig. 11. The external magis that in the gap defined by the open netic flux density face of the resonator and the top wall of the waveguide. The general connection between the direct magnetic flux density of the switch and the scattering parameters are indicated in Figs. 12

HELSZAJN et al.: TWO-PORT WR75 WAVEGUIDE TURNSTILE GYROMAGNETIC SWITCH

1491

ACKNOWLEDGMENT The authors would like to thank Apollo Microwave, Montreal, QC, Canada, for the experimental facilities. REFERENCES [1] H. Gamo, “The Faraday rotation of waves in a circular waveguide,” J. Phys. Soc. Jpn., vol. 8, pp. 176–182, 1953. [2] M. L. Kales, “Modes in waveguides containing ferrites,” J. Appl. Phys., vol. 24, pp. 604–608, 1953. [3] A. A. von Trier, “Guided electromagnetic waves in anisotropic media,” Appl. Sci. Res., vol. 3, pp. 305–371, 1953. [4] E. Snitzer, “Cylindrical dielectric waveguide modes,” J. Opt. Soc. Amer., vol. 51, pp. 491–498, May 1961. [5] J. Helszajn and A. A. P. Gibson, “Mode nomenclature of circular gyromagnetic and anisotropic waveguides with magnetic and open walls,” Proc. Inst. Elect. Eng., vol. 134, no. 6, pt. H, pp. 488–496, Dec. 1987. [6] R. Montgomery, Dicke, and E. M. Purcel, Principles of Microwave Circuits. New York: Dover, 1948. [7] T. Schaug-Patterson, “Novel design of a 3-port circulator,” Norwegian Defence Res. Establishment, Kjeller, Norway, Rep. R-59, Jan. 1958. [8] B. A. Auld, “The synthesis of symmetrical waveguide circulators,” IRE Trans. Microw. Theory Tech., vol. MTT-7, no. 4, pp. 238–246, Apr. 1959. [9] J. B. Davies, “An analysis of the -port symmetrical -plane waveguide junction with central ferrite post,” IEEE Trans. Microw. Theory Tech., vol. MTT-10, no. 6, pp. 596–604, Nov. 1962. [10] B. Owen and C. E. Barnes, “The compact turnstile circulator,” IEEE Trans Microw. Theory Tech., vol. MTT-18, no. 12, pp. 1096–1100, Dec. 1970. [11] J. Helszajn and F. C. F. Tan, “Mode charts for partial-height ferrite waveguide circulators,” Proc. Inst. Elect. Eng., vol. 122, no. 1, pp. 34–36, Jan. 1975. [12] J. Helszajn, “Two-port dipolar switch,” Electron. Lett., vol. 10, no. 4, pp. 46–47, Feb. 1974. [13] D. J. Lynch and J. Helszajn, “Frequency response of -port planar gyromagnetic circuits using themutual energy-finite element method,” Proc. Inst. Elect. Eng.—Antennas Propag., vol. 144, no. 4, pp. 221–228. [14] W. C. Passaro and J. W. McManus, “A 35 GHz latching switch,” presented at the IEEE MTT-S Int. Microw. Symp., Palo Alto, CA, May 19, 1966. [15] L. Freiberg, “Pulse operated circulator switch,” IEEE Trans. Microw. Theory Tech., vol. MTT-9, no. 5, pp. 266–266, May 1961. [16] A. Clavin, “Reciprocal and nonreciprocal switches utilizing ferrite junction circulators,” IEEE Trans. Microw. Theory Tech., vol. MTT-11, no. 5, pp. 217–218, May 1963. [17] P. C. Goodman, “A latching ferrite junction circulator for phased array switching applications,” in IEEE, MTT-S Int. Microw. Symp. Dig., May 1965, vol. 65, pp. 123–126. [18] J. Helszajn and J. Sharp, “Dielectric and permeability effects in open demagnetised ferrite resonators,” Proc. Inst. Elect. Eng., vol. 133, no. 4, pt. H, pp. 271–275, Aug. 1986.

m

Fig. 13. Experimental insertion loss of gyromagnetic switch for parametric values of direct magnetic flux density.

and 13. A scrutiny of this result indicates that it displays passbands on either ends of the stopband frequency. These coincide with the split counter-rotating circularly polarized waves of the Faraday rotation section. The origin of these passbands may be understood by recognizing that the split waves do not incur the additional 180 phase shift associated with the odd eigenvalue of the two-port. The fact that the fixture under consideration displays the split frequencies of the resonator suggest that it provides one means of characterizing the relationship between the former quantities and the magnetization of the gyromagnetic resonator. The garnet material employed in obtaining these results is equal to an yttrium iron garnet with a magnetization is 0.1780 T. Its dielectric constant is 15. Its uniform 25 Oe and its spin wave on is 1.4 Oe. The dielectric is 0.0002. The geometry is that met in loss tangent connection with the data in Fig. 8. VII. CONCLUSION This paper has described a new on–off waveguide switch using a nonreciprocal 90 Faraday rotation section in the -plane at the junction of two rectangular waveguides. It includes its eigenvalue adjustment using two different numerical techniques. It also includes the experimental adjustment of the stopband by replacing the dielectric resonator by a suitably magnetized gyromagnetic one. A property of the switch under consideration is that it displays, at a single frequency, two different solutions with quite different combinations of gap factor and radial wavenumber, but each has the same midband frequency and each is equally valid.

H

N

HE

Joseph Helszajn (M’64–SM’87–F’92) has been Emeritus Professor of Microwave Engineering with Heriot Watt University, Edinburgh, U.K., since 1999. He acquired his early industrial experience in the East and West coasts of the U.S. Prof. He has authored 12 major engineering text books, which have unified the important nonreciprocal branch of microwave engineering. Prof. Helszajn is a Fellow the Institute of Electrical and Electronic Engineers (FIEEE), the City and Guilds Institute (FGCI), the Royal Society of Edinburgh (FRSE), and the Royal Academy of Engineering (FREng). He was the recipient of the 1995 Institution of Electrical Engineers J. J. Thomson Medal. He was appointed an Officer of the Order of the British Empire (OBE) as part of the 1997 Queen’s Birthday Honours List. He was the recipient of an honorary degree of Doctor of Engineering (HonDEng) from Napier University (2004) and an honorary degree of Doctor of the University (HonDUniv) from Heriot Watt University (2007).

1492

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Alicia Casanueva (M’93) was born in Santander, Cantabria, Spain. She received the Licenciado and Doctor degrees from the University of Cantabria, Santander, Spain, in 1977 and 1987, respectively, both in physics. Since 1987, she has been Professor Titular with the Communication Engineering Department, University of Cantabria. Her research activities deal with electromagnetic wave propagation. Her main research interests are in the full-wave analysis of multilayer and multidielectric planar transmission lines, to modeling microwave integrated circuits (MICs) and monolithic microwave integrated circuits (MMICs). Her research interest also includes the development and application of numerical methods for investigating electromagnetic guidance problems.

Marco Caplin was born in Rennes, France. He received the M.Eng. degree (with honors) in electrical engineering from Heriot-Watt University, Edinburgh, U.K., in 1995, and the M.B.A. degree from HEC Montreal (formerly the École des Hautes Études commerciales de Montréal), Montreal, QC, Canada, in 2003. Since 1996, he has been with Apollo Microwaves Ltd., Montreal, QC, Canada, where he has been a Microwave Design Engineer and Director of Engineering. His specialization is high-power nonreciprocal ferrite devices for the satellite communication, communication, and defense markets. He has authored or coauthored numerous papers in the area of microwave engineering.

Angel Mediavilla Sánchez was born in Santander, Spain, in 1955. He received the Licenciado and Doctor of Physics (Electronic) degree (with honors) from the University of Cantabria, Santander, Spain, in 1978 and 1983, respectively. From 1980 to 1983, he was Ingenieur Stagiere with THOMSON-CSF, Domaine de Corbeville, Orsay, France. He is currently Head of the Communication Engineering Department, University of Cantabria. He possesses wide experience in the analysis and optimization of nonlinear microwave active devices in both hybrid and monolithic technologies. He is currently involved in the area of nonlinear MESFET/HEMT and HBT device modeling with special application to large-signal computer design and intermodulation properties, as well as waveguide passive circuits.

Brian C. O’Donnell was born in Forfar, U.K., in 1954. He received the B.Sc. degree in electrical engineering and electronics from the University of Dundee, Angus, U.K., in 1977. In 1976, he joined the Professional Components Department, Ferranti, Dundee, U.K., as a Microwave Development Engineer involved with ferrite components. In 1992, he joined TRAK Microwave Ltd., Dundee, U.K., as a Senior Design Engineer, where he has been involved in all areas of ferrite components including circulators, phase shifters, switches, and subsystems for all high- and low-power applications. Mr. O’Donnell is a member of the Institution of Engineering and Technology (U.K.).

José A. Pereda (S’93–A’95) was born in Madrid, Spain, in 1966. He received the Licenciado and Ph.D. degrees in physics from the University of Cantabria, Santander, Spain, in 1989 and 1995, respectively. In 1989, he joined the Electronics Department, University of Cantabria. From 1996 to 2001, he was an Assistant Professor with the Communications Engineering Department, University of Cantabria, and in 2001, he became an Associate Professor of electromagnetism, University of Cantabria. His research interests include electromagnetic-field theory and numerical methods for solving electromagnetic problems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1493

Ferrite-Filled Antisymmetrically Biased Rectangular Waveguide Isolator Using Magnetostatic Surface Wave Modes Christopher K. Seewald, Associate Member, IEEE, and Joey R. Bray, Member, IEEE

Abstract—The complex dispersion diagram of an antisymmetrically biased ferrite-filled rectangular waveguide (RWG) is investigated, revealing that the waveguide supports a band-limited unidirectional magnetostatic surface wave mode. This mode is subsequently used to design a novel RWG isolator. A parametric analysis including loss is performed to characterize the isolation band. A prototype waveguide isolator has been fabricated using a small metallized ferrite substrate measuring 30-mm long, 6-mm wide, and 0.8-mm high. Measurements in the 10–20-GHz range confirm the theory and mark the first time that a ferrite isolator has been built using a completely filled RWG. The fabricated 6-mm-long waveguide isolator provides a peak isolation figure-of-merit (IFM) of 42.5 dB at 15.4 GHz. An IFM of over 16.2 dB is sustained from 15.2 to 18.3 GHz (18.5% bandwidth). The simplicity of this compact isolator makes it amenable to package-scale integration, such as in ferrite low-temperature co-fired ceramic, where it could be readily embedded within a multichip package. Index Terms—Ferrite isolators, magnetostatic surface waves (MSWs), nonreciprocal wave propagation, rectangular waveguides (RWGs).

I. INTRODUCTION HE ferrite-filled antisymmetrically biased rectangular waveguide (FFAB-RWG) illustrated in Fig. 1 has been reported recently as a millimeter-wave phase shifter. When operated in its upper bidirectional frequency band, this nonreciprocal device provides levels of phase shift that are significantly higher than those obtained using a conventional rectangular waveguide (RWG) loaded with slabs of ferrite [1]. Another attractive feature of this device is that the high dielectric constant of the uniform ferrite filling significantly reduces the required width of the waveguide for a given frequency of operation. The small size of the waveguide and its uniform filling make it amenable to integration in the form of a

T

Manuscript received September 09, 2009; revised February 24, 2010; accepted March 18, 2010. Date of publication May 06, 2010; date of current version June 11, 2010. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada (NSERC) under NSERC Discovery Grant 293224-2004. C. K. Seewald was with the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada K7K 7B4. He is now with Siemens AG, 90766 Füerth, Germany (e-mail: [email protected]). J. R. Bray is with the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada K7K 7B4 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2010.2047919

Fig. 1. FFAB-RWG, where

H

denotes the internal magnetostatic bias field.

ferrite substrate or embedded within a ferrite low-temperature co-fired ceramic (LTCC) package. Since ferrite device lengths are typically on the order of a wavelength, the package-scale level is the most appropriate location for mass-producible 3-D microwave ferrite device integration. Furthermore, given that a ferrite device can be switched or tuned as a function of its mag, the package itself can be used to control the netostatic bias device. This system-in-a-package (SiP) approach has led to the development of an increasing number of basic components that can be embedded within LTCC packaging material, including phase-shifters, filters, resonators, and antennas [1]–[5]. Motivated by LTCC ferrite integration, our goal is to examine other ferrite devices that are conducive to being embedded in a ceramic packaging material. To this end, this paper presents another fundamental device, an isolator, that uses the same FFAB-RWG configuration shown in Fig. 1. During the course of the analysis of the ferrite-filled antisymmetrically biased (FFAB) phase shifter, it was discovered that the waveguide supports a lower unidirectional frequency band that exists below the usual cutoff frequency of the dominant RWG mode [6], [7]. The behavior of this mode is consistent with a magnetostatic surface wave (MSW), and to the authors’ knowledge, this is the first time that such a mode has been described for the FFAB-RWG structure. Section II presents background material and distinguishes the proposed FFAB-RWG isolator from previously published work. Section III presents the theoretical model of the electromagnetic fields, including the effects of dielectric, conductor, and gyromagnetic linewidth loss. In Section IV, a parametric analysis of the device is conducted, revealing design rules that must be followed to optimize the isolator. Section V discusses the field distribution inside the RWG specifically for the MSW mode. Finally, Section VI describes the fabricated isolator prototype and presents its measurements.

0018-9480/$26.00 © 2010 IEEE

1494

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 2. Cross-sectional geometry of previously reported structures supporting MSWs. (a) Conductor-backed slab. (b) Shorted microstrip line. (c) Open leakywave RWG. (d) Air-separated substrates. (e) Microstrip above antisymmetrically biased substrates. (f) FFAB-RWG device proposed in this paper.

II. BACKGROUND The MSW mode that is supported by the FFAB-RWG is closely related to other previously reported structures that support this mode, as shown in Fig. 2, where the solid lines indicate conductors and the gray areas represent the ferrite. In all cases, propagation occurs in the direction that is perpendicis transverse ular to the page, and the magnetostatic bias to the direction of propagation, as shown. Only TE modes are considered, as the TM modes do not interact with the magnetic nature of the medium. The earliest report of a unidirectional mode for the lossless conductor-backed ferrite slab shown in Fig. 2(a) was performed by Lax and Button in 1955 [8], which led to a thermodynamic paradox, for the unidirectional mode had been derived in the absence of loss for a two-port circuit [9]. This unidirectional mode was later found to be bidirectional when losses were added and the slab was enclosed within, and completely filled, an RWG [10]. In 1975, Araki et al. modified the field-displacement isolator proposed earlier by Hines by shorting one of the sides of a microstrip line on a ferrite slab, as shown in Fig. 2(b) [11], [12]. The nature of the unidirectional mode was unknown by the authors at the time: “The mechanism of the reverse loss has not been explained” [12]. The leaky-wave open RWG structure reported in [13] and shown in Fig. 2(c) was also described as supporting a unidirectional mode, although it was not investigated further. The theoretical development of what is now termed the MSW mode seems to have evolved independently of [8]–[12]. Wave solutions that are said to be magnetostatic are predicted using the magnetostatic approximation, wherein the magnetic field is given by the gradient of a scalar magnetic potential only, although full-wave approaches such as the one presented in Section III are also able to exactly predict these modes. Using the magnetostatic approximation, the solution to surface waves on a ferrite slab were obtained 1961 [14]. Although the solutions using the magnetostatic approximation are indeed only approximate, they provide reliable estimates as long as the modes’ cutoff frequencies are not approached [15]. MSW modes are typified by high concentrations of energy at interfaces along the biased ferrite’s surface, an effect that is closely related to field displacement.

Surface wave modes were derived for the semi-infinite geometry shown in Fig. 2(d) using the magnetostatic approximation by Tsutsumi in 1974, which was later modified for microstrip in 2003, as shown in Fig. 2(e) [16], [17]. In [17], dispersion curves are presented for the structure of Fig. 2(e) for both a finite air gap and a zero-width air gap. The latter structure most closely resembles the FFAB-RWG geometry in Fig. 2(f). Although similar, notable differences exist between the two structures. As will be shown in Section III and as already discussed in [1], the FFAB-RWG’s upper bidirectional band is single moded, whereas it is overmoded in one direction in [17]. From a theoretical point of view, the simpler geometry of Fig. 2(f) yields analytical solutions that are more exact than those proposed for microstrip or stripline due to the absence of fringing fields. Furthermore, the analysis of the FFAB-RWG presented herein will include loss, which was not done in [17]. Finally, from a practical point of view, in keeping with our motivation of finding suitable devices for integration, the FFAB-RWG is clearly superior in terms of its immunity from interference and the fact that it need not occupy the crowded top layer in an LTCC stack. III. THEORY The derivation of the field solution for the FFAB-RWG in Fig. 1 follows the same procedure found in many of the MSW references already cited and begins with the wave equation for the TE modes in a saturated ferrite medium [18]. Neglecting leads variations in and satisfying the PEC boundary at region: to the following fields in the (1a) (1b) (1c) where (2) is the -directed wavenumber, and are the well-known eleis the effective scalar permements of the Polder tensor, and ability of an extraordinary mode in an infinite transverse-biased ferrite, which may be expressed as (3) A zero is located at and the pole , where is is located at , is the the gyromagnetic resonant frequency, gyromagnetic ratio, is the internal magnetostatic bias field, is the saturation magnetization. Note that and and is negative over the range . Application of that in (1c) leads directly the boundary condition to the characteristic equation that must be solved numerically for the complex propagation constant (4)

SEEWALD AND BRAY: FFAB-RWG ISOLATOR USING MSW MODES

1495

The resulting field is continuous across the boundary, although and are only piecewise linear across it. A survey of the cited references on MSW modes shows that loss is usually ignored analytically. Although this simplifies the solution of (4), the resulting dispersion diagrams are only approximate. In this paper, three forms of loss will be included in the model, namely, dielectric loss, gyromagnetic linewidth loss, and conductor loss. Denoting the TEM dielectric wavenumber and the loss tangent by , it should be by noted that the usual approximate equation for dielectric loss of a TE mode (5)

Fig. 3. Dispersion diagram of the lossless FFAB-RWG given in Table I.

is not valid over the entire operating range of the FFAB-RWG crossing. The binomial approxdue to the potential for a imation that leads to (5) assumes that is large and it fails accordingly. Dielectric loss is, therefore, modeled using the usual in (2). Gyromagnetic substitution of linewidth loss is modeled in the usual way by making the gyin the romagnetic resonant frequency complex Polder tensor elements, where is the damping factor related [19]. Once these complex subto the ferrite’s linewidth stitutions are made, solutions to (4) are sought on the complex plane using a gradient descent method. Once the roots have been found, the conductor loss is calculated by applying the perturbation method to the fields of (1), similar to the method in [1]. IV. PARAMETRIC ANALYSIS A. Lossless Case Proof of the existence of a unidirectional MSW mode will be performed in the absence of loss. The classification of the unidirectional mode depends on the cutoff frequencies observed, as tabulated in [20]. Results are shown in Fig. 3 for the default waveguide parameters listed in Table I, with corresponding critGHz, GHz, ical frequencies of GHz, and GHz. The diagonal lines in Fig. 3 represent the dielectric TEM wavenumber . Four major operating bands have been identified. Although higher order modes beyond 25 GHz and an infinite number of bidirectional roots also exist near , they have not been rendered for sake of clarity. Band I begins at 5.5 GHz and occurs when two complex roots on the complex plane, both having the same meet at value. The negative root causes gyromagnetic resonance as the frequency approaches , and moves toward whereas the positive root yields a unidirectional mode above that transitions from a backward wave to a forward wave at before being cutoff at . Band I was the band of interest in [13]. An infinite number of higher order modes propagate at this frequency, which according to (2) near since . Band II, and (4) is equivalent to widening the guide which begins at GHz is one of an infinite number of . bidirectional modes that exists between Band IV is perhaps the easiest band to comprehend, as it most RWG mode. closely resembles the bidirectional canonical However, its cutoff frequency is shifted upward in frequency and

Fig. 4. Dispersion diagram of the lossless FFAB-RWG as a function of the waveguide width a.

its roots are not symmetric about . Note how one root also . displays a backward- to forward-wave transition through Band IV was the band of interest for phase-shifting applications in [1]. Higher order TE modes exist above Band IV, similar to normal RWG operation. Band III is the unidirectional band of interest in this paper. Ex, where is negative, this band has isting between the same cutoff frequencies as an MSW mode with a metallized ) [20]. As surface (the perfect electric conductor (PEC) at further proof, the -directed wavenumber is always imaginary in this band, which by (1) indicates that power is concentrated at , as would be expected in a MSW mode. Althe boundary though a similar band is noted in [17] for the geometry of Fig. 2(e) when its air gap is equal to zero, its dispersion diagram shows that both Band III and Band IV have the same lower cutoff frequency of , resulting in an over-moded structure of limited use. Conversely, the FFAB-RWG is single moded, thereby maximizing the bandwidth of the proposed isolator. B. Waveguide Width The effects of the waveguide width on the band structure are shown in Fig. 4 using the remaining default waveguide parameters listed in Table I, where higher order modes have been omitted again for the sake of clarity. Decreasing flattens the lowest two bands, which is consistent with what has previously

1496

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

TABLE I DEFAULT WAVEGUIDE PARAMETERS

Fig. 6. Controlling the upper and lower frequencies of the MSW isolator band by altering the and values.

H

Fig. 5. Normalized phase constant of the MSW mode as a function of the waveguide width a.

been described in [13]. The influence of on the highest bidirectional band (Band IV in Fig. 3) is similar to that of canonical TE RWG modes, where controls the cutoff frequency. Note, however, that wider values only cause the cutoff frequency to approach , the latter being a hard limit for Band IV. The cutoff frequencies of the MSW band (Band III in Fig. 3) are unaffected by . However, changing controls both the slope and linearity of the phase constant. The normalized phase is plotted in Fig. 5 for different width values. Very constant to occur near the upper cutoff large width values cause frequency , which means that the MSW mode approaches TEM conditions. This can be understood by remembering that is imaginary in the MSW band, meaning that the fields decay rapidly as the one moves away from the center of the guide . As become large, further increases have no effect because the fields have already decayed to negligible values at , ). the guide walls ( Decreasing the waveguide width decreases the slope of the phase constant throughout the MSW band, which can be used to control the dispersion characteristic of the device. As shown in Fig. 5, the transition from a fast wave in the dielectric medium to a slow wave can be controlled by altering , which may be useful in the design of certain antennas or devices. C. Magnetostatic Bias and Saturation Magnetization The bandwidth of the MSW isolation band is controlled by the lower and upper cutoff frequencies and , respectively. Once these are specified, the following synthesis equations for the bias field and magnetization values may be used: (6a) (6b)

M

For example, Fig. 6 shows the shift in the band structure from GHz and GHz and that of Fig. 3 by choosing kA/m (2381 Oe) and applying (6), yielding kA/m (2369 G). As a rule, choosing high and low values will maximize the bandwidth, although certain caveats increases the risk of not fully apply. For instance, lowering saturating the ferrite, which may lead to low-field losses that consequently invalidate the derivation. In addition, it is difficult to achieve low-loss propagation of MSWs below approximately 3 GHz [15]. D. Loss The attenuation of the MSW band will now be assessed by adding loss to the model, as described in Section II. Dielectric loss is shown in Fig. 7 and it is less than 0.05 dB/cm throughout . the MSW band for low-loss materials are ilThe effects of gyromagnetic resonance linewidth lustrated in Fig. 8 for the MSW band. The 30-Oe linewidth ferrite yields a minimum loss of only 0.39 dB/cm at 18.5 GHz, and losses remain below 0.5 dB/cm from 17.7 to 19 GHz. The minimum loss of the 250-Oe linewidth ferrite is 3.1 dB/cm. This large value demonstrates the importance of selecting a ferrite that has a low linewidth to minimize the insertion loss of the waveguide isolator. The lossless roots were used to generate the conductor loss for the MSW band for copper, aluminum, and nickel, and these are shown in Fig. 9. Conductor loss is on the order of dielectric loss and is negligible compared to linewidth loss. Smooth transitions are expected between the bands shown in Fig. 3 because singular resonance points will become damped no longer becomes inin the presence of loss. For example, finite in the presence of loss at the pole frequency , which significantly reduces (or even eliminates) the number of higher order modes that can propagate near . The complex root loci for the FFAB-RWG are shown in Fig. 10 for the default paramOe. The MSW isolator band is expliceters with GHz and GHz. The itly shown between solid lines represent the attenuation and phase constants of the low-loss forward mode, whereas the dashed lines represent the attenuation and phase constants of the highly attenuated reverse

SEEWALD AND BRAY: FFAB-RWG ISOLATOR USING MSW MODES

Fig. 7. Dielectric loss in the MSW band using the parameters of Table I.

1497

Fig. 10. Complex propagation constants for the forward (solid lines) and the Oe. reverse (dashed lines) modes for the default waveguide with

1H = 250

component exists for due to loss, which makes and complex-valued in (2) and (1). As expected for an MSW mode, and are concentrated at the center of the guide, although nonzero rotational magnetic fields exist elsewhere across the waveguide width as well. VI. FERRITE-FAB PROTOTYPE ISOLATORS

Fig. 8. Linewidth loss in the MSW band using the parameters of Table I.

Fig. 9. Conductor loss in the MSW band using the parameters of Table I.

mode. The bidirectional RWG-like mode (Band IV) is evident above 20 GHz. V. MSW MODE FIELDS The fields given by (1) in the unidirectional MSW band are shown in Fig. 11 for the default waveguide parameters (Table I) Oe at an operating frequency of 19.1 GHz. with and fields have been normalized by , The at the the latter being defined as the ratio of in-phase center of the guide . Note that a small quadrature

Prototype FFAB-RWG isolators were manufactured from metallized RF-2 spinel ferrite substrates supplied by AFT Microwave GmbH, Backnang, Germany, each measuring 0.8 mm 6 mm 30 mm. The microwave properties of the RF-2 ferrite are listed in Table II. The sputtered metallization consists of four layers: a 0.1- m titanium adhesion layer, a conductive 10- m copper layer, a 1.5- m nickel diffusion layer, and a 0.9- m gold protection layer. Microstrip lines and microstrip-to-RWG flares were used to feed the RWG, as illustrated conceptually in Fig. 12(a). A photograph of two fabricated circuits is also provided in Fig. 12(b). The feed lines were patterned directly on the ferrite substrate by removing the unwanted metallization using a milling machine. The middle RWG section of the substrate is metallized on all four sides, whereas only a metallized ground plane exists below the microstrip sections. The 50- microstrip lines are 4-mm long and 0.6-mm wide. The microstrip-to-RWG flare transitions [21] were optimized using CST Microwave Studio. Each flare is 3.5-mm long and is 2.8-mm wide where it meets mm RWG. the Given the known field distribution in the microstrip, only cou-like modes are expected in the RWG. Field analpling to like and is, thereysis shows that Band II of Fig. 3 is fore, not expected to couple. Conversely, Band I, the MSW band like. (Band III), and Band IV are all Microwave measurements of the ferrite substrates were performed using a network analyzer with coaxial cables. An Anritsu 3680-20 universal test fixture was used as a transition between the microstrip lines and coaxial cables. A. Calibration Calibration was accomplished using the short-open-line-thru (SOLT) method to provide reference planes at the coaxial ports

1498

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 12. (a) Conceptual drawing of the microstrip-fed FFAB-RWG isolator. (b) Two fabricated isolators: (left) 3-mm-long RWG without magnets and (right) 6-mm-long RWG with four bias magnets (only the two on top are visible). Graduations shown are in millimeters.

Fig. 13. Transmission parameter magnitudes of a demagnetized 13.3-mm-long ferrite microstrip line. Fig. 11. Field distribution of the MSW band using the parameters of Table I at Oe. (a) E . (b) Z H . (c) Z H . 19.1 GHz with

1H = 250

TABLE II AFT RF-2 FERRITE PROPERTIES

of the test fixture. Extending the reference planes onto the ferrite substrate using the line-reflect-line (LRL) method with ferrite microstrip calibration standards was unsuccessful because of the nonreciprocal phase response of the demagnetized microstrip lines, which violated the assumptions of the eight-term correction model used by the LRL method. Nonetheless, the LRL calibration standards revealed important information regarding the low-field losses of the demagnetized microstrip feed

lines. For example, the transmission coefficients of a demagnetized 13.3-mm-long microstrip line are shown in Fig. 13. Lowfield losses are present up to approximately 6.6 GHz, which is GHz of consistent with the magnetization frequency the RF-2 ferrite. The combined losses of the test fixture, microstrip feed lines, and microstrip-to-RWG tapers were estimated by comparing the measurements of three circuits. Each circuit had identical feed lines, but different RWG lengths: 3, 6, and 15 mm, respectively. As shown in Fig. 14, a linear fit was then applied to the measurement versus the RWG length, and the zero-length intercept point provides the estimate of the feed line loss, being 1.64 dB at 12.6 GHz according to Fig. 14. This method was repeated for all frequencies, and the resulting feed line loss was subsequently removed from the measurements. B. Magnetostatic Bias Magnetostatic bias was provided by four 1.59 mm 3.18 mm 6.35 mm neodymium magnets (grade 42). Each

SEEWALD AND BRAY: FFAB-RWG ISOLATOR USING MSW MODES

1499

Fig. 14. Transmission coefficient of three circuits having different RWG lengths: 3, 6, and 15 mm, taken at 12.6 GHz. Feed line loss is estimated by the zero-length intercept point.

Fig. 15. Arrangement of the four magnets on the ferrite substrate, where represents the remanent flux density and the arrows indicate its direction.

Fig. 16. Simulated y -polarized internal bias field H inside the RF-2 ferrite substrate using the magnet arrangement shown in Fig. 15.

B

magnet provides a nominal surface flux density of approximately 0.372 T.1 Two opposing magnets are placed on top of the ferrite RWG and two other magnets are placed on the bottom. The magnets are aligned to approximate the required magnetostatic bias field, as illustrated in Fig. 15. The magnets covered only the RWG portion of the substrate and had no effect on the microstrip feed lines and tapers, which remained demagnetized. Magnets arranged in this manner can only approximate the that is assumed by the theory. A ideal uniform bias field commercial 3-D magnetostatic solver (CST EM Studio) was, therefore, used to assess the nonuniformity of the bias field inside the 0.79-mm-thick RF-2 ferrite substrate using the geometry of Fig. 15, where each neodymium magnet was assigned a T. remanent flux density of The simulated -polarized bias field is shown in Fig. 16. Although unwanted -polarized fields also exist, they do not mode, as shown in the Appendix. The avcouple to the field is 362 kA/m (4548 Oe) across the erage -polarized peaks at 433 kA/m. The field is entire width and greater than 300 kA/m throughout 80% of the ferrite’s width . The field crosses zero rapidly in the middle of the ferrite, being less than 120 kA/m (1500 Oe) over a short interval mm, which is only 4% of the ferrite width of . The theory presented in Section III is unable to capture this bias field. Although commercial finite-elenonuniform 1K & J Magnetics Inc., Jamison, PA, 2003. [Online]. Available: http://www. kjmagnetics.com/

Fig. 17. Measured and analytical transmission magnitudes in the: (a) forward direction and (b) reverse direction for the 6-mm-long FFAB-RWG isolator.

ment solvers could be used to solve the waveguide problem with the nonuniform bias field, it is beyond the scope of this proof-of-concept paper. Accordingly, the average value of kA/m (4556 Oe) will be used as the uniform bias approximation in the work that follows. C. Measurement Measurements of the forward and reverse transmission coand , are shown as solid lines efficient magnitudes,

1500

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

device’s many variables. A 6-mm-long FFAB isolator has been fabricated, providing a peak IFM of 42.5 dB at 15.4 GHz. Given that this isolator is made of a single piece of ferrite and given that it measures only a few millimeters both in width and length, it is ideally suited for integration at the package scale, such as in ferrite LTCC, where it could be mass produced at low cost. Furthermore, the RWG medium used by the isolator allows it to be embedded inside a package instead of residing on the valuable top layer of a multilayer stack. Integrated magnetostatic bias windings could also be implemented in an LTCC environment. As such, this paper has demonstrated the suitability of a novel switchable ferrite isolator for multichip packages using ferrite technology. Fig. 18. Measured IFM of the 6-mm-long FFAB-RWG isolator.

APPENDIX

in Fig. 17 for the 6-mm-long FFAB-RWG isolator. The dashed lines in Fig. 17 represent the predicted losses using the root solver routine (analytical calculation). The general trend of the measured curves is well matched by the analytical prediction. Noteworthy exceptions are a missing predicted notch in near 12.3 GHz and a shallower measured dip in in the MSW band. The agreement between the measured and analytis better than 3 dB for all frequencies above . Major ical sources of error include the nonuniform bias field (Fig. 16) and the assumed perfect coupling between the feed lines and the modes of the analytical solver. Despite these shortcomings, the predicted location of the MSW band is excellent, as is the predicted behavior of the waveguide as an isolator within this band. The measured isolation figure-of-merit (IFM), defined by

The magnet arrangement in Fig. 15 will also produce uncomponents, wanted -polarized magnetostatic bias field close predominantly near the center of the waveguide to the ferrite/magnet boundaries. Although the theory presented in Section III only considers -polarized bias, it will be shown herein that the -polarized bias is of no consequence to the mode that is launched by the microstrip-to-waveguide transibias and tion. Using the permeability tensor for -polarized , Maxwell’s curl equations are assuming propagation in (A1) (A2) (A3)

(7)

(A4)

is plotted in Fig. 18. The highest IFM of 42.5 dB occurs at 15.4 GHz, followed by a second smaller peak of 25.7 dB at 18 GHz. The IFM is greater than 16.2 dB over the frequency range from 15.2 to 18.3 GHz (18.5% bandwidth). The average forward insertion loss of the prototype is 4.6 dB in the MSW band. This high value is attributed directly to the kA/m (250 Oe) of the RF-2 ferhigh linewidth loss rite. As shown in Fig. 8, the insertion loss of the device can be reduced significantly by choosing a lower loss ferrite. For example, the theoretical insertion loss can be reduced from 8.6 dB to only 1.2 dB at 16 GHz if the linewidth is reduced to 2.4 kA/m (30 Oe). Improvements to the device in terms of its insertion loss, IFM, tuning, and bandwidth are left as future work.

(A5)

Only the dominant launched by the microstrip-to-RWG transition is of interest in this work because the waveguide , TM, height is much smaller than its width, meaning that and higher order modes will be cutoff. Considering only mode, setting and yields the via (A6). This yields and via (A4) and (A2), and are obtained via respectively. Finally, (A3) and (A1), respectively, which shows that only a trivial solution is associated with -polarized bias fields.

VII. CONCLUSION

REFERENCES

The theoretical operation of a novel FFAB-RWG isolator has been presented and the results have been corroborated by measurements. A complex root solving method has been implemented to predict the band structure of the FFAB-RWG, which reveals that an MSW isolation band exists, in addition to its known phase-shifter band. A parametric analysis of the FFAB-RWG isolator has been performed in which the response of the isolation band has been assessed as a function of the

(A6)

[1] J. R. Bray and L. Roy, “Development of a millimeter-wave ferritefilled, antisymmetrically biased rectangular waveguide phase shifter embedded in low temperature cofired ceramic,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 7, pp. 1732–1739, Jul. 2004. [2] G. Brzezina, L. Roy, and L. MacEachern, “Design enhancement of miniature lumped-element LTCC bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 815–823, Apr. 2009. [3] A. M. El-Tager and L. Roy, “Study of cylindrical multilayered ceramic resonators with rectangular air cavity for low-phase noise -band oscillators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2211–2219, Jun. 2005.

K=Ka

SEEWALD AND BRAY: FFAB-RWG ISOLATOR USING MSW MODES

[4] G. Brzezina, L. Roy, and L. MacEachern, “Planar antennas in LTCC technology with transceiver integration capability for ultra-wideband applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2830–2839, Jun. 2006. [5] L. Roy, N. Berthereau, N. Hojjat, K. Kautio, and H. Panesaarc, “Dielectric resonator antenna with microstrip-waveguide transition in LTCC,” Electron. Lett., vol. 42, no. 19, pp. 1078–1079, Sep. 2006. [6] J. R. Bray, “Left-handed behaviour in a ferrite-filled, antisymmetrically-biased rectangular waveguide phase shifter,” in Proc. Antenna Technol. Appl. Electromagn. Symp., Ottawa, ON, Canada, 2004, pp. 235–238. [7] J. R. Bray, “Unexpected propagation in a ferrite-filled rectangular waveguide well below cutoff,” in Proc. Antenna Technol. Appl. Electromagn. Symp., Montreal, QC, Canada, 2006, pp. 219–221. [8] B. Lax and K. J. Button, “Theory of new ferrite modes in rectangular waveguide,” J. Appl. Phys., vol. 26, pp. 1184–1185, Sep. 1955. [9] A. D. Bresler, “On the TE modes of a ferrite slab loaded rectangular waveguide and the associated thermodynamic paradox,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 1, pp. 81–95, Jan. 1960. [10] R. R. J. Gagné, “The paradoxical surface wave (crack wave) in ferritefilled waveguides,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 4, pp. 241–250, Apr. 1968. [11] M. E. Hines, “Reciprocal and nonreciprocal modes of propagation in ferrite stripline and microstrip devices,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 5, pp. 442–451, May 1971. [12] K. Araki, T. Koyama, and Y. Naito, “A new type of isolator using the edge-guided mode,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 3, p. 321, Mar. 1975. [13] T. Kodera and C. Caloz, “Uniform ferrite-loaded open waveguide structure with CRLH response and its application to a novel backfire-to-endfire leaky-wave antenna,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 784–795, Apr. 2009. [14] R. W. Damon and J. R. Eshbach, “Magnetostatic modes of a ferromagnetic slab,” J. Phys. Chem. Solids, vol. 19, pp. 308–320, 1961. [15] N. C. Srivastava, “Surface wave propagation through a small gap between oppositely magnetized ferrite substrates,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 3, pp. 213–215, Mar. 1978. [16] M. Tsutsumi, “Magnetostatic surface wave propagation through the air gap between adjacent magnetic substrates,” Proc. IEEE, vol. 62, pp. 541–542, Apr. 1974. [17] K. Okubo and M. Tsutsumi, “Microstrip line on the oppositely magnetized ferrite substrate and its application to the nonreciprocal devices,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 425–428.

1501

[18] R. F. Soohoo, Theory and Application of Ferrites. Englewood Cliffs, NJ: Prentice-Hall, 1960, pp. 132–133. [19] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 1993, ch. 9. [20] J. D. Adam and J. H. Collins, “Microwave magnetostatic delay devices based on epitaxial yttrium iron garnet,” Proc. IEEE, vol. 64, no. 5, pp. 794–800, May 1976. [21] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Trans. Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. Christopher K. Seewald (S’06–A’09) received the B.A.Sc. degree in mathematics and engineering from Queen’s University, Kingston, ON, Canada, in 2006, and the M.A.Sc. degree in electrical engineering from the Royal Military College of Canada, Kingston, ON, Canada, in 2009. He then joined Siemens AG, Füerth, Germany, as a Product Manager. His research interests include passive microwave devices and computational electromagnetics. Mr. Seewald was nominated for the Governor General’s Gold Medal in 2009 for his master’s thesis research for the development of antisymmetrically biased RWGs.

Joey R. Bray (S’96–M’04) received the B.A.Sc. and M.A.Sc. degrees in electrical engineering from the University of Ottawa, Ottawa, ON, Canada, in 1995 and 1998, respectively, and the Ph.D. degree in electrical engineering from Carleton University, Ottawa, ON, Canada, in 2003. In 2003, he joined the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada, where he is currently an Associate Professor. From 2001 to 2002 he was a Visiting Researcher with Valtion Teknillinen Tutkimuskeskus (VTT) Electronics, Oulu, Finland. His research interests include ferrite microwave devices and microwave passive devices. Dr. Bray was the recipient of the 2005 Young Scientist Award of the International Union of Radio Science (URSI).

1502

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Improving Backscatter Radio Tag Efficiency Aggelos Bletsas, Member, IEEE, Antonis G. Dimitriou, Member, IEEE, and John N. Sahalos, Life Fellow, IEEE

Abstract—This paper studies tag properties for optimized tag-to-reader backscatter communication. The latter is exploited in RF identification (RFID) systems and utilizes binary reflection coefficient change of the tag antenna-load circuit. It is shown that amplitude maximization of complex reflection coefficient difference between the two states is not sufficient for optimized tag-to-reader backscatter communication, contrary to what is commonly believed in the field. We provide a general tag load selection methodology that applies to any tag antenna, including minimum scattering antennas as a special case. The method is based on tag antenna structural mode closed-form calculation (given three values of tag radar cross section), employs simple antenna/communication theory, and applies to both passive, as well as semipassive RFID tags. Index Terms—Minimum scattering, receiver sensitivity, RF identification (RFID), structural mode.

I. INTRODUCTION

MPRESSIVE progress has been observed since the first efforts on backscatter radio [1]. Numerous and diverse applications have emerged, spanning RF identifications (RFIDs) in electronic supply chain to electronic music instrumentation [2] or software-defined implementations for ultra-low-cost and ultra-low-power environmental sensor networks [3]–[5]. We focus on the tag-to-reader link and study the properties of the RFID tag for optimized tag-to-reader communication. Backscatter radio binary-modulates information with reflection coefficient change, when the tag antenna is alternatively connected between two different loads (Fig. 1). Work and results apply to passive, as well as semipassive (battery-assisted) RFID tags. The specific contributions are summarized as follows. • Necessary conditions (constraints) for improved tag-toreader backscatter communication are derived, while not restricting discussion to minimum scattering antennas or specific tag circuitry. It is shown that maximization of amplitude reflection coefficient difference is not sufficient; tag antenna structural mode should also be considered.

I

Manuscript received June 09, 2009, revised December 23, 2009; accepted March 18, 2010. Date of publication May 03, 2010; date of current version June 11, 2010. This work was implemented in the context of the Telecommunications Platform of Innovation Pole of C. M. Greece, supported by the General Secretariat Research and Technology and RFID in Healthcare supported by the Cyprus Research Promotion Foundation. The authors are with the Radio-Communications Laboratory (RCL), Department of Physics, Aristotle University of Thessaloniki, Thessaloniki 54124, Greece, and also with the Telecom Laboratory, Electronic and Computer Engineering Department, Technical University of Crete, Crete 73100, Greece (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2047916

Fig. 1. Thevenin equivalent tag antenna-load circuit for the two terminating loads-states. Thevenin equivalent circuit is only valid for minimum scattering tag antennas. This work assumes no Thevenin modeling and studies the tag load selection problem for any tag antenna.

• Simple closed-form calculation of the tag antenna structural mode is provided. Proposed tag load selection for improved backscatter communication is based on the estimation of the tag antenna structural mode, applies to any tag antenna (including minimum scattering as special case), and covers both passive, as well as semipassive, tags. Section II provides in more detail the connection of this work to prior art. Section III describes the constraints that the tag designer should adopt, utilizing communication theory, as well as the tag antenna structural mode. A method to calculate the tag antenna structural mode in closed form is provided in the Appendix . Section IV provides load selection design examples for both passive and semipassive tags. Finally, a conclusion is provided in Section V. II. CONNECTION TO PRIOR ART The ratio of power backscattered from tag to reader and induced at the tag defines the tag efficiency (e.g., power [6, eq. (6)]) (1) (2) where is the tag antenna effective area and gain, respectively, is the carrier wavelength, is the induced power density (in W/m ) at the tag location from the field transmitted by the reader, and is the tag antenna radar cross section (RCS). RCS depends on the load connected to the tag antenna. Asis the load connected to the tag antenna when sume that the tag information bit is “0” and is the load when the tag the (complex) information bit is “1” (Fig. 1). Also denote backscattered field from the tag antenna when the latter is ter( for bit “0” or for bit “1”). Consecuminated at tively, RCS is given [7], [8] by

0018-9480/$26.00 © 2010 IEEE

(3)

BLETSAS et al.: IMPROVING BACKSCATTER RADIO TAG EFFICIENCY

1503

where corresponds to tag load ( or ), is the corresponds to the structural tag antenna impedance, and mode of the tag antenna, which is a load-independent antenna quantity [8]. Its value is complex, in general, and depends on the geometry and materials used for the antenna construction. Furthermore, (4) is the (load dependent) reflection coefficient of the tag antenna–load system and (5) assuming no polarization mismatch; denotes the impedance in free space). of the propagation medium ( Nikitin et al. [9] exploited the Thevenin equivalent circuit of an antenna, carefully noting that such modeling assumes minimum scattering antennas. It can be easily shown that Thevenin equivalent circuit provides RCS equal to [6], [9] (6)

The latter produces a zero power backscattered signal only for . The antenna structural mode need not be unity, as shown in [10], where semipassive tags were studied, while was estimated using graphical methods. In this study, we procalculation, while we study both passive, vide closed-form as well as semipassive, tags within more generalized context; our approach provides tag load selection constraints and rules without restricting discussion to specific tag/reader circuitry or minimum scattering antennas [11]. III. TAG EFFICIENCY CONSTRAINTS From antenna scattering theory [8], [12], it is known that the scattered field from the tag back to the reader is given by (11) The first term corresponds to the load-independent tag antenna structural mode. The second term denotes the antenna mode and depends on the connected (to the antenna) load , as well as the current at the antenna terminals, at the abat sence of any external field. Specifically, the scattered field direction when the tag antenna is loaded with can be expressed as [12], [13]

or, equivalently from (2), (7) The authors in [9] denote differential backscattered power as , where is the current flowing at the Thevenin circuit when the antenna is connected to load and show that (8) is coined in [9] as differential RCS . The ratio Thus, the system designer should maximize , when the tag is connected to a minimum scattering antenna. This work studies what is needed to be maximized (or minimized) for efficient tag-to-reader communication, employing detection theory and no Thevenin modeling (and thus, applies to any tag antenna, including minimum scattering antennas as a special case); is a valid representation of the backscattered power from tag to reader, only for minimum scattering antennas and not for the general tag antenna case: from Thevenin-produced equations (6) and (7), it can be seen that for open circuit load , the backscattered power from the tag is zero (9) justifying the characterization minimum scattering antenna. On the contrary, from (3), which applies to the general tag antenna case, it can be seen that RCS (and consecutively backscattered power from the tag to the reader) for the open circuit load is not zero (10)

(12)

(13) (14) where is the current induced by the incoming field at the tag antenna terminals, when the tag is terminated at load , and is the field radiated by the tag antenna when the current at the tag antenna terminals is and no external incident wave is applied to the tag antenna. In (14), denotes the phase of the , while the amplitude of is complex parameter set according to (3). is independent of and the term Notice that the term is independent of the tag load . For example, assuming free-space propagation in a lossless medium, , where is the effective length of and . We will not the receiving antenna at necessarily assume free space in this study, but instead simply is tag load independent. exploit the fact that or ) of the backscattered By definition, power ( is given field for the two different terminating loads by (15) assuming no losses due to polarization mismatch between tag and reader antennas. Notice that the power of the backscattered signal is not, in general, constant, but instead depends on the tag for information bit “0” and bit information ( for information bit “1” in Fig. 2). Therefore, backscattered

1504

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

field noise

at

, given by (14), plus additive receiver thermal

(17)

Fig. 2. Backscatter radio technique: signal backscattered from tag to reader binary-modulates information by changing the terminating tag load Z between two states; each state corresponds to bit “0” or “1.”

signal power depends on the (in general, complex) reflection for bit “1”). coefficient ( for bit “0” and A. Maximum Backscattered Carrier Power Per Bit (Constraint 1) such that System designer should select loads backscattered signal power is maximized, regardless of the transmitted tag bits. In other words, the system designer should maximize average backscattered carrier power per bit , or equivalently, according to (15) (16) This is a necessary condition that maximizes: 1) carrier signal, on top of which tag information will be modulated and 2) signal-to-noise ratio (SNR) at the reader’s receiver that should exceed th e receiver’s sensitivity for successful tag information extraction. In other words, neglecting the above constraint increases the chances for received power below reader’s receiver sensitivity, and thus, no tag information reception. For semipassive tags, where in the forward link the received power is not exploited, but only decoded, the above constraint is important. Passive tags, which to date are forward-link limited, seem to be more lenient to the constraint [14]. However, the constraint will be valid, as the required power for their integrated circuits (ICs) is decreased with continued progress. also depends on the load-independent strucNotice that of the tag antenna. Thus, the above tural mode parameter maximization involves . The Appendix provides a simple method for closed-form calculation of this parameter, for any tag antenna, given (measured or simulated) values of the tag’s RCS. B. Minimum Bit-Error Rate (BER) Probability at the Reader (Constraint 2) Assuming that received signal power is above the receiver’s sensitivity, the tag designer should also select terminating loads ( or ) at the tag, such that BER at the reader is minimized. The signal , received at the reader antenna at , will be directly proportional to the backscattered point

where the complex parameter corresponds to the wireless channel (from tag to reader), accounts for the transform of a field quantity (e.g., in V/m) to a signal quantity (e.g., in V), and finally, thermal noise is assumed a complex zero-mean circularly symmetric Gaussian random variable with expected . Notice that for a given point at power space, is a complex number. The above flat fading model accounts for multipath, including both line-of-sight (LOS), as well as non-LOS tag-reader communication. No specific assumptions regarding the statistical distribution of is needed in our study. For cases where the tag-to-reader channel coherence bandwidth is smaller than the bit-rate (frequency selective nonflat wireless channel), deterministic modeling tools could be employed (e.g., ray tracing), which is beyond the scope of this paper. It is further noted that link budget analysis for the backscatter radio channel have recently started to appear in the literature (e.g., see [15] and the references therein). Assuming knowledge of and (coherent receiver), maximum likelihood (ML) detection of for bit “0” or for bit “1,” in the presence of zero-mean additive complex circularly symmetric Gaussian noise, amounts to selecting bit “0” when the received signal is closer to , or bit “1” when the received signal is closer . In other words, detection error to is performed when the amount of noise exceeds half the distance and . The probability of such an event can be between directly computed (e.g., see Appendix A in [16]), providing an expression of the BER to the reader (18)

(19)

(20)

where is the function [17], which decreases with increasing . Therefore, BER minimization requires maximization of the reflection coefficient difference amplitude (21) The above derivation is based on the ML (minimum distance) detector and applies to any binary modulation. That remark is important since environmental conditions, such as electromagnetic (EM) coupling at the vicinity of the tag or the reader, could alter the transmitted signals. A similar result, involving received

BLETSAS et al.: IMPROVING BACKSCATTER RADIO TAG EFFICIENCY

1505

voltage and the complementary error function has been reported in [12] for the specific case of amplitude-shift keying (ASK) and phase-shift keying (PSK) modulation (used in EPC C1G2) and the receiver from [11] or nonideal binary modulation in [18]. The result of (21) agrees with [9], where Thevenin-equivalent circuit and minimum scattering antennas were assumed. Notice that the above derivation has employed simple detection theory, without any type of Thevenin-based antenna-tag chip modeling or any prior assumption regarding the tag antenna or the tag circuitry. It is further noted that

Finally, maximization of the load-independent antenna-speis also needed for reduced BER. Additionally, cific term in (16) requires consideration of maximization of the antenna-specific structural mode parameter , already , discussed in Section III-A. Thus, maximization of even though necessary, is clearly not sufficient for improved backscatter tag-to-reader communication. C. Note on Tag Efficiency Variance We have already noted that backscattered power is not, in general, constant, but instead depends on tag bit information. varies between and , If backscattered field power then tag efficiency also varies for given induced reader field density at the tag [according to (2) and (15)] (25) denotes the statistical variance of . where For consecutive backscattered bits from tag to reader, the average backscattered power is given (for large according to the law of large numbers) by

(22)

(26) where

is binomially distributed (27)

(23) Thus, is the phase difference of the backscattered where (from the tag) binary signals, according to (14) and definition of in (17). . Passive tags can For PSK modulation, have and (notice that in this case, ). Semipassive (battery for and with assisted) tags can have the preferred value. Similarly, for ASK modulation, . For and . For semipassive tags passive tags, and and on–off keying (OOK), . Notice that, in this case of OOK, the value of that maximizes should have amplitude and phase difference compared to phase of . In , where other words, is the phase of . That means that the phase difference of reflection coefficients does not necessarily define the modulation type. Furthermore, the above example shows that careful selection of the modulation and respective minimization of reader BER could employ estimation of the tag antenna structural mode ( for the above OOK example), which is in sharp contrast to what is commonly believed in the field. The Appendix estimation for any tag antenna type. provides a method for Summarizing for a (nonideal) pseudo-PSK (or ASK) modulation (studied in [19]), the following inequality holds: (24)

(28)

(29) where we have used (15) and the fact that cording to (27). Exploiting (29) in(25), we get

, ac-

(30) For example, for the 911-MHz passive tag antenna proposed , tag efficiency variance1 amounts to in [20] and . System engineers should take into account its standard deviation and worst case analysis should be performed. Future work should further study tag efficiency variance as a function of specific reader implementations and backscatter radio protocols. IV. EFFICIENT TAGS: CASE STUDY Passive (battery-less) tags usually employ, apart from the tag antenna, digital logic in the form of a low power microcontroller or an application-specific IC. Such logic is designed to draw a 1EPC class 1 generation 2 (EPC Gen 2 for brevity) employs at least 96 bits 96). A Gen 2 standard is available online at for any tag-transmitted ID ( http://www.epcglobalinc.org/standards/uhfc1g2

N

1506

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 3. Selection of tag load and corresponding reflection coefficients 0 ; 0 for given tag antenna structural mode A . The depicted selections minimize reader detection probability, but provide different average backscattered carrier power per bit. Both passive and semipassive tags are considered (a) Passive tag. (b) Semipassive tag.

small amount of current (low power) and is usually modeled by a high resistance connected in parallel to a capacitive load (or equivalently, a small resistive load in series with a capacitive load) (e.g., [9] and [21]). A voltage multiplier and regulator is also needed to power the tag logic (chip), as well as the backscattered field modulator that changes the overall impedance, seen in Fig. 1). by the tag antenna, between two loads ( and Given that the tag antenna has, in general, different equivalent impedance than the chip, a matching network between the antenna and chip is utilized. This is the approach followed in this study for the case of passive tags. Depending on the selected load, the modulation can change the amplitude of the backscattered field (usually by changing the real part of the load and corresponding to ASK modulation) or the phase of the backscattered field (usually by changing the imaginary part of the load and corresponding to PSK modulation). Alternatively, the passive-tag designer could trade the amount of power scattered back (to the reader) with power delivered to the tag chip, using imperfect matching (e.g., see discussion in [22] or in [14, Ch. 5]). In the following, we provide general tag load selection rules for both passive, as well as semipassive tags, without restricting discussion to specific tag designs or minimum-scattering an). tennas only (we assume, in general, that A. Passive Tags usually corresponds For batteryless passive tags, tag load . In that way, power transfer from the to perfect match tag antenna to tag chip is maintained, at least for the duration of (and corresponding ) is selected such bit “0.” The tag load that is collinear with . Two possible solutions on the unit circle are depicted: solution I and II (Fig. 3, for left). Both satisfy constraint 2 of (21), while solution II provides for higher average backscatter carrier power per bit (constraint is maximized. For the special 1) than solution I since , one solution could be . A better case of solution with higher backscattered carrier power per bit would . be

Fig. 4. Selection of tag load for the case of passive tag with imperfect matching (0 = 6 0). Depicted solutions I and II satisfy constraints 1 and 2, respectively.

An alternative approach (for the general case of ) uses . In that way, tag efficiency variance proportional to is slightly reduced at the expense of higher BER at the reader (since is also reduced, compared to solution II depicted in Fig. 3, left). Fig. 4 depicts the case where perfect matching for load cannot be achieved, either due to parasitic elements on the printed circuit board and/or the fact that tags operate in a range of carrier frequencies (and not a single carrier frequency). corresponds to a voltage The depicted reflection coefficient standing-wave ratio (VSWR) on the order of 1.5:1. Depicted (and respective load ) maximizes average solution I for backscattered carrier per bit (16) while achieving near-max; solution II maximizes (21) while imum achieving near-maximum average backscattered carrier per bit. A system designer could select either solutions or a reflection coefficient (and respective load) on the unit circle and between the two aforementioned points. In any case of matching (perfect or imperfect), it becomes clear that passive tag design for improved tag-to-reader backscatter communication requires consideration of parameter , which is an antenna-specific load-independent parameter. Its calculation is provided in the Appendix.

BLETSAS et al.: IMPROVING BACKSCATTER RADIO TAG EFFICIENCY

1507

A first example can be given for a planar tag, operating at . Given RCS values for dif915 MHz with ferent loads, the Appendix method calculates structural mode . Soparameter provides m . lution I with achieves Solution II with m . Thus, the ratio with the RCS for zero reflection coefficient (matched load). The observed ratio corresponds to gain of 7.58 dB in terms of received average carrier power per bit that could be effectively translated in an improved link budget. For example, when solution I provides a link budget that operates the reader 7 dB below its minimum received power (sensitivity) threshold, solution II can provide reception with the BER given by (20). A second example contains the case of a passive tag antenna at fabricated with a thin copper layer on PET and near-isotropic RCS [20]. 911 MHz with , RCS amounts to m , For matched load , RCS amounts to m . Since from [20] and for only two different RCS values are provided, the structural mode , assuming uniform was found to be . Consecutively, antenna gain with m and with m . Thus, the ratio , resulting to a power gain of 7.66 dB, which effectively improves link budget. B. Semipassive (Battery-Assisted) Tags For semipassive tags, the available battery powers the tag chip during transmission of information from the tag to the reader. The battery is used to energize the tag chip and it is not used for any type of signal conditioning such as signal amplification, filtering, etc. Therefore, the mechanism for tag-to-reader communication is through backscattering, and hence, the term semipassive. Thus, semipassive tags do not require power scavenging and relevant voltage regulators. Given that power transfer from reader (or tag antenna) to the tag chip is not needed during tag-to-reader communication, need not be equal to zero, while both reflection coefficients should be on the unit circle (in order to maximize ). Fig. 3, right, depicts two solutions that both achieve the max. In general, solution II could represent any imum two diametrically opposite points on the unit circle. Both Solutions I and II in Fig. 3, right, as well as any two diametrically opposite points on the unit circle achieve the same [constraint 1 of (16)]. The proof follows: denote , diametrically opposite , both on the unit circle and (31)

must be maximized, i.e., the tag antenna-specific parameter antenna should be carefully designed. , one possible solution is given For the special case of by . From the above, it is seen that the provides the same results in terms solution ), while achieving zero tag of constraints 1 and 2 (for efficiency variance [see (30)]. ) is to An alternative approach (for the general case of and on the unit circle, diametrically opposite select . Such a selection clearly provides higher detection error to is reduced), while providing smaller probability (since tag efficiency variance (compared to solution I of Fig. 3, right). V. CONCLUSION Load-independent antenna parameters should be considered for improved tag-to-reader communication, contrary to what is commonly believed in the field. We provided simple rules on selecting the terminating loads for efficient tag-to-reader backscatter communication. Derivation employed basic communication theory without restricting discussion to minimum scattering antennas. It was shown that maximization of reis not flection coefficient difference amplitude sufficient since additional constraints exist, while antennas are ). not necessarily minimum scattering (structural mode A methodology was provided to select the tag loads for both passive, as well as semipassive, tags based on the tag antenna structural mode. A simple closed-form calculation of the structural mode for any tag antenna was also given. APPENDIX CLOSED-FORM CALCULATION OF TAG ANTENNA STRUCTURAL MODE , In order to calculate the structural mode parameter of the antenna RCS are needed, correthree values (or equivalently, sponding to three different loads reflection coefficients ). Antenna RCS can be measured experimentally [6] or estimated through simulation. that corresponds to load and Denote complex with and the unknown . RCS From (3), we have three circle equations (A.1) (A.2) (A.3) Dividing (A.1) by (A.2) and setting circle equation centered at and radius

, we get a

(32) (33) In other words, solutions I and II of Fig. 3, right, as well as on the unit circle maximize any diametrically opposite (constraint 2) and achieve the same sum in (16) (constraint 1). In order to maximize the sum of constraint 1, the tag

(A.4)

1508

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Dividing (A.1) by (A.3) and setting and radius circle equation centered at

, we get a

(A.5) Finally, dividing (A.2) by (A.3) and setting and radius get a circle equation centered at

, we

(A.6) If a solution exists, that will be the intersection of circles of (A.4) and (A.5) that validates circle of (A.6)

(A.7) or

(A.8) where (A.9) (A.10)

[2] J. Paradiso, L. Pardue, K. Hsiao, and A. Benbasat, “Electromagnetic tagging for electronic music interfaces,” J. New Music Res., vol. 32, no. 4, pp. 395–409, Dec. 2003. [3] G. Vannucci, A. Bletsas, and D. Leigh, “A software-defined radio system for backscatter sensor networks,” IEEE Trans. Wireless Commun., vol. 7, no. 6, pp. 2170–2179, Jun. 2008. [4] A. Bletsas, S. Siachalou, and J. N. Sahalos, “Anti-collision tags for backscatter sensor networks,” in 38th Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 2008, pp. 179–182. [5] A. Bletsas, S. Siachalou, and J. N. Sahalos, “Anti-collision backscatter sensor networks,” IEEE Trans. Wireless Commun., vol. 8, no. 10, pp. 5018–5029, Oct. 2009. [6] P. V. Nikitin and K. V. S. Rao, “Theory and measurement of backscattering from RFID tags,” IEEE Antennas Propag. Mag., vol. 48, no. 6, pp. 212–218, Dec. 2006. [7] R. B. Green, “The general theory of antenna scattering,” Ph.D. dissertation, Dept. Elect. Comput. Eng., Ohio State Univ, Columbus, OH, 1963. [8] C. A. Balanis, Antenna Theory: Analysis and Design, 3rd ed. Hoboken, NJ: Wiley, 2005. [9] P. V. Nikitin, K. V. S. Rao, and R. Martinez, “Differential RCS of RFID tag,” Electron. Lett., vol. 43, no. 8, pp. 431–432, Apr. 2007. [10] C.-C. Yen, A. E. Gutierrez, D. Veeramani, and D. van der Weide, “Radar cross-section analysis of backscattering RFID tags,” IEEE Antennas Wireless Propag. Lett., vol. 6, pp. 279–281, 2007. [11] G. De Vita and G. Iannaccone, “Design criteria for the RF section of UHF and microwave passive RFID transponders,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2978–2990, Sep. 2005. [12] F. Fuschini, C. Piersanti, F. Paolazzi, and G. Falciasecca, “Analytical approach to the backscattering from UHF RFID transpoder,” IEEE Antennas Wireless Propag. Lett., vol. 7, pp. 33–35, 2008. [13] R. C. Hansen, “Relationships between antennas as scatterers and as radiators,” Proc. IEEE, vol. 77, no. 5, pp. 659–662, May 1989. [14] D. M. Dobkin, The RF in RFID: Passive UHF RFID in Practice. Burlington, MA: Newnes, 2008. [15] J. D. Griffin and G. D. Durgin, “Complete link budgets for backscatterradio and RFID systems,” IEEE Antennas Propag. Mag., vol. 51, no. 2, pp. 11–25, Apr. 2009. [16] D. Tse and P. Viswanath, Fundamentals of Wireless Communication. Cambridge, U.K.: Cambridge Univ. Press, 2005. [17] J. G. Proakis, Digital Communications, 4th ed. New York: McGrawHill, 2001. [18] F. Fuschini, C. Piersanti, F. Paolazzi, and G. Falciasecca, “On the efficiency of load modulation in RFID systems operating in real environment,” IEEE Antennas Wireless Propag. Lett., vol. 7, pp. 243–246, 2008. [19] J.-P. Curty, M. Declerq, C. Dehollain, and N. Joehl, Design and Optimization of Passive UHF RFIF Systems. Berlin, Germany: Springer, 2007. [20] J. Ahn, H. Jang, H. Moon, J.-W. Lee, and B. Lee, “Inductively coupled compact RFID tag antenna at 910 MHz with near-isotropic radar crosssection (RCS) patterns,” IEEE Antennas Wireless Propag. Lett., vol. 6, pp. 518–520, 2007. [21] J.-P. Curty, N. Joehl, C. Dehollain, and M. J. Declercq, “Remotely powered addressable UHF RFID integrated system,” IEEE J. Solid-State Circuits, vol. 40, no. 11, pp. 2193–2202, Nov. 2005. [22] U. Karthaus and M. Fischer, “Fully integrated passive UHF RFID transponder IC with 16.7 W minimum RF input power,” IEEE J. Solid-State Circuits, vol. 38, no. 10, pp. 1602–1608, Oct. 2003.

The pair

above that validates (A.6) provides the unknown . As an example, consider the case of a planar tag antenna at , (open circuit) with 915 MHz and m , (matched load) with m and (reactive load) with m [10]. The result of the above calculation provides an exact value of . That is close to the approximate value prois graphically estimated on a Smith vided in [10], where chart. REFERENCES [1] H. Stockman, “Communication by means of reflected power,” Proc. IRE, vol. 36, no. 10, pp. 1196–1204, Oct. 1948.

Aggelos Bletsas (S’03–M’05) received the Diploma degree in electrical and computer engineering (with excellence) from the Aristotle University of Thessaloniki, Thessaloniki, Greece, in 1998, and the S.M. and Ph.D. degrees from the Massachusetts Institute of Technology (MIT), Cambridge, in 2001 and 2005, respectively. He was with Mitsubishi Electric Research Laboratories (MERL), Cambridge MA, as a Postdoctoral Fellow and with the Radiocommunications Laboratory (RCL), Department of Physics, Aristotle University of Thessaloniki, as a Visiting Scientist. In Summer 2009, he joined the Electronic and Computer Engineering Department, Technical University of Crete, as an Assistant Professor. His research interests focus on scalable wireless communication and networking with an emphasis on relay techniques,

BLETSAS et al.: IMPROVING BACKSCATTER RADIO TAG EFFICIENCY

signal processing for communication, radio hardware/software implementations for wireless transceivers and low-cost backscatter sensor networks, RFID, time/frequency metrology, and bibliometrics. Dr. Bletsas was the recipient of the Best Undergraduate Thesis Award of Ericsson Hellas for the development of a complete text-to-speech system for the Greek language, commercialized in 1999. He was also the recipient of awards for undergraduate excellence of the Technical Chamber of Greece and State Scholarship Foundation (IKY). During his graduate studies at MIT, he was supported by fellowship awards from BT and Nortel Networks. He was the corecipient of the IEEE Communications Society 2008 Marconi Prize Paper Award in wireless communications and Best Paper Distinction of the IEEE ISWCS 2009, Siena, Italy.

Anton G. Dimitriou (S’01–M’07) was born in Ierapetra, Greece, in 1977. He received the Diploma and Ph.D. degrees in electrical and computer engineering from the Aristotle University of Thessaloniki (AUTH), Thessaloniki, Greece, in 2001, and 2006 respectively. Since 2007, he was with the Department of Electrical and Computer Engineering, AUTH. Since 2001, he has participated in 16 research projects in the fields of communications, antennas, propagation, signal processing, and RFIDs, including the design of a global system for mobile communications (GSM) cellular network inside the Olympic stadium for the 2004 Olympic Games, a prototype two-way translation system between sign language and speech, and an RFID pilot network inside a hospital. He has authored or coauthored over 20 journal and conference papers. His current research interests are in the areas of EM-wave propagation, planning and optimization of wireless networks, and RFIDs. Dr. Dimitriou is a member of the Technical Chamber of Greece. He was the recipient of the Ericsson Award of Excellence in Telecommunications for the best undergraduate thesis in 2001.

1509

John N. Sahalos (M’75–SM’84–F’06–LF’10) received the B.Sc. and Ph.D. degrees in physics from the Aristotle University of Thessaloniki (AUTH), Thessaloniki, Greece, in 1967 and 1974, respectively, the Diploma (BCE MCE) degree in civil engineering from the School of Engineering , AUTH, in 1975, and the Professional Diploma of postgraduate studies in electronic physics from the School of Science, AUTH, in 1975. From 1971 to 1974, he was a Teaching Assistant with the Department of Physics, AUTH, and rom 1974 to 1976, he was an Instructor. In 1976, he was with the ElectroScience Laboratory, The Ohio State University, Columbus, as a Postdoctoral University Fellow. From 1977 to 1986, he was a Professor with the Electrical Engineering Department, University of Thrace, Thrace, Greece, and Director of the Microwaves Laboratory. Since 1986, he has been a Professor with the School of Science, AUTH, where he is also the Director of postgraduate studies in electronic physics and the Director of the Radio-Communications Laboratory (RCL). From 1981 to 1982, he was a Visiting Professor with the Department of Electrical and Computer Engineering, University of Colorado at Boulder. From 1989 to 1990, he was a Visiting Professor with the Technical University of Madrid, Madrid, Spain. He is a consultant in industry. He has authored three books in Greek and seven book chapters. He has authored or coauthored over 300 papers appearing in scientific literature. He also authored The Orthogonal Methods of Array Synthesis, Theory and the ORAMA Computer Tool (Wiley, 2006). He has been of the Editorial Board of three scientific journals. His research interests are in the areas of antennas, high-frequency techniques, communications, electromagnetic compatibility (EMC)/electromagnetic interference (EMI), microwaves, and biomedical engineering. Dr. Sahalos is a Professional Engineer. From 2002 to 2004, he was of the Board of Directors of the OTE, the largest Telecommunications Company in Southeast Europe. He has been a technical advisor for several national and international committees, as well as for several mobile communications companies. Since 1992, he has been a member of Commissions A and E, URSI. Since 1998, he has been the president of the Greek committees, URSI. From 2004 to 2008, he was the president of the section of Informatics, Telecommunications and Systems, National Committee of Research and Technology. He is an honorary member of the Radio-electrology Society. He is a member of the Greek Physical Society and the Technical Chamber of Greece. He is the creator and leader of an EMC network with five laboratories (three from the academy and two from the industry). He has been honored with a special investigation fellowship of the Ministry of Education and Science, Spain. He also has been honored from several institutes and organizations. He was elected by the department representatives of the AUTH as the vice-chairman of the Research Committee of AUTH (2007–2010).

+

1510

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

A Comparative Analysis of the Complexity/Accuracy Tradeoff in Power Amplifier Behavioral Models Ali Soltani Tehrani, Haiying Cao, Student Member, IEEE, Sepideh Afsardoost, Thomas Eriksson, Magnus Isaksson, Member, IEEE, and Christian Fager, Member, IEEE

Abstract—A comparative study of state-of-the-art behavioral models for microwave power amplifiers (PAs) is presented in this paper. After establishing a proper definition for accuracy and complexity for PA behavioral models, a short description on various behavioral models is presented. The main focus of this paper is on the modeling accuracy as a function of computational complexity. Data is collected from measurements on two PAs—a general-purpose amplifier and a Doherty PA designed for WiMAX—for different output power levels. The models are characterized in terms of accuracy and complexity for both in-band and out-of-band error. The results show that, among the models studied, the generalized memory polynomial behavioral model has the best tradeoff for accuracy versus complexity for both PAs, and can obtain high performance at half of the computational cost of all other models analyzed. Index Terms—Behavioral modeling, nonlinear distortion, nonlinear filters, power amplifiers (PAs), radio communication, Volterra series.

I. INTRODUCTION

T

HE development of future generation wireless transmission schemes, which operate at higher frequencies and require more bandwidth, has increased the demands on the linearity of power amplifiers (PAs). At the same time, with the increase of wireless communication users, the number of powerconsuming base stations has also increased. This has boosted the importance of developing power-efficient linear devices in radio base stations. Power consumption can be even more critical in mobile devices where the power is driven from limited battery supplies. Due to the importance of the PA being one of the main powerconsuming devices in the transmitter [1], it is not surprising that Manuscript received December 15, 2009; revised March 03, 2010; accepted March 03, 2010. Date of publication May 06, 2010; date of current version June 11, 2010. This research was carried out at the GigaHertz Centre, Göteborg, Sweden, under a joint research project supported by the Swedish Governmental Agency of Innovation Systems (VINNOVA), Chalmers University of Technology, Ericsson AB, Infineon Technologies, and NXP Semiconductors. A. S. Tehrani, S. Afsardoost, and T. Eriksson are with the Department of Signals and Systems, Chalmers University of Technology, SE 41296 Göteborg, Sweden (e-mail: [email protected]; [email protected]; [email protected]). H. Cao and C. Fager are with the Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE 41296 Göteborg, Sweden (e-mail: [email protected]; [email protected]). M. Isaksson is with the Center for RF Measurement Technology, University of Gävle, SE-80176 Gävle, Sweden (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2047920

the interest in PA modeling has increased in recent years [2]–[4]. The main application of these models is for use in digital predistortion (DPD) linearization. DPD has been shown to reduce the size and cost for linearization compared to other linearization methods [5]. PA behavioral modeling is also widely used for system-level simulations of the transmitter. In [4], PA behavioral models in the literature were presented and classified in terms of memory: models without memory, with linear memory, and with nonlinear memory. A similar presentation was done in [6] where a new behavioral model was also proposed. In [7], some important Volterra series-based models were compared and analyzed. The first attempts to compare these behavior models in an experimental setup was done in [8]. This work was extended in [2] with more behavioral models, more input signals, and cross-validation. The effect of signal bandwidth was also analyzed in [2]. While these works provided a necessary basis for PA modeling, the issue of computational complexity of the behavioral models has not been addressed specifically. For example, it is a known fact that given infinite order and complexity, the Volterra series can represent any weak or mildly nonlinear operation accurately, under a range of conditions like convergence, causality, stability, time-invariance, and fading memory [4], [9]. However, at a given finite complexity, only part of the accuracy can be achieved. Thus, in order to compare behavioral models fairly, the complexity of the behavioral models must be taken into account. To the best of our knowledge, a comparison of behavioral models accounting for both accuracy and complexity has not been presented in the literature. Some assumptions have been made that the model order or the number of parameters may represent this complexity, but no detailed analysis has proven this. Thus, the focus of this paper is to find an appropriate measure that can be used to compare behavioral modeling complexity and to compare some commonly used behavioral models in this regard. This paper is organized as follows. In Section II, an interpretation for complexity in PA behavioral modeling is established. In Section III, some commonly used behavioral models are presented and analyzed. Model evaluation measures are presented in Section IV. In Section V, the measurement setup is introduced, and in Section VI, results of the comparison on two PAs are provided and discussed. II. COMPLEXITY In this section, computational complexity of PA behavioral modeling is analyzed. We first discuss some different measures for complexity, and then classify modeling complexity into three groups. Finally, we analyze the complexity

0018-9480/$26.00 © 2010 IEEE

TEHRANI et al.: COMPARATIVE ANALYSIS OF COMPLEXITY/ACCURACY TRADEOFF IN PA BEHAVIORAL MODELS

of Volterra-based models and discuss complexity reduction techniques in the algorithm. A. Measures for Complexity In literature, complexity has been notated by different measures [10]. Often it is measured in orders denoted by the Landau symbol , which represents the algorithm complexity. Unfortunately, for behavioral model analysis, this representation is not precise enough for practical considerations [11]. A simple approach is to record the running time of the different behavioral models in a software package. This is severely dependent on the hardware setup and the algorithm utilized. In order to have a fair comparison in this case, the algorithms must be optimized for the different behavioral models and for the hardware where they are tested. In the area of behavioral modeling, it is common to compare models based on the number of parameters. This can determine the memory size needed for a behavioral model. However, this representation may not always be an appropriate measure. For example, the number of parameters for a neural network or a piecewise linearizing model such as [12] and [13] may not correctly represent the computational complexity of this model, as the main source of complexity stems from the operations needed per sample, and not necessarily the number of parameters. This is even true for various kinds of Volterra-based models, as we will show in this paper. The number of floating point operations (FLOPs) is another widely used measure for complexity. In most digital signal processing (DSP) hardware, the computational effort is mainly spent on additions, subtractions, and multiplications. Since FLOPs is actually a measure for the number of additions, subtractions, and multiplications, it is sufficiently accurate to make fair comparisons between behavioral models. FLOPs are also the relevant entity when implementing the behavioral models on chip. Hence, it will be used as the complexity measure in this paper. B. Types of Complexity Another important issue in behavioral model complexity is where the complexity originates from. The computational complexity can be classified into identification complexity, running complexity, and adaptation complexity. • Identification complexity: The identification procedure differs for the behavioral models. Due to statistical properties of measured signals, most Volterra-based models can be identified with a least squares (LS) estimate, while other models may need iterative procedures. Since the identification of the behavioral model is typically done offline, this complexity is normally not a major issue. • Adaptation complexity: In practical systems, due to slight changes in the PA, such as temperature change or different mismatching effects, behavioral models might need to be updated at time intervals. These time intervals can normally be much larger than the symbol period. The adaptation of the behavioral model to these changes is considered adaptation complexity. In many instances where the variations are slow, this complexity may be of less importance.

1511

• Running complexity: Running complexity is the number of calculations that is done on each sample when the model is utilized. This complexity severely limits the system due to the fact that it is a real-time problem. Depending on the application the maximum acceptable complexity varies. For a base station, there might be room for more complex algorithms and behavioral models, while for mobile handheld devices, requirements are stricter. Since one of the main justifications for DPD linearization techniques is to have more power-efficient transmitters, it is essential that the power saved is not all spent on processing the DPD algorithm. In this study, the focus is on running complexity due to its heavy computational costs on the system, and it is assumed that the identification is done once offline. A final issue for complexity in behavioral models is that of parallelization. Some behavioral model algorithms have the inherent capability to be parallelized easier while others do not. This can be important in some applications where parallelization is possible. All the models that are presented in this study have this capability. C. Complexity for Volterra-Based Models The Volterra series is a widely used mathematical tool for modeling nonlinearities and memory in PAs. The discrete baseband-equivalent form of the Volterra series, which consists of a sum of multidimensional convolutions, can be written as1 [16]

(1) The Volterra series can be rewritten as (2) where tations of

is a vector containing all the coefficients and is a matrix containing all the permufrom (1)

where is the th row and th column entry. Depending on the implementation of the behavioral model algorithm, the complexity for the Volterra-based behavioral models will differ. In [17], a general algorithm for implementing the Volterra series as a behavioral model is proposed. Here it is simplified and given in the following two steps. Step 1) Construct basis functions, which is matrix . 1In transmitter architectures, the effect of filtering the output of the PA results in only odd-order power terms in behavioral models [14]. However, recently authors have suggested the use of the so called “even-order” terms to improve performance [14], [15]. In this study, we will use the originally proposed models by the authors for fair comparisons.

1512

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

hence, here we focus on a few representative models that are more commonly used and are of significant importance for us.

TABLE I NUMBER OF FLOPS FOR DIFFERENT OPERATIONS

A. Volterra Series

Step 2) Filter the basis with kernels . The second step is directly related to the number of kernels since each kernel will be multiplied by the according basis function and then summed with the remaining results. Thus, it is solely dependent on the number of coefficients. The behavioral models will, however, differ in the construction of the basis functions. The complexity can thus be written as the sum of the complexity of each part, or (3) represents the where represents the total complexity, reprebasis construction complexity from Step 1), and sents the filtering complexity from Step 2). An important issue in efficient algorithm design is to avoid regeneration of already available data. For instance, while multiplying two signal values may require a certain number of FLOPs, delaying a signal does not. Therefore, it is necessary to fully utilize all available permutations in the behavioral model can easily algorithms. For example, be constructed from by a simple delay. Another issue is that terms that will be used in different combinations should be generated beforehand. For example, , if is already available, using when constructing it will result in much lower complexity than constructing it from scratch. Table I shows the operation-FLOP conversion used in this study. The number of FLOPs for the square-root operations varies depending on the algorithm, but can generally be considered to be between 6–8 FLOPs [18]. In the following sections, these considerations were taken into account to generate the behavioral models with minimum complexity to their full extent.

III. BEHAVIORAL MODELS Many behavioral models have been proposed and utilized in the literature. Neural-network and Volterra-based models are two of the most important classes of models. Of them, the Volterra-based models have been extensively analyzed, and many models have been derived from the Volterra series. It is not possible to analyze all behavioral models in this work;

Definition: As discussed before, the Volterra series expands the impulse response model of a linear system by representing nonlinearity as a set of higher order impulse responses named kernels [19]. It has been shown that a wide class of nonlinearities can be represented with good precision with a Volterra filter with [20], [21]. nonlinear order and memory length It can be seen from (1) that with the increase in memory depth and nonlinear order, the number of coefficients in the Volterra series grows exponentially, rendering the Volterra series useful only for weakly nonlinear systems. 1) Identification Method: Due to the nature of the Volterra filters, it is possible to identify the coefficients for the kernels with any linear estimation method. In this study, after recording the values for the input and output of the amplifier and timealigning the signals, the LS estimator is used with the pseudoinverse (Moore–Penrose pseudoinverse) of the output versus the permutations of the input. In [22], proof for the uniqueness of the results can be obtained. 2) Complexity: Efficient algorithms for implementing Volterra filters has been studied in the literature. The complexity of the Volterra series has also been analyzed in [16], [17], and [23]. In [17], the complexity for a nonoptimized normal implementation of the Volterra series algorithm is given for a real-valued input signal. In [23], the complexity for the Volterra series is also analyzed, and the complexity of the th-order analytical inverse is also given, which can be used as a predistorter. The number of multiplications for the basis functions of a can be calculated as Volterra series behavioral model [16]

(4)

where

is the memory depth, is the nonlinear order, and is the number of coefficients in each kernel and

is (5) This is derived by extending the calculations in [16] to the baseband Volterra representation, and by using the previously explained fact that all terms not containing can be constructed as a delayed version of other terms with little complexity. Since all the multiplications in (4) are complex multiplica, the total number of FLOPs for the tions, except for basis construction is (6)

TEHRANI et al.: COMPARATIVE ANALYSIS OF COMPLEXITY/ACCURACY TRADEOFF IN PA BEHAVIORAL MODELS

For the filtering, each coefficient requires six FLOPs for the complex multiplication and two for the complex summation. The total number of FLOPs for filtering is thus

(7)

With the rather large number of FLOPs needed for the algorithm, it can be noticed that the Volterra series is useful practically only for relatively low nonlinear orders and memory lengths. It can also be noticed that both the basis and filtering contribute to the total complexity relatively evenly.

1513

FLOPs. This can be calculated by first constructing the term—three FLOPs—and then for every nonlinear order , , hence, multiplying the previous nonlinear order with two FLOPs for each order. For example for nonlinear order , is multiplied with , which is a complex-real multiplication and costs two FLOPs. For nonlinear order , is then multiplied by again, which is again two FLOPs. The only difference when is allowed needs to be to take both odd and even orders is that calculated, which requires seven additional FLOPs. Therefore, it can be seen that an MP with only odd-order power terms is less complex to construct than an all order power term MP. The number of coefficients in this model is equal to [2] and these will require eight FLOPs each (similar to the Volterra series)

B. Memory Polynomial (MP)

(10)

Definition: The MP behavioral model is an extension of the basic polynomial model with linear memory [24]. This model, also known as parallel Hammerstein in literature, is a parallelization of a nonlinear function followed by a linear memory. The baseband equivalent MP model can be written as (8) The MP is linear in parameters, and the identification is thus similar to the unconstrained Volterra. 1) Complexity: Due to the inherent reusability of the basis functions in this model, the running complexity is much lower than Volterra series model. In general, the only term that has for each . All other terms, i.e., to be generated is , can be generated by delaying existing terms. When is restricted to be even (i.e., there are only oddorder power terms in the function), the basis function can be created with (9)

We notice that the complexity for this model grows linearly with the number of parameters, and the main source of the complexity is in the filtering and summation part. C. Volterra With Dynamic Deviation Reduction (Volterra DDR) Definition: In [25], a new mathematical model for PAs is presented based on modeling the static and dynamic parts separately. This work was constructed into the behavioral model format in [26] and [27]. Further work was done in [28] and [29]. The latter is the model that is used in this paper. In this model, the Volterra series is reconstructed with respect to the dynamic deviation in the coefficients, and a parameter, denoted as , is introduced, which is the number of dynamic deviations in the model. This gives an extra restriction so the Volterra series can be reduced. The identification, however, is similar to the previous methods. A baseband equivalent of this model is expanded from [30] and can be written as (11), shown at the bottom of this page, where up to second-order dynamics are shown.

(11)

1514

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1) Complexity: In this model, it is important to note that all , and while this is desirable basis functions contain the term for the accuracy of the modeling, it reduces the reusability of the basis functions since no terms can be computed as delayed versions of other terms. and and using the methods to reSetting duce complexity as previously discussed, the complexity for constructing the basis is calculated as

only odd-order power terms. Identification is similar to the MP and Volterra series model. 1) Complexity: The complexity of this behavioral model is similar to the MP model, but with the added terms. The initial basis construction is slightly higher than the MP and is equal to

(16) FLOPs, where the is for the square construction and is for the square root. Since the square root is only calculated once, the choice of seven or eight FLOPs does not affect the outcome greatly. When possible, the same complexity reducing principles are applied here. The number of coefficients for this model is equal to

(12) The first two terms represent the initial construction of important combinations. The complexity for the zero- and first-order dynamic path one is the third term, and the rest are for the different path of the above formulation. The number of coefficients for this model is given by

assuming that becomes

(17) . The complexity for the filtering thus

(18) The main source of complexity for this model is in the filtering, as was the case in the MP model. E. Kautz–Volterra and Laguerre–Volterra

(13) and the complexity for filtering is, thus, (14)

D. Generalized Memory Polynomial (GMP) Definition: The GMP behavioral model was proposed in [6] and extends the MP model by including more cross-terms. The formulation for this model is given here as

(15) Compared to the MP, it can be noticed that this model adds an extra degree of freedom in coefficients that correspond to the amount of memory in the lagging and leading terms, which will , this model becomes equivalent to be called . When the MP model. Note that the GMP model includes the so-called “even order” power terms. In this study, we have restricted this model to only include causal terms, i.e., no terms with positive memory appear since it was noticed that the noncausal terms required additional delay and did not improve the performance. Notice that similar to the MP, this model can be constructed with

Definition: Attempts at using recursive polynomials and orthonormal exponentials as basis functions for PAs were presented in [31] and [32]. This idea was further expanded in [33] and [34], which resulted in the Laguerre and Kautz–Volterra behavioral models, respectively. The main difference between these two behavioral models is that, in the Volterra expansions model with Laguerre functions, the orthonormal basis pole is chosen to be real, while in the Kautz–Volterra behavioral model, these poles are chosen to be complex. In [34], the model’s ability to separate linear and nonlinear memory effects was introduced, i.e., the poles for the nonlinear orders could be different from the linear ones. These models are actually generalizations of the Volterra series model, i.e., the Volterra series is a special case of the Laguerre and Kautz–Volterra model when the poles are set to zero. 1) Identification Method: Due to the nature of these behavioral models, the identification procedure is not as straightforward as in the previous models. Many identification methods exist, but in this work, a full search of poles for per each nonlinear order was done as in [34]. After finding the optimum poles, the problem becomes a normal LS estimation and can be performed with the same technique as in the Volterra filter. This method becomes attractive when the poles are known beforehand, or when it is possible to have an initial offline identification of the amplifier to identify the poles. Further extraction methods can be found in [33]. If the orthonormal basis poles are not known before hand, the identification is much more complex and can be prone to local minima. 2) Complexity: While the identification for such models may be problematic, the running complexity is not affected much.

TEHRANI et al.: COMPARATIVE ANALYSIS OF COMPLEXITY/ACCURACY TRADEOFF IN PA BEHAVIORAL MODELS

1515

Once the poles for the different power levels are calculated, the behavioral model is similar to the Volterra filter with the addition of an extra filter with one pole per nonlinear order. Therefore, the construction of basis function requires (19) where is from (4) and assuming one pole per nonlinear order. The filtering is similar to the Volterra series since they have the same number of parameters (20) Fig. 1. Outline of the measurement setup used for evaluation of the behavioral models.

where

is given in (5). IV. MODEL EVALUATION METRICS

Many performance measures have been used in the literature to validate PA behavioral models. A study on the different measures used is done in [35]. In order to compare (mainly) the in-band performance of PA behavioral models, the normalized mean square error (NMSE) is commonly used and is defined as [2] (21)

performs as a predistortor. The NMSE is statistically equivalent to the EVM [37] at the transmitter, and the ACEPR can predict how well the system can fulfill requirements on spectral masks. Further measures also exist in literature like the weighted error-to-signal power ratio (WESPR) proposed in [36], the memory effect ratio (MER) and the memory effect modeling ratio (MEMR) [38]. These metrics however, do not add any significant information compared to NMSE and ACEPR since NMSE and ACEPR can represent the in-band and out-of-band performance of the PA model effectively. V. MEASUREMENT SETUP

In this formulation, the measured signal and model are time aligned to minimize the mean-square error. In instances where the out-of-band performance of the PA is of more importance, the adjacent channel power ratio (ACPR) and the adjacent channel error power ratio (ACEPR) are normally used [36]. The ACEPR can be defined as (22)

is the Fourier transform of the model data, and is the Fourier transform of the measurement data. The integration in the denominator is over the in-band channel signal bandwidth and the integration in the numerator is over the adjacent channels to the signal channel with the same bandwidth. As seen in (22), the ACEPR is defined as the larger of the values and upper adevaluated for both the lower jacent channels. In [37], it was found that ACEPR was the best low-complex measure to identify nonlinear mismatches. Since both NMSE and ACEPR are error measures, lower values show better agreement between the model and PA measurement. It is important to note that having a low NMSE does not necessarily correspond to having a low ACEPR, i.e., some models have lower NMSE, while others can have lower ACEPR. In this study, the focus is on evaluating the accuracy of different behavioral models with respect to complexity. When using these models in applications such as DPD, other metrics such as the error vector magnitude (EVM) at the receiver may play more important roles. However, it is common to assume that the better a model is able to represent a PA, the better it where

The block diagram of the measurement setup used to capture data for our model analysis is shown in Fig. 1. The modulator used is an Agilent E4438C vector signal generator (VSG) and an Agilent 54845A digital storage oscilloscope (DSO) is used as a vector signal analyzer. The baseband in-phase/quadrature (I/Q) data is generated in the computer and downloaded to VSG. The VSG modulates the data to an RF carrier and in order to have enough input power for the PA under test, fed through a preamplifier. This signal is then fed to the PA, which is the device-under-test (DUT), and both the input of the DUT and the output are captured simultaneously by the DSO. The DSO sends the RF signals back to the PC where they are down-converted to baseband I/Q data. All devices are connected by a general-purpose interface bus (GPIB) and triggered in synch. To enhance the dynamic range of the signal and decrease the noise variance, a statistical averaging technique is used [39]. The experimental results reported here are based on 500 averaged measurements, which resulted in an effective dynamic range of 65 dB. In order to have time alignment, the DSO is triggered by the VSG and a 10-MHz reference is connected from the DSO to the VSG. Also to obtain more precise time alignment, correlation techniques are utilized. In order to have a proper open test analysis, the validation of the behavioral models should be done with a different data set than the one used for identification. The procedure that has been analyzed in this paper is as follows. • Download an I/Q input signal to the VSG to construct the RF signal and record the input and output of the DUT. • Split the data set to identification data and validation data • Calculate the behavioral model parameters using the identification data

1516

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 2. Characteristics for the PAs tested. (a) AM/AM plot for the different scenarios analyzed. (b) Signal spectrum for the three scenarios, from top to bottom Scenario 2, Scenario 3, and Scenario 1, and input signal.

• Compare the PA output to the behavioral models’ prediction using the validation data The reason for splitting the data set and not re-downloading it to the VSG is to make sure the identification data and validation data are subject to the same temperature and bias conditions. A. Input Signals Since the identification process is dependent on the input signal, the experiment should be done with data as similar to a practical case as possible. Two input signals were used in this study, a wideband code division multiple access (WCDMA) signal and a WiMAX-like signal. The WCDMA data had a bandwidth of 3.84 MHz and was modulated to a carrier frequency of 1 GHz to match the PAs available. The peak to average ratio of this data was 7.6 dB. The WiMAX-like data had 4-MHz bandwidth, peak to average of 7.4 dB, and was modulated to a 2.6-GHz carrier. The in-band channel in this study was defined as the signal bandwidth at the center frequency, and the adjacent channels were defined as the signal bandwidth at 5 MHz from the center frequency. An important issue in the identification process for PA behavioral models is the number of model parameters versus data set size . If is not sufficiently large compared to , the estimation procedure can be hampered with over-fitting, and uncertainties in the model parameters can grow. This effect is seen in the mean-square error for the estimation, which is roughly , where is the measurement noise variance [40]. In this study, in order to fulfill this requirement, 25 000 samples are used for identification, 28 000 samples for validation, and the maximum number of model parameters estimated is 350. B. PAs Two PAs were studied in this research: a wideband 3-W class AB commercial solid-state PA2 and a 100-W Doherty PA3 for WiMAX applications. The class AB amplifier was analyzed at 2MiniCircuits 3NXP

ZHL-1000-3W semiconductors

two power levels, one with input power at 4-dB relative back-off and the other at 12 dB. For clarity, the experiments are classified in the following three scenarios. • Scenario 1: Class AB PA with WCDMA data and input power at 12-dB back-off • Scenario 2: Class AB PA with WCDMA data and input power 4-dB back-off • Scenario 3: Doherty PA with WiMAX-like data and input power at 8-dB back-off The dynamic AM/AM plot for these PAs is shown in Fig. 2(a), and the spectra for the measured signals is shown in Fig. 2(b). VI. RESULTS In this section, the PA models are compared with respect to accuracy versus complexity. The accuracy was evaluated using both NMSE and ACEPR. For comparison sake, it is assumed that all models have a minimum memory depth of 1 and nonlinear orders in range of 2–13 when applicable. The lowest NMSE that was obtainable regardless of complexity for the different behavioral models is shown in Table II(a). Table II(b) shows the best results obtained regardless of complexity for ACEPR. For the MP, Volterra, and Kautz–Volterra models, the numbers inside the parenthesis rep. For Volterra DDR, they represent , resent . and for GMP, they represent It is interesting to note that in the second scenario, where the class AB PA is driven more nonlinearly, both measures have lower values than the other scenarios. This shows that such cases are harder to model for these types of behavioral models. It is also noticed that most models have similar best performances. This is because as the nonlinear order and memory depth grows in the models, the uncertainties in modeling parameters increase and dominate the error. Therefore, it becomes necessary to analyze the models further in terms of the complexity needed to achieve these best results. In behavioral modeling literature, it has been common to compare models by fixing one of the parameters, like the nonlinear order, and varying the others, like the memory depth.

TEHRANI et al.: COMPARATIVE ANALYSIS OF COMPLEXITY/ACCURACY TRADEOFF IN PA BEHAVIORAL MODELS

TABLE II BEST RESULTS OBTAINED FOR THE DIFFERENT SCENARIOS REGARDLESS OF COMPLEXITY. THE PARENTHESIS REPRESENT THE CORRESPONDING MODEL ORDER. (a) BEST NMSE RESULTS. (b) BEST ACEPR RESULTS

1517

convex hull is found, the lowermost line is used to make more understandable plots representing the best configurations of the model, which is also shown in Fig. 3. This procedure can be applied for any type of behavioral model, when the parameter configuration is varied. A. Scenario 1: Class AB at 12-dB Back-Off

Fig. 3. Scatter plot of the performance of the GMP behavioral model versus the number of FLOPs in Scenario I. The dots represent the different configurations of parameters. The solid red line (in online version) shows the convex hull of the configurations that resulted in best performance.

Such comparisons may not be suitable for a fair comparison for models such as the GMP or Volterra DDR. Another approach has been to list the accuracy and number of parameters in tables. This type of comparison may also be lacking since, in many models, different parameter settings may result in the same number of parameters or complexity. In order to avoid these drawbacks, it becomes necessary to compare the best performance of each model with one another. In order to obtain the best performance, an exhaustive search in the parameter space is done for each model. This is done by varying the parameters and finding the performance for all the configurations of these parameters possible with the computer hardware. The scatter plot in Fig. 3 is the result for the case when the GMP model is used, and when different parameter configurations are used for P, M, and G. The NMSE is plotted as a function of the complexity in FLOPs for these parameters. Once this data is available, the convex hull of the set of these combinations is found, using the Qhull algorithm.4 Once the 4Qhull code for convex hull estimation, Feb. 2010. [Online]. Available: http:// www.qhull.org

Fig. 4(a) shows a comparison of NMSE between behavioral models, with respect to the number of parameters, for the class AB PA. The performance of a static nonlinear model is also included for reference. It can be seen in this figure that as the number of parameters grow, the amount of improvement gained with excess parameters is limited. With a large number of parameters, most models have similar performance and higher nonlinear order, and memory depths do not yield better results. However, the complexity needed, at which these models achieve this performance, differs largely between models. From Fig. 4(a), it can also be seen that the MP model gives the lowest error compared to other models with a low number of parameters. The Volterra DDR model outperforms other models within a range of parameters, and finally the GMP model gives the lowest error when the number of parameters increases further. While this figure can give certain insight to how models perform compared to one another, it is interesting to see how the models compare in terms of FLOPs to one another. In Fig. 4(b), the comparison is done versus FLOPs and it can be noticed that the GMP model outperforms other models consistently. Of specific interest is the low FLOPs region (between 20–200), where the number of FLOPs required to achieve 51-dB NMSE is half the number of FLOPs required by the most nearest competitor, the Volterra DDR. Such a conclusion could not have been drawn from Fig. 4(a). This is due to the fact that this model is less complex to run than the Volterra DDR model. In Fig. 4(b), special care was taken into consideration regarding the MP and GMP models, which both contain even-order power terms. For these two models, both the all-order power terms and odd-order power terms models were constructed. All points were then grouped together and the convex hull of this set was found. When constructing the figure, it was noticed that while the model with all-order power terms have better accuracy for a fixed order, they also have a higher FLOP count, which resulted in most of the best combinations to be those of the odd-order power terms. The gain in accuracy of these even-order terms was regularly offset by the higher computational cost. Finally, in Fig. 4(c), the out-of-band performance given by the ACEPR measure for the different models versus FLOPs is presented. It should be noted that, in the identification procedure, the minimization criterion was NMSE and not out-of-band performance. The ACEPR values yield similar results for this signal input power. B. Scenario 2: Class AB at 4-dB Back-Off The class AB PA is driven harder and has more nonlinear characteristics in this scenario, therefore the modeling accuracy

1518

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 4. Scenario 1: Class AB PA with low input power. Volterra DDR stands for the Volterra DDR model. The legend is identical for all figures. (a) NMSE versus number of parameters. (b) NMSE versus FLOPs. (c) ACEPR versus FLOPs.

Fig. 5. Scenario 2: class AB PA with high input power. Volterra DDR denotes the Volterra DDR model. The legend is identical for all figures. (a) NMSE versus number of parameters. (b) NMSE versus FLOPs. (c) ACEPR versus FLOPs.

is degraded. This is because of factors such as stronger nonlinearity and more dominant memory effects seen from the asymmetric spectrum of the output of this PA and numerical inaccuracies. In Fig. 5(a), the comparison is done with respect to the number of parameters, while Fig. 5(b) is with respect to the number of FLOPs. From these two figures, we can notice that while in Fig. 5(a) several models have approximately the same accuracy versus number of parameters (except for the MP), in Fig. 5(b) the GMP model shows the best tradeoff behavior. It is noticed that in order to obtain an NMSE of 38 dB for this case, the GMP model requires around one-third of the number of FLOPs compared to the Voltera DDR model. It can also be noticed that as the number of FLOPs increase, all behavioral models tend to have the same accuracy. The ACEPR can be seen in Fig. 5(c), and the conclusions are similar to the previous scenario. C. Scenario 3: Doherty at 8-dB Back-Off In Fig. 6, the results of modeling the Doherty PA is shown. Once again it can be noticed that the GMP model outperforms the other models, but in terms of ACEPR, with a large number of parameters, the Volterra and Kautz–Volterra surpasses all other models. In this case, however, the performance of all models are

relatively similar due to the fact that they are not able to model the PA very well. Another important observation is that the models generally do not have significant improvement from the static nonlinear model. In the previous scenarios, a 7–10-dB accuracy gain was achieved with the Volterra-based behavioral models compared to the static case. In this scenario, only a 1–2-dB gain is achieved compared to the static nonlinear model. One main difference between the behavioral models analyzed and the static model is that the latter does not model memory effects. From these results, we can notice that memory effects are not dominant compared to the static nonlinearity in the Doherty architecture. This can be traced to the internal circuitry for this class of PAs. D. Discussion Comparing the three scenarios, one can observe that the GMP model is able to obtain the best performances at lower computational costs. Since at a high number of parameters all models obtain similar performance, the main deciding factor between them becomes the required complexity to achieve such performance, which in the case of the GMP is the lowest. It can be observed that while the number of parameters can give a good estimate for the general trend, it may not always represent the complexity correctly. This will be especially of

TEHRANI et al.: COMPARATIVE ANALYSIS OF COMPLEXITY/ACCURACY TRADEOFF IN PA BEHAVIORAL MODELS

1519

Fig. 6. Scenario 3: Doherty PA. Volterra DDR denotes the Volterra DDR model. The legend is identical for all figures. (a) NMSE versus number of parameters. (b) NMSE versus FLOPs. (c) ACEPR versus FLOPs.

interest for models such as [12] and [13] and neural networks, where much more computational effort is needed, even when the number of parameters are low. VII. CONCLUSION In this study, efficient algorithms for some widely used behavioral models were developed, and the computational complexity of these algorithms were measured in FLOPs. The behavioral models were tested on measurement data from two PAs and it was noticed that the GMP model outperformed all other models in terms of accuracy versus FLOPs consistently. The GMP was able to achieve high performance with between one-half and a one-third of the number of FLOPs of the nearest competitor. Hence, we conclude that this model is the most efficient in terms of modeling a PA at low computational cost. The results indicate that, for a Doherty PA, memory effects are not as pronounced as nonlinear distortions, and the existing models are not able to model the memory effects in this PA effectively. This is due to the inherent characteristics of this PA class. ACKNOWLEDGMENT The authors would like to thank H. Nemati, GigaHertz Centre, Göteborg, Sweden, and A. Zhu, University of Dublin, Dublin, U.K., for their helpful assistance. The authors would also like to acknowledge Y. Yang, NXP Semiconductors, Cumberland, RI, for providing the Doherty PA used in the measurements. REFERENCES [1] S. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Boston, MA: Artech House, 2006. [2] M. Isaksson, D. Wisell, and D. Ronnow, “A comparative analysis of behavioral models for RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 348–359, Jan. 2006. [3] A. Zhu, M. Wren, and T. J. Brazil, “An efficient Volterra-based behavioral model for wideband RF power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, vol. 2, pp. 787–790. [4] J. C. Pedro and S. A. Maas, “A comparative overview of microwave and wireless power-amplifier behavioral modeling approaches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1150–1163, Apr. 2005. [5] P. B. Kenington, “Linearized transmitters: An enabling technology for software defined radio,” IEEE Commun. Mag., vol. 40, no. 2, pp. 156–162, Feb. 2002.

[6] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [7] A. Zhu and T. J. Brazil, “An overview of Volterra series based behavioral modeling of RF/microwave power amplifiers,” in Proc. Wireless Microw. Technol. Conf., 2006, pp. 1–5. [8] I. Santamaria, J. Ibanez, M. Lazaro, C. Pantaleon, and L. Vielvo, “Modeling nonlinear power amplifiers in OFDM systems from subsampled data: A comparative study using real measurements,” EURASIP J. Appl. Signal Process., vol. 2003, no. 1, pp. 1219–1228, 2003. [9] M. Schetzen, The Volterra and Wiener Theories of Nonlinear Systems. Melbourne, FL: Krieger, 2006. [10] S. LLoyd, Programming the Universe: A Quantum Computer Scientist Takes on the Cosmos. New York: Knopf, 2006. [11] D. de Ridder, E. Pekalska, and R. P. W. Duin, “The economics of classification: Error vs. complexity,” in Int. Pattern Recog. Conf., 2002, vol. II, pp. 244–247, 10.1109/ICPR.2002.1048284. [12] K. Wan-Jong, C. Kyoung-Joon, S. P. Stapleton, and K. Jong-Heon, “Piecewise pre-equalized linearization of the wireless transmitter with a Doherty amplifier,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3469–3478, Sep. 2006. [13] A. Zhu, P. J. Draxler, H. Chin, T. J. Brazil, D. F. Kimball, and P. M. Asbeck, “Digital predistortion for envelope-tracking power amplifiers using decomposed piecewise Volterra series,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 10, pp. 2237–2247, Oct. 2008. [14] L. Ding and G. T. Zhou, “Effects of even-order nonlinear terms on power amplifier modeling and predistortion linearization,” IEEE Trans. Veh. Technol., vol. 53, no. 1, pp. 156–162, Jan. 2004. [15] E. G. Lima, T. R. Cunha, H. M. Teixeira, M. Pirola, and J. C. Pedro, “Base-band derived Volterra series for power amplifier modeling,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009, pp. 1361–1364. [16] V. Mathews and G. L. Sicuranza, Polynomial Signal Processing, ser. Wiley Series in Telecommunications and Signal Processing. New York: Wiley, 2000. [17] G. M. Raz and B. D. van Veen, “Baseband Volterra filters for implementing carrier based nonlinearities,” IEEE Trans. Signal Process., vol. 46, no. 1, pp. 103–114, Jan. 1998. [18] P. Soderquist and M. Leeser, “Division and square root: Choosing the right implementation,” IEEE Micro, vol. 17, no. 4, pp. 56–66, 1997. [19] M. J. Reed and M. O. J. Hawksford, “Identification of discrete Volterra series using maximum length sequences,” Proc. Inst. Elect. Eng.—Circuit Device Syst., vol. 143, no. 5, pp. 241–248, 1996. [20] I. W. Sanderg, “Uniform approximation with doubly finite Volterra series,” IEEE Trans. Signal Process., vol. 40, no. 6, pp. 1438–1442, Jun. 1992. [21] R. D. Nowak and B. D. Van Veen, “Tensor product basis approximations for Volterra filters,” IEEE Trans. Signal Process., vol. 44, no. 1, pp. 36–50, Jan. 1996. [22] G. M. Raz and B. D. Van Veen, “Blind equalization and identification of nonlinear and IIR systems—A least squares approach,” IEEE Trans. Signal Process., vol. 48, no. 1, pp. 192–200, Jan. 2000.

1520

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

[23] J. Tsimbinos and K. Lever, “Computational complexity of Volterra based nonlinear compensators,” Electron. Lett., vol. 32, no. 9, pp. 852–854, 1996. [24] J. Kim and K. Konstantinou, “Digital predistortion of wideband signals based on power amplifier model with memory,” Electron. Lett., vol. 37, no. 23, pp. 1417–1418, 2001. [25] F. Filicori and G. Vannini, “Mathematical approach to large-signal modelling of electron devices,” Electron. Lett., vol. 27, no. 4, pp. 357–359, 1991. [26] D. Mirri, G. Iuculano, F. Filicori, G. A. V. G. Vannini, G. A. P. G. Pasini, and G. A. P. G. Pellegrini, “A modified Volterra series approach for the characterization of non-linear dynamic systems,” in Proc. IEEE Instrum. Meas. Technol. Conf., 1996, vol. 1, pp. 710–715. [27] D. Mirri, F. Filicori, G. Iuculano, and G. Pasini, “A nonlinear dynamic model for performance analysis of large-signal amplifiers in communication systems,” IEEE Trans. Instrum. Meas., vol. 53, no. 2, pp. 341–350, Apr. 2004. [28] A. Zhu, J. Dooley, and T. J. Brazil, “Simplified Volterra series based behavioral modeling of RF power amplifiers using deviation-reduction,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 1113–1116. [29] A. Zhu, J. C. Pedro, and T. J. Brazil, “Dynamic deviation reductionbased Volterra behavioral modeling of RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [30] A. Zhu, P. J. Draxler, J. J. Yan, T. J. Brazil, D. F. Kimball, and P. M. Asbeck, “Open-loop digital predistorter for RF power amplifiers using dynamic deviation reduction-based Volterra series,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1524–1534, Jul. 2008. [31] P. M. Lavrador, J. C. Pedro, and N. B. Carvalho, “A new Volterra series based orthogonal behavioral model for power amplifiers,” in Proc. Asia–Pacific Microw. Conf., 2005, vol. 1, 10.1109/APMC.2005.1606326. [32] J. Dooley, B. O’Brien, and T. J. Brazil, “Behavioral modeling of RF power amplifiers using adaptive recursive polynomial functions,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 852–855. [33] A. Zhu and T. J. Brazil, “RF power amplifier behavioral modeling using Volterra expansion with Laguerre functions,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 963–966. [34] M. Isaksson and D. Ronnow, “A Kautz–Volterra behavioral model for RF power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 485–488. [35] P. Landin, M. Isaksson, and P. Handel, “Comparison of evaluation criteria for power amplifier behavioral modeling,” in IEEE MTT-S Int. Microw. Symp. Dig., 2008, pp. 1441–1444. [36] D. Wisell, M. Isaksson, and N. Keskitalo, “A general evaluation criteria for behavioral PA models,” in Proc. 69th ARFTG Conf. Dig., 2007, pp. 251–255. [37] D. Schreurs, M. O’Borma, A. A. Goacher, and M. Gadringer, RF Power Amplifier Behavioral Modeling. Cambridge, U.K.: Cambridge Univ. Press, 2008. [38] H. Ku and J. S. Kenney, “Behavioral modeling of nonlinear RF power amplifiers considering memory effects,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2495–2504, Dec. 2003. [39] C. Fager and K. Andersson, “Improvement of oscilloscope based RF measurements by statistical averaging techniques,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 1460–1463. [40] L. Ljung, System Identification: Theory for the User, 2nd ed. Englewood Cliffs, NJ: Prentice-Hall, 1999.

Ali Soltani Tehrani received the B.Sc degree in electrical engineering from the K. N. Toosi University of Technology, Tehran, Iran, in 2005, the M.Sc degree in communication systems engineering from Chalmers University of Technology, Göteborg, Sweden, in 2007, and is currently working toward the Ph.D. degree at Chalmers University of Technology. His research interests include utilizing signal processing techniques for hardware impairments, behavioral modeling, linearization and advanced adaptation algorithms for transmitters, and high-efficiency transmitter architectures.

Haiying Cao (S’09) received the B.E. degree in communication engineering from the Beijing University of Posts and Telecommunications, Beijing, China, in 2005, the M.Sc. degree in communication engineering from Chalmers University of Technology, Göteborg, Sweden, in 2007, and is currently working toward the Ph.D. degree at Chalmers University of Technology. His research interests include advanced DSP in wireless communication systems, behavioral modeling for RF PAs, and nonlinear system identification algorithms. Sepideh Afsardoost received the M.Sc. degree in communication engineering from Chalmers University of Technology, Göteborg, Sweden, in 2009, and is currently working toward the Ph.D. degree at Chalmers University of Technology. Her research interests include signal processing in wireless communication systems, behavioral modeling for RF PAs, and nonlinear system identification algorithms.

Thomas Eriksson was born on April 7, 1964 in Skövde, Sweden. He received the M.Sc. degree in electrical engineering and Ph.D. degree in information theory from Chalmers University of Technology, Göteborg, Sweden, in 1990 and 1996, respectively. From 1997 to 1998, he was at AT&T Laboratories—Research. In 1998 and 1999, he was involved with a joint research project with the Royal Institute of Technology and Ericsson Radio Systems AB. From 2003 to 2004. he was a Guest Professor at Yonsei University, Seoul, Korea. Since 1999, he has been an Associate Professor with Chalmers University of Technology. His research interests include communication, vector quantization, and modeling and compensation of nonideal hardware components (e.g., amplifiers and oscillators). Magnus Isaksson (S’98–M’07) received the M.Sc. degree in microwave engineering from the University of Gävle, Gävle, Sweden, in 2000, the Licentiate degree from Uppsala University, Uppsala, Sweden, in 2006, and the Ph.D. degree from the Royal Institute of Technology, Stockholm, Sweden, in 2007. From 1989 to 1999, he was involved with communication products with Televerket, Farsta, Sweden. He is currently a Teacher of signal processing for telecommunications and Head of the Department of Electronics, Mathematics, and Science, University of Gävle. His main research interests are signal-processing algorithms for RF measurements and modeling of nonlinear microwave systems. Christian Fager (S’98–M’03) received the M.Sc. and Ph.D. degrees in electrical engineering and microwave electronics from Chalmers University of Technology, Göteborg, Sweden, in 1998 and 2003, respectively. He is currently an Associate Professor and Project Leader with the GigaHertz Centre, Microwave Electronics Laboratory, Chalmers University of Technology. His research interests are in the areas of large-signal transistor modeling and high-efficiency PA architectures. Dr. Fager was the recipient of the Best Student Paper Award of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1521

Spatial Harmonics and Homogenization of Negative-Refractive-Index Transmission-Line Structures Michael Zedler and George V. Eleftheriades, Fellow, IEEE Abstract—In this paper, we discuss negative-refractive-index transmission-line (NRI-TL) metamaterial structures with respect to spatial harmonics. The power in the fundamental spatial harmonic is shown to yield a measure that relates to the homogeneity of the metamaterial. It is shown that NRI-TL metamaterials yield highly homogeneous field distributions if both the unit cell is short compared to the guided wavelength, and if the unloaded unit cell is short compared to the “free space” wavelength. Based on the homogeneity, we provide practical design choices for NRI-TL metamaterial unit cells. Under the restriction of a high homogeneity we derive expressions for extracting an effective permittivity and permeability. Circuit theory results and full-wave eigenmode simulations of two NRI-TL structures are presented. Index Terms—Homogenization, metamaterial, negative-refractive-index transmission line (NRI-TL).

I. INTRODUCTION

M

ETAMATERIALS have recently received considerable attention for their potential to synthesize arbitrary efand , including negative and fective material parameters close-to-zero values. Several applications have been proposed, which are based on materials having these exotic effective material properties, ranging from imaging and cloaking devices to microwave components like antennas and couplers [1]–[5]. One aspect of the synthesis of a metamaterial is achieving the desired dispersion relation, i.e., the synthesis of the effective . The second aspect is the synthesis refractive index and the of the effective characteristic impedance analysis of the field homogeneity within the metamaterial unit cell [6]–[9]. In this paper, we discuss the field homogeneity of 1-D reactively loaded negative-refractive-index transmissionline (NRI-TL) metamaterials [10] by investigating the excitation of spatial harmonics. It is shown that NRI-TL metamaterials yield highly homogeneous field distributions if both the unit cell is short compared to the guided wavelength, and if the unloaded unit cell is short compared to the “free space” wavelength. In our previous paper [11], we introduced a homogeneity coefficient for metamaterial unit cell circuit models, which contain transmission-line segments. Further, we have proposed a mapping of the eigenmode fields of 1-D metamaterials obtained from full-wave simulation to circuit quantities. This Manuscript received May 25, 2009; revised October 03, 2009, December 09, 2009, and January 26, 2010; accepted January 26, 2010. Date of publication May 18, 2010; date of current version June 11, 2010. The authors are with the Department of Electrical and Computer Engineering, University of Toronto, Toronto, ON, Canada M5S 2E4 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049160

mapping enables the application of the aforementioned circuit-model-based computation of a homogeneity coefficient to physical structures and was demonstrated for a parallel-plate waveguide NRI-TL. In Section II, we derive compact expressions for the homogeneity coefficient of 1-D NRI-TL metamaterials, which thus allow for a simple quantitative evaluation of the relation between electrical size of the metamaterial unit cell and its homogeneity. For the specific topology of the 1-D NRI-TL unit cell, the homogeneity coefficient is related to the local reflection coefficient on the transmission-line segments of the metamaterial unit cell, revealing a close correspondence in the practically important closed-stopband case. Under the restriction of a high homogeneity, we derive expressions for extracting an effective permittivity and permeability. Section III summarizes the method that maps eigenmode field distributions of physical metamaterial structures to modal voltages and currents using a 3-D extension of the concept of modal functions. In addition to the parallel-plate waveguide NRI-TL results already presented in [11], we discuss in Section III-B the influence of the transverse geometry variation on the (longitudinal) field homogeneity. The eigenmode fields in the parallel-plate waveguide NRI-TL are independent of the waveguide height. Hence, in order to demonstrate the full versatility of the proposed modal function-based mapping from eigenmode fields to circuit quantities, we analyze a coaxial NRI-TL in Section III-C. Here, the eigenmode fields are nontrivially depending on the transverse coordinates. Characteristic field distributions of the eigenmode solutions are presented, the homogeneity coefficient is computed and compared to the respective circuit model cases, and the influence of the transverse geometry variation on the homogeneity coefficient is discussed. II. CIRCUIT MODEL AND EXTRACTION OF EFFECTIVE MATERIAL PARAMETERS Fig. 1 shows the circuit model of an NRI-TL. It consists of two transmission-line segments, which are characterized by . their impedance , their wavenumber , and their length The transmission-line segments are loaded with reactive lumped and two series shunt elements: two series lumped elements . elements The calculation of spatial harmonics on the transmission-line segments is performed as follows. The voltage on the transmission-line segments is

0018-9480/$26.00 © 2010 IEEE

(1)

1522

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

where denotes the complex conjugate. In (7) Parseval’s theorem was used to transform the sum into an integral along with power flux invariance along the -direction. Let us define the homogeneity coefficient as [11] (8) where varies between zero and one: means that all the power is in the fundamental harmonic. Following the derivation in [6], effective material parameters can be related to the ratio of the fundamental spatial harmonic coefficients, i.e.,

Fig. 1. Circuit model of a loaded transmission-line metamaterial.

with the voltage wave coefficients . These coefficients are at the T-type obtained by taking the Bloch impedance symmetry plane and then de-embedding the elements into the planes . One obtains (2a) (2b) The wave amplitudes of the currents are . If the transmission line is unloaded, one has , . The wave amplitudes in (2) are then and thus, and , i.e., a purely forward propagating wave, as expected. Equivalent to (2), the wave amplitudes can and the alternatively be expressed using the shunt element Bloch impedance at the -type symmetry plane, denoted in Fig. 1. as in (1) is the eigenmode of the periodic The voltage structure, and thus fulfills the Floquet condition

(9) As was pointed out in (6), the fundamental spatial harmonic represents an average of the periodic voltage and current across the unit cell, and it is thus compatible with the definition of macroscopic material parameters.1 The dispersion relation and Bloch impedance of the NRI-TL shown in Fig. 1 [10] written in a more compact form are (10a) (10b) with (11a) (11b)

(3) with being the propagation coefficient of the mode obtained is a periodic function, from the dispersion relation. In (3), and hence, it can be expanded into a Fourier series, i.e., into spatial harmonics (4) with the coefficients

being determined by (5)

and the fundamental mode coefficient being the average of , i.e.,

using the abbreviations and and the signum . The equivalent lumped-element quantities function and have the units of reactance and susceptance, respectively. As a side note, by performing a first-order Taylor’s expansion in on (10) and (11), one obtains the lumped-element approximation of the NRI-TL, often referred to as composite right/lefthanded (CRLH) metamaterial [2]. In an NRI-TL, the series element is a capacitor, the shunt and two element is an inductor, and hence, , then the two passbands passbands exist. If and are not separated by a stopband, and this is known as the “closed-stopband” case [10, eq. (29)]. Equation (10) then simplifies to (12)

(6) The expansion into spatial harmonics can be analogously per. The fraction of power in formed for the current, yielding the fundamental harmonic over the total power is

) of the The eigenfrequencies at the -point (i.e., NRI-TL are obtained by setting (10a) to zero, and hence, (11) to and , respectively, zero. Denoting these frequencies with of the NRI-TL can then be the reactive lumped elements expressed as (13a) (13b)

(7)

1Appendix A shows that, for macroscopic averaging, the traveling phase term exp( j z ) needs to be suppressed.

0

ZEDLER AND ELEFTHERIADES: SPATIAL HARMONICS AND HOMOGENIZATION OF NRI-TL STRUCTURES

1523

Fig. 2. Complex argument of the voltage wave traveling through a closed-stopband NRI-TL cell. Solid line: left-handed propagation, i.e., < . > . The operation Dashed line: right-handed propagation, i.e., points were chosen such that .

=0

=

0

=

0

with the electrical lengths of the transmission-line segments and at and , respectively. The closed-stopbeing . Taking into consideration band condition now reads and , one obtains from that (13) (14) of This indicates that the smaller the electrical length , the unit cell, the larger the reactive loading elements need to be chosen. The formation of a backward-wave can be understood by inspecting the voltage and current distribution within the unit cell. For simplicity, let us assume an electrically small closed-stopband NRI-TL that is operating in the vicinity of the -point. The voltage experiences a discontinuity due to the series capacitor, while the current incurs a discontinuity due to the shunt inductor. The voltage along the transmission-line segments varies at and at between . The complex magnitude of is approximately constant, while the phase varies approximately linearly. Thus, (15) This behavior is shown in Fig. 2. It shall be emphasized that the jump in the phase due to the discontinuity is small for both the left- and right-handed bands, as long as the condition of an electrically small closed-stopband NRI-TL that is operating in the vicinity of the -point is met. For both the rightand left-handed cases, the slope of the phase is negative for all , as expected for a piece of transmission-line segment, but , the total due to the quantitatively small discontinuity at phase change along the unit cell is negative (phase delay) for the right-handed case and positive for the left-handed case (phase advance). Fig. 3 shows the magnitude and phase of the voltage along six closed-stopband NRI-TL unit cells operating in the left-handed point of the Brillouin zone for various band at the unit cell lengths. Electrically small unit cells yield an approximately constant magnitude of the voltage. As expected from the discussion of (15) and Fig. 2, the sawtooth-type phase approaches the effective medium limit for electrically small unit cells.

Fig. 3. Voltage across six closed-stopband NRI-TL unit cells operating at the  point of the Brillouin zone. The abscissa denotes the coordinate z , where integer values represent the position between the series capacitors, see = . Fig. 1. (a) Magnitude and (b) phase of the voltage. Dashed line:  Crosses:  = . Dots:  = .

= 030

=2 6

=2 4

= 2 10

Let us now compute the homogeneity coefficient for a general NRI-TL. The power in the spatial harmonics is

(16) which is an alternating harmonic series. It can be observed in spatial harmonic is only weakly (16) that already the harmonic since excited compared to the fundamental the magnitude of the right-hand-side term in (16) is small for ) NRI-TL operating in the an electrically small (i.e., ). The ratio of power vicinity of the -point (i.e., in the fundamental harmonic over the total power given by (7) is for the NRI-TL2

(17) using (16), the identity Taylor’s expansion homogeneity coefficient

, and the . From (8) this yields the

(18) 2It should be noted that (17) implies that the power in the fundamental spatial harmonic can be larger than the total power. This is likely due to the voltage V z not being in phase with the current I z . This can be changed by using instead the topology of Fig. 16, where all spatial harmonics are in phase, i.e., fu =u g  .

() arg

()

0

1524

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

which is less than one and decreasing in the left-handed band, toward i.e., for frequencies decreasing from . the eigenfrequency corresponding to Effective material parameters can be calculated using (9). Taking the transmission-line segments as nondispersive, , and performing a Taylor’s expansion of the effective material parameters defined in (9), and using (6) and (10), yields (19a) (19b) with and being the filling material of the transmissionline segments, determined by and . Comparing the second factor in both (19a) and (19b) with (17) and (18), one notes that this factor is approximately 1 for high homogeneities. Hence, in the case of high homogeneity, the NRI-TL can be characterized by effective material parameters following a Drude dispersive behavior.3 The series element determines the dispersion of the effective permeability while the shunt element determines the dispersion of the effective permittivity. This result is in agreement with [7] and [12]. There, the authors then show that a sheet of electric dipoles can be represented in an equivalent circuit by a shunt element, while a sheet of magnetic dipoles can be represented by a series element. An arrangement of electric and magnetic sheets separated by free space thus has the same equivalent circuit as the NRI-TL shown in Fig. 1. Similarly, in a physical realization of an NRI-TL discussed in [1] shunt vias yield electrical dipole moments, whereas an equivalent magnetic current could be identified in the gaps that physically realize series capacitors [1, pp. 98–106]. Alternatively, magnetic dipole moments can be identified in the loops that make up the NRI-TL. The reader is referred to Section III for related physical realizations. Further inspecting (19), one notes that deviations from Drude dispersive behavior are terms of second order in the electrical length of the host transmission line. Recalling that the lumpedelement approximation to the NRI-TL is a first-order approximation, it follows that within the bounds of a first-order approximation, the CRLH metamaterial unit cell [2] describes Drude dispersive behavior [13], [14]. For the quantitative evaluation of a metamaterial structure, it is practical to have a criterion separating homogeneous from inhomogeneous structures. While such a definition is necessarily somewhat arbitrary, let us inspect the second factors in (19), which describe the deviation from Drude dispersive behavior, and which coincide with (17). These terms should be close to one, so let us define the limiting homogeneity coefficient by (20)

=

3In the closed-stopband case, throughout the passband u =u Z is fulfilled, enabling the simple calculation of effective material parameters through (9) as an alternative to (19).

Considering (16), (17), and the definition of in (7), this defmeans that if a structure has at a certain point inition of then: 1) the of operation the homogeneity coefficient power in the fundamental spatial harmonic is times harmonic and 2) the power larger than the power in the in the fundamental harmonic is seven times larger than the sum of powers of all higher order spatial harmonics. Let us now compute the homogeneity coefficient for two cases: for a general NRI-TL in the case that the effective refractive index is equal to 1, and for a closed-stopband NRI-TL in the case that the effective refractive index is close to 0. Under the assumption that the homogeneity coefficient is close to 1, and thus, the effective material parameters in (19) follow a Drude dispersive behavior, an effective refractive index of 1 is obtained at the frequency (21) At this frequency, the homogeneity coefficient (18) is (22) The homogeneity coefficient in (22) is close to 1 for and achieves a maximum for , i.e., the closed-stopband case. This renders closed-stopband NRI-TL as favorable from a homogeneity point of view because, due to (22), larger of the unit cell are allowed. This, in turn, electrical lengths allows smaller reactive loading elements due to (14), making, in conclusion, physical realizations of the NRI-TL more amenable. Taking a closed-stopband NRI-TL with an electrical length of , and thus, , then (22) yields a homogeneity . coefficient of The second case is an NRI-TL having a closed stopband and operating at the -point. Equation (18) then yields (23) This indicates that even electrical lengths slightly larger than yield high homogeneity coefficients: A unit cell with an electrical size of , and thus, still has an . associated homogeneity coefficient of While the results (22) and (23) suggest that relatively large metamaterial unit cells still yield a high homogeneity coefficient, we will see in Section III that, in physical realizations of NRI-TL, the homogeneity coefficient is considerably decreased due to the transverse variation of the particular geometry, and accordingly, the transverse variation of the field distribution of the eigenmodes. A. Local Reflection Coefficient The voltage and currents on the NRI-TL discussed so far are the eigenmodes of the structure, i.e., these modes travel through the structure solely forward or solely backward, an eigenmode by definition does not get reflected, but in order to fulfill the boundary conditions, the eigenmode’s voltage and current on the transmission-line segments of the unit cell have a nonzero

ZEDLER AND ELEFTHERIADES: SPATIAL HARMONICS AND HOMOGENIZATION OF NRI-TL STRUCTURES

local reflection coefficient. In essence, deviations of the homogeneity coefficient from unity are due to the finite local reflection coefficient, thus, let us relate these two quantities. For this purpose, let us quantify the local reflection coefficient

1525

distribution of each mode, and the generalized voltages and currents are obtained by the scalar product (26) with the definition of the scalar product being with denoting the hermitian conjugate. In the case of a periodic structure, i.e., a 3-D structure with discrete translational symmetry, a suitable definition for the mapping from eigenmode fields to network quantities needs to be defined as well. Let us first assume that the set of modal and functions is known, and the lowest order functions are . The -dependent modal voltage and current for the transverse-fundamental mode are then for each mode

(24) for the aforementioned cases, i.e., for operation at the frequency (21) which yields an effective refractive index 1 and for a closed-stopband structure operating in the vicinity of the -point. Inserting (10), (11), and (13) into (24) and performing a Taylor’s expansion in the electrical length of the host transmission line, one obtains

(27a) (27b)

(25a) (25b)

Both for all

and

need to be normalized such that

(25c) Inspecting (25a), one notes that the first addend vanishes in the closed-stopband case. Hence, in agreement with (22), closedstopband structures are preferable both for a high homogeneity coefficient and a small local reflection coefficient. Comparing (25c) with (20), it shows that the two expressions differ by a constant factor. Hence, a closed-stopband structure operating in the vicinity of the -point with a homogeneity coefficient has a local reflection coefficient of , which equals 6 dB. The local reflection coefficient in the vicinity of the -point is critically depending on the closed-stopband condition due to the intheBlochimpedance(10),whichreducestoaconterm stant in the closed-stopband case. This is not the case for the homogeneity coefficient and motivates its definition based on the excitation of the fundamental harmonic. Furthermore, Appendix B presents an alternative NRI-TL topology; spatial harmonics are identical in magnitude to those discussed in this section, but the local reflection coefficient is significantly smaller. This gives further evidence that a better quantitative measure for the homogeneity of a metamaterial is based on the excitation of spatial harmonics, rather than the local reflection coefficient.

(28a) (28b) is fulfilled. With the definition of the modal voltage and current in (27), the calculation of spatial harmonics and the homogeneity can be performed as discussed in Section II, where the term describing the total power in (7) can be substituted by an integration over the real part of the longitudinal Poynting vector

(29) Thus far, we have only formulated the problem of mapping the fields to network quantities by restating it in terms of 3-D modal functions. The mapping performed in (27) suitably averages the transverse fields such that the power propagating through the structure is unchanged for every transverse plane, and (6) averages along the direction of propagation. In summary, this approach can be considered a generalization of the homogenization approach presented in [6]. A. Parallel-Plate Waveguide NRI-TL

III. PHYSICAL STRUCTURES While in Section II spatial harmonics in circuit models were analyzed, this section treats physical realizations of metamaterials. In order to calculate the field homogeneity in physical realizations, a suitable transverse averaging of the fields needs to and be performed, yielding equivalent network quantities . For 2-D structures, i.e., generalized cylinders with continuous translational symmetry along the third longitudinal dimension, this mapping from fields to network quantities is rigorously defined by means of modal functions [15], [16]: here, a bi-orrepresents the field thonormal set of modal functions and

As an example, let us analyze the physical structure shown in Fig. 4, a parallel-plate waveguide with inductive shunt vias and series open stubs (the stubs are perfectly open, i.e., terminated by a perfect magnetic conductor). These idealized series stubs were used instead of capacitive gaps in order to simplify the numerical simulation, as this yields a closed computational volume, which ensures good eigenmode convergence. The modal functions and are obtained by considering the following observations. 1) The reactive electric field is stored in the stubs and has a polarization orthogonal to the polarization in the parallelplate waveguide.

1526

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 5. Dispersion diagram to the parallel-plate waveguide NRI-TL shown in : GHz and Fig. 4. Solid line: circuit theory result from (12) using ! =    : rad. Circles: HFSS full-wave simulation results for the discrete via case. Crosses: HFSS full-wave simulation results for the inductive sheet case. Each circle/cross represents a separate full-wave simulation result. Data of discrete via full-wave simulations and circuit model from [11].

=

Fig. 4. Parallel-plate waveguide NRI-TL: wave propagation along the z -axis with x-polarization. Periodic continuation along the y - and z -axis. Dimensions of the structure: Unit cell length 8 mm, unit cell width 36 mm, parallel-plate waveguide height 1 mm, stub length 8 mm, stub thickness 500 μm, via width 500 μm. Complete structure simulated in Ansoft HFSS with a vacuum filling. (a) Grey shaded areas: perfect electric conductor boundary. Inductors implemented by shortening vias (single hatches), series capacitor by an open-terminated stub (flares pointing in the x-direction) with cross-hatches denoting a perfect open (i.e., simulated using a perfect magnetic conductor boundary). z -axis largely exaggerated for clarity. (b) 2-D sketch of the structure.

+

2) The dominant polarization in the waveguide is ; hence, has only an -component and only a -component. 3) The phase of the electric field is approximately constant can be assumed real for all transverse planes ; hence, valued. 4) The modal coefficients directly relate to the real part of the power flow, which is due to the magnetic field that is in-phase with the electric field. Hence, in addition to , also is real. Using these observations, the electric modal function is (30a) and the magnetic modal function is (30b) with denoting the unit vector in direction . The denominators in (30) ensure that the normalization condition (28) is fulfilled. Eigenmode simulations of the structure depicted in Fig. 4 were performed using Ansoft HFSS, the resulting dispersion diagram is presented in Fig. 5 (shown using circles). This structure has a closed stopband. The dispersion relation (12) of the structure’s equivalent circuit is shown using a solid line, the equivaGHz and lent-circuit parameters are rad. The deviation in the upper band is due to the fact that the circuit model employs a series capacitor, while the full-wave model uses an open stub. The fields within this metamaterial structure obtained from full-wave eigenmode simulations were exported to MATLAB for performing the computation of the modal functions, and subsequently the computation of the modal voltages/currents and the homogeneity coefficient (the normalization in (28) cannot be performed by the HFSS field post-processor, thus the fields need

= 0 488

2 =29

to be exported). The fields are shown in Fig. 6 for the left-handed band and in Fig. 7 for the right-handed band; Fig. 4(b) shows the geometry related to these field profiles. The first column shows , which vanishes in the vicinity of the via. The magnetic field is shown in the second column, it is a superposition of a reactive field in the vicinity of the via and a power-carrying contribution, with the reactive field dominating. The power-caris shown in the third column, and the real part of rying part of the longitudinal Poynting vector is shown in the fourth column. The modal functions of (30) are the normalized field distribuand shown in the first and tions third columns, and the modal functions are computed separately for each mode and each point in the Brillouin zone . The homogeneity coefficient (8), (29) of this structure is plotted in Fig. 8, the full-wave results are displayed using . The hocircles. The value at the -point is mogeneity coefficient fulfills for , where negative refer to the left-handed band and positive refer to the right-handed band. For comparison, the solid line in Fig. 8 shows the homogeneity coefficient as computed from circuit theory for the above-mentioned equivalent circuit having GHz and rad. In Section III-B, the behavior of the homogeneity coefficient will be analyzed in more detail for the present parallel-plate waveguide NRI-TL. Effective material parameters of the structure were calculated using (9) and are shown in Fig. 9. The ranges of values of the effective material parameters corresponding to a homoare and geneity . It shall be noted that for all eigenmode simulation results, is fulfilled, as expected for (noncomplex) eigenmodes of a lossless structure. While for the calculation of spatial harmonics with respect to the direction of propagation the transverse field distribution is not relevant, it is interesting to note that the transverse field distributions do not vary strongly in the right-handed band with (see Fig. 7). However, they do so in the left-handed band (see Fig. 6). This behavior is particularly striking when the Poynting and . vector in Fig. 6 is compared for The reason for this behavior is that, in the left-handed region, the loading elements dominate, therefore it should be expected that fields concentrate more closely to the loading elements as

ZEDLER AND ELEFTHERIADES: SPATIAL HARMONICS AND HOMOGENIZATION OF NRI-TL STRUCTURES

1527

Fig. 8. Field homogeneities for the parallel-plate waveguide NRI-TL shown in Fig. 4, as defined in (7), (8), and (29). Solid line: circuit theory result using ! =2 = 2:9 GHz and  =  = 0:488 rad. Circles: HFSS full-wave simulation results for the discrete via case. Crosses: HFSS full-wave simulation results for the inductive sheet case. Data of full-wave simulations and circuit model from [11].

Fig. 6. Fields of the eigenmode solution of the left-handed band. Coordinate system shown on top left, simulated geometry is shown in Fig. 4. Data from [11].

Fig. 9. Effective material parameters of the parallel-plate waveguide NRI-TL shown in Fig. 4. Filled circle: left-handed band. Filled triangle: right-handed band. Effective material parameters are only physically meaningful if the corresponding homogeneity coefficient is close to 1 (see Fig. 8). From [11].

B. Parallel-Plate Waveguide NRI-TL With Inductive Sheets

Fig. 7. Fields of the eigenmode solution of the right-handed band. Coordinate system shown on top left, simulated geometry is shown in Fig. 4. Color scale in agreement with Fig. 6. Data from [11].

compared to the right-hand case (where the shunt vias become open and the series capacitors shorts).

In Section III-A, it was shown that the modal functions separate the reactive near field of the wire grid that is co-polarized with the propagating wave from the total field. According to [12], a mesh of wires can be substituted with an averaged current, which is inductive. For arbitrary grids, the averaged current depends on the transverse spatial wavenumber. In the limit of dense grids, however, the mesh can be substituted by a simple inductive sheet (“averaged boundary condition,” see [12]). In this limit, the reactive field is confined to the plane of the inductive sheet (which is infinitely thin) and is thus not “visible.” In this limiting case, the modal functions needed to compute the and current in (27) become trivial: equivalent voltage where is the cross section of the parallel-plate waveguide. This limit of very dense wire grids can be simulated in the full-wave simulator HFSS by substituting the discrete via with an inductive sheet that covers the complete unit cell cross sec. The inductance of the sheet was chosen such that tion at the eigenmode frequency is identical at the -point to the case

1528

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 10. Sketch of the coaxial NRI-TL metamaterial. Grey: center conductor. Cross-hatches with green filling (in online version): dielectric in the capacitor connecting adjacent center conductors, red (in online version): via, hatched cylinder: outer conductor. Unit cell length: 1500 μm, series capacitor length: 51 μm, via width: 92 μm, inner conductor radius: 1 mm, outer conductor radius: 2.3 mm. Complete structure simulated in Ansoft HFSS with a vacuum filling.

with a discrete via, as shown in Fig. 5. It is interesting to note that not only at the -point, but throughout the Brillouin zone, the inductive sheet case dispersion (shown using crosses) is mostly identical with that of the discrete via case (shown using circles). The eigenmode fields show no transverse field variation, as expected. The homogeneity coefficient was computed and is depicted in Fig. 8 using crosses. It matches the homogeneity coefficient computed by circuit analysis (solid line), both in the leftand right-handed bands. Comparing the homogeneity coefficients for the left-handed case in Fig. 8(a), one notes that the (transverse) geometry variation, i.e., the via spacing, influences the (longitudinal) homogeneity of the fields. The homogeneity can be increased by decreasing the via distance while preserving the total shunt inductance, and thus, the eigenmode frequency. The latter can be ensured by decreasing the via diameter adequately. C. Coaxial NRI-TL The parallel-plate waveguide NRI-TL discussed in Section III-B represents an idealized case, in particular with respect to the stubs that are terminated by perfect magnetic conductors. Furthermore, the transverse field distribution within the parallel-plate waveguide is independent of the -coordinate, and hence, the modal functions solely depend on the -coordinate. Hence, in order to show the validity of the proposed modal function approach, an NRI-TL with full dependency on the transverse coordinates is analyzed in this section. This structure is shown in Fig. 10. It is a coaxial transmission line where the center conductors of adjacent unit cells are separated by capacitive gaps (shown using cross-hatches with green filling in online version) and a discrete via connects the inner to the outer conductor. The coaxial cross section was chosen to yield a characteristic , and the capacitive gaps and inductive impedance of via dimensions were tuned so that a closed-stopband configuration is obtained. The resulting dispersion diagram is shown in Fig. 11. Fig. 11(a) presents the full-wave simulation results of the three lowest order modes (shown using circles), and the dispersion relation of the structure’s equivalent circuit (denoted by a solid line). The values used for the circuit-theory disperGHz and sion relation (12) are

Fig. 11. Dispersion diagram of the coaxial NRI-TL shown in Fig. 10. Solid line: circuit theory result from (12) using ! =2 = 12:6 GHz and  =  = 0:396 rad. Circles: HFSS full-wave simulation results for the discrete via case. Crosses: HFSS full-wave simulation results for the inductive sheet case. Each circle/cross represents a separate full-wave simulation result. (a) Dispersion diagram showing the three lowest order modes. (b) magnified view of the lower frequency part of the dispersion diagram.

rad. In addition to the coaxial TEM mode, the host line supmode, its cutoff frequency for the case without ports a reactive loading is 29.5 GHz. Due to the loading, this cutoff frequency is shifted to 38 GHz, as can be seen in Fig. 11(a). At , the mode couples in a co-directional manner [17] to the right-handed band of the NRI-TL. Fig. 11(b) shows a magnified view of the lower frequency part of the dispersion diagram and also presents the dispersion relation of a coaxial NRI-TL where the discrete via is substituted by an inductive sheet (shown using crosses). The inductance of the sheet was tuned such that the -point frequencies match. Clearly, the dispersion relations for the discrete via case, the inductive sheet case and the circuit-theory case are in close agreement. and of The electric and magnetic eigenmode fields the coaxial TEM polarization are displayed in Figs. 12 and -cut is displayed in Fig. 12, where is the 13. The with denoting direction of propagation and -cuts was the position of the via. The radius for these chosen as the middle of the coaxial structure. The coordinate system is shown on the top left corners, the electric field is shown in the first column, and the magnetic field is shown in the second column. The power-carrying part of the magnetic field is shown in the third column and the longitudinal part of the Poynting vector is shown in the fourth column. Fig. 12 shows the eigenmodes in the left-handed and . band for The field profiles show a close resemblance to the parallel-plate waveguide NRI-TL discussed in Section III-A. The and the longitudinal Poynting vector compoelectric field nent vanish in the vicinity of the via. The magnetic field is largely reactive in the vicinity of the via, as can be seen by comparing the second and third columns. Also similar to the

ZEDLER AND ELEFTHERIADES: SPATIAL HARMONICS AND HOMOGENIZATION OF NRI-TL STRUCTURES

1529

Fig. 14. Field homogeneities of the left-handed band for the coaxial NRI-TL shown in Fig. 10, as defined in (7), (8), and (29). Solid line: circuit theory result using ! =2 = 12:6 GHz and  =  = 0:396 rad. Circles: HFSS full-wave simulation results for the discrete via case. Crosses: HFSS full-wave simulation results for the inductive sheet case.

Fig. 12. Fields of the eigenmode solution of the left-handed band for the coaxial structure shown in Fig. 10. Coordinate system displayed in the top left slice.

Fig. 13. Fields of the eigenmode solution of the left-handed band for the coaxial structure shown in Fig. 10. Coordinate system displayed in the top left. Color scale in agreement with Fig. 12.

parallel-plate waveguide case, deep within the left-handed band ), the Poynting vector is concentrated closely to (i.e., the vicinity of the loading element.

The -cut is displayed in Fig. 13 for the -plane, i.e., the plane of the shunt via. The coordinate system is shown . For in the top left corners, and again the via position is at is the unloaded coaxial line, a field dependency expected, therefore in order to make deviations from this behavior graphically better visible, Fig. 13 shows the field quanis shown in the first tities scaled by . The electric field is shown in the second column, and the magnetic field column. The power-carrying part of the magnetic field is shown in the fourth column. Fig. 13 in the third column and shows the eigenmodes in the left-handed band for and . , the radial field variation is proporFor the case tional to , which is expected for the coaxial transmission , line. Deeper within the left-handed band, i.e., for this is not longer the case, as the electric field, and as a consequence, also the longitudinal Poynting vector, are not trivially dependent on and . As a consequence, the modal functions for the coaxial NRI-TL also depend nontrivially on both and , which makes this structure a test case for the general transverse dependency case in (27). for the coaxial NRI-TL The homogeneity coefficient is displayed in Fig. 14 (shown using circles) for the lefthanded band. For comparison, the homogeneity coefficient for the circuit-theory case and the coaxial NRI-TL with the via substituted by a dense wire mesh (simulated in HFSS using an inductive sheet) are also displayed. Similar to the parallel-plate waveguide case, the circuit-theory result and the inductive sheet case closely agree and are higher than the discrete via case. This gives further evidence that the (transverse) geometry variation of the physical implementation of the NRI-TL, i.e., the transverse via spacing, affects the (longitudinal) field homogeneity. The homogeneity coefficient for the right-handed mode is not for . The computashown, it is tion of the homogeneity coefficient deeper into the right-handed band is problematic due to the aforementioned coupling with the mode. Considering the homogeneity coefficient for the is fulfilled for left-handed band in Fig. 14, . The resulting effective material parameters (9) were calculated and are displayed in Fig. 15. The ranges of values of the effective material parameters corresponding to a homogeneity are and .

1530

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 16. Circuit model of an alternative loaded transmission-line metamaterial topology.

the average electric field in the center of the unit cell Fig. 15. Effective material parameters of the coaxial NRI-TL shown in Fig. 10. Filled circle: left-handed band. Filled triangle: right-handed band. Effective material parameters are only physically meaningful if the corresponding homogeneity coefficient is close to 1, see Fig. 14.

IV. CONCLUSION In this paper, we have discussed NRI-TL metamaterial structures with respect to spatial harmonics. The power in the fundamental spatial harmonic was shown to yield a measure that relates to the homogeneity of the metamaterial. Circuit theory results and full-wave eigenmode simulations of physical NRI-TL structures were presented. The transverse field distribution within the unit cell was taken into account by means of modal functions. Under the condition of high homogeneity, the field distribution within a unit cell can be meaningfully approximated by a single plane wave, allowing for the definition of effective material parameters using the ratio of amplitudes of the fundamental spatial harmonics [6], [9], [10], [12]. High homogeneities within an NRI-TL metamaterial unit cell are obtained if both the unit cell is short compared to the guided wavelength (i.e., operation in the vicinity of the -point), and if the unloaded unit cell is short compared to the wavelength. If both conditions are fulfilled, then this can be defined as the longwavelength regime. A suitable design choice for an NRI-TL metamaterial is a unit cell size at the -point in the order of ; both for operation in the vicinity of the -point and also at the point of operation where the effective refractive index is 1. Further, in the case of a high homogeneity coefficient, the effective material parameters of an NRI-TL follow Drude dispersive behavior. It was shown that while the closed-stopband condition of the NRI-TL does not affect the field homogeneity critically, still the homogeneity coefficient is maximum in the closed-stopband case. Using full-wave simulations of a parallel-plate waveguide NRI-TL and a coaxial NRI-TL, it was shown that the transverse unit cell dimension affects the (longitudinal) field homogeneity. By increasing the transverse density of the vias in NRI-TL metamaterials, the homogeneity can be improved. APPENDIX A MACROSCOPIC AVERAGING According to [6], the effective permittivity of a cubic unit cell with an edge length is related to the average electric flux over

(31) The average electric flux is taken as the macroscopic average over the unit cell, such that it relates to the total charge as . By using modal functions (see [15], [16], and Section III), the free-space unit cell can be modeled by a TEM transmission line with the characteristic impedance . The charge per unit length length is then proportional to the equivalent current (32) Inserting (32) into (31) yields (33) Let us consider the case of an empty unit cell, i.e., free space. The equivalent current is then and (33) becomes (34) The term is a introduced by averaging over the complex exponential, essentially requiring the quasi-static limit . Therefore, in the case of the volumetric averaging method, one needs to calibrate out the term, in essence restoring back the quasi-static limit. This is automatically done when the fundamental spatial harmonic is used as the appropriate average quantity, see (9) and also ([6, p. 396 (penultimate paragraph)]). APPENDIX B ALTERNATIVE NRI-TL TOPOLOGIES In addition to the unit cell depicted in Fig. 1, an alternative unit cell using reactively loaded transmission lines is shown in Fig. 16.4 Its dispersion relation is identical to (10a) using the equivalent lumped elements (35a) (35b) Similar to (13), the loading elements are expressed in terms of and and the respective electrical the -point frequencies 4A further variation is the dual of Fig. 16, where the reactive “T”-circuit is substituted by a reactive “5.” The following computations in this section of the spatial harmonics in (37) and local reflection coefficient in (38) also apply to this “5”-type structure.

ZEDLER AND ELEFTHERIADES: SPATIAL HARMONICS AND HOMOGENIZATION OF NRI-TL STRUCTURES

lengths

and

of the transmission-line segment, yielding (36a)

(36b) Performing an expansion into spatial harmonics yields (37)

Comparing (16) with (37), one notes that the magnitude of the spatial harmonic coefficients are identical. The local reflection coefficients on the transmission-line segments for operation in the vicinity of the -point and at the frequency yielding an effective refractive index 1 are

1531

[9] D. Sjöberg, C. Engström, G. Kristensson, D. J. N. Wall, and N. Wellander, “A Floquet-Bloch decomposition of Maxwell’s equations, applied to homogenization,” Dept. Electrosci., Electromagn. Theory, Lund Inst. Technol., Lund, Sweden, Tech. Rep., 2003. [10] G. V. Eleftheriades, A. K. Iyer, and P. C. Kremer, “Planar negative refractive index media using periodically L–C loaded transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2702–2712, Dec. 2002. [11] M. Zedler and G. V. Eleftheriades, “Spatial harmonics and homogenisation of NRI-TL metamaterial structures,” in Proc. 39th Eur. Microw. Conf., Rome, Italy, 2009, pp. 504–507. [12] S. Tretyakov, Analytical Modeling in Applied Electromagnetics. Norwood, MA: Artech House, 2003. [13] M. Zedler, U. Siart, and P. Russer, “Circuit theory unifying description for metamaterials,” in Proc. URSI Gen. Assembly, Chicago, IL, 2008. [14] M. Zedler, “Systematic topological design of metamaterials” Ph.D. dissertation, Dept. Elect. Eng., Munich Univ. Technol., Munich, Germany, 2008 [Online]. Available: http://mediatum2.ub.tum.de/ node?id=653119 [15] L. B. Felsen and N. Marcuvitz, Radiation and Scattering of Waves. Piscataway, NJ: IEEE Press, 1994. [16] P. Russer, Electromagnetics, Microwave Circuit and Antenna Design for Communications Engineering, 2nd ed. Boston, MA: Artech House, 2006. [17] R. Collin and F. Zucker, Antenna Theory Part 2. New York: McGrawHill, 1969.

(38) where, for simplicity, only the closed-stopband case was considered in (38), i.e., , and thus, . Comparing (25) with (38), one notes that the local reflection coefficient in the latter case is significantly smaller (due to the squaring). In summary, while the magnitude of the spatial harmonics are identical in the NRI-TL topologies of Figs. 1 and 16, the local reflection coefficients on the transmission-line segments are significantly different. This shows that a quantitative measure for the homogeneity of a metamaterial needs to be based on the excitation of spatial harmonics, and not on the local reflection coefficient on the transmission-line segments. REFERENCES [1] Negative-Refraction Metamaterials, G. V. Eleftheriades and K. Balmain, Eds.. New York: Wiley, 2005. [2] C. Caloz and T. Itoh, Electromagnetic Metamaterials. New York: Wiley, 2006. [3] N. Engheta and R. W. Ziolkowski, Electromagnetic Metamaterials. New York: Wiley, 2006. [4] R. Marqués, F. Martín, and M. Sorolla, Metamaterials With Negative Parameters: Theory, Design, and Microwave Applications. New York: Wiley, 2008. [5] Handbook of Artificial Materials, F. Capolino, Ed. Bridgewater, NJ: Taylor & Francis, 2009. [6] D. R. Smith and J. B. Pendry, “Homogenization of metamaterials by field averaging,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 23, no. 3, pp. 391–403, 2006. [7] C. Simovski and S. Tretyakov, “Local constitutive parameters of metamaterials from an effective-medium perspective,” Phys. Rev. B, Condens. Matter, vol. 75, 2007, Art. ID 195111. [8] X. Chen, T. M. Grzegorczyk, B. I. Wu, J. Pacheco, and J. A. Kong, “Robust method to retrieve the constitutive effective parameters of metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 70, 2004, Art. ID 016608.

Michael Zedler received the Dipl.-Ing. degree in electrical engineering from the RWTH Aachen University of Technology, Aachen, Germany, in 2002, and the Dr.-Ing. degree from TU München, Munich, Germany, in 2008. He is currently a Post-Doctoral Researcher with the Department of Electrical and Computer Engineering, University of Toronto, Toronto, ON, Canada, where he is involved in the field of metamaterials.

George V. Eleftheriades (S’86–M’88–SM’02– F’06) received the Ph.D. and M.S.E.E. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 1993 and 1989 respectively, and the Electrical Engineering Diploma from the National Technical University of Athens, Athens, Greece, in 1988. From 1994 to 1997, he was with the Swiss Federal Institute of Technology, Lausanne, Switzerland. He is currently a Professor with the Department of Electrical and Computer Engineering, University of Toronto, Toronto, ON, Canada, where he holds the Canada Research Chair/ Velma M. Rogers Graham Chair in Engineering. His research interests include transmission-line and other electromagnetic metamaterials, small antennas and components for wireless communications, passive and active microwave components, plasmonic and nanoscale optical structures, fundamental electromagnetic theory and electromagnetic design of high-speed interconnects. Prof. Eleftheriades was an IEEE Antennas and Propagation Society (AP-S) Distinguished Lecturer (2004–2009). He was elected a Fellow of the Royal Society of Canada in 2009. He is an elected member of the IEEE AP-S Administrative Committee (AdCom). He is an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He is a member of the Technical Coordination Committee MTT-15 (Microwave Field Theory). He is the general chair of the IEEE AP-S/URSI 2010 International Symposium, Toronto, ON, Canada, July 2010. He was the recipient of the 2001 Ontario Premier’s Research Excellence and a 2004 E. W. R. Steacie Fellowship of the Natural Sciences and Engineering Research Council of Canada. He was also the recipient of the 2008 IEEE Kiyo Tomiyasu Technical Field Award.

1532

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Study of Extraordinary Transmission in a Circular Waveguide System Francisco Medina, Fellow, IEEE, Francisco Mesa, Member, IEEE, Jorge A. Ruíz-Cruz, Jesús M. Rebollar, and José R. Montejo-Garai

Abstract—Extraordinary transmission through periodic distributions of sub-wavelength holes made in opaque screens has been demonstrated and exhaustively studied along the last decade. More recently, extraordinary transmission has also been predicted and experimentally observed through electrically small diaphragms located inside hollow pipe waveguides. This last phenomenon cannot be explained in terms of surface waves excited along the periodic system (the so-called surface plasmon polaritons). Transverse resonances can be invoked, however, as a sound explanation for extraordinary transmission in this kind of systems. In this paper, a simple waveguide system, exhibiting exactly the same behavior previously observed in periodic 2-D arrays of holes, is analyzed in depth. Analogies and differences with the periodic case are discussed. The theoretical and experimental results reported in this paper provide strong evidence in favor of the point of view emphasizing the concept of impedance matching versus surface wave excitation. The role of material losses is discussed as an important practical issue limiting the maximum achievable subwavelength transmission level. Most of our conclusions can be applied to both periodic arrays of holes and diaphragms in closed waveguides. Index Terms—Circular waveguide discontinuities, extraordinary transmission, impedance matching.

I. INTRODUCTION HE electromagnetic phenomenon known as extraordinary (optical) transmission has been exhaustively studied by many physicists and electrical engineers since its discovery at

T

Manuscript received January 23, 2010; revised March 16, 2010; accepted March 23, 2010. Date of publication May 10, 2010; date of current version June 11, 2010. This work was supported by the Spanish Ministerio de Ciencia e Innovación and European Union FEDER funds under Projects TEC2007-65376, Project Consolider-Ingenio 2010 “Engineering Metamaterials” (Project CSD2008-00066), and by the Spanish Junta de Andalucía under Project TIC-4595. The work of F. Medina was supported by the Mobility for Human Resources Programme of the Spanish Ministry of Science and Innovation under Grant P2009-0405), which funded his stay with the Department of Electronic Engineering, Queen Mary University of London (QMUL), London, U.K. F. Medina is with the Microwaves Group, Department of Electronics and Electromagnetism, Faculty of Physics, University of Seville, 41012-Seville, Spain (e-mail: [email protected]). F. Mesa is with the Microwaves Group, Department of Applied Physics 1, ETS de Ingeniería Informática, University of Seville, 41012-Seville, Spain (e-mail: [email protected]). J. A. Ruiz-Cruz is with the Escuela Politécnica Superior, Universidad Autónoma de Madrid, E-28049 Madrid, Spain (e-mail: Jorge.RuizCruz@uam. es). J. M. Rebollar and J. R. Montejo-Garai are with the Departamento Electromagnetismo y Teoría de Circuitos, Universidad Politécnica de Madrid, 28040Madrid, Spain (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2048254

the optical frequency range [1]. Authoritative in-depth reviews are available today [2]–[4]. In spite of the use of the word optical, this enhanced frequency-selective transmission can be observed at any frequency range [5]–[9]. In most situations, extraordinary transmission is linked to either the existence of a periodic (or pseudoperiodic in the case of cylindrically symmetrical systems) perturbation of the region around an individual sub-wavelength hole [10]–[13] or to the existence of a fully periodic structure whose unit cell includes the sub-wavelength hole. The phenomenon is also observed in 1-D periodic gratings involving sub-wavelength slits [14]–[16]. Though any real system is not completely periodic because of the limited size of the diffraction grid and/or the illuminating spot (see, for instance, the discussion in [17]), the fundamental physics of the phenomenon can be accurately accounted for by the study of an ideal periodic system excited by a uniform plane wave. This, in turn, can be reduced to the study of a single unit cell. Currently, the dominant paradigm associates extraordinary transmission with the ability of periodically structured surfaces to support surface waves. These waves (named spoof plasmons) mimic the behavior of optical surface plasmon polaritons supported by metal/dielectric interfaces [18]–[22]. However, some researchers have reported extraordinary transmission through periodic structures in cases for which the polarization precludes the excitation of surface plasmon polaritons [23]. Even more relevant in the context of this paper is the prediction of the existence of extraordinary transmission at microwave/millimeter-wave frequencies through electrically small diaphragms placed inside hollow pipe waveguides [24]–[29]. Experimental evidence of this type of transmission has recently been reported by the authors in [30]. In that paper, the authors experimentally show how an off-centered diaphragm inside a circular cross-section waveguide exhibits the same extraordinary phenomenon previously studied in 2-D periodic arrays of holes. This result was theoretically predicted in [24] using a mode-matching analysis. It is apparent that surface plasmon polaritons do not play any role in the hollow pipe waveguide situation. Instead of surface waves, eigenmodes of the type discussed in [24], [29], and [31] should be considered to be responsible for this extraordinary transmission. This kind of resonances has also been considered responsible of extraordinary transmission through 2-D arrays of holes in an early paper [32]. Recently, some of the authors of this paper proposed an alternative paradigm to explain extraordinary transmission phenomena through 2-D [26] and 1-D [33] arrays of apertures. This approach leads to simple circuit-like models whose parameters can be evaluated with very small computational effort. The model lies on the

0018-9480/$26.00 © 2010 IEEE

MEDINA et al.: STUDY OF EXTRAORDINARY TRANSMISSION IN CIRCULAR WAVEGUIDE SYSTEM

concept of impedance matching (very familiar to microwave engineers) rather than on the concept of intermediary surface wave excitation. A first advantage of such a point of view is that it provides a unified framework to explain extraordinary transmission, not only through periodic arrays of apertures (1-D or 2-D), but also through small diaphragms placed inside closed waveguides. Another practical advantage of our proposal is that circuit models have only a few parameters to be determined. Some parameters are known in closed form (from dimensions and electrical properties), while others can easily be extracted from a few full-wave simulations. In some sense, a circuit model can be viewed as an ad hoc reduced order model, which, once the parameters are known, quickly gives the wideband response of the structure. Moreover, with some basic knowledge of circuit and waveguide theory, it is relatively simple to predict the qualitative behavior of a new structure without performing any involved computation. In this paper, we will study in depth the transmission through subwavelength off-centered rectangular and elliptical apertures located inside a circular waveguide. Experimental and theoretical results will show that this system behaves as previously studied 2-D arrays of holes. In fact, the provided theoretical explanation for the observed transmission spectra is the same for both kind of systems. In our opinion, this analogy clearly supports the idea that the nature of the phenomenon could be more general than previously suggested, in perfect agreement with the point of view subscribed by the authors of [34], although using rather different arguments. The structure under study will also be used to analyze the significant influence of losses in this kind of systems. This issue is very important because of its practical implications when the size of the aperture is small. The effect of losses is theoretically anticipated using our conceptual scheme and then experimentally demonstrated. The influence of the thickness of the screen, and the nature of the fields around critical frequencies, is also explored and discussed. II. THIN DIAPHRAGM INSIDE A CLOSED CIRCULAR WAVEGUIDE In this section, we will focus on the study of a particular waveguide discontinuity: an off-centered diaphragm inside a hollow circular waveguide (see Fig. 1). The choice of a circular waveguide, rather than rectangular, is mainly motivated by its close “modal” correspondence with the unit cell of a 2-D periodic array of holes. The equivalent-circuit model for the circular waveguide with a diaphragm will be shown to be almost identical to that used in [26]. In this section, we will first study the simplest case: a lossless system with very small diaphragm thickness. Later, the equivalent circuit will be slightly modified, adding a resistor, to account for losses. The qualitative theoretical predictions will be compared with experimental data, thus showing the strong impact of losses when the holes are very small. The influence of the geometry of the hole will also be briefly discussed by comparing a rectangular hole with an elliptical one. The full-wave numerical data presented in this paper have been computed by using either a homemade optimized high-performance code based on mode matching (following the guidelines in [35] and [36]) or the commercial fi-

1533

Fig. 1. (a) Rectangular and (b) elliptical diaphragms that have been placed inside a circular cross-section waveguide. Note that s denotes the distance between the centers of the waveguide and the hole. In our experiments, a : mm, s : mm (rectangular hole) or a : mm, : mm, b a : mm, s : mm (elliptical hole). (c) Schematics of the discontinuity problem studied in this paper using mode matching and circuit modeling. (d) Experimental setup including coax to rectangular waveguide and rectangular-to-circular waveguide transitions. (e) Detail of the two diaphragms employed.

= 20 = 70 10 0 = 30 = 60

= 13 0

=

nite-element electromagnetic solver High Frequency Structure Simulator (HFSS). A. Zero-Thickness Diaphragms: Statement of the Problem and Basic Theory The problem to be considered here is the possibility of total (or enhanced) transmission of electromagnetic waves through an electrically small aperture made in a thin metal plate that covers the cross section of a circular waveguide (the thick case will be treated later). Fig. 1 shows the schematics of the problem and also the two different rectangular and elliptical diaphragms used in our study. If an electromagnetic wave impinges on a single sub-wavelength hole practiced in a flat metal screen, it is clearly expected that the level of transmission through the hole is low (this is the case for the diaphragm dimensions reported in the caption to Fig. 1 and for frequencies below the cutoff of the first higher order mode of the circular waveguide, namely, 11.77 GHz). It is known from accepted extraordinary transmission theory [3], [4], however, that periodically grouping many of these sub-wavelength holes gives rise to high transmis-

1534

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 2. (a) Equivalent circuit for very thin diaphragms in the circular waveguide (! stands for the angular frequency). (b) Equivalent circuit for arbitrary thickness of the perforated plate (the inductances of the  -circuit depend on the thickness t of the diaphragm). (c) Equivalent circuits used for even and odd L and L LkL= . symmetry excitations. In these circuits, L

=2

=2

(

2)

sion peaks observed at frequencies for which the wavelength is larger, but close to, the period of the structure. Our question now is if a similar effect takes place when the hole is located inside a hollow waveguide. The theory based on excitation of spoof surface plasmon polaritons cannot help us here because these waves have no meaning in this context. However, the theories reported in [26] and [24], [28], and [29] predict that extraordinary transmission should also happen in this situation. The excitation by the discontinuity of an evanescent near-cutoff TM mode of the waveguide would provide the mechanism to achieve perfect matching impedance, and thus, total transmission. From the microwave engineer’s point of view, the problem can be viewed as the textbook analysis of a diaphragm disconmode supported by tinuity [37], [38]. The fundamental the circular waveguide impinges on the aperture and is scattered back and forth. In particular, we will be interested in the reflection and transmission coefficients of that mode for frequencies below the cutoff of higher order modes. In this frequency range, higher order scattered modes will store the excess of electric (TM modes) or magnetic (TE modes) energy around the discontinuity. This electromagnetic fact can be accounted for by means of appropriately chosen capacitances and inductances in an equivalent circuit model [37], [38], such as that shown in Fig. 2(a) (which remains valid for finite, but small thicknesses, mm). In this figure, the such as in our case: mode propagating along the uniform circular waveguide sections at both sides of the discontinuity is represented by two transmission lines. This equivalent circuit is very close to the one used in [26] for periodic 2-D arrays of holes with the only differences coming from the frequency-dependent behavior of and propagation conthe characteristic impedance stant of the transmission line used now. In the present case, we deal with a dispersive waveguide mode, while in [26], we dealt with a TEM nondispersive mode. The values of and have been easily extracted from a

few frequency values of the numerically computed scattering parameters, as shown in Appendix A. In [26], it was shown that this model predicts extraordinary (total) transmission at a frequency slightly below the cutoff frequency of the first higher order TM mode supported by the relevant waveguide. The relevant waveguide (unit cell) for normal incidence in [26] was an ideal parallel-plate waveguide; the first higher order mode was . The presence of the transmission peak was associated with the singular behavior of [see Fig. 2(a)] near the cutoff mode [26, eqs. (2) and (3)]. The lack frequency of the of consideration of such behavior is, likely, one of the reasons of the late discovery of the extraordinary transmission in the microwave community. In the circular waveguide problem under study, the mode providing the singular behavior of is mode. The symmetry of the fields of this mode now the (together with the symmetry of the impinging field pattern) in Fig. 1) to ensure forces the use of off-centered holes ( its excitation. If the same rationale used in [26] is applied to the present problem, our equivalent-circuit model predicts total transmission of electromagnetic waves—provided losses are neglected—at some frequency below and near the cutoff mode. In [30], numerical and experifrequency of this mental results are reported proving this fact. The experimental results in [30], together with new theoretical simulations based on mode matching and circuit theory, are shown in Fig. 3 (for a description of the experimental setup, see Fig. 1(d) and [30, Fig. 1]). Note that a transmission maximum is observed at certain frequency (11.42 GHz) that is well below the resonance frequency expected for the isolated rectangular aperture (about 15 GHz). This experimental frequency of the transmission maximum is mode ( close and below the cutoff frequency of the GHz for the nominal dimensions of the employed cirmm). As predicted by our model, cular waveguide, the transmission peak is immediately followed by a transmismode ( becomes sinsion dip at the cutoff frequency of gular at that frequency). This transmission dip is the so-called Rayleigh–Wood’s anomaly in the frame of the theory of periodic gratings. The above behavior is the same reported in many previous papers for 2-D arrays of holes (experiments and simulations). The reason for this analogy is that the underlying models provided by our theory are very close for those, in principle, physically different problems. It was previously mentioned that the circular waveguide with an off-centered diaphragm is a convenient geometry to illustrate the significance of our theory. This point might deserve some additional clarification, and in particular, it should be clarified why the rectangular (or square) waveguides proposed in [31] or [28] have not been used. The first reason is that those cross sections are not appropriate to clearly show our point that impedance matching is an apparent physical fact different from periodicity: the boundary conditions at rectangular (or square) waveguide walls could be (theoretically) reproduced using suitable multiple plane-wave excitation of a periodic 2-D distribution of holes. Thus, it could be reasonably argued that no new physics would appear in our approach. There is, however, another important practical issue: the first higher order mode that can be involved in extraordinary transmission in the rectangular

MEDINA et al.: STUDY OF EXTRAORDINARY TRANSMISSION IN CIRCULAR WAVEGUIDE SYSTEM

Fig. 3. Extraordinary transmission with a rectangular hole: experiment (solid line; black in online version) and theory (full-wave mode-matching results) with nominal waveguide dimensions (dash dotted line; pink in online version) and when slightly different circular cross-section waveguides, compatible with fabrication tolerances, are considered (dashed line; blue in online version). Circles correspond to the circuit model predictions with parameters extracted from a few full-wave points. (a) Transmission (jS j) and reflection (jS j) coefficients. (b) Detail of the transmission dip frequency region. Dimensions (in millimeters) as in Fig. 1: r = 9:75 (nominal), a = 10, b = 2, s = 7, t = 0:35. r = 9:71, r = 9:73 (assumed values of waveguide radii to account for the two closely spaced transmission zeros).

1535

One of the new features come from the close observation of the experimental transmission dips reported in [30, Fig. 2]. In particular, it can be observed that instead of a single transmission dip, there are two closely spaced transmission dips. The details of this part of the experimental transmission spectrum is now reproduced in Fig. 3(b). In order to explain this unexpected observation with our theory, we can argue that, in the case of periodic structures, the waveguides assumed in our model at both sides of the discontinuity are virtual and, therefore, they are identical. However, the circular waveguides of our experimental setup are unlikely identical (due to fabrication tolermodes at both ances). Thus, the cutoff frequencies of the sides of the diaphragm might be slightly different. According to our theory, it implies that the capacitance at both sides of the discontinuity will be singular at two slightly different frequencies, which would coincide with the cutoff frequencies of the modes of the different circular waveguides: GHz GHz, respectively (labels used in Fig. 3(b) and and other subsequent figures). Between the two singular points, the overall capacitance has large, but finite values. Therefore, our model predicts that the waveguide radii of the two waveguide sections at both sides of the diaphragm should actually be 9.73 and 9.71 mm, which is utterly within the fabrication tolerances. When these radii are used in mode-matching simulations (blue lines in online version of Fig. 3), the whole transmission spectrum is captured with excellent agreement. Fig. 3(a) also shows that the slight discrepancy between experimental and theoretical transmission peaks, corresponding to the nominal value of , completely disappears in the new simulations. Since these waveguides have been used in subsequent experiments, these radii will be used in Section II-C. C. Elliptical Aperture

(or square) section case is the mode (the mode is used in [28] because the authors considered a centered hole). Since there would always be two propagating modes below the mode, it had precluded the decutoff frequency of the sired single-mode operation regime because any small discontinuity (including excitation probes) would have excited the two propagating modes. In such a case, the interpretation of the results, and of the analogy with the original 2-D periodic problem, would be rather difficult. A third positive feature of the use of circular waveguide is that standard rectangular to circular section transitions are available. Before performing experiments, the whole system (coax-to-rectangular waveguide transitions, rectangular to circular mode converters, and the circular waveguide sections) was tested for the possibility of spurious reflections. Very satisfactory return losses below 30 dB were measured in the absence of the diaphragm, which confirms the suitability of the present setup for our purposes. B. Transmission Dips As already mentioned, the main results described above were published in [30], where good agreement between experiments and theory (mode matching and circuit modeling) was reported. In the following, we will extend our previous research on this topic and will present new phenomenology and discussions.

In addition to the rectangular shape, an elliptical aperture slightly larger than the rectangular one was built and measured. The comparison between experimental results, mode matching results, and circuit theory data are given in Fig. 4. Very good agreement is found again between theory and experiment provided the same circular waveguide radii used in Fig. 3 are used here. It can then be concluded that the circuit model accurately accounts for the behavior of the aperture regardless of its shape, provided this shape is relatively simple (complex patterns such as Jerusalem crosses, fractal geometries, etc., are clearly expected to require more sophisticated circuit models). When comparing these results with those corresponding to the rectangular hole, it can be observed that the transmission dips are not affected by the change of shape and size of the hole. This is consistent with the fact that the cutoff frequency of the mode is actually what controls these dips. However, the transmission peak is shifted to lower frequencies and the bandwidth is now larger. This transmission peak can still be called extraordinary because the expected transmission peak, in the absence of the circular waveguide environment, should be close to the onset frequency of the first mode of the elliptical waveguide forming the hole (in this case, 13.827 GHz). It is then the mode of the circular waveguide what influence of the yields the frequency downshift. From the circuit point of view, this result can easily be explained by the expected higher value

1536

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

the reflection coefficient. Moreover, losses are smaller for the elliptical hole (0.25-dB insertion loss against 0.75 dB for the rectangular aperture), which has a resonance frequency lower than that of the rectangular hole. Among other possible alternatives, conductor losses can be included in the circuit model by simply adding a resistor connected in series to the inductance (we ignore propagation losses along the nonideal circular waveguide sections because of their negligible contribution). After doing of the -tank resonator in this, the effective impedance ) Fig. 2(a) at the theoretical resonance frequency ( is given by (1)

Fig. 4. Extraordinary transmission with an elliptic hole: experiment and theory (mode-matching results: MM). (a) Transmission (jS j) and reflection (jS j) coefficients. (b) Detail of the zero transmission frequency region. Dimensions (in millimeters) as in Fig. 1: r = 9:75 (nominal), a = 13, a = 3, s = 6, t = 0:35, r = 9:71, and r = 9:73 (actual values).

of the inductance provided by the elliptical hole, in comparison with that of the rectangular hole, due to the slightly larger size of the elliptical hole. The extracted parameters for the circuit nH for the model confirm this qualitative prediction: nH for the elliptical one. In rectangular aperture and this situation, the capacitance required to reach the resonance tank in Fig. 2(a) is smaller for the elliptical condition of the hole. The resonance can then be obtained at a frequency not too mode). close to the singularity (cutoff frequency of the The system can be viewed as an resonator loaded by the transmission lines shown in Fig. 2(a). The resonance frequencies of the rectangular and elliptical holes are not very different, but the capacitance of the rectangular hole is meaningfully larger than that the elliptical aperture (the reverse happens for the inductance). In such a case, conventional circuit theory predicts a smaller 3-dB bandwidth for the resonator associated with the rectangular aperture, as it is clearly observed in the simulations and measurements of Figs. 3(a) and 4(a). Thus, all the main features of the observed transmission spectrum can be anticipated using the circuit model in a rather trivial manner. III. INFLUENCE OF LOSSES ON EXTRAORDINARY TRANSMISSION In this section, we will show the effect of conductor losses on the transmission and reflection coefficients, and how these effects can be accounted for by our equivalent-circuit model. From the experimental results reported in [30], and also those in Fig. 4, it can be concluded that losses give rise to a slight reduction in the transmitted power without any meaningful effect on

where represents the skin-effect resistance of the metal plate with the hole. Some incremental inductance should have also been added, but it is assumed to be negligible in comparison with . The above expression tells us that the impedance of the res(perfect cononator at resonance is infinite when ductor case). This is true for any pair of values of and , which means that total transmission peaks are predicted for the mode for lossless case below the cutoff frequency of the any size of the hole (i.e., for any value of its inductance). Small holes would provide very low inductance values, thus requiring large values of to yield resonance. These high values of can only be reached at frequencies very close to Rayleigh–Wood’s anomaly. In such circumstances, the transmission peak would also be extremely narrow, although it could be observed by a sufficiently fine frequency scan. This fact has been verified in many full-wave simulations reported in the literature (see, for instance, [24] for a circular aperture inside a cylindrical waveguide). However, the presence of losses can dramatically modify the above scenario. If losses are actually low, we can expect some slight attenuation, such as that shown in the experimental results in Figs. 3(a) or 4(a). The meaning of low losses in this context strongly depends on the size of the hole for a given frequency range and metal choice. For instance, the cases treated in Fig. 4 or in [30] can be considered as “low losses” cases. The holes, although sub-wavelength in size, are large enough to have relatively high in (1) is values of inductance. In such a case, the ratio . Impedance matching is, large enough to make therefore, still quite good at resonance, and low reflection is obtained. Absorption is weak because the impedance of the circuit branch with and is relatively large, thus avoiding high currents at resonance. It should be noticed that current in the circuit model accounts for the level of surface current flowing over the metal plate in the physical system. Roughly speaking, holes with relatively large dimensions (along the direction perpendicular to the impinging electric field) have large inductances. In such a case, current flowing through the resistance (i.e., the surface current over the metal plate) is relatively small if compared with the current flowing in the case of smaller holes. Large holes are, therefore, related to good matching and low losses. If the dimension of the hole along the direction perpendicular to the polariza-tank cirtion of the incident field is reduced, current in the

MEDINA et al.: STUDY OF EXTRAORDINARY TRANSMISSION IN CIRCULAR WAVEGUIDE SYSTEM

1537

Fig. 5. Experimental, numerical, and analytical results (including losses) for the transmission (jS j) and reflection (jS j) coefficients of a rectangular hole of very small size. Dimensions (in millimeters): r = 9:75, a = 5:7, b = 2, s = 7, and t = 0:35. Numerical results with losses are obtained with Ansoft Corporation’s HFSS considering a surface boundary condition with metal conductivity of  = 10 S/m.

Fig. 6. Calculated (HFSS) values of transmitted (jS j ), reflected (jS j ), and absorbed (1 S ) power for 12 different rectangular holes S made in a thin conductive plate of conductivity  = 10 S/m. Dimensions of the holes: b = 2 mm, a = 5:5 + (n 1)0:5 mm (n = 1; 2; . . . ; 12). The waveguide dimensions are the same as in previous figures.

cuit (and, therefore, ohmic losses) automatically increases due to the smaller value of the impedance of the inductive and capacitive branches of the resonator (if reasonable matching is assumed, the driving voltage is similar for large and small holes). Certainly, higher current through yields higher losses. Note that the current in the resonator can be much larger than that in the transmission lines at both sides of the discontinuity (this latter current is associated with the value of the magnetic field of the incident and transmitted waves) if the holes are small enough (low inductance holes). This predicted current crowding for small holes is fully consistent with the reported field enhancement over the perforated plate at extraordinary transmission frequencies in periodic systems. In many papers, this field enhancement is actually considered the main reason for extraordinary transmission [1], [3]; total transmission, though, is still possible without field enhancement if the apertures are large enough (indeed, this is the case for conventional frequency selective surfaces). Low inductance values, however, are responsible for another important effect. If resonance is achieved with in (1) can be of low inductance and high capacitance, , thus the same order of magnitude or even smaller than giving place to strong mismatching. Our model not only predicts strong material losses, but also strong mismatching for small holes in lossy walls. For small enough holes, the previous rationale suggests that poor transmission, due to strong mismatching and absorption, should be expected when the theoretical (lossless) extraordinary transmission frequency is very close to Rayleigh–Wood’s anomaly. This prediction has been experimentally verified by using a rectangular diaphragm having almost half of the length of the aperture used in [30] or, in this paper, in Fig. 3. No new iris was actually built; instead, we partially obturated the original 10-mm aperture with adhesive copper sheets and measured the resulting slit dimensions using a microscope. It results on an effective conductivity meaningfully smaller than that of the aluminium employed to fabricate the irises. The value of this effective conductivity was estimated from the comparison of HFSS simulations with measured data. The results are shown in Fig. 5, which include simulated (HFSS), measured, and circuit-theory

data. Simulated data have been obtained using the nominal radii of the waveguides and the radii deduced from the transmission zeros. The conductivity used in HFSS simulations was 10 S/m for the plate with the iris. The ohmic resistance in the circuit model was computed by just allowing the inductance to be complex (its imaginary part is trivially related to the resistance). It is remarkable how the circuit model perfectly captures the details of the spectrum for the lossy case when very small holes are involved. Note that a weak transmission peak, very close to Rayleigh–Wood’s anomaly, can be clearly seen for the transmis. Impedance matching is very poor, as it is sion coefficient clear from the reflection coefficient . Moreover, an important fraction of the power is dissipated by the perforated metal plate ). (dissipated power is readily computed as In this particular example, 84.1% of the incident power is reflected, 0.6% is transmitted, and 15.3% is dissipated. From the circuit theory point of view, the observed spectrum can easily in be explained. For the extracted values of , , and , , which causes very (1) is quite small in comparison with poor matching even at resonance. The practical important consequence of this fact is that we cannot have high transmission peaks at frequencies too close to Rayleigh–Wood’s anomaly due to the limitation imposed by ohmic losses (i.e., we cannot have “extraordinary transmission” for deeply sub-wavelength holes in lossy walls). This is an important drawback for the practical applications of the potential highly selective properties that extraordinary transmission could provide at microwave or millimeter-wave frequencies. In order to have a quantitative idea about the severity of this limitation, we have studied the transmission properties of our waveguide system for various sizes of the rectangular aperture. The results have been obtained using S/m. Transthe HFSS simulator using a conductivity of mitted, reflected, and absorbed power (at the maximum transmission frequency point) are shown in Fig. 6 for a number of rectangular holes having different widths ( ranging from 11 to 5.5 mm in steps of 0.5 mm). Transmissivity (red line in online version), which should reach unity in a lossless system, strongly degrades for values of below 8.0 mm due to both absorption and mismatching. This clearly indicates that the degree of

0j j 0j j

0

1538

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

squeezing of electromagnetic waves into small apertures is severely limited by the presence of actual conductor losses. At this point, it should be highlighted that the above discussion on the effects of losses in the circular waveguide problem also applies to 2-D periodic distributions of holes. Thus, it would be very difficult to observe a genuine extraordinary transmission peak in common experiments with frequency-selective surfaces at microwave frequencies unless the experiment is suitably designed for that purpose, as was done in [6] (the experimental results reported in that paper give us a good opportunity to clarify our analysis). In [6], the authors studied several perforated aluminium screens having various thicknesses. Though our analysis up to now is only valid for very thin screens (thick screens is the subject of Section IV), the qualitative predictions concerning the role of losses is quite similar for thin and thick screens, provided that the thickness is not too large. In [6], the lattice constant (namely, the size of the square unit-cell waveguide as demm and the cylindrical fined, for instance, in [26]) was mm and 1.0 mm. For mm, holes have radii the situation is very close to the experimental situation studied in this paper, and more specifically, to the elliptical diaphragm case. The parameters relevant to establishing the comparison is the ratio of the cutoff frequency of the TM mode involved in mode in the case of the the extraordinary transmission ( mode of the square cross-seccircular waveguide and tion parallel-plate waveguide in [6]) and the cutoff of the fundamental mode of a hollow pipe waveguide having the same cross section as the hole (elliptical hole in our case and circular hole in mm, this ratio is 0.85 in [6] and 0.853 in our [6]). For experiment. Looking at the experimental results in [6, Fig. 3] mm and mm, almost for the thinnest screen total transmission is observed for a wavelength that is about mode of the unit 1.04 times the cutoff wavelength of the cell waveguide. Our results for the elliptical hole give almost total transmission at a wavelength that is 1.07 times that of the mode of the circular waveguide. The case mm in [6], however, corresponds to an extraordinary transmission frequency much closer to Rayleigh–Wood’s anomaly (in this case, from the inset in [6, Fig. 2], the expected extraordinary transmission wavelength to cutoff wavelength ratio is 1.012). In the frame of our model, this ratio decrease comes from the smaller inductance provided by the hole, which should yield poor impedance matching and higher losses. This is clearly what is found in the experimental results reported in [6, Fig. 3]: transmm was 95%, while less than 17% is meamission for mm . These experisured for a slightly smaller hole mental results are fully consistent with our predictions and results in spite of dealing with different systems. Again, the reason is that the underlying physics is basically the same. IV. EFFECTS OF THE DIAPHRAGM THICKNESS In many simulations of extraordinary transmission through 2-D periodic arrays of holes in metallic walls with nonnegligible thickness, the existence of two transmission peaks, rather than a single one, has been reported. A detailed study of the double peak and its dependence on screen thickness can be found in [5, Fig. 4] or [3, Fig. 8], although this double peak also appears in many other papers [6], [39]. Nevertheless, to the best of the

authors’ knowledge, no experimental evidence of this double peak phenomenon has been reported in the literature, which is probably due to its high sensitivity to actual losses. Our equivalent-circuit approach certainly predicts the existence of such a double peak in the lossless case; it also provides a simple explanation of why losses destroy one of those peaks. In [26], some -tank circuit model was promodifications of the simple posed with the purpose of incorporating the effect of the screen thickness (2-D arrays of holes case). This model successfully predicts the double peak, as well as the dependence of the position of the peaks with respect to the screen thickness. Actually, two different circuits were proposed in [26]. The simpler one used a -circuit with three inductances, a series inductance , , which account for the reactive and two shunt inductances energy stored inside the hole by the dominant TE modes below cutoff. This circuit model is adapted here to deal with the expected double peak phenomenon in the circular waveguide with a thick diaphragm. The circuit is represented in Fig. 2(b). The -circuit made of inductors is the simplest way of representing TE modes below cutoff inside a waveguide section. The only difference with the case treated in [26] is that the propagating modes in the input and output waveguides are different from those considered in [26]. In spite of those minor differences, it is clearly expected that the qualitative behavior of the transmission spectrum of both problems should be the same, provided that the circular waveguide sections operate in the single-mode regime. The parameters of this model can once again be extracted from numerical/experimental results for just three arbitrarily chosen frequency points (see Appendix B). The behavior of transmission peaks with respect to the diaphragm thickness is shown in Fig. 7(a) for some particular cases ignoring losses. As expected, the type of transmission spectrum is qualitatively identical to that obtained for periodically perforated structures. It is worth mentioning how the nonzero thickness of the perforated plate makes the extraordinary transmission frequencies shift toward the Rayleigh–Wood’s anomaly. For instance, the total transmission frequency for the zero thickness screen in Fig. 3 is around mm 11.42 GHz for a rectangular hole with dimensions and mm; the same aperture in a thick 5.0-mm screen has a peak around 11.7 GHz. This is easily understandable from the circuit point of view: the internal inductance of the hole open cavity is shunt connected with the external inductance of the TE modes in the circular waveguide. The resulting global inductance is meaningfully smaller than that of the zero-thickness screen case. This inductance decrease explains the shift to higher frequencies of the transmission peak. Fig. 7(a) also shows the evolution of the two transmission peaks as the thickness increases. The peaks get closer and closer as the thickness is larger and larger, in perfect agreement with the results reported in [3], [5], and [26]. For very thick screens, no peak is observed because of the exponentially vanishing interaction between the two sides of the diaphragm. The influence of losses can be appreciated in Fig. 7(b), which shows HFSS simulations including ohmic metal losses (in this case, a typical effective conductivity of 10 S/m for a not polished aluminium surface has been used). These simulations show how dissipation and mismatching cause certain

MEDINA et al.: STUDY OF EXTRAORDINARY TRANSMISSION IN CIRCULAR WAVEGUIDE SYSTEM

Fig. 7. Transmission coefficient (jS j) of the rectangular hole for different values of the metal thickness t (other dimensions in millimeters are: r = 9:75, a = 5:7, b = 2, and s = 7). (a) Lossless case (accurate mode-matching results). (b) Same geometry considering metal losses (HFSS data). Circles denote circuit model results.

attenuation in the wider bandwidth peak, while the second peak (always very narrowband and close to Rayleigh–Wood’s anomaly) almost completely disappears, in agreement with our qualitative predictions. Obviously, a similar behavior should be expected for extraordinary transmission systems based on periodic structures. Thus, typical levels of metallic losses would preclude a clear observation of the second peak. Superconducting screens, supported by low-loss dielectrics, could be used for the observation of the second peak. V. HIGHER ORDER FIELDS AROUND EXTRAORDINARY-TRANSMISSION FREQUENCY In this section, the modal field distributions in the neighborhood of the extraordinary transmission and Rayleigh–Wood’s anomaly frequencies will be studied. For the case of a rectangular hole, Fig. 8 shows the transmission coefficients of the , and evanescent modes, transmitted propagating mode. The amplitude coefficients referred to the incident are computed at an output reference plane placed at a distance mm from the hole plane, as shown in Fig. 9. It can be seen in Fig. 8(a) that the transmission coefficient of both modes reaches a maximum at the corresponding total transmission frequencies. The amplitude of the fundamental mode and the first higher order mode are quite similar for the total transmission frequencies that are very close to the Rayleigh–Wood’s mode, anomaly frequency. The relative amplitude of the however, exponentially increases as the total transmission frequency approaches to Rayleigh–Wood’s anomaly. For instance,

1539

Fig. 8. Transmission coefficient (obtained by mode matching) of the rectangular hole for different values of the rectangular aperture a (other dimensions in millimeters are: r = 9:75, b = 2, s = 7, and t = 0:35). (a) Transmission coefficients, referred to the incident TE mode, of the TE and TM modes at the output waveguide (reference plane at a distance d = 10 mm of the hole plane). (b) Detail of the frequency region close to the Rayleigh–Wood’s anomaly.

for mm, the amplitude level of the fundamental mode and first higher order mode are quite similar (10 mm away from mm, the amplitude ratio is more than the hole plane); for 8 dB in favor of the evanescent mode. It is also worth studying ) in this the amplitude of higher order modes (higher than structure. Table I shows the amplitudes of the first few higher order modes supported by the circular waveguides for four different rectangular apertures. These amplitudes are evaluated at the extraordinary transmission frequency. It is clear that higher order mode amplitudes are very small and almost independent mode, which of the size of the aperture, except for the apparently dominates the field distribution when the aperture is electrically small. A detailed inspection of the frequency region corresponding to the second peak (lossless case), which is extremely close to Rayleigh–Wood’s anomaly, is shown in Fig. 8(b). This plot shows more than 25 dB of difference between the two transmission coefficients at the total transmission frequencies. (This difference would obviously be larger at the discontinuity plane within the considered due to the evanescent nature of frequency range.) This fact is a clear example of a huge field enhancement associated with extraordinary transmission in our closed waveguide, similar to that reported in the literature for the extraordinary optical transmission through periodic holey screens [3].

1540

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Rayleigh–Wood’s anomaly. It could be an interesting way of obtaining high levels of field concentration, as required in some optical applications. Unfortunately, we have already shown that real losses will drastically reduce the field level. Nevertheless, significant field enhancement is still expected even in lossy systems, being more important at optical frequencies, where metals are not ohmic conductors, but lossy plasmas. VI. CONCLUSIONS



Fig. 9. Electric field pattern for frequencies close to the total transmission (f f ) and to the Rayleigh–Wood’s anomaly (f f ) for two rectangular apertures of size: (i) a = 8 mm and (ii) a = 11 mm.



This paper has presented a detailed experimental and theoretical study of the transmission properties of small diaphragms placed inside a hollow pipe circular waveguide. The analogy existing with extraordinary transmission observed in periodically perforated screens has been highlighted. If emphasis is put on impedance matching rather than on surface wave excitation, a unified theory can be used to explain both physical situations. The resonant behavior of near fields around the aperture are responsible for impedance matching and, thus, extraordinary transmission in both problems. The theoretical and experimental study of the role of material losses reported in this paper leads to the conclusion that very small holes in real metal screens would yield poor transmission due to strong mismatching and absorption. The circuit model arising from our analysis has simplified the physical interpretation of the experimental results. This model also helps to extract conclusions about what actually can be expected from extraordinary transmission systems and about how to improve their electrical behavior for specific purposes with potential practical applications. APPENDIX A

TABLE I RELATIVE AMPLITUDES (IN DECIBELS) OF INCIDENT AND HIGHER ORDER MODES FOR SEVERAL VALUES OF APERTURE WIDTH. b = 2:0 mm

The expression given in [26, eq. (4)] can readily be adapted to the circuit in Fig. 2(a) to give (2) Assuming the following frequency dependence of the capacitance [26]:

Electric field distributions, obtained by HFSS simulations, are plotted in Fig. 9 for the total transmission and Rayleigh–Wood’s anomaly frequencies [maximum peaks and transmission dips in Fig. 8(b)]. If the aperture is small, mode Fig. 9(i) shows that the fields are dominated by the (with no circumferential variation in the field) at a distance of 10.0 mm from the discontinuity plane. This situation changes for larger holes, at least at the total transmission frequency. On the left-hand side of Fig. 9(ii), it is apparent that the field mode (with vertical distribution is dominated by the polarization). Nevertheless, around the Rayleigh–Wood’s anomaly frequency, fields are, again, totally dominated by the mode. This is because there is no meaningful attenuation of the high density fields associated with such mode so close to cutoff. It is interesting to note that the magnitude of the total electric field has a peak at total transmission frequencies (total fields at Rayleigh–Wood’s anomaly point are small) and increases as the total transmission frequency approaches the

(3) where is the cutoff frequency of the first higher order mode in the case under study), (2) can be rewritten as ( (4) If we write the above equation for three different frequency values, the following linear system of equations will be obtained:

(5) whose solution gives us the values of unknown parameters , and .

,

MEDINA et al.: STUDY OF EXTRAORDINARY TRANSMISSION IN CIRCULAR WAVEGUIDE SYSTEM

APPENDIX B excitation method is applied to the If the even/odd transmission line circuit shown in Fig. 2(b), it is found that the reflection coefficient corresponding to the even/odd excitation [see Fig. 2(c)] is given by (6) where

Considering now the even excitation, we see that can readily be obtained from the numerically computed reflection and transmission coefficient of the whole system as (7) Expression (6) can be rewritten as (8) which taken into account the explicit frequency dependence of given in (3) can be cast in the following way: (9) This last expression makes it possible to write the following linear system of equations to find the values of the unknown parameters , , and from just three numerical evaluations at different frequencies: of

(10) The value of expression:

can finally be computed from the following

(11) where can be one of the frequencies employed in the previous equation. ACKNOWLEDGMENT Author F. Medina would like to thank Prof. Y. Hao, Queen Mary University of London (QMUL), London, U.K., for his continuous support and fruitful discussions. REFERENCES [1] T. W. Ebbesen, H. J. Lezec, H. F. Ghaemi, T. Thio, and P. A. Wolff, “Extraordinary optical transmission through sub-wavelength hole arrays,” Nature, vol. 391, pp. 667–669, Feb. 1998. [2] C. Genet and T. W. Ebbesen, “Light in tiny holes,” Nature, vol. 445, pp. 39–46, Jan. 2007. [3] F. J. García-de-Abajo, “Colloquium: Light scattering by particle and hole arrays,” Rev. Modern Phys., vol. 79, pp. 1267–1290, Oct.–Dec. 2007.

1541

[4] F. J. García-Vidal, L. Martín-Moreno, T. W. Ebbesen, and L. Kuipers, “Light passing through subwavelength apertures,” Rev. Modern Phys., vol. 82, pp. 729–787, Jan.–Mar. 2010. [5] L. Martin-Moreno, F. J. Garcia-Vidal, H. J. Lezec, K. M. Pellerin, T. Thio, J. B. Pendry, and T. W. Ebbesen, “Theory of extraordinary optical transmission through subwavelength hole arrays,” Phys. Rev. Lett., vol. 86, pp. 1114–1117, Feb. 2001. [6] M. Beruete, M. Sorolla, I. Campillo, J. S. Dolado, L. Martín-Moreno, J. Bravo-Abad, and F. J. García-Vidal, “Enhanced millimeter-wave transmission through subwavelength hole arrays,” Opt. Lett., vol. 29, no. 21, pp. 2500–2502, Nov. 2004. [7] M. Beruete, M. Sorolla, I. Campillo, J. S. Dolado, L. Martín-Moreno, J. Bravo-Abad, and F. J. García-Vidal, “Enhanced millimeter-wave transmission through quasioptical subwavelength perforated plates,” IEEE Trans. Antennas Propag., vol. 53, no. 6, pp. 1897–1903, Jun. 2005. [8] X.-Y. He, X.-N. Fu, and Y.-W. Luo, “Analysis of the extraordinary transmission properties of arrays of subwavelength holes on a metal film in the terahertz region,” J. Mod. Opt., vol. 56, no. 15, pp. 1698–1703, Sep. 2009. [9] S. A. Kuznetsov, M. Navarro-Cía, V. V. Kubarev, A. V. Gelfand, M. Beruete, I. Campillo, and M. Sorolla, “Regular and anomalous extraordinary optical transmission at the THz-gap,” Opt. Exp., vol. 17, no. 14, pp. 11730–11738, Jul. 2009. [10] H. J. Lezec, A. Degiron, E. Devaux, R. A. Linke, L. Martín-Moreno, F. J. García-Vidal, and T. W. Ebbesen, “Beaming light from a subwavelength aperture,” Science, vol. 297, pp. 820–822, 2002. [11] A. A. Oliner and D. R. Jackson, “Leaky surface-plasmon theory for dramatically enhanced transmission through a subwavelength aperture, Part I: Basic features,” in IEEE AP-S Int. Symp., Jun. 22–27, 2003, vol. 2, pp. 1091–1094. [12] D. R. Jackson, T. Zhao, J. T. Williams, and A. A. Oliner, “Leaky surface-plasmon theory for dramatically enhanced transmission through a sub-wavelength aperture, Part II: Leaky-wave antenna model,” in IEEE AP-S Int. Symp., Jun. 22–27, 2003, vol. 2, pp. 1095–1098. [13] S. S. Akarca-Biyikli, I. Bulu, and E. Ozbay, “Enhanced transmission of microwave radiation in one-dimensional metallic gratings with subwavelength aperture,” App. Phys. Lett., vol. 85, no. 7, pp. 1098–2000, Aug. 2004. [14] V. G. Sologub, V. P. Schestopalov, and G. G. Polovnikov, “Diffraction of electromagnetic waves on the grating with narrow slits,” Russian J. Tech. Phys., vol. 37, no. 4, pp. 667–679, 1967. [15] J. A. Porto, F. J. García-Vidal, and J. B. Pendry, “Transmission resonances on metallic gratings with very narrow slits,” Phys. Rev. Lett., vol. 83, no. 14, pp. 2845–2848, Oct. 1999. [16] H. J. Rance, O. K. Hamilton, J. R. Sambles, and A. P. Hibbins, “Phase resonances on metal gratings of identical, equally spaced alternately tapered slits,” App. Phys. Lett., vol. 95, pp. 041905(1)–041905(3), Oct. 2009. [17] J. Bravo-Abad, A. Degiron, F. Przybilla, C. Genet, F. J. Garciía-Vidal, L. Martín-Moreno, and T. W. Ebbesen, “How light emerges from an illuminated array of subwavelength holes,” Nature Phys., vol. 2, pp. 120–123, Feb. 2006. [18] J. B. Pendry, L. Martín-Moreno, and F. J. García-Vidal, “Mimicking surface plasmons with structured surfaces,” Science, vol. 305, pp. 847–848, Aug. 2004. [19] F. J. García-de-Abajo and J. J. Sáenz, “Electromagnetic surface modes in structured perfect-conductor surfaces,” Phys. Rev. Lett., vol. 95, pp. 233901-1–233901-4, Dec. 2005. [20] W. L. Barnes, A. Dereux, and T. W. Ebbesen, “Surface plasmon subwavelength optics,” Nature, vol. 424, pp. 824–830, Aug. 2003. [21] C. R. Williams, S. R. Andrews, S. A. Maier, A. I. FernándezDomínguez, L. Martín-Moreno, and F. J. García-Vidal, “Highly confined guiding of terahertz surface plasmon polaritons on structured metal surfaces,” Nature Photonics, vol. 2, pp. 175–179, Mar. 2008. [22] M. J. Lockyear, A. P. Hibbins, and J. R. Sambles, “Microwave surfaceplasmon-like modes on thin metamaterials,” Phys. Rev. Lett., vol. 102, pp. 073901-1–073901-4, Feb. 2009. [23] E. Moreno, L. Martín-Moreno, and F. J. García-Vidal, “Extraordinary optical transmission without plasmons: The s-polarization case,” J. Opt. A, Pure Appl. Opt., vol. 8, pp. S94–S97, 2006, 10.1088/14644258/8/4/S07. [24] N. G. Don and A. A. Kirilenko, “Eigenoscillations of plane junctions and total transmission through small holes,” in Proc. 37th Eur. Microw. Conf., Munich, Germany, Oct. 8–12, 2007, pp. 24–27. [25] F. Medina, F. Mesa, and R. Marqués, “Equivalent circuit model to explain extraordinary transmission,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, Jun. 15–20, 2008, pp. 213–216.

1542

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

[26] F. Medina, F. Mesa, and R. Marqués, “Extraordinary transmission through arrays of electrically small holes from a circuit theory perspective,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 3108–3120, Dec. 2008. [27] R. Gordon, “Bethe’s aperture theory for arrays,” Phys. Rev. A, Gen. Phys., vol. 76, pp. 053806(1)–053806(5), 2007. [28] Y. Pang, A. N. Hone, P. P. M. So, and R. Gordon, “Total optical transmission through a small hole in a metal waveguide screen Bethe’s aperture,” Opt. Exp., vol. 17, no. 6, pp. 4433–4441, 2009. [29] N. G. Don, A. A. Kirilenko, and S. L. Senkevich, “New type of eigenoscillations and total-transmission resonance through an iris with below-cutoff hole in a rectangular waveguide,” Radiophys. Quantum Electron., vol. 51, no. 2, pp. 101–108, Feb. 2008. [30] F. Medina, J. A. Ruiz-Cruz, F. Mesa, J. Rebollar, J. R. Montejo-Garai, and R. Marqués, “Experimental verification of extraordinary transmission without surface plasmons,” Appl. Phys. Lett., vol. 95, pp. 0711021–071102-3, Aug. 2009. [31] A. A. Kirilenko and A. O. Perov, “On the common nature of the enhanced and resonance transmission through the periodical set of holes,” IEEE Trans. Antennas Propag., vol. 56, no. 10, pp. 3210–3216, Oct. 2008. [32] A. G. Schuchinsky, D. E. Zelenchuk, and A. M. Lerer, “Enhanced transmission in microwave arrays of periodic sub-wavelength apertures,” J. Opt. A, Pure Appl. Opt., vol. 7, pp. S102–S109, 2005. [33] F. Medina, F. Mesa, and D. C. Skigin, “Extraordinary transmission through arrays of slits: A circuit theory model,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 105–115, Jan. 2010. [34] K. Y. Bliokh, Y. P. Bliokh, V. Freilikher, S. Savel’ev, and F. Nori, “Colloquium: Unusual resonators: Plasmonics, metamaterials, and random media,” Rev. Mod. Phys., vol. 80, pp. 1201–1213, Oct.–Dec. 2008. [35] R. H. MacPhie and K. L. Wu, “Scattering at the junction of a rectangular waveguide and a larger circular waveguide,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2041–2045, Sep. 1995. [36] K.-L. Chan and S. Judah, “Two port scattering at an elliptical-waveguide junction,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1255–1262, Aug. 1997. [37] N. Marcuvitz, Waveguide Handbook, ser. MIT Radiat. Lab. New York: McGraw-Hill, 1951, vol. 10, new ed., IEE/Peregrinus, 1986. [38] R. E. Collin, Field Theory of Guided Waves, 2nd ed. Oxford, U.K.: Oxford Univ. Press/IEEE Press, 1995. [39] L. Martín-Moreno and F. J. García-Vidal, “Optical transmission through circular hole arrays in optically thick metal films,” Opt. Exp., vol. 12, no. 16, pp. 3619–3628, Aug. 2004. Francisco Medina (M’90–SM’01–F’10) was born in Puerto Real, Cádiz, Spain, in November 1960. He received the Licenciado and Doctor degrees from the University of Seville, Seville, Spain, in 1983 and 1987 respectively, both in physics. From 1986 to 1987, he spent the academic year with the Laboratoire de Microondes de l’ENSEEIHT, Toulouse, France. From 1985 to 1989, he was an Assistant Professor with the Department of Electronics and Electromagnetism, University of Seville, where, since 1990, he has been an Associate Professor of electromagnetism. He is currently a Full Professor of electromagnetism (since July 2009) and Head of the Microwaves Group. He is a Reviewer of over 30 IEE, IEEE, and American Physics Society journals. His research interest includes analytical and numerical methods for guiding, resonant, and radiating structures, passive planar circuits, periodic structures, and the influence of anisotropic materials (including microwave ferrites) on such systems. He is also interested in artificial media modeling and design and extraordinary transmission phenomena. Dr. Medina is a Fellow of the Massachusetts Institute of Technology (MIT) Electromagnetics Academy. He is on the Editorial Board of the International Journal of RF and Microwave Computer-Aided Engineering. He is a reviewer of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES He has

been a member of the Technical Programme Committees (TPCs) of several international and local conferences and has organized a few conferences and workshops. He was the recipient of a Spanish Ministerio de Educación y Ciencia (MEC) Research Scholarship and a French Ministére de la Recherche et la Technologie Scholarship. Francisco Mesa (M’93) was born in Cádiz, Spain, in April 1965. He received the Licenciado and Doctor degrees in physics from the Universidad de Sevilla, Seville, Spain, in 1989 and 1991, respectively. He is currently an Associate Professor with the Departamento de Física Aplicada 1, Universidad de Sevilla, Seville, Spain. His research interests focus on electromagnetic propagation/radiation in planar structures. He is also involved with extraordinary transmission and metamaterials.

Jorge A. Ruíz-Cruz received the Ingeniero de Telecomunicación degree and Ph.D. degree from the Universidad Politécnica de Madrid (UPM), Madrid, Spain, in 1999 and 2005, respectively. Since 1999, he has collaborated with the Grupo de Electromagnetismo Aplicado y Microondas, UPM. Prior to beginning the doctoral program, he was with RYMSA in 2000. Since 2006, he has been with the Universidad Autónoma de Madrid, Madrid, Spain. His current research interests are the computer-aided design of microwave passive devices and circuits (filters, multiplexers, ortho-modes, etc.). Dr. Ruíz-Cruz was the recipient of an award of Telefónica Foundation-COIT for his doctoral thesis and an award from the UPM. Jesús M. Rebollar was born in Beasain (Gipuzkoa), Spain, in 1953. He received the Ingeniero de Telecomunicación degree and Doctor degree from the Universidad Politécnica de Madrid, Madrid, Spain, in 1975 and 1980, respectively. Since 1976, he has been with the Grupo de Electromagnetismo Aplicado y Microondas, Universidad Politécnica de Madrid, as an Assistant Professor until 1982, and then as an Associate Professor until 1988, when he was appointed Professor of Teoría Electromagnética. His research interest include electromagnetic wave propagation in waveguide structures, interactions of electromagnetic fields with biological tissues, and particularly computer-aided design (CAD) for microwave and millimeter-wave passive devices: filters, multiplexers, polarizers, orthomode transducers, beam forming networks, etc. He has designed many of the above components for communication systems on board satellites. José R. Montejo-Garai was born in Vitoria-Gasteiz, Spain, in 1965. He received the Ingeniero de Telecomunicación and Ph.D. degrees from the Universidad Politécnica de Madrid, Madrid, Spain, in 1990 and 1994, respectively. Since 1989, he has been with the Grupo de Electromagnetismo Aplicado y Microondas, Universidad Politécnica de Madrid, as an Assistant Professor until 1996, after which he became an Associate Professor. His research interests include the analysis and characterization of waveguide structures, advanced synthesis theory, and computer-aided design (CAD) for microwave and millimeter-wave passive devices: filters, multiplexers, orthomode transducers, beam-forming networks, etc. He has designed numerous passive microwave devices for communication satellites.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1543

Generating Pure Circular TEmn Modes Using Y-Type Power Dividers Tsun-Hsu Chang, Member, IEEE, Cheng-Hsien Li, Chi-Nan Wu, and Ching-Fang Yu

Abstract—This paper presents a methodology of exciting a pure modes using cascaded Y-type power dividers. circular The dividers partition the input signal into several parts, which are then coupled to a circular waveguide through apertures. The coupling apertures induce magnetic dipoles. With proper arrangement of the magnetic dipoles on the circumference of the circular waveguide, they then jointly excite the desired mode. The coupling strength and the mode purity are calculated using the reciprocity theorem and magnetic current sources. Three mode converters, i.e., 21 01 , and 41 , were designed, built, and tested at -band. Back-to-back transmission measurements exhibit excellent agreement to the results of computer simulations when the conductor loss is taken into consideration. The measured transmissions are high and the bandwidths are broad. These Y-type converters are structurally simple, but the machining errors are critical. The factors affecting the performance will be discussed in detail.

TE

TE

TE

TE

Index Terms—Converter, coupler, gyrotron, millimeter wave, mode purity, terahertz, wave launcher.

I. INTRODUCTION

E

XCITING a specific waveguide mode plays a key role in many applications, such as the gyrotron traveling-wave tube (gyro-TWT) [1], [2] and the gyrotron backward-wave oscillator (gyro-BWO) [3], [4]. In the gyro-TWT, the mode converter launches a wave of a specific mode into the interaction structure to interact with the electron beam; while, in the gyroBWO, the mode converter extracts the wave power at the upstream end. In addition to the gyrotron applications, the mode converters can be used in microwave/plasma systems [5]–[8], radar/antennas systems [9], and rotary joints [10], [11]. Many techniques have been developed to excite a specific mode. By the coupling method, they can be classified into two types: serpentine/corrugated structure [12]–[21] and sidewall coupling structure [22]–[32]. Taking the gyrotron as an example, the general requirements for the mode converter/ launcher include high converting efficiency, high mode purity, broad bandwidth, high-power capability, and short converting length. The first four requirements are comprehensible, but the Manuscript received January 06, 2010; revised March 10, 2010; accepted March 12, 2010. Date of publication May 18, 2010; date of current version June 11, 2010. This work was supported by the National Science Council of Taiwan under Contract NSC-98-2112-M-007-035-MY3. T.-H. Chang, C.-H. Li, and C.-N. Wu are with the Department of Physics, National Tsing Hua University, Hsinchu 300, Taiwan (e-mail: thschang@phys. nthu.edu.tw). C.-F. Yu is with the Taiwan Semiconductor Manufacturing Company (TSMC), Hsinchu 300, Taiwan. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2048252

W

Fig. 1. Cutoff frequency versus waveguide radius. For the -band operation, the desired waveguide radii are 1.74, 2.15, and 3.00 mm, for TE , TE , and TE , respectively.

last term needs some explanation. A typical mode converter is used to launch or extract a specific mode. If the converting length is too long, parasitic modes will be excited and will spoil the electron beam. Both coaxial couplers [22]–[28] and Y-type converters [29]–[32] meet this requirement. Furthermore, the Y-type converters have larger coupling holes without the need of the resonant structure, which are capable of high-power operation, and in general, have broader bandwidth. Thus, Y-type converters are superior over other converters in the gyrotron applications. The Y-type converter uses a Y-shape structure to partition the wave into two portions of equal amplitude. By cascading Y-shape power dividers, one can generate proportional waves to excite the desired mode. Fig. 1 shows the relation of the cutoff frequency versus the waveguide radius for the modes of interest. , , and Aiming at -band, the suggested radii for the modes are 1.74, 2.15, and 3.0 mm, respectively, which share a common cutoff frequency of 84 GHz. When exciting a specific waveguide mode, other modes with lower cutoff frequency might also be excited. These modes are called the parasitic modes, as shown in Fig. 1 and listed in Table I. Table I summarizes the desired modes and their corresponding coupling structures, radii, and parasitic modes. Three , , and , are listed. The number of modes, coupling apertures needed to excite the desired mode will be mode, we have discussed in detail later. To excite the and . For a nonazto consider the excitation of or ), we imuthally symmetric mode ( can find two independent linear polarizations, denoted by the sub-index A and B. As shown in Table I and Fig. 1, the higher order mode to be excited, the more difficulty we will encounter.

0018-9480/$26.00 © 2010 IEEE

1544

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

TABLE I DESIRED MODES AND THEIR CORRESPONDING COUPLING STRUCTURES, WAVEGUIDE RADII, AND PARASITIC MODES (FROM [32]). THE NUMBER OF COUPLING APERTURES CAN BE DETERMINED FROM THE FIELD PROFILE, AS SHOWN IN FIG. 3. THE WAVEGUIDE RADIUS AND THE PARASITIC MODES CAN BE READ FROM FIG. 1

For example, to excite the mode, we have to deal with as many as 14 modes. This means when the desired mode is excited, the concentration of the other 14 modes shall be kept as low as possible. This, of course, is very difficult. This study elaborates the mechanism of exciting a specific mode, first proposed in [32]. Methods to generate a pure desired mode with magnetic dipoles and reject the unwanted modes are analyzed. A complete formulism of estimating the mode purity is presented for the first time. Simulated mode purity verifies the theoretical prediction. Fabrication, measurement setup, and experimental results are shown. Bandwidth optimization and the formation of the unwanted dip are discussed. II. MODE SYNTHESIZING Excitation of a given mode can be achieved using properly arranged electric or magnetic current sources. These current sources come from the probe feeds, loop feeds, or coupling apertures. The sidewall coupling apertures, capable of high power operation, are thus the best choice for this study. Mode excitation from an arbitrary electric and magnetic current source can be found in [33]. Here we present a complete approach to exmode using multiple magnetic curciting a cylindrical rent sources. A. Induce Current Sources The idea of the sidewall coupling structure is to equip the waveguide with some dipoles. Fig. 2(a) shows the geometry of the sidewall coupling structure under study. A rectangular wavemode) is converted into the deguide mode (normally the mode) through sidesired circular waveguide mode (the wall coupling apertures. Each coupling structure is formed by connecting a rectangular waveguide with a circular waveguide. The coupling aperture could induce equivalent electric and magnetic dipole moments. The electric dipole moment is propor, while the magnetic dipole tional to the normal electric field moment is proportional to the tangential magnetic field as follows: (1a) (1b)

Fig. 2. (a) Schematic of the sidewall couplings. Controlling the amplitude and phase of the input signals, one can synthesize the desired TE mode. (b) Induced  ).  and H magnetic dipoles P jointly generate the electromagnetic field (E Superscript + denotes the forward moving wave, while the backward wave is totally reflected back due to the cutoff section.

are positions of the observer and the aperture; where and and are constants that depend on the size and shape of the aperture, and is the permittivity. Due to the coupling geometry, the normal component of the electric field is zero, but the tangential component of the magnetic field is nonzero. Only magnetic dipoles will be produced with polarization parallel to the axis of the circular waveguide, as shown in Fig. 2(b). Thus, the equivalent electric and magnetic polarization currents ( and ) are

(2a) (2b) where the wave is assumed to be sinusoidal time-dependent ; is the permeability, is the amplitude of the tanindicates the position of the th gential magnetic field, and coupling hole. In a later discussion, how a different arrangement of coupling holes excites a different TE mode will be presented. In addition to the magnetic dipoles, small electric dipoles will also be induced due to large coupling aperature, but they play minor roles in the mode synthesis. B. Synthesize the Desired Mode The current sources just induced might excite the desired mode, as well as parasitic modes. In this section, we provide a method to show how the desired mode is synthesized and how the parasitic modes are suppressed. Before proceeding with the calculation, a useful theorem in electromagnetism is to be mentioned—the reciprocity theorem [33]. Consider two sets of and and their corresponding current sources and . A time-dependent factor is fields assumed for each field. Maxwell’s equations are satisfied individually by the corresponding of sources and fields. In the

CHANG et al.: GENERATING PURE CIRCULAR TE

MODES USING Y-TYPE POWER DIVIDERS

1545

present case, we assume to be the only nonzero current source. The reciprocity theorem then reads

(3)

, the corresponding and are assumed Since to be a certain circular waveguide mode. In addition, and are the fields that will be generated in the circular . In the following discussion, the waveguide due solely to waveguide is assumed to be parallel to the axis for simplicity. When a wave is excited in a waveguide, it will propagate in both directions. In this case, the backward wave will hit the cutoff section, as shown in Fig. 2(a), and totally reflect back. Thus, only the forward wave will be considered. The resulting electric and in the cylindrical waveguide and magnetic fields can be expressed as

(4a) (4b) and are the normalized fields of the th where represents the amplitude of the th mode. In the mode and above expressions, the fields are written as the combination of transverse and longitudinal components. In the summation notations, the index runs through all possible waveguide modes and signs indicate a forward propagating wave. Fig. 3 shows the field profiles of the , , and converters with the magnetic field at the side view and the electric field at the top view. The figures shown in Fig. 3 are obtained using Ansoft Corporation’s High Frequency Structure Simulator (HFSS). From this figure, together with Fig. 2, we conclude that these three modes can all be excited using the magnetic curmode is rent source technique mentioned above. The fold symmetric. To excite a pure mode, we need magnetic current sources. Thus, to excite and modes, two and four coupling holes are preferred, respectively. As to mode, characterized by its circularly electric field, we the can use either two, four, or even higher magnetic dipoles. Here, a four-coupling structure is chosen, which is sufficient to rule out other parasitic modes. The coupling structure mentioned prevent the excitations of TM modes, and with properly arranged magnetic current sources, only the desired mode would be generated. As a demonstration of how the magnetic dipoles are formumode is presented as follows: lated, the case for the

Fig. 3. Side view of the magnetic field and top view of the electric field for the: (a) TE mode, (b) TE mode, and (c) TE mode. The field profiles are calculated using HFSS. Two couplings can excite TE modes, while four couplings with proper phase control can excite either TE or TE mode.

where is the tangential field (along the -direction) and is a constant depending on the geometry of the hole. Four magnetic dipoles evenly distributed in the circumference are assumed. Substituting (5) and (4) into (2b) and (3) with the lengthy calculation, one would find the solution for the amplitude of the th mode

(6) where is a normalization constant proportional to the power flow of the th mode and . Using this methodology, we can determine the coupling strength and analyze the mode purity in Section II-C. C. Analyze Mode Purity

(5)

Using the above-mentioned approaches, we could synthesize the desired mode. However, some unwanted modes may inevitably be generated and result in a serious mode-competition problem in a gyrotron experiment. Therefore, mode purity is an important issue in the design of a mode converter.

1546

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1) Mode: The field pattern of the mode is twofold symmetric. Dual feeds are preferred. The magnetic dipoles can be expressed as

The amplitudes of desired and parasitic modes can be obtained by substituting (11) into (2b) and (3). They are shown as follows:

(12a) (7)

(12b)

The amplitudes of the desired and parasitic mode can be derived by substituting (7) into (2b) and (3). They read

Other modal amplitudes equal zero. Unlike like previous two examples in which only the desired mode has nonvanished ammode is the major parasitic mode since it also plitude, the favors the quad-feed coupling structure. We can estimate the power ratio using the following equations. Modal wave power is

(8a) (8b) The arrangement of dual inputs only excites the linear polarmode, e.g., , and luckily, it elimiization of the nates non-twofold symmetric modes. Thus, the major parasitic mode cannot be excited. The coefficients of the TM mode . mode equal zero Mode: The field pattern of the mode is az2) imuthally symmetric. We can choose dual feeds or quad feeds. The more the inputs involved, the more difficult it would be in a and real experiment. The major parasitic modes are modes (see Table I). A quad-feed structure is sufficient to eliminate these two unwanted modes. The magnetic dipoles can be expressed as

(9) The amplitudes of the desired and parasitic modes can be obtained by substituting (9) into (2b) and (3). They are shown as follows:

(10a) (10b) (10c) The quad-feed arrangement avoids exciting the parasitic modes. Thus, the mode purity would be very high. 3) Mode: The field pattern of the mode suggests a quad-feed arrangement. The magnetic dipoles can be expressed as

(11)

(13a)

(13b) The power ratio is

(14) The working principle just presented provides the ground work for estimating the mode purity. It can be applied to any other waveguide mode provided you can properly arrange the coupling signals. Although this analytic method is very useful in understanding the coupling mechanism, it is, unfortunately, unable to analyze the effect of frequency response since the excited dipoles fails to account for the real geometry of the coupling structure. Therefore, in Section III, we will show the simulation results using HFSS. The results agree very well with the theory just presented. Furthermore, the simulation provides the frequency response. III. SIMULATION Numerical simulation is conducted using a full-wave solver—HFSS. Excitation of a given mode can be achieved using properly arranged magnetic current sources. The current sources are achieved with one or two cascaded level Y-type power dividers. These current sources are coupled to the circular waveguide through the sidewall coupling apertures. Mode purity and the bandwidth broadening technique will be discussed. A. Mode Purity Analysis Fig. 4 shows the calculated field distribution on the wall of the structure and the mode purity analysis for the three modes of interest. The blue lines (in online version) are the conversion efficient for a single converter. It is the ratio of the desired power divided by the input power. The dashed lines are the reflections.

CHANG et al.: GENERATING PURE CIRCULAR TE

MODES USING Y-TYPE POWER DIVIDERS

Fig. 4. Calculated field pattern and the mode purity for: (a) TE mode, (b) TE mode, and (c) TE mode. The transmissions of the desired modes are shown in blue lines (in online version) and the reflections are shown in dashed lines. The minor and major parasitic modes are shown in gray and red (in online version), respectively. From [32].

These devices are reciprocal so the transmissions (from rectangular waveguide to circular waveguide and vice versa) are the same from either port. The gray lines show the converting ratio to other modes. Since they are relative small, most of the curves and modes in are shown in gray, except for the Fig. 4(c), where they are displayed in red (in online version). The converting efficiency of a specific mode is defined as the output power of this mode at port 2 (circular waveguide) divided by the input power at port 1 (rectangular waveguide). The converting efficiency of the desired mode is very high and those of the other spurious modes are extremely low (below and mode converters. Close to the 0.01%) for the center frequency, the converting efficiency of the desired mode is about 98.5%. The remaining 1.5% is mainly because of the reflection and the ohmic loss. The concentrations of the spurious modes are basically less than 40 dB. This is the advantage of the proportional sidewall coupling scheme, as we analyzed in mode converter in Fig. 4(c), Section II. Notably, for the the mode purity is not as good as the other two cases. The unmode is generated as predicted in (14). The conwanted verting efficiency of the unwanted mode is 18 dB, which is marginally acceptable for the gyrotron application. B. Bandwidth Broadening Fig. 5 depicts the tuning of the parameter for the optimization of the bandwidth. The parameter indicates the separation

1547

Fig. 5. Bandwidth broadening by optimizing the structure. The parameter p is used to express the separation from the cutoff section. As the parameter p is increased, the bandwidth is significantly broadened, but the transmission (i.e., the converting efficiency) is slightly degraded. A tradeoff of p = 0:65 mm is chosen.

between the cutoff section and the extra slot shown at the top of Fig. 5. This slot is partially circular. This figure shows the frequency response of the transmission for five different values of . As is increased, the bandwidth is significantly enhanced. The bandwidth is compromised with the transmission (i.e., the converting efficiency). The parameter of 0.65 mm is chosen in our experiment. The calculated bandwidth is good enough for most applications. The transition section of the Y-type power divider does not significantly affect the overall performance of the converters because it is not a resonant structure. However, the power dividing structure still has to be carefully designed, otherwise the extra reflection will decrease the converting ratio and reduce the bandwidth. The current designs for the three converters are optimized.

IV. FABRICATION AND MEASUREMENT SETUP Fig. 6(a)–(c) displays the design drawings of , , converters, respectively. To test the transmission, and two identical converters joined back-to-back are adopted. Each set comprises three pieces—two identical slotted plates and a middle plate. It is made of oxygen-free high-conductivity copper. The slotted plate is machined using a computer numerically controlled lathe with a tolerance of 0.01 mm. Plates are aligned with pins and fastened with screws. The back-to-back configuration is convenient to measure using a two-port vector network analyzer (VNA) (HP 8510C), but such a configuration

1548

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 6. Two identical converters joined back-to-back for the three modes of interest. Each set consists of three pieces made of oxygen-free high-conductivity copper. (a) TE , (b) TE , (c) TE

will result in extra dips due to the resonant effect, as will be discussed shortly. A. Back-to-Back Measurement Fig. 7(a) shows the experimental setup. Two identical converters are joined back-to-back through a uniform middle section of 2.0 mm. The length of this uniform section does not affect the mode purity in simulation, but it will result in resonant dips and extra ohmic loss if the middle section is too long. Thus, the middle section should be kept as small as possible. The properties of the converters were characterized using the well-calibrated two-port VNA with head modules. Fig. 7(b) shows a converter as an example with a ruler slotted plate of the attached for reference. The outer four holes are four precision dower pins and the inner four holes are passages of the bolts. B. Conductor Loss Effect (Surface Roughness) Fig. 8 shows the measured back-to-back transmissions. The electric fields propagating in the waveguides are also shown to the left. The solid dots are the measured results, while the lines are calculated results with different conductor losses. The converters are made of the oxygen-free high-conductivity copper. The resistivity, in principle, should be closed to the value of

Fig. 7. (a) Photograph of the experimental setup for directly measuring the back-to-back transmission. The test set is connected to the head modules which are calibrated. The test set is enlarged. (b) Slotted plate of the TE converter as an example.

copper m . However, comparing the calculated and measured results, we found that the result of ten times resistivity of copper agrees well with the experiment for and modes, but for the mode, the experiment and simulation agree will. The reason is not clear. A possible cause is the surface roughness due to machining. Generally speaking, the measured results are consistent with the HFSS simulation data. , , and The 3-dB transmission bandwidths for the modes are 18.3, 24.0, and 20.2 GHz, respectively. The transmissions are high and, more importantly, the bandwidth is record broad. These mode converters facilitate the research at a low terahertz region. C. Resonant Peak Analysis There is a sharp dip in Fig. 8(b). This kind of dip is reported before [31], [32] without explanation. Here we provide a simulation result to prove the dip is caused by resonance. Fig. 9 shows the simulation results with different separations . The transmission response is sensitive to the separation . Each converter has reflection. When they join together, they form a cavity. The resonant frequency is sensitive to the size of the cavity. The dip is not a flaw of the converter. It is strictly due to the experimental setup of the back-to-back measurement. In gyro-BWO applications, only one converter is employed. No resonant effect was reported.

CHANG et al.: GENERATING PURE CIRCULAR TE

MODES USING Y-TYPE POWER DIVIDERS

1549

and tested. These converters feature a high back-to-back converting efficiency, high mode purity, broad bandwidth, and compact converting section. Such a converter is suitable for a variety of applications, especially the gyrotrons to generate low-terahertz radiation [34]. At higher frequency, like the terahertz region, the micro-fabrication technique is need, which is currently under investigation.

ACKNOWLEDGMENT The authors would like to thank the technical support of C. Lee, Ansoft Corporation, Taipei, Taiwan.

REFERENCES

Fig. 8. Field pattern and transmission for: (a) TE , (b) TE , and (c) TE , respectively. The field patterns are HFSS’s simulation results. The solid dots represent the measured results and the lines are the simulations. Three different resistivity of the copper are displayed.

Fig. 9. Frequency response of the transmission for five various separations d. The reflection of each converter is not very low. When two converters joined back-to-back, they form a resonant effect. Resonant frequency is sensitive to the separation d.

V. CONCLUSION Using a Y-type power divider to excite pure modes was reported. Three mode converters were designed, fabricated,

[1] K. R. Chu, H. Y. Chen, C. L. Hung, T. H. Chang, L. R. Barnett, S. H. Chen, and T. T. Yang, “Ultra-high-gain gyrotron traveling-wave amplifier,” Phys. Rev. Lett., vol. 81, pp. 4760–4763, 1998. [2] H. H. Song, D. B. McDermott, Y. Hirata, L. R. Barnett, C. W. Domier, H. L. Hsu, T. H. Chang, W. C. Tsai, K. R. Chu, and N. C. Luhmann, Jr., “Theory and experiment of a 94 GHz gyrotron traveling-wave amplifier,” Phys. Plasmas, vol. 11, no. 5, pp. 2935–2941, May 2004. [3] T. H. Chang, C. T. Fan, K. F. Pao, S. H. Chen, and K. R. Chu, “Stability and tunability of the gyrotron backward-wave oscillator,” Appl. Phys. Lett., vol. 90, 2007, Art. ID 191501. [4] N. C. Chen, C. F. Yu, C. P. Yuan, and T. H. Chang, “A mode-selective circuit for TE gyrotron backward-wave oscillator with wide-tuning range,” Appl. Phys. Lett., vol. 94, 2009, Art. ID 101501. [5] M. A. Lieberman and R. A. Gottscho, , M. H. Francome and J. L. Vossen, Eds., Physics of Thin Film. New York: Academic, 1994, pp. 25–40. [6] J. E. Stevens, J. L. Cecchi, Y. C. Huang, and R. L. Jarecki, “Optimized microwave coupling in an electron cyclotron resonance etch tool,” J. Vsc. Sci. Technol., vol. A9, pp. 696–701, May 1991. [7] R. L. Kinder and M. J. Kushner, “TE excitation of electron cyclotron resonance plasma source,” IEEE Trans. Plasma Sci., vol. 27, no. 1, pp. 64–65, Feb. 1999. [8] Y. Kato, H. Furuki, T. Asaji, and S. Ishii, “Production of multicharged ions in a 2.45 GHz electron cyclotron resonance source directly excited in a circular TE mode cavity resonator,” Rev. Sci. Instrum, vol. 75, no. 5, pp. 1470–1472, 2004. [9] Y. H. Choung, K. R. Goudey, and L. G. Bryans, “Theory and design of a Ku-band TE -mode coupler,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 11, pp. 1862–1866, Nov. 1982. [10] T. H. Chang and B. R. Yu, “High-power millimeter-wave rotary joint,” Rev. Sci. Instrum., vol. 80, 2009, Art. ID 034701. [11] O. M. Woodward, “A dual-channel rotary joint for high average power operation,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 12, pp. 1072–1077, Dec. 1970. [12] W. Lawson, M. R. Arjona, B. P. Hogan, and R. L. Ives, “The design of serpentine-mode converters for high-power microwave applications,” IEEE. Trans. Microw. Theory Tech., vol. 48, no. 5, pp. 809–814, May 2000. [13] M. J. Buckley and R. J. Vernon, “Compact quasi-periodic and aperiodic TE mode converters in overmoded circular waveguides for use with gyrotrons,” IEEE. Trans. Microw. Theory Tech., vol. 38, no. 6, pp. 712–721, Jun. 1990. [14] H. Guo, S. H. Chen, V. L. Granatstein, J. Rodgers, G. S. Nusinovish, M. T. Walter, J. Zhao, and W. Chen, “Operation of a high performance, harmonic-multiplying, inverted gyrotwystron,” IEEE Trans. Plasma Sci., vol. 26, no. 3, pp. 451–460, Jun. 1998. [15] R. Hidaka, N. Hirotsu, N. Tanaka, and Y. Kawai, “Generation of electron cyclotron resonance plasmas using a circular TE01 mode microwave,” J. Appl. Phys., vol. 72, no. 9, pp. 4461–4462, Nov. 1992. [16] S. G. Tantawi, C. Nantista, N. Kroll, Z. Li, R. Miller, R. Ruth, and P. Wilson, “Multimoded RF delay line distribution system for the next linear collider,” Phy. Rev. ST Accel. Beams, vol. 5, 2002, Art. ID 032001. [17] R. D. Wengenroth, “A mode transducing antenna,” IEEE. Trans. Microw. Theory Tech., vol. MTT-26, no. 5, pp. 332–334, May 1978.

1550

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

[18] I. Spassovsky, E. S. Gouveia, S. G. Tantawi, B. P. Hogan, W. Lawson, and V. L. Granatstein, “Design and cold testing of a compact TE to TE mode converter,” IEEE Trans. Plasma Sci., vol. 30, no. 3, pp. 787–793, Jun. 2002. [19] M. J. Buckley, D. A. Stein, and R. J. Vernon, “A single-period TE -TE mode converter in a highly overmoded circular waveguide,” IEEE. Trans. Microw. Theory Tech., vol. 39, no. 8, pp. 1301–1306, Aug. 1991. [20] W. A. Huting and K. J. Webb, “Comparison of mode-matching and differential equation techniques in the analysis of waveguide transitions,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 2, pp. 280–286, Feb. 1991. [21] F. Sporleder and H. G. Unger, Waveguide Tapers Transitions and Couplers. New York: Peregrinus, 1979, ch. 7. [22] M. Garven, J. P. Calame, B. G. Danly, K. T. Nguyen, B. Levush, F. N. Wood, and D. E. Pershing, “A gyrotron-traveling wave tube amplifier experiment with a ceramic loaded interaction region,” IEEE Trans. Plasma Sci., vol. 30, no. 3, pp. 885–893, Jun. 2002. [23] M. Blank, B. G. Danly, and B. Levush, “Experimental demonstration of a -band (94 GHz) gyrotwystron amplifier,” IEEE Trans. Plasma Sci., vol. 27, no. 2, pp. 405–411, Apr. 1999. [24] D. B. McDermott, H. H. Song, Y. Hirata, A. T. Lin, L. R. Barnett, T. H. Chang, H. L. Hsu, P. S. Marandos, J. S. Lee, K. R. Chu, and N. C. Luhmann, Jr., “Design of a -band TE mode gyrotron travelingwave amplifier with high power and broad-band capabilities,” IEEE Trans. Plasma Sci., vol. 30, no. 3, pp. 894–902, Jun. 2002. [25] J. P. Tate, H. Guo, M. Naiman, L. Chen, and V. L. Granatstein, “Experimental proof-of-principle results on a mode-selective input coupler for gyrotron applications,” IEEE. Trans. Microw. Theory Tech., vol. 42, no. 10, pp. 1910–1917, Aug. 1994. [26] W. Wang, Y. Gong, G. Yu, L. Yue, and J. Sun, “Mode discriminator based on mode-selective coupling,” IEEE. Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 55–63, Jan. 2003. [27] D. B. McDermott, J. Petterebner, C. K. Chong, C. F. Kinney, M. M. Razeghi, and N. C. Luhmann, Jr., “Broadband linearly polarized beatwave TE =TE mode converter,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 2, pp. 311–317, Feb. 1996. [28] A. H. McCurdy and J. J. Choi, “Design and analysis of a coaxial coupler for a 35-GHz gyroklystron amplifier,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 2, pp. 164–175, Feb. 1999. [29] T. H. Chang, L. R. Barnett, K. R. Chu, F. Tai, and C. L. Hsu, “Dual-function circular polarization converter for microwave/plasma processing system,” Rev. Sci. Instrum., vol. 70, no. 2, pp. 1530–1534, Feb. 1999. [30] T. H. Chang, C. F. Yu, and C. T. Fan, “Novel polarization controllable TE mode converter,” Rev. Sci. Instrum., vol. 76, 2005, Art. ID 074703. [31] C. F. Yu and T. H. Chang, “High-performance circular TE -mode converter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3794–3798, Dec. 2005. [32] T. H. Chang, C. S. Lee, C. N. Wu, and C. F. Yu, “Exciting circular TE modes at low terahertz region,” Appl. Phys. Lett., vol. 93, 2008, Art. ID 111503. [33] D. M. Pozar, Microwave Engineering. Reading, MA: Addison-Wesley, 1990, ch. 5. [34] T. H. Chang, T. Idehara, I. Ogawa, L. Agusu, C. C. Chiu, and S. Kobayashi, “Frequency tunable gyrotron using backward-wave components,” J. Appl. Phys., vol. 105, 2009, Art. ID 063304.

W

W

Tsun-Hsu Chang (M’99) received the B.S. degree from National Central University, Jhongli City, Taiwan, in 1991, and the Ph.D. degree from National Tsing Hua University, Hsinchu, Taiwan, in 1999. He is currently an Associate Professor with the Department of Physics, National Tsing Hua University, Hsinchu, Taiwan. His current research focuses on the development of frequency-tunable terahertz gyrotron aiming at generating coherent radiation to fill the terahertz gap. He is also interested in microwave/material interactions and the superluminal effect.

Cheng-Hsien Li was born in I-Lan, Taiwan, in 1986. He received the B.S. degree in physics from National Tsing Hua University, Hsinchu, Taiwan, in 2008. Since 2006, he has participated in a research project at National Tsing Hua University, where he is responsible for the simulation and experiment of W -band TE mode converters. He is currently involved with dark matter physics.

Chi-Nan Wu was born in Taichung, Taiwan, in 1986. He received the B.S. degree in physics from National Tsing Hua University, Hsinchu, Taiwan, in 2008, and is currently working toward the Ph.D. degree in physics at National Tsing Hua University. During his B.S. degree studies, he was responsible for the simulation and experiment of W -band TE mode converters. His current research is focused on dilute magnetic oxides with high bandgap and oxide growth by laser molecular beam epitaxy.

Ching-Fang Yu was born in Taipei, Taiwan, in 1978. He received the B.S. degree in physics from National Cheng Kung University, Tainan, Taiwan, in 2000, and the M.S. and Ph.D. degrees in physics from National Tsing Hua University, Hsinchu, Taiwan, in 2002 and 2007, respectively. He is with currently a Senior Engineer with the Taiwan Semiconductor Manufacturing Company (TSMC), Hsinchu, Taiwan.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1551

Novel Multistub Loaded Resonator and Its Application to High-Order Dual-Band Filters Fu-Chang Chen and Qing-Xin Chu, Member, IEEE

Abstract—A novel multistub loaded resonator and its application to high-order dual-band bandpass filters are presented in this paper. A key merit of the filter configuration is that the characteristics of the second passband can be conveniently controlled, whereas that of the first passband are fixed. As the multistub loaded resonator contains six symmetric stubs, which can provide sufficient coupled sections between adjacent resonators, it is realizable to build the high-order dual-band filters using the proposed resonators. To illustrate the concept, two dual-band filters of third and sixth order are designed, fabricated, and measured. The measured results are in good agreement with the full-wave simulation results. Index Terms—Bandpass filter, dual band, high order, microstrip, multistub loaded resonator.

I. INTRODUCTION

E

MERGING wireless standards produce new consumer systems, such as global system for mobile communications (GSM), wireless local area networks (WLANs), and worldwide interoperability for microwave access (WiMAX). The increasing demand for these applications in the communication market has enabled a single wireless system to support dual- or tri-band operations [1]. In developing dual-band systems, the dual-band filters are essential components, much research regarding them has been carried out. Among them, there are two typical methods. The first method is to combine two sets of different resonators with common input and output [2]–[4]. The design of dual-band filters with the Chebyshev response were proposed and demonstrated on the basis of substrate integrated waveguide technology [2]. Two sets of resonators were employed in parallel configurations to design dual-band filters [3]. To obtain the required external quality factors and coupling coefficients at two passbands, the dual-band filter was realized by the cascaded multiband resonators [4]. However, these filters occupy larger size relatively. The second method is to utilize a single resonator with controllable resonant frequencies to design the dual-band filter. A dual-band filter was design using a dielectric resonator [5], where only the second-order filters were considered. Usually, dual-band filters can be realized with the stepped-impedance

Manuscript received December 02, 2009; revised March 02, 2010; accepted March 06, 2010. Date of publication May 24, 2010; date of current version June 11, 2010. This work was supported by the Science Fund of China under Grant U0635004 and Grant 60801033. The authors are with the School of Electronic and Information Engineering, South China University of Technology, Guangzhou 510641, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049161

resonators [6]–[11] and stub-loaded resonators [12]–[18] because of their multiband behavior. For instance, the pseudointerdigital stepped-impedance resonators were used to design the dual-band bandpass filters [8], [9]. This design is much flexible, but can hardly extend to high-order filters with independently controlled bandwidths. Several dual-band filters of high order were proposed using stepped-impedance resonators, as described in [10] and [11]. The coupling lengths and coupling gaps are utilized to meet the two different coupling coefficients for the dual-band purpose. However, both the resonant frequencies of the stepped-impedance resonators and coupling coefficients of the two passbands are dependent, complicating the filter design. Lately, it is popular to design the multiband filter using stub-loaded resonators, mainly because of their easily controlled resonant frequencies. The dual-band (or tri-band) filters were designed using centrally loaded resonators proposed in [12]–[17], where only the second-order filters were considered. In [18], the open-loop resonators loaded by the shunt stubs were proposed to design the compact high-order dual-band filters, but the bandwidths of the two passbands cannot be controlled independently. Recently, the authors have presented several stub-loaded resonators for multiband bandpass filter application [15]–[17]. In [16], [17], the coupling between the stubs was added to obtain an extra degree of freedom in extracting coupling coefficients of the multiband filters, but these resonators are not suitable for building high-order filters. Based on our further investigation, a novel multistub loaded resonator suitable for high-order dual-band filter applications is proposed in this paper. The novel resonator, which contains six open stubs (four fringe stubs and two center stubs), can be considered as a stub-loaded stepped-impedance resonator. Thus, the resonant frequencies of the proposed resonator can be conveniently controlled by tuning the stub parameters. The required bandwidth of the first passband is determined by the coupling between the fringe stubs, and the bandwidth of the second passband is dominated by the coupling between the center stubs. Thus, the characteristics of the two passbands can be controlled conveniently in wider ranges. As the six symmetric stubs can provide sufficient coupled sections between the adjacent resonators, it is possible to build the high-order dual-band filters using the proposed resonators. To verify the concept, two dual-band filters with different frequency ratios and bandwidth ratios are designed, fabricated, and tested. II. CHARACTERISTICS OF THE MULTISTUB LOADED RESONATOR The basic structure of a half-wavelength microstrip steppedimpedance resonator is shown in Fig. 1(a). It consists of two and and of lines of different characteristic admittance

0018-9480/$26.00 © 2010 IEEE

1552

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

, which are called the fringe stubs into two shunt lines in this paper, as shown in Fig. 1(b). Compared with the steppedimpedance resonator, the H-shaped resonator possesses more coupled sections. To obtain easily controlled resonant frequencies, two open stubs ( and , which are called the center stubs in this paper) can be loaded at the center of the H-shaped resonator, which is shown in Fig. 1(c). Naturally, the multistub loaded resonator in Fig. 1(c) can be regarded as a stub-loaded stepped-impedance resonator, which is shown in Fig. 1(d). For simplicity, and are assumed, the resonant conditions of the proposed resonator are determined by one of the following equations [4], [8]: odd mode even mode

Fig. 1. (a) Structure of the stepped-impedance resonator. (b) Structure of the H-shaped resonator. (c) Structure of the multistub loaded resonator. (d) Structure of the stub loaded stepped-impedance resonator.

(1) (2)

Solutions to (1) and (2) correspond to the odd- and evenmode resonances, respectively, where is the admittance ratio , and is the length ratio of the resonator. It can be observed from (1) and (2) that the center stubs only affect the even-mode resonant frequencies and not affect the odd-mode resonant frequencies. Therefore, this resonator has easily controlled resonant frequencies. The second resonant frequency can be conveniently tuned by adjusting the center stub, while the first resonant frequency remains the same. There are many solutions for , which are dependent on the choices of and . It should be noted that the first two resonant can be determined by properly choosing an frequencies agreeable combination of the two parameters. Fig. 2 shows the design graph for the multistub loaded resonator. The ratio of the second resonant frequency to the funis plotted with different values of damental frequency and . With typical values of and , the realizable is between 1.2–3.4. To obtain a wider range of , we can . Compared with the common change the admittance ratio range stepped-impedance resonator [4], [8], the realizable is wider using the multistub loaded resonator with the same range. III. COUPLING STRUCTURES

Fig. 2. Normalized ratios of the second resonant frequency to the fundamental resonant frequency under different R and .

electrical lengths and . To get a new resonator with similar can be split characteristics, the high admittance line

In this section, a third-order dual-band bandpass filter using the multistub loaded resonators is presented to analyze the coupling structure. Fig. 3 shows the structure of the dual-band filter, the stubs are meandered properly to obtain the required coupling strength. The dual-band filter is to be designed on a substrate with di, loss tangent , and thickelectric constant mm. Let the designed frequencies be at 2.4 and ness 5.2 GHz and both passbands have 0.1-dB ripple level. The fre. To acquire the quency ratio can be calculated as physical dimension of the proposed resonator, the admittance mm, mm) so ratio is selected as 0.59 ( the length ratio can be deduced as 0.61 from (1) and (2). According to the starting dimensions from (1) and (2), the , , optimized parameters of the resonator are , and (all are in millimeters).

CHEN AND CHU: NOVEL MULTISTUB LOADED RESONATOR

Fig. 3. Layout of the third-order dual-band filter.

1553

Fig. 5. Simulated insertion losses under different coupling length L .

Fig. 6. Simulated external quality factors under different coupled-line length L and L .

Fig. 4. Simulated coupling coefficients under different coupling lengths. (a) L . (b) L .

There are two kinds of coupled sections between the adjacent and resonators, the coupling between the fringe stubs . the coupling between the center stubs The required coupling length and gap between resonator are related to bandwidth of the two passbands. Fig. 4(a) shows the simulated coupling coefficient as a function of ( mm, mm). The coupling coefficients and increase as increasing ( and denote the coupling coefficients at , , respectively). Fig. 4(b) shows the simu( mm, lated coupling coefficient as a function of mm). is proportional to approximately while keeps fixed when increasing , which means that the coupling between the center stubs only affects the second passband and not affects the first passband. and values given in Additionally, note that, for all ( Fig. 4(a) and (b), the realizable bandwidth ratio

and denote the bandwidth of the first and second passbands, respectively) is between 0.5–4.5. Thus, it is possible to build or using the proposed dual-band filter of resonator. To verify the above analysis, different coupling lengths between the center stubs are presented for demonstration. As varies from 0.5 to 1.5 mm (all the shown in Fig. 5, when other parameters are fixed), the bandwidth of the second passband increases obviously (0.021–0.046), while the bandwidth of the first passband keeps fixed (0.03). The coupled-line structure is employed to realize the input/ output coupling because it has more degrees of freedom in the in Fig. 3) design process. Four parameters ( can be tuned to obtain different external quality factors . design graph for the filter with Fig. 6 illustrates the mm and mm, where and denote the external quality factors of the first and second passbands, respectively. Proper coupled-line lengths can be selected to meet the filter specifications. It can be found from Fig. 6 that the and are limited. However, more detuning ranges of sign data can be obtained by choosing different combinations of , , , and while needed. According to the discussion, the overall design procedure of this kind of dual-band filter can be summarized as follows. of First of all, deduce the admittance and length ratios the resonator according to the frequency ratio . Then tune the coupling between the fringe stubs to meet the required bandwidth of the first passband. Furthermore, tune the coupling between the center stubs to satisfy the bandwidth of the second passband. Finally, select proper input/output coupled-line to

1554

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 7. Photograph of the fabricated third-order dual-band filter.

meet the required of each passband. Based on this procedure, two dual-band filters with different frequency ratios and bandwidth ratios are designed in Section IV. IV. FILTER DESIGNS AND MEASUREMENTS To verify the applications in dual-band filters, microstrip filters using multistub loaded resonators are designed in this section. The design of dual-band filters follows the standard filter synthesis steps. In Section IV-A, a third-order dual-band filter , ) will be designed and a sixth-order ( , ) will be designed in dual-band filter ( Section IV-B. A. Third-Order Dual-Band Filter Using Multistub Loaded Resonator A dual-band filter with a third-order Chebyshev frequency response and 0.1-dB ripple level is designed with the following specifications: the center frequencies of the two bands are 2.4 and 5.2 GHz. The fractional bandwidths are 0.03 and 0.03, respectively. can be deduced based on The coupling coefficients and and the specifications , where denotes the coupling coefficient between the denotes the coupling first and second resonators at , and coefficient between the first and second resonators at . As the physical parameters of the resonator have been obtained in Section III, the coupling length between the fringe . stubs should be determined firstly according to mm (the coupling gap is set as 0.6 mm) can be obtained quickly using full wave simulations. Then determine the coupling length between the center stubs according to mm. Finally, select proper input/output coupled-line paramand mm, eters according to mm. Fig. 7 presents a photograph of the fabricated filter, and the size of the filter is about 33 24 mm, approximately 0.38 by 0.28 , where is the guided wavelength on the substrate at the center frequency of the first passband. The measured frequency responses of the proposed dual-band bandpass filter is characterized in an HP N5230A network analyzer. Fig. 8(a) and (b) shows the simulated and measured -parameters and group delays. The measured -parameters agree well with those obtained from the simulation. The measured 3-dB bandwidths for the two passbands centered at 2.4 and

Fig. 8. (a) Simulated and measured results of the third-order dual-band filter. (b) Closer look at the measured group delay in the passbands.

5.2 GHz are found to be 2.33–2.42 GHz and 5.12–5.33 GHz, respectively. The minimum insertion losses measured for the two passbands in the same sequence are 2.9 and 3.4 dB. The group delay variations are 7.6 and 4.9 ns at 2.4 and 5.2 GHz, respectively. A 40-dB stopband is obtained from dc to 2.23 (below the first passband), 2.77–4.74 GHz (between the passbands). B. Sixth-Order Dual-Band Filter Using Multistub Loaded Resonator A dual-band filter with a sixth-order Chebyshev frequency response and 0.1-dB ripple level is designed with the following specifications: the center frequency of the two bands are 2.4 and 3.5 GHz. The fractional bandwidths are 0.075 and 0.045, respectively. The coupling coefficients and can be deduced according , , to the bandwidth , , , , , where and have been explained and in Section IV-A. denotes the coupling coefficient between denotes the couthe second and third resonators at , and pling coefficient between the third and fourth resonators at . denotes the coupling coefficient between the second and third resonators at , and denotes the coupling coefficient between the third and fourth resonators at . , , Fig. 9 shows the structure of the sixth-order filter, (the coupling lengths between the fringe stubs) are and determined by , , and , respectively. , , (the coupling lengths between the center stubs) are deand , , and , respectively. These coutermined by pled section parameters can be get using the same method proposed in Section IV-A. The optimized parameters of the filter , , , , , are , , , , ,

CHEN AND CHU: NOVEL MULTISTUB LOADED RESONATOR

1555

in the same sequence are 2.8 and 4.5 dB, which would be mainly attributed to the conductor and dielectric loss. The group delay variations are 7.3 and 8.2 ns at 2.4 and 3.5 GHz, respectively. Below the first passband, minimum attenuation is 50 dB from dc to 2.24 GHz. The two passbands are separated by a 50-dB stopband extended from 2.71 to 3.34 GHz. V. CONCLUSION Fig. 9. Layout of the sixth-order dual-band filter.

In this paper, a novel multistub loaded resonator suitable for high-order dual-band filter application has been proposed, analyzed, and verified experimentally. The resonant frequencies of the proposed resonator is flexibly controlled, and the bandwidth of the second passband can be tuned conveniently by adjust the coupling between the center stubs while that of the first passband remains the same. The experimental results have shown that the proposed resonators will be useful for dual-band bandpass filter applications of high selectivity. REFERENCES

Fig. 10. Photograph of the fabricated sixth-order dual-band filter.

Fig. 11. (a) Simulated and measured results of the sixth-order dual-band filter. (b) Closer look at the measured group delay in the passbands.

, , and (all are in millimeters), the coupling gap between the stubs is 0.6 mm. Fig. 10 presents a photograph of the fabricated filter, and the size of the filter is about 80 mm 22 mm, approximately 0.26 , where is the guided wavelength on the 0.93 substrate at the center frequency of the first passband. The simulated and measured -parameters and group delays are depicted in Fig. 11(a) and (b). The measured 3-dB fractional bandwidths for the two passbands centered at 2.4, 3.5 GHz are found to be 2.36–2.56 GHz and 3.43–3.61 GHz, respectively. The minimum insertion losses measured for the two passbands

[1] S. F. R. Chang, W. L. Chen, S. C. Chang, C. K. Tu, C. L. Wei, C. H. Chien, C. H. Tsai, J. Chen, and A. Chen, “A dual-band RF transceiver for multi-standard WLAN applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 1048–1055, Mar. 2005. [2] X.-P. Chen, K. Wu, and Z.-L. Li, “Dual-band and triple-band substrate integrated waveguide filters with Chebyshev and quasi-elliptic responses,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2569–2578, Dec. 2007. [3] C. Y. Chen and C. Y. Hsu, “A simple and effective method for microstrip dual-band filters design,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 246–248, May 2006. [4] C. F. Chen, T. Y. Huang, and R. B. Wu, “Design of dual- and triple-passband filters using alternately cascaded multiband resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3550–3558, Sep. 2006. [5] R. Zhang and R. R. Mansour, “Dual-band dielectric-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 7, pp. 1760–1766, Jul. 2009. [6] Y. P. Zhang and M. Sun, “Dual-band microstrip bandpass filter using stepped-impedance resonators with new coupling schemes,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 10, pp. 3779–3785, Oct. 2006. [7] S. Sun and L. Zhu, “Compact dual-band microstrip bandpass filter without external feeds,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 644–646, Oct. 2005. [8] M. H. Weng, H. W. Wu, and Y. K. Su, “Compact and low-loss dualband bandpass filter using pseudo-interdigital stepped impedance resonators for WLANs,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 3, pp. 187–189, Mar. 2007. [9] Q. X. Chu and F. C. Chen, “A compact dual-band bandpass filter using meandering stepped impedance resonators,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 11, pp. 320–322, May 2008. [10] J. T. Kuo, T. H. Yeh, and C. C. Yeh, “Design of microstrip bandpass filters with a dual-passband response,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1331–1337, Apr. 2005. [11] J. T. Kuo and H. P. Lin, “Dual-band bandpass filter with improved performance in extended upper rejection band,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 824–829, Apr. 2009. [12] X. Y. Zhang, J. X. Chen, and Q. Xue, “Dual-band bandpass filter using stub-loaded resonators,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 8, pp. 583–585, Aug. 2007. [13] X. Y. Zhang and Q. Xue, “Novel centrally loaded resonators and their applications to bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 913–921, Apr. 2008. [14] M.-Q. Zhou, X.-H. Tang, and F. Xiao, “Compact dual band bandpass filter using novel E -type resonators with controllable bandwidths’,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 12, pp. 779–781, Dec. 2008. [15] F. C. Chen, Q. X. Chu, and Z. H. Tu, “Tri-band bandpass filter using stub loaded resonators,” Electron. Lett., vol. 44, no. 12, pp. 747–749, Jun. 2008.

1556

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

[16] Q. X. Chu, F. C. Chen, Z. H. Tu, and H. Wang, “A novel crossed resonator and its applications to bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 7, pp. 1753–1759, Jul. 2009. [17] F. C. Chen, Q. X. Chu, and Z. H. Tu, “Design of compact dual-band bandpass filter using short stub loaded resonator,” Microw. Opt. Technol. Lett., vol. 51, no. 4, pp. 959–963, Apr. 2009. [18] P. Mondal and M. K. Mandal, “Design of dual-band bandpass filters using stub-loaded open-loop resonators,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 1, pp. 150–155, Jan. 2008.

Fu-Chang Chen was born in Fuzhou, Jiangxi Province, China, in December 1982. He is currently working toward the Ph.D. degree in electronic and information engineering at the South China University of Technology, Guangzhou, Guangdong, China. His research interests include the design of microwave filters and associated RF modules for microwave and millimeter-wave applications.

Qing-Xin Chu (M’99) received the B.S., M.E., and Ph.D. degree in electronic engineering from Xidian University, Xi’an, Shaanxi, China, in 1982, 1987, and 1994, respectively. He is currently a Professor with the School of Electronic and Information Engineering, South China University of Technology, Guangzhou, Guangdong, China. He is also the Head of the Research Institute of RF and Wireless Techniques, South China University of Technology. From January 1982 to January 2004, he was with the School of Electronic Engineering, Xidian University. From 1997 to 2004, he was a Professor and the Vice-Dean of the School of Electronic Engineering, Xidian University. From July 1995 to September 1998 and July to October 2002, he was a Research Associate and Visiting Professor with the Department of Electronic Engineering, Chinese University of Hong Kong, respectively. From February to May 2001 and December 2002 to March 2003, he was a Research Fellow and Visiting Professor with the Department of Electronic Engineering, City University of Hong Kong, respectively. From July to October 2004, he visited the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore, under the Tan Chin Tuan Exchange Fellowship Award. From January to March 2005, he visited the Department of Electrical and Electronic Engineering, Okayama University, Okayama, Japan under a fellowship awarded by the Japan Society for Promotion of Science (JSPS). From June to August 2008, he visited the Polytechniques University of Nantes, Nantes, France as a Visiting Professor. He has authored or coauthored over 200 papers in journals and conferences. His current research interests include analytical and numerical techniques in electromagnetics, RF/microwave integrated circuits, RF/microwave filters, active integrated antennas, spatial power-combining array, and antennas in mobile communication. Dr. Chu is a Senior Member of the China Electronic Institute (CEI). He was the recipient of the 2003 First-Class Educational Award of Shaanxi Province, the 2002 Top-Class Science Award of the Education Ministry of China, and the 1995 Second-Class Award of Science and Technology Advance of the Electronic Industry Ministry of China.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1557

Low-Loss Frequency-Agile Bandpass Filters With Controllable Bandwidth and Suppressed Second Harmonic Xiu Yin Zhang, Member, IEEE, Quan Xue, Senior Member, IEEE, Chi Hou Chan, Fellow, IEEE, and Bin-Jie Hu, Member, IEEE

Abstract—This paper presents a novel approach to design frequency-agile bandpass filters with constant absolute bandwidth and passband shape, as well as a suppressed second harmonic. A novel mixed electric and magnetic coupling scheme is proposed to control the coupling coefficient variation. Theoretical analysis indicates that it is able to achieve desired coupling coefficients between the proposed resonators at various frequencies so as to obtain constant absolute bandwidth. Moreover, this half- wavelength resonator has a higher than the quarter- and half- wavelength counterparts, thus resulting in low insertion loss. A filter of this type is designed to validate the proposed idea. To remove the spurious responses of the filter, a method is then introduced to suppress the second harmonic without degrading the passband performance. For demonstration, two frequency-agile filters with 60- and 80-MHz constant absolute bandwidth are implemented with the frequency tuning range from 680 to 1000 MHz. Comparisons of experimental and simulated results are presented to verify the theoretical predications. Index Terms—Bandpass filter, constant bandwidth, harmonic suppression, factor, tunable filter.

I. INTRODUCTION

F

REQUENCY-AGILE bandpass filters are essential for multiband and wideband systems. Extensive research has been done and different tuning devices have been employed. Semiconductor varactors are widely used due to the high of tuning speed and reliability [1]–[11]. Unfortunately, the varactor diodes is usually low, leading to high insertion loss. In contrast, microelectromechanical system (MEMS) varactors possess higher and linearity [12], [13]; these, however, are expensive. Ferroelectric components have recently attracted Manuscript received November 05, 2009, revised February 09, 2010; accepted February 13, 2010. Date of publication May 10, 2010; date of current version June 11, 2010. This work was supported by the Research Grants Council of Hong Kong Special Administrative Region, China, under Grant CityU 110808. This work was supported in part by the Fundamental Research Funds for the Central Universities, South China University of Technology (SCUT), under Grant 2009ZZ0066, by the National Key Project of Science and Technology of China under Grant 2009ZX03006-003, and by the National Natural Science Foundation of China (NSFC)–Natural Science Associated Foundation (NSAF) under Grant 10976010. X. Y. Zhang and B.-J. Hu are with the School of Electronic and Information Engineering, South China University of Technology, Guangzhou 510640, China (e-mail: [email protected]; [email protected]). Q. Xue and C. H. Chan are with the State Key Laboratory of Millimeter Waves, Department of Electronic Engineering, City University of Hong Kong, Kowloon, Hong Kong (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2048250

much attention in tunable filter designs due to the tuablity of the dielectric constant [14]–[16]. Regardless of the tuning devices used, it is desirable in certain applications to maintain constant absolute bandwidth and passband shape as the passband is tuned. Various methods have been utilized to achieve constant absolute bandwidth. Hunter and Rhodes [1] used combline filter topology realized in a suspended substrate stripline with the resonator’s electrical length of 53 to obtain constant absolute bandwidth. This method can also be used in microstrip combline filters using stepped-impedance resonators [3]. Another method to achieve constant absolute bandwidth is to introduce a fixed or variable attenuation pole near the passband to force nearly constant bandwidth [4], [17]. The inter-stage coupling variation can also be controlled to obtain constant absolute bandwidth. In [5], a variable capacitor is utilized to control the coupling. In [6], an independent electric and magnetic coupling scheme is used to manipulate the coupling coefficient variation so that the bandwidth can be controlled. Insertion loss is another important issue in frequency-agile bandpass filter designs. For a filter with a specific bandwidth, the insertion loss is mainly determined by the resonator . In order to reduce the insertion loss, high- components, e.g., MEMS components, are necessitated [12], [13], thus leading to high cost. In this paper, a novel approach is proposed to design frequency-agile bandpass filters with constant absolute bandwidth and passband shape together with suppressed second harmonic. The proposed resonator is composed of an open-ended transmission line with one varactor loaded at one end. The variation of the inter-stage coupling coefficient can be controlled by using a novel mixed electric and magnetic coupling scheme; this allows that the requirement of constant absolute bandwidth can be met. Moreover, this half-wavelength resonator has higher than the widely used quarter- and half-wavelength overall counterparts. In turn, low-cost silicon varactors can be used to obtain acceptable performance. Using the proposed resonators and coupling scheme, a frequency-agile bandpass filters with 80-MHz constant absolute bandwidth is demonstrated with the frequency tuning range from 680 to 1000 MHz. A method is then introduced to suppress the second harmonic without affecting the passband performance. Two tunable filters with 60- and 80-MHz constant absolute bandwidth and suppressed second harmonic are implemented. Experimental and simulated results are presented to verify the proposed method.

0018-9480/$26.00 © 2010 IEEE

1558

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 1. (a) Conventional =4 resonator with one varactor. (b) Conventional =2 resonator with two varactors. (c) Proposed asymmetric =2 resonator with one varactor. Fig. 2. Inter-stage coupling structure.

II. DESIGN THEORY To design low-loss tunable bandpass filters with constant absolute bandwidth, the requirement on the unloaded resonator , coupling coefficients, and external should be satisfied. A. Unloaded Resonator It is common that tunable filter designs employ and resonators. Fig. 1(a) shows the configuration of a conventional resonator. One end of the microstrip line is loaded by a varactor and the other end is shorted[2], [3], [6], [8]. Fig. 1(b) shows a conventional resonator with two varactors loaded at the two ends, forming a symmetric structure with respect to the center plane of the transmission line [4], [10], [11]. These two types of resonators are widely used in tunable filter designs. resonator is proposed, as shown in In this design, a novel Fig. 1(c). Only one varactor is loaded at one end and the structure is asymmetric. The proposed resonator has higher than the other two counterparts. For comparative purposes, it is assumed that the values of all of the varactors are identical and lower than that of conventional microstrip line; the latter is true for most silicon varactors. The three resonators have the same fundamental resonant frequency under the same bias voltage. In resonator is this case, the microstrip line of the asymmetric longer than the symmetric one. Since the microstrip has higher than the varactor, the overall of the proposed resonator is higher than that of the symmetric resonator. On the other resonator is equivalent to the reshand, the symmetric onator at the fundamental resonant frequency. Hence, they have the same unloaded . As a result, the proposed resonator has higher unloaded than the others. For demonstration, a simulation study is conducted to extract the unloaded ’s. The same varactor model and microstrip lines are utilized in the simulation and the three resonators are tuned to resonate at the same frequency of 850 MHz. The resonators in Fig. 1(a)–(c) have the unloaded ’s of 58, 57, and 68, respectively. Hence, the proposed resonator has a higher than others. In turn, for given filter specifications, use of the proposed resonator can alleviate the requirement on the varactor , resulting in low-cost design. B. Coupling Coefficient For a second-order filter, the required coupling coefficient is given by (1)

Fig. 3. Normalized voltage and current distributions on the resonator. (a) At lower frequency f . (b) At upper frequency f .

where represents the absolute bandwidth, and are the is the element values of the low-pass prototype filter, and center frequency. As can be seen, to achieve constant absolute bandwidth over the tuning range, the coupling coefficient should become lower as the center frequency increases. To obtain the desired coupling coefficients, a novel mixed electric and magnetic coupling scheme is proposed, as shown in Fig. 2. For coupled microstrip lines, the electric and magnetic coupling can be evaluated by studying the voltage and current distributions [19]. Ignoring the parasitic effects, the varactor can be made equivalent to a segment of open-ended microstrip line. Fig. 3 shows the equivalent circuits of one resonator under different varactor bias voltages. The darkened parts denote real miand crostrip lines with the length of . The filled parts ( ) are equivalent to the varactor under different bias voltand represent the lines in the ages. The region between coupling region. When the capacitance of the varactor is larger, the equivalent and the resonant frequency is microstrip line is longer

ZHANG et al.: LOW-LOSS FREQUENCY-AGILE BANDPASS FILTERS

1559

lower . At fundamental resonance, the normalized voltage and current can be expressed as

(2) (3) is the propagation constant at . The voltage and where current distributions are illustrated in Fig. 3(a). In the case of smaller varactor capacitance, the equivalent microstrip line is and the resonant frequency is higher . Simshorter ilarly, the voltage and current can be given as (4) (5)

Fig. 4. Comparison of electric and magnetic coupling at various frequencies. (a) Electric coupling. (b) Magnetic coupling.

is the propagation constant at . The voltage and where current distributions are shown in Fig. 3(b). The electric and and in the two cases can magnetic coupling coefficients be expressed as [19] (6) (7)

Fig. 5. Resonator with the input coupling network.

where is a positive constant and , corresponding to the lower and upper frequencies, respectively. The overall coupling coefficient can vary inversely with frequency if a proper coupling region is selected. Since the electric and magnetic coupling coefficients are out of phase, when the magnetic coupling is dominant, the magnitude of coupling coefficient is (8) When the magnetic coupling varies inversely with frequency while the electric coupling varies directly with frequency, the net coupling is proportional to frequency. Fig. 4 illustrates such a case. Inspecting (6) and (7), we can find that the coupling strength can be indicated by the area below the curve of and between and . From Fig. 4(a), it is observed that the electric coupling coefficient at lower frequency (indicated by the area below the dark red line (in online version) with and ) is lower than that at upper cross symbols between frequency (indicated by the area under the blue line (in online version) with square symbols between and ), namely, (9) Similarly, Fig. 4(b) indicates that

Fig. 6. Configuration of the tunable filter with constant absolute bandwidth.

Therefore, the coupling coefficient becomes lower as the center frequency is tuned upward; this can meet the requirement of constant absolute bandwidth.

C. External The required external given by

or

for a second-order filter is

(10)

(12)

(11)

To maintain constant absolute bandwidth, should increase as the frequency shifts upward. This can be realized by using the structure shown in Fig. 5.

Inspecting (8)–(10) gives

1560

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 8. Measured responses. (a) Superposition of the passband responses. (b) Wideband responses.

TABLE I COMPARISON WITH OTHER DESIGN

Fig. 7. Simulated and measured responses. (a) Transmission. (b) Return loss.

To facilitate the analysis, the parasitic effects of the varactor and the line discontinuity are ignored. The input admittance of the resonator , seen from the input port, is

It can be seen that the capacitance and the electrical length and will affect . By properly choosing these three pacan be obtained within the frequency rameters, the desired tuning range. III. FREQUENCY-AGILE FILTER IMPLEMENTATION

(13) where is the characteristic admittance of the microstrip line, and are the electric length, and is the capacitance of the varactor. The overall input admittance is (14) is then determined as (15)

Based on the previous design theory, a frequency-agile filter with constant absolute bandwidth is implemented. The filter configuration is shown in Fig. 6. This filter employs two resonators. The silicon varactors are 1sv277 from Toshiba, Tokyo, Japan. The input and output feeding lines are tapped at the resand the caonators through the capacitors. The tap position are tuned so as to fulfill the requirement of over pacitance the frequency tuning range. The filter is fabricated on the substrate with the thickness of 0.82 mm, relative dielectric constant of 6.03, and loss tangent of 0.0018. The dimensions are determined as follows: mm, mm, mm, mm,

ZHANG et al.: LOW-LOSS FREQUENCY-AGILE BANDPASS FILTERS

1561

Fig. 9. Tunable filter with the suppressed second harmonic responses. (a) Filter configuration. (b) Photograph of the fabricated filter.

mm, mm, mm, mm, pF. The filter is enclosed in a metal cavity with the and dimensions of 5 3.4 2 cm . Fig. 7 shows the simulated and measured responses, which agree reasonably well with each other. As shown in Fig. 7(b), the center frequency can be tuned between 680 and 1000 MHz, featuring the fractional tuning range of 38%. The measured return loss over the tuning range is greater than 15 dB. For each tuning state, there are two transmission zeros near the passband edges, which improve the roll-off rate. These transmission zeros are due to the tap connections of input and output port, which lead to quarter-wavelength resonance. Fig. 8(a) shows a superposition of the measured passband responses at various frequencies. As can be observed, the passband shape and absolute bandwidth are kept nearly constant over the tuning range. The measured 1-dB absolute bandwidth is 80 3.5 MHz. The variation is less than 4.4%, which is lower than those (7%–10%) in [1] and [6] and a little bit higher than those (3%–3.5%) in [3] and [4]. Therefore, the bandwidth can be considered as constant. For all the tuning states, the insertion loss is from 1.1 to 1.5 dB, thus indicating low insertion loss. The variation of insertion-loss in absolute value is 4.6%, which is lower than the value of 7.2% in [5] and 11.4% in [6]. This design is compared with that in [6], as tabulated in Table I. The measured unloaded of this filter is 42–72 from 680 to 1000 MHz. This is somewhat lower than the reported one of 53–152 from 850 to 1400 MHz in [6]. However, the design in [6] employed high- GaAs varactors and low-loss substrate. In contrast, this is a low-cost design, which utilizes ordinary silicon varactors and substrate. This indicates the superiority of the proposed resonator.

Fig. 10. Responses of the filter with 80-MHz bandwidth. (a) Transmission. (b) Return loss.

Despite the good passband performance of the implemented filter, it suffers from high second harmonic levels, as shown in Fig. 8(b). It is straightforward to suppress them using a low-pass or bandstop structure. However, it will induce extra insertion loss and increase the circuit size. In applications, it is desirable to reduce the harmonic levels without affecting the passband performance. This leads to the following designs. IV. FREQUENCY-AGILE FILTER WITH SUPPRESSED HARMONIC The second harmonic of the previous filter can be suppressed by using input and output coupling networks with the intrinsic bandpass characteristic. Fig. 9 shows such a filter configuration. This filter is the same as the previous one aside from the input and seand output coupling networks. The shunt capacitor ries capacitor form a bandpass network. When the second harmonic is within the stopband of this bandpass network, they can be suppressed. On the other hand, the coupling networks affect . Based on (14), the input admittance can be derived as (16)

1562

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 12. Responses of the filter with 60-MHz bandwidth. (a) Transmission. (b) Return loss. Fig. 11. Measured responses of the filter with 80-MHz bandwidth. (a) Superposition of the passband responses. (b) Wideband responses.

Inspecting (15) and (16), we can find that the two capacitors will and should fulfill the affect . Therefore, the capacitors requirements of both and harmonic suppression. It is noted is controlled not only by the capacitance and , but that also by the tap position . Hence, there are sufficient degrees and harmonic of freedom to meet the requirements of both suppression. In this manner, the harmonic can be suppressed without degrading passband performance. Using the configuration, two filters with 80- and 60-MHz absolute bandwith are implemented with the suppressed second harmonic. The two filters are fabricated on the substrate with the relative dielectric constant of 6.03, thickness of 0.82 mm, and loss tangent of 0.0018. The filter with 80-MHz bandwidth mm, mm, has the following dimensions: mm, mm, mm, mm, mm, mm, pF, and pF. The , where is the guided overall size is around wavelength at lowest passband frequency. The filter is enclosed in a metal cavity with the dimensions of 5 3.4 2 cm . The fabricated filter is shown in Fig. 9(b). Fig. 10 illustrates the simulated and measured results. The passband tuning range is from 650 to 960 MHz, featuring a 38% fractional tuning range. The measured in-band return loss

is greater than 15 dB for all the tuning states. The measured 1-dB absolute bandwidth is 80 3.5 MHz. The passband shape and insertion loss are maintained nearly constant over the tuning range, as shown in Fig. 11(a). The insertion loss ranges from 1.2 to 1.5 dB, which is nearly the same as the previous one; this indicates that the passband performance is nearly not affected. Fig. 11(b) shows the measured wideband responses. It can be seen that the second harmonic levels are below 20 dB. Hence, it can be summarized that the passband performance is preserved, while the second harmonic is suppressed. The input third-order intermodulation intercept point (IIP3) is measured at 2.5-V bias with 1-MHz frequency spacing. The IIP3 is measured at 13 dBm. To demonstrate the wide applicability of this method, a filter with 60-MHz bandwidth is also implemented. The dimensions mm, mm, mm, are as follows: mm, mm, mm, mm, mm, pF, and pF. The filter is also enclosed in a metal cavity with the dimensions of 5 3.4 2 cm . Fig. 12 shows the simulated and measured results. The passband frequency can be tuned from 630 to 930 MHz, featuring the fractional tuning range of 38.5%. The measured in-band return loss is around 20 dB for all the tuning states. The 1-dB absolute bandwidth is 60 3 MHz. The passband shape and insertion loss are maintained nearly constant over the tuning

ZHANG et al.: LOW-LOSS FREQUENCY-AGILE BANDPASS FILTERS

1563

achieved and the second harmonic can be suppressed without degrading the passband performance. Furthermore, the absolute bandwidth can be controlled by altering the design parameters, indicating the wide applicability of this method. Transmission zeros have been generated near the passband edges, resulting in high skirt selectivity. With these features, this kind of tunable filter will be useful in multiband and wideband systems. ACKNOWLEDGMENT The authors would like to thank the editors and reviewers of this paper’s manuscript for their valuable comments and suggestions, which greatly improved the quality of this paper. REFERENCES

Fig. 13. Measured responses of the filter with 60-MHz bandwidth. (a) Superposition of the passband responses. (b) Wideband responses.

range, as shown in Fig. 13(a). The insertion loss ranges from 1.6 to 2.0 dB. Fig. 13(b) shows the measured wideband responses. It can be seen that the second harmonic levels are suppressed below 25 dB. The measured IIP3 is also around 13 dBm. From the two design examples, it is observed that the second harmonic can be suppressed by simple and compact circuits and the passband performance is not affected. The absolute bandwidth and passband shape can be maintained constant over the tuning range. Moreover, the bandwidth can be controlled by changing the design parameters, indicating this topology can be used to achieve various bandwidth specifications. V. CONCLUSION In this paper, a novel method has been presented to design frequency-agile bandpass filters with constant absolute bandwidth and passband shape together with the suppressed second harmonic. Both theory and experiments have been provided, and coupling coefficient variation can be conshowing that trolled to fulfill the requirement of constant absolute bandwidth. higher than the quarter- and The proposed resonator has a half-wavelength counterparts, which, in turn, can result in low insertion loss. With the intrinsic bandpass characteristic, the compact input and output coupling networks are utilized to not variation, but also reduce the second haronly obtain desired monic. The experimental results have been presented, showing that constant absolute bandwidth and passband shape can be

[1] I. C. Hunter and J. D. Rhodes, “Electronically tunable microwave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1354–1360, Sep. 1982. [2] A. R. Brown and G. M. Rebeiz, “A varactor-tuned RF filter,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1157–1160, Jul. 2000. [3] B. W. Kim and S. W. Yun, “Varactor-tuned combline bandpass filter using step-impedance microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1279–1283, Apr. 2004. [4] M.-S. Chung, I.-S. Kim, and S.-W. Yun, “Varactor-tuned hairpin bandpass filter with enhanced stopband performance,” in Asia–Pacific Microw. Conf., Dec. 2006, pp. 645–648. [5] E. Pistono, L. Duvillaret, J.-M. Duchamp, A. Vilcot, and P. Ferrari, “Improved and compact 0.7 GHz tune-all bandpass filter,” Electron. Lett., vol. 43, no. 3, pp. 165–166, Feb. 2007. [6] S.-J. Park and G. M. Rebeiz, “Low-loss two-pole tunable filters with three different predefined bandwidth characteristics,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 5, pp. 1137–1148, May 2008. [7] X. Y. Zhang and Q. Xue, “Novel centrally loaded resonators and their applications to bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 913–921, Apr. 2008. [8] M. Sanchez-Rendo, R. Gomez-Garcia, J. I. Alonso, and C. Briso-Rodriguez, “Tunable combline filter with continuous control of center frequency and bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 191–199, Jan. 2005. [9] H. J. Park et al., “A new varactor-tuned microstrip ring bandpass filter with harmonic suppression,” in Asia–Pacific Microw. Conf., Dec. 2000, pp. 1127–1130. [10] J. Kim and J. Choi, “Varactor-tuned microstrip bandpass filter with wide tuning range,” Microw. Opt. Technol. Lett., vol. 50, no. 10, pp. 2574–2577, Oct. 2008. [11] X.-P. Liang and Y. Zhu, “Hybrid resonator microstrip line electronically tunable filter,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, pp. 395–398. [12] K. Entersari and G. M. Rebeiz, “A 12–18 GHz three-pole RF MEMS tunable filter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2566–2571, Aug. 2005. [13] S.-J. Park, M. A. El-Tanani, I. Reines, and G. M. Rebeiz, “Low-loss 4–6-GHz tunable filter with 3-bit high- orthogonal bias RF-MEMS capacitance network,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 10, pp. 2348–2355, Oct. 2008. [14] J. Nath, D. Ghosh, J.-P. Maria, A. I. Kingon, W. Fathelbab, P. D. Franzon, and M. B. Steer, “An electronically tunable microstrip bandpass filter using thin-film barium–strontium–titanate (BST) varactors,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2707–2712, Sep. 2005. [15] Y.-H. Chun, J. S. Hong, P. Bao, T. J. Jackson, and M. J. Lancaster, “BST-varactor tunable dual-mode filter using variable ZC transmission line,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 3, pp. 167–169, Mar. 2008. [16] S. Courreges et al., “A -band electronically tunable ferroelectric filter,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 6, pp. 356–358, Jun. 2009. [17] G. L. Matthaei, “Narrow-band, fixed-tuned, and tunable bandpass filters with zig–zag hairpin-comb resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1214–1219, Apr. 2003. [18] J. S. Hong and M. J. Lancaster, Microwave Filter for RF/Microwave Application. New York: Wiley, 2001.

Q

ka

1564

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

[19] G. L. Dai, X. Y. Zhang, C. H. Chan, Q. Xue, and M. Y. Xia, “An investigation of open- and short-ended resonators and their applications to bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 9, pp. 2203–2210, Sep. 2009. [20] R. Levy, R. V. Snyder, and S. Shin, “Bandstop filters with extended upper passbands,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2503–2515, Jun. 2006. [21] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005, pp. 307–310.

systems, and microwave monolithic integrated circuits (MMIC) RF integrated circuits (RFICs). Dr. Xue is the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Regional Coordinator of IEEE Region 10. He was recognized by the UESTC as Distinguished Academic Staff for his contribution to the development of millimeter-wave components and susbsystems. He was co-supervisor of two IEEE MTT-S International Microwave Symposium (IMS) Best Student Contest papers (third place 2003 and first place 2004).

Xiu Yin Zhang (S’07–M’10) was born in Hubei Province, China. He received the B.S. degree from the Chongqing University of Posts and Telecommunications, Chongqing, China, in 2001, the M.S. degree from the South China University of Technology, Guangzhou, China, in 2006, and the Ph.D. degree from the City University of Hong Kong, Kowloon, Hong Kong, in 2009, all in electronic engineering. From 2001 to 2003, he was with the ZTE Corporation, Shenzhen, China. From July 2006 to June 2007, he was a Research Assistant with the City University of Hong Kong. From September 2009 to February 2010, he was a Research Fellow with the City University of Hong Kong. He is currently an Associate Professor with the School of Electronic and Information Engineering, South China University of Technology, Guangzhou, China. His research interests include microwave circuits, microstrip antennas, and cognitive radios. Dr. Zhang is the reviewer of several international journals including the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS.

Chi Hou Chan (S’86–M’86–SM’00–F’02) received the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign, in 1987. In 1996, he joined the Department of Electronic Engineering, City University of Hong Kong, and in 1998, became Chair Professor of Electronic Engineering. From 1998 to 2009, he was the first Associate Dean and then Dean of the College of Science and Engineering, City University of Hong Kong. He is currently Acting Provost of the City University of Hong Kong. His research interests include computational electromagnetics, antennas, microwave and millimeter-wave components and systems, and RFICs. Prof. Chan was the recipient of the 1991 National Science Foundation (NSF) Presidential Young Investigator Award and the 2004 Joint Research Fund for Hong Kong and Macau Young Scholars, National Science Fund for Distinguished Young Scholars, China Award. For teaching, he has been a four-time recipient of the Outstanding Teacher Award of the Electrical Engineering Department, City University of Hong Kong (1998–2000 and 2008). His students have also been the recipients of numerous awards including one of the 22 Special Awards of the 2003 National Challenger’s Cup in China, Third Prize (2003) and First Prize (2004) of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Student Paper Contests, the IEEE MTT-S Graduate Fellowship (2004–2005), Undergraduate/Pre-Graduate Scholarships (2006–2007 and 2007–2008), and the 2007 International Fulbright Science and Technology Fellowship of the U.S. Department of State.

Quan Xue (M’02–SM’04) received the B.S., M.S., and Ph.D. degrees in electronic engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1988, 1990, and 1993, respectively. In 1993, he joined the UESTC, as a Lecturer. He became an Associate Professor in 1995 and a Professor in 1997. From October 1997 to October 1998, he was a Research Associate and then a Research Fellow with the Chinese University of Hong Kong. In 1999, he joined the City University of Hong Kong, Kowloon, Hong Kong, where he is currently an Associate Professor and the Director of the Applied Electromagnetics Laboratory. Since May 2004, he has been the Principal Technological Specialist of the State Integrated Circuit (IC) Design Base, Chengdu, Sichuan Province, China. He has authored or coauthored over 150 internationally referred papers His current research interests include antennas, smart antenna arrays, active integrated antennas, power amplifier linearization, microwave filters, millimeter-wave components and sub-

Bin-Jie Hu (M’08) was born in Shanxi, China. He received the M.S. degree from the China Research Institute of Radiowave Propagation, Xinxiang, China, in 1991, and the Ph.D. degree from the University of Electronic Science and Technology of China, Chengdu, China, in 1997, all in electronic engineering. From 1997 to 1999, he was a Postdoctoral Fellow with the South China University of Technology. From 2001 to 2002, he was a Visiting Scholar with the Department of Electronic Engineering, City University of Hong Kong. In 2005, he was a Visiting Professor with the Université de Nantes, Nantes, France. He is currently a Full Professor with the South China University of Technology, Guangzhou, China. His current research interests include wireless communications, cognitive radios, microwave circuits, and antennas.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1565

Single-Ended and Differential Radial Power Combiners Implemented With a Compact Broadband Probe Young-Pyo Hong, Student Member, IEEE, Donald F. Kimball, Member, IEEE, Peter M. Asbeck, Fellow, IEEE, Jong-Gwan Yook, Member, IEEE, and Lawrence E. Larson, Fellow, IEEE

Abstract—A radial power-combiner design is presented using broadband probes and a radial cavity configuration. The 8:1 combiner (which can also be used as a power divider) is demonstrated experimentally in both single-ended and differential configurations. The broadband probe is composed of cylindrical conductors and dielectric spacers, arranged on a rod for mechanical stability. The proposed radial power combiner provides low loss, broad bandwidth (800–1800 MHz), and high power capability. Index Terms—Broadband probe, power combiner, power divider, radial combiner.

I. INTRODUCTION

D

UE TO the significant interest in the development of high power amplifiers, the design of high power-combiner structures has received considerable attention in recent years. The major design objectives are to provide low loss and broad bandwidth, while combining the power from many individual amplifier unit cells. Various power-combining techniques have been proposed in the literature [1]–[11]. A divider with a quasi-traveling wave incorporating a double-ladder multiport structure was discussed in [3] and [4]. In [5], a broadband spatial power-combining technique using a finline structure was analyzed with the help of the spectral-domain method. Radial combiners have been considered extensively, and are discussed, for example, in [6]–[8]. Low-profile radial power combiners have been presented with simplified design procedures [6].

Manuscript received October 27, 2009; revised February 16, 2010; accepted March 07, 2010. Date of publication May 18, 2010; date of current version June 11, 2010. This work was supported in part by the Yonsei University Institute of TMS Information Technology under a Brain Korea 21 Program, by the Center for Wireless and Communications (CWC), University of California at San Diego (UCSD), and by the California Institute for Telecommunications and Information Technology (CALIT), UCSD. Y.-P. Hong is with the Department of Electrical and Electronic Engineering, Yonsei University, Seoul 120-749, Korea, and also with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA 92093 USA (e-mail: [email protected]). D. F. Kimball is with the California Institute for Telecommunications and Information Technology, University of California at San Diego, La Jolla, CA 92093 USA (e-mail: [email protected]). P. M. Asbeck, and L. E. Larson are with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA 92093 USA (e-mail: [email protected]; [email protected]). J.-G. Yook is with the Department of Electrical and Electronic Engineering, Yonsei University, Seoul 120-749, Korea (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049165

Moreover, combiner characteristics such as graceful degradation and amplitude and phase mismatch have been studied. A full-wave analysis of a planar radial-waveguide power combiner was developed to calculate optimal dimensions of a radial power combiner [7]. In [8], the radial guide between the center probe and a peripheral probe was analyzed based on the equivalent circuit of symmetrical two-port networks [12]. The precision design of tapered-slot transitions can be achieved with the help of the theory of small reflections [5]. The main problem in the analysis of the equivalent circuit is the accurate modeling of the characteristic impedance along a radial distance [7], [8], which determines the dimensions of the probes and make design of radial combiners difficult. In this paper, we propose an improved single-ended powercombiner structure, using a cylindrical cavity fed by compact broadband probes. The proposed power combiner takes advantage of the traveling-wave feature of the probe, which has a nearly constant characteristic impedance over the entire operational frequency range. This greatly simplifies the design and modeling of the waveguide for broadband power combiners. This proposed probe configuration, in comparison with the disc-ended probe reported in [7], offers an improved overall bandwidth. In addition, the omni-directional radiation of the probe allows the power combiner/divider to have nearly equal power splitting/combining ratios and nearly equal group delays. In addition to the proposed single-ended power combiner, a differential power combiner is described, based on changing the electric field polarity of selected probes. To realize a low-profile broadband radial power combiner, a capacitively loaded cylindrical probe is utilized. In Section II, an analysis of the capacitively loaded probe is provided. The design of the single-ended and differential radial power combiners is also presented. The simulated and measured results are presented in Section III. A discussion of the results of combining efficiency in relation to phase and amplitude variations of the input signals to the combiner is also presented. Finally, a conclusion follows in Section IV. II. COMBINING STRUCTURE The proposed combiner is based on a cylindrical cavity into which power is coupled from multiple input probes arranged symmetrically around the center, and power is collected by a single probe at the center of the cavity. The probe design is similar to that recently reported for a broadband antenna [13]. In Section II-A, the broadband probe

0018-9480/$26.00 © 2010 IEEE

1566

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

design is introduced. Subsequently, single-ended and differential structures for power combining are described. A. Broadband Probe Design Recently, a compact antenna using capacitive loading was described, capable of operation over a multioctave bandwidth [13]. For the unloaded probe (antenna), the input impedance varies with frequency due to reflections from the end of the probe. However, the increase of the reactance due to capacitive loading at the end of the probe decreases the current at the end, which, in turn, decreases the undesired reflections. Therefore, it is possible to achieve an input impedance that is nearly constant over a broad frequency range. This design is suitable for use as a probe in a cavity power combiner, resulting in broad frequency and high-efficiency operation. The broadband probe is made up of cylindrical conductors and dielectric spacers, arranged on a dielectric rod for mechanical stability. The capacitance, which controls the resonant frequency of the antenna, is determined by the spacing between conductors. As shown in Fig. 1, dielectric rings are inserted between conductor rings. The thickness of the dielectric rings is increased along the length of antenna, and varies according to a Chebyshev polynomial [13]. The geometry of the capacitively loaded antenna is shown in Fig. 1(a). The lowest frequency of operation is determined by both the total length of the conductor and the diameter of the conductor. By increasing the total electrical length and the diameter of the conductor, the lowest resonance frequency is decreased. Both conductor and dielectric rings (dielectric con) are mounted on a central rod (dielectric constant stant ) for mechanical stability. The dielectric rings are fabricated from a polyester film of thickness of 0.025 mm. The diamand the aluminum conductors used eters of the rod in this work are shown in Table I. There is a small hole at the tip of the cone-shaped transmission line, and the inner conductor of the connector is inserted into the small hole. A stabilizer is placed at the bottom of the inside of the radial waveguide to provide mechanical support for the cone-shaped transmission line. The dielectric constant of the stabilizer is chosen to be as low as possible to avoid altering the electrical performance of the probe. The thickness of the lowest dielectric ring is set to (Table I) and increases toward the end of the probe. A , connected to the cone-shaped transmission line element end of the probe, is the impedance matching section. The longer cone-shaped transmission line provides a gradual impedance transformation from the 50- subminiature A (SMA) connector to the end of the probe, and this allows the probe to operate over a broader matched bandwidth. To keep the waveguide as compact as possible, with a long enough probe to accommodate is chosen to be 10 mm. The the lower frequency operation, design parameters of the probe are summarized in Table I. B. Single-Ended Radial Combiner/Divider The design parameters were obtained by using a fieldmatching method [7] to derive the admittance of the peripheral probe, as well as the separation distance between the center probe and peripheral probes, and by using an equivalent circuit

Fig. 1. Configuration of an eight-way radial-waveguide combiner/divider incorporating probes. (a) Capacitively loaded broadband probe. (b) Radial cavity incorporating broadband probe (stabilizer not shown here).

TABLE I DIMENSIONS OF PROBE AND CAVITY

of the divider sections [4], [8]. These approaches require an admittance mapping process through full-wave simulation, and/or a parameter-sweep process, to find the ideal dimensions of the central and peripheral probes, as well as the separation between the center probe and peripheral probes. The design procedure of

HONG et al.: SINGLE-ENDED AND DIFFERENTIAL RADIAL POWER COMBINERS

1567

Fig. 3. Sketch of the differential radial power combiner.

Fig. 2. Schematic cross section of the proposed radial power combiner (including port numbering, perfect magnetic conductor: PMC, perfect electric conductor: PEC).

the radial power combiner using the broadband probe is distinct from previously reported power combiner/dividers because the operation and operational bandwidth is governed by the cavity environment (the interaction of the probes and the cavity) and not only by a single probe. Fig. 1(b) shows a single-ended radial power combiner/dividers comprised of one probe in the middle of the cavity and eight symmetrically located peripheral probes. Since the peripheral probes are assumed to be excited in-phase and with equal amplitude, and they are symmetrical around the center probe, the divider can be regarded as eight identical radial waveguide sectors bounded by two perfect magnetic conductors and one perfect electric conductor (as shown in Fig. 2). Since there are eight waveguides separated by magnetic sidewalls, each sector can be considered as a two-port network [4] approximated by a rectangular waveguide with a short circuit (equivalent to the perfect electric conductor wall). In the design presented here, all probes were first designed to satisfy a broadband impedance match at the 50- input, while the peripheral probe design initially takes into account interactions between the center probe and peripheral probes based on the two-port network in the previous power combiners [7], [8]. Therefore, the dimensions of the peripheral probe are identical to those of the central probe in this work (while for previous designs, [4], [7], [8], the dimension of the center probe is different from that of the peripheral probe). The design parameters of the combiner/divider are summarized in Table I (and shown in Fig. 2 along with the port numbering). is designed to satisfy the The length of the probe required operational bandwidth. The probe length is one quarter-wavelength including the cone-shaped transmission is line for broadband matching. The height of the cavity

approximately one half-wavelength at the highest operational frequency in order to obtain dominant mode propagation and avoid cavity resonance in the operational bandwidth. The cavity resonant frequency of the waveguide has not been considered for previous waveguide-based power combiners [3], [7], [8] because their operational frequency (e.g., -band) is much lower than the cavity resonant frequency of their waveguide structure. However, cavity resonance is a considerable shortcoming, especially in the case of a broadband power-combiner design at relatively low frequencies (e.g., VHF and UHF). By is lowered, decreasing the height of the cavity, the cavity which results in increasing operational bandwidth [1]. The separation distance between the center probe and the peis approximately one half-wavelength at the ripheral probes lowest frequency of the operational band, which places the peripheral probe close to the highest electric field region for the to cancel the redominant mode. The sidewall is placed at flected waves from the peripheral probe at the center probe. The distance between the peripheral probe and the cavity sidewall is thus approximately one quarter-wavelength at the middle frequency of the operating band. C. Differential Radial Combiner/Divider The differential radial divider is shown in Fig. 3 where half the probes are placed on the bottom of the cavity and half are place on the top. The size of the cavity is the same as for the single-ended case. The length of the probe, height of the cavity, separation distance between center probe and peripheral probes, and location of the sidewall are all the same as the single-ended divider/combiner. and are 180 out-of-phase because the -directed electric field at port 3 has the opposite sign compared to the -directed electric field at port 2. The lengths of the connecting cables are equalized to minimize phase mismatch. For the case where the peripheral probes are excited in-phase and with equal amplitude, it can be shown that the effective input is given by reflection coefficient

(1)

1568

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 4. Simulated return loss characteristic (center probe: port #1 in Fig. 2, peripheral probe: port #2 in Fig. 2).

Fig. 6. Measured insertion-loss characteristic in the entire operational frequency range. (a) Single-ended structure. (b) Differential structure.

return-loss characteristic of the center probe and that of shows good agreement. the peripheral probe III. EXPERIMENTAL RESULTS

Fig. 5. Simulated and measured results of power divider/combiner (a) Single-end structure. (b) Differential structure.

where is the incident wave amplitude at port , and corresponding reflected wave amplitude. Thus, if

is the (2)

then the effective reflection coefficient for combiner operation at a peripheral port (for example, port 2 in Fig. 2) is (3) In Fig. 4, the simulated return-loss characteristics and (which correspond to the reflection coefficients with and without multiple driving peripheral ports) are compared. The

The proposed combiners were fabricated using cavities with aluminum sidewalls. Measurements were conducted with a vector network analyzer. The probes were excited during measurement from the tip of the conical transmission line using SMA connectors. Measured results were compared with simulations, performed using Ansoft’s High Frequency Structure Simulator (HFSS). Fig. 5(a) shows the simulated and measured return loss and insertion loss of the single-ended structure and Fig. 5(b) shows the results of the differential structure. Measured and simulated results agree well through the entire operational frequency range. The maximum reflection coefficient is higher than 10 dB in a few regions of the passband, and the highest insertion loss is obtained in that range. In the insertion-loss measurements, 9-dB insertion loss is due to the power splitting, while the remainder (approximately 1.3 dB at the worst case frequencies) is mainly due to the input impedance mismatch of the probes at particular frequencies. The measured insertion loss in frequency regions where the return loss is lower than 15 dB indicates less than 0.3-dB excess loss. In the measurements of the differential structure, the overall insertion loss is found to be as little as 8.8 dB in some regions (while it must be higher than 9 dB for equal power division). This can be explained by experimental

HONG et al.: SINGLE-ENDED AND DIFFERENTIAL RADIAL POWER COMBINERS

1569

Fig. 8. Measured results of amplitude and phase difference [shaded region: operational band (0.8–1.8 GHz)].

Fig. 7. Measured isolation characteristic. (a) Single-ended structure. (b) Differential structure.

limitations of circular symmetry in the cavity; the power from the center probe to the peripheral probes is not equally divided if the cavity does not have perfect circular symmetry. Fig. 5 shows a resonance at 1.84 GHz, which comes from a higher order cavity mode. A discontinuity in the cavity could be introduced to mitigate this cavity resonance. This could be accomplished either by introducing a via or by loading the cavity with a dielectric material. To move the resonant frequency of the cavity toward a higher frequency than the operating frequency band, the size of waveguide could be decreased. However, there is a limitation on decreasing the height of cavity because the height is limited by the size of the probe. The measured insertion losses of both the single-ended structure and the differential structure over the operational frequency range are shown in Fig. 6, which also presents the remaining -parameters. A maximum amplitude imbalance between peripheral probes is 0.7 dB and the highest insertion loss of 1.5 dB is observed. Fig. 7 illustrates the simulated and measured isolation between different input ports of both the single-end and differential structures. The best isolation is measured between ports 2 and 5, while the worst isolation occurs between ports 2 and 6 (ports placed on opposite sides of the cavity). This behavior is similar to what is found in conventional waveguide combiners [3], [7], [8]. In general, the isolation characteristics deteriorate as the number of peripheral ports increases, and cavity-type dividers show relatively

Fig. 9. Measured group delay. (a) Single-ended structure. (b) Differential structure.

high coupling coefficients among peripheral probes. The isolation characteristics of the differential structure were measured at . Improved different intermediate probe positions coupling coefficients are found for the differential structure compared to the single-ended structure. As shown in Fig. 8, the signals at the differential ports are 180 out-of-phase, but equal in amplitude, which is to be expected. The phase imbalances are less than 5 over the entire op-

1570

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 10. Simulated effective return loss (S ) at port 2 when port 2 is excited with different amplitude or phase from those of the remaining inputs. (a) Amplitude mismatch for single-ended structure. (b) Phase mismatch for single-ended structure. Fig. 12. Photograph of fabricated radial power combiner. (a) Broadband probe. (b) Single-ended structure.

Fig. 11. Measured combining efficiency.

erational frequency range (shaded area in Fig. 8). The amplitude imbalance is less than 0.5 dB from 800 MHz to 1.8 GHz. As explained earlier, the measured amplitude imbalance is mainly due to the imperfect circular configuration of the fabricated radial cavity. Although the combined amplifiers can have the same design and may be produced in the same fabrication process, their output amplitudes and phases may not be identical. In the power combiner, this unequal power splitting/combining will produce an amplitude imbalance, which degrades the combining efficiency. As seen in Fig. 5, the return loss of the center probe (or effective return loss of the peripheral probe) is mainly attributed

to the insertion loss, which gives us an estimate of the combining efficiency. Care must be taken to ensure that the peripheral probes have equal group delay from the center probe, otherwise the combining efficiency can be degraded. Fig. 9 shows the measured group-delay response of both the single-ended structure and differential structure over the entire operational frequency range. The group delay of peripheral probes shows a similar characteristic with a typical value of 1.2 ns and less than 2.3 ns over the entire operational frequency range. Fig. 10 shows the simulated effective return loss of the power combiner at port 2 (a peripheral probe) for different levels of amplitude and phase mismatch, while all other ports (ports 3–9 in Fig. 2) are excited with nominal amplitude and phase. Not surprisingly, some degradation in effective return loss is observed for increasing mismatch. It can be concluded that the combining efficiency is more sensitive to variations of phase between the inputs than to amplitude variations. The combining efficiency of proposed power combiners can be calculated as (4) Greater than 73% combining efficiency of both single-ended structure and differential structures was measured over the

HONG et al.: SINGLE-ENDED AND DIFFERENTIAL RADIAL POWER COMBINERS

1571

TABLE II COMPARISON BETWEEN THIS STUDY AND PREVIOUSLY REPORTED RESULTS

entire operational bandwidth, as shown in Fig. 11. Fig. 12 shows photographs of the fabricated broadband probe and single-ended power combiner. Table II shows the measured performance comparison of previous designs with this work. The proposed power combiner shows better, or at least comparable, results to those of the previously reported power combiners. IV. CONCLUSION An improved radial power combiner implemented in a cavity configuration using a broadband probe has been reported. The probe consists of multiple conductor rings that are identical in shape and size, and dielectric rings that have linearly increasing thickness, assembled on a vertical rod. Both single-ended and differential power combiners have been fabricated. The bandwidth of the power combiner is determined by the operational bandwidth of the probes, as well as the distance between the center probe and peripheral probes. The insertion-loss bandwidth is demonstrated to be greater than one octave. Both amplitude mismatch and phase mismatch of the power divider have been investigated with respect to different phase and amplitude conditions. Full design procedures of the power combiner/divider have been presented. Improvement of isolation between different input ports remains as one area for future work. The advantages of the proposed power combiner including broad bandwidth, low insertion loss, and high power capacity are important for present and next-generation high-power RF amplifiers. ACKNOWLEDGMENT The authors would like to acknowledge valuable discussions with P. Draxler and P. Kolinko, both with the University of California at San Diego (UCSD), La Jolla. REFERENCES [1] K. J. Russell, “Microwave power combining techniques,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 5, pp. 472–478, May 1979. [2] K. Chang and C. Sun, “Millimeter-wave power-combining techniques,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 2, pp. 91–107, Feb. 1983. [3] A. Sanada, K. Fukui, and S. Nogi, “A waveguide type power divider/ combiner of double-ladder multiple-port structure,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1154–1161, Jul. 1994. [4] J. P. Becker and A. M. Oudghiri, “A planar probe double ladder waveguide power divider,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 3, pp. 168–170, Mar. 2005. [5] P. C. Jia, L.-Y. Chen, A. N.-S. Cheng, and R. A. York, “Design of waveguide finline arrays for spatial power combining,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 4, pp. 609–614, Apr. 2001. [6] A. E. Fathy, S. W. Lee, and D. Kalokitis, “A simplified design approach for radial power combiners,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 247–255, Jan. 2006.

[7] M. E. Bialkowski and V. P. Waris, “Electromagnetic model of a planar radial-waveguide divider/combiner incorporating probes,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 7, pp. 1126–1134, Jul. 1993. [8] K. Song, Y. Fan, and Z. He, “Broadband radial waveguide spatial combiner,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 73–75, Feb. 2008. [9] L. A. Li, B. J. Hilliard, J. R. Shafer, J. Daggett, E. J. Dickman, and J. P. Becker, “A planar compatible traveling-wave waveguide-based power divider/combiner,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 8, pp. 1889–1898, Aug. 2008. [10] D. I. L. de Villiers, P. W. van der Walt, and P. Meyer, “Design of a ten-way conical transmission line power combiner,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 302–308, Feb. 2007. [11] Y. P. Hong, D. F. Kimball, J.-G. Yook, P. M. Asbeck, and L. E. Larson, “Switch-controlled multi-octave bandwidth radial power divider/combiner,” presented at the IEEE MTT-S Int. Microw. Symp., May 2010. [12] N. Marcuvitz, Waveguide Handbook. London, U.K.: Peregrinus, 1986, pp. 31–47. [13] J.-H. Oh, Y.-P. Hong, Y. Lee, and J.-G. Yook, “A compact broadband capacitively-loaded antenna for UHF application,” in Asia–Pacific Microw. Conf., Dec. 2008, pp. 1–4. Young-Pyo Hong (S’03) was born in Cheongju, Korea. He received the B.S. degree in information communication and computer engineering from Hanbat National University, Daejeon, Korea, in 2003, the M.S. degree in electrical and electronic engineering from Yonsei University, Seoul, Korea, in 2005, and is currently working toward the Ph.D. degree in electrical and electronics engineering at Yonsei University. From 2008 to 2009, he has been a Research Scholar with the University of California at San Diego, La Jolla. His research interests include theoretical/numerical electromagnetic modeling and characterization of microwave circuits and high-efficiency/high-linearity power amplifiers for wireless communications such as envelope tracking (ET)/envelope elimination and restoration (EER) amplifiers.

Donald F. Kimball (S’82–M’83) was born in Cleveland, OH, in 1959. He received the B.S.E.E. degree (suma cum laude, with distinction) and M.S.E.E. degree from The Ohio State University, Columbus, in 1982 and 1983, respectively. From 1983 to 1986, he was a TEMPEST Engineer with the Data General Corporation. From 1986 to 1994, he was an Electromagnetic Compatibility Engineer/Manager with Data Products New England. From 1994 to 1999, he was a Regulatory Product Approval Engineer/Manager with Qualcomm Inc. From 1999 to 2002, he was a Research and Technology Engineer/Manager with Ericsson Inc. Since 2003, he has been a Principal Development Engineer with Calit2, University of California at San Diego, La Jolla. He has authored or coauthored numerous technical papers concerning high-efficiency high-linearity high power RF amplifiers (HPAs). He holds seven U.S. patents associated with HPAs. His research interests include HPA EER techniques, switching HPAs, adaptive digital pre-distortion, memory effect inversion, mobile and portable wireless device battery management, and small electric-powered radio-controlled autonomous aircraft.

1572

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Peter M. Asbeck (M’75–SM’97–F’00) received the B.S. and Ph.D. degrees from the Massachusetts Institute of Technology (MIT), Cambridge, in 1969 and in 1975, respectively. He was with the Sarnoff Research Center, Princeton, NJ, and Philips Laboratory, Briarcliff Manor, NY, during which time he was involved in the areas of quantum electronics and GaAlAs/GaAs laser physics. In 1978, he joined the Rockwell International Science Center, where he was involved in the development of high-speed devices and circuits using III–V compounds and heterojunctions. He pioneered efforts to develop heterojunction bipolar transistors based on GaAlAs/GaAs and InAlAs/InGaAs materials. In 1991, he joined the University of California at San Diego, La Jolla, where he is the Skyworks Chair Professor with the Department of Electrical and Computer Engineering. He has authored or coauthored over 350 publications. His research interests are the development of high-performance transistor technologies and their circuit applications. Dr. Asbeck is a member of the National Academy of Engineering (NAE). He has been a Distinguished Lecturer of the IEEE Electron Device Society and of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He was the recipient of the 2003 IEEE David Sarnoff Award for his work on heterojunction bipolar transistors.

Jong-Gwan Yook (S’89-M’97) was born in Seoul, Korea. He received the B.S. and M.S. degrees in electronics engineering from Yonsei University, Seoul, Korea, in 1987 and 1989, respectively, and the Ph.D. degree from The University of Michigan at Ann Arbor, in 1996. He is currently a Professor with the School of Electrical and Electronic Engineering, Yonsei University. His main research interests are in the areas of theoretical/numerical electromagnetic modeling and characterization of microwave/millimeter-wave

circuits and components, design of RF integrated circuits (RFICs) and monolithic microwave integrated-circuits (MMICs), and analysis and optimization of high-frequency high-speed interconnects, including signal/power integrity [electromagnetic interference (EMI)/electromagnetic compatibility (EMC)], based on frequency, as well as time-domain full-wave methods. Recently, his research team has been involved in the development of various biosensors such as carbon-nanotube RF biosensor for nanometer size antigen-antibody detection, as well as remote wireless vital signal monitoring sensors.

Lawrence E. Larson (M’82–SM’90–F’00) received the B.S. degree in electrical engineering from Cornell University, Ithaca, NY, and the Ph.D. degree from the University of California at Los Angeles (UCLA). From 1980 to 1996, he was with Hughes Research Laboratories, Malibu, CA, where he directed the development of high-frequency microelectronics in GaAs, InP, Si/SiGe, and microelectromechanical systems (MEMS) technologies. In 1996, he joined the faculty of the University of California at San Diego, La Jolla, where he is the inaugural Holder of the Communications Industry Chair. From 2001 to 2006, he was the Director of the University of California at San Diego (UCSD) Center for Wireless Communications . He is currently Chair of the Department of Electrical and Computer Engineering, UCSD. During the 2000–2001 academic year, he was on leave at IBM Research, San Diego, CA. During the 2004–2005 academic year, he was a Visiting Professor with the Technical University (TU) Delft, Delft, The Netherlands. He has authored or coauthored over 300 papers. He has coauthored three books. He holds 36 U.S. patents. Dr. Larson was the recipient of the 1994 Hughes Sector Patent Award for his work on RF MEMS. He was corecipient of the 1996 Lawrence A. Hyland Patent Award of Hughes Electronics for his work on low-noise millimeter-wave HEMTs and the 1999 IBM Microelectronics Excellence Award for his work on Si/SiGe HBT technology.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1573

Phase-Shifter Design Using Phase-Slope Alignment With Grounded Shunt =4 Stubs Xinyi Tang, Student Member, IEEE, and Koen Mouthaan, Member, IEEE

Abstract—This paper presents the theory and a design method for distributed digital phase shifters, where both the phase-error bandwidth and the return-loss bandwidth are considered simultaneously. The proposed topology of each phase bit consists of a transmission-line (TL) branch and a bandpass filter (BPF) branch. 4 stubs to achieve phase The BPF branch uses grounded shunt alignment with the insertion phase of the TL branch. By increasing the number of transmission poles of the BPF branch, the returnloss bandwidth can be increased. Analysis of the BPF topology with one, two, and three transmission poles is provided. The design parameters for 22.5 , 45 , 90 , and 180 are provided for bandwidths of 30%, 50%, 67%, and 100%. The relations between phase error, return loss, and maximum achievable phase shift are shown for the three topologies for design purposes. The methodology is also applicable to bandwidths larger than 100%. To validate the method, four separate -band phase bits (1–2 GHz) are designed and measured. A complete 4-bit phase shifter with single-pole double-throw switches is then designed and measured. The measured rms phase error of the phase shifter is less than 3.6 , while the return loss is larger than 15 dB from 1.06 to 1.95 GHz for all 16 phase states. Index Terms—Digital phase shifter, error, transmission lines (TLs).

-band phase shifter, phase

I. INTRODUCTION

D

IGITAL phase shifters are key components in digitally controlled phased-array antennas and other microwave control modules. Usually each bit of a switched phase shifter contains two branches. When switching between the two branches, a differential phase shift is generated while the insertion loss remains the same. In the design of digital phase shifters, both phase error and return loss should be considered simultaneously, and the overall bandwidth of the phase shifter is the intersection of the phase-error bandwidth and return-loss bandwidth. Phase shifting can be realized by using lumped-element networks and distributed networks [1]–[17]. At high frequencies, the parasitics of the lumped elements usually degrade the phaseshifter bandwidth [4], [5]. Therefore, topologies with transmission lines (TLs) have been investigated to increase the bandwidth [6]–[17]. Topologies for a phase shift of 90 are demonstrated in [11], [13], and for a phase shift of 180 in [14] and [15]. A phase-shift

Fig. 1. Proposed topology for a phase-shift bit.

range of 25 –48 is shown in [16]. Coupled lines and other coupled structures with either modified ground plane or multilayers are used and phase errors of 5.5% and 2.8% are reported over an octave bandwidth for 90 and 180 phase shifts. The method and topologies presented here allow designers to design phase shifters based on the specification of bandwidth and phase shift and to make tradeoffs between return loss and phase error. Design parameters for a 4-bit phase shifter (22.5 , 45 , 90 , and 180 ) are provided for bandwidths up to 100%. The method is used to design a 4-bit phase shifter covering the entire -band with a return-loss requirement of 15 dB [18]. First individual phase bits without single-pole double-throw (SPDT) switches are designed and measured. In the worst cases, the measured absolute phase error of the 22.5 , 45 , 90 , and 180 bits are 0.5 (2.2%), 1.1 (2.5%), 2.1 (2.3%), and 5.2 (2.8%), respectively. The measured return loss of all four phase bits exceeds 16 dB. Secondly, a complete four-bit -band phase shifter is designed and measured. The measured rms phase error is less than 3.6 , while the return loss for all states is larger than 15 dB from 1.06 to 1.95 GHz. II. THEORETICAL ANALYSIS In Fig. 1, the proposed topology for a phase-shift bit consisting of a TL branch, a bandpass filter (BPF) branch, and two SPDT switches is shown. In the analysis that follows, the insertion phase of the TL is chosen as the sum of the branch at the center frequency desired phase shift and the insertion phase of the BPF at (1)

Manuscript received November 13, 2009; revised February 14, 2010; accepted March 07, 2010. Date of publication May 18, 2010; date of current version June 11, 2010. The authors are with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore 119077 (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2010.2049168

In the following sections, one, two, and three transmission pole BPF branches are analyzed. The bandwidth of the phase shifter increases with the number of poles. The return loss, phase error, and the achievable phase shift for each case are discussed in detail.

0018-9480/$26.00 © 2010 IEEE

1574

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 2. Topology 1: Single-pole BPF.

Fig. 3. Insertion phase versus normalized frequency of a grounded shunt. Fig. 4. (a) and (b) Typical phase-shift responses. (c) optimum phase-shift response.

A. Topology 1: BPF With One Pole Fig. 2 shows the topology of the single-pole BPF, which consists of a short-circuited shunt stub resonant at . At an arbitrary frequency, the insertion phase of the BPF is given by

Since the phase shift is antisymmetric around , only freare considered in the following discussion. quencies below must be deterTo find the optimum phase error, mined first. The phase shift , given by (5)

(2) is differentiated with respect to

and equated to zero

where

is the normalized impedance and is the normalized frequency. In Fig. 3, the insertion phase is shown versus the normalized frequency for different . In Fig. 4(a) and (b), two possible phase-shift responses are shown. In these figures, four characteristic freand are the lower bound and quencies are defined: upper bound of the desired bandwidth, and and are the frequencies where the phase slope of the TL branch and BPF branch are equal. The maximum phase error in the desired bandwidth is denoted by PE and the phase shift within the desired bandwidth satisfies

(6) The phase slope of the BPF is found using (2) (7) and the phase slope of the TL is constant (8) Substituting (7) and (8) in (6), we can solve for (9)

(3) As shown in Fig. 4(c), the optimum maximum phase error is achieved when or

(4)

with the condition (10)

TANG AND MOUTHAAN: PHASE-SHIFTER DESIGN USING PHASE-SLOPE ALIGNMENT WITH GROUNDED SHUNT

Fig. 5. PE and the required normalized impedance of the grounded shunt stub versus the phase shift.

By substituting (9) into (5), the phase shift at

STUBS

1575

. Fig. 6. Return-loss bandwidth versus Z

is

(11) The optimum phase error solving

is then obtained by numerically (12)

In Fig. 5, and are shown versus the phase shift for bandwidths of 30%, 50%, 67% (one octave) and 100% (3:1). When the bandwidth and phase shift are specified, the characis obtained from the left axis of Fig. 5, teristic impedance and the corresponding optimum phase error is found from the right axis. Note that so far only the bandwidth for the phase error is considered. However, for phase-shifter design, the bandwidth of a specified return loss should also be considered. Since the return-loss bandwidth of the TL branch ideally is infinite, the bandwidth is limited by the return-loss bandwidth of the BPF stub, the return branch. In the case of the grounded shunt loss is determined by the impedance . For an impedance and a return loss ( ), the bandwidth is given by %

(13)

In Fig. 6, the return-loss bandwidth is shown versus the for return losses of 10, 15, and 20 dB. impedance Since the return loss and phase shift are both determined by , their relation is shown in Fig. 7. As an example, consider a phase shifter with an octave bandwidth (67%) and a required return loss of 15 dB. From Fig. 7, it is found that only phase shifts up to 30 can be achieved. The associated phase error is found in Fig. 5.

Fig. 7. Relation between the return loss and the achievable phase shift with optimum phase error for different bandwidths.

In conclusion, when large bandwidths are required with return losses of 15 dB or larger, the achievable phase shift with the stub is limited to small phase shifts. single grounded shunt Therefore, other topologies must be considered to improve the bandwidth of the return loss of the BPF branch. The single-pole BPF is used for the 22.5 phase bit of the 4-bit phase shifter discussed in Section III. B. Topology 2: BPF With Two Poles To improve the bandwidth of the return loss for the larger phase-shifting bits, transmission poles are added to the singlestub BPF. To achieve two poles, a series TL is inserted between two short-circuited shunt stubs, as shown in Fig. 8. The insertion phase of the double-pole BPF is

(14) where

.

1576

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 8. Topology 2: Double-pole BPF.

versus return loss of 22.5 , 45 , and 45 phase Fig. 10. Two cases of PE shifts in an octave bandwidth. In case 1, the return-loss requirement is met at ! and ! , and in case 2, the requirement is met at ! .

Fig. 9. Return loss of BPF with two poles. Case 1: return-loss requirement is  and !  . Case 2: return-loss requirement is met at ! met at !  .

When

, two transmission poles are found, (15)

Note that when , only one transmission pole is found at and when , no transmission poles are found. The optimum phase error is found again by solving (12) and and . Therefore, the solution is a set of combinations of the combination of and that satisfies the return-loss requirement within the required bandwidth must be determined. There are two extreme cases that meet the return-loss requirement. This is illustrated in Fig. 9 for a return-loss requirement of 15 dB. In case 1, the return-loss requirement is met at the and and the requirement is exceeded at . band edges and exceeded at and In case 2, the requirement is met at . Each case has an optimum phase error and the case with the smallest optimum phase error should be selected. As a further illustration, in Fig. 10, the optimum phase error is shown versus the required return loss for an octave bandwidth of 22.5 , 45 , and 90 phase bits. For all three phase bits, case 1 and case 2 intersect at the point where the return loss at the band edges is . The inset of Fig. 10 shows that, equal to the return loss at is larger in case 1, there can be zero, one, or two poles when than, equal to, or smaller than 1, respectively. For the three phase bits, it is observed that case 1 provides the smallest optimum phase error. For a return-loss requirement of 15 dB, the optimum phase error for the 22.5 and 45 phase bit is 0.24 and 0.55 , respectively. It is also observed that it is not possible to achieve a return loss of 15 dB for the 90 phase bit.

Fig. 11. Relation between the achievable phase shift with PE width for different return loss.

and band-

and is as In conclusion, the procedure to determine follows. and , return 1) Set the requirements for the frequencies loss , and phase shift . 2) Use (8) and (14) in (6) to find the expression for with and as variables. and in the expression for of the BPF 3) Substitute branch, provided in Appendix A, to determine the return loss for case 1 and case 2, respectively. 4) Using the expressions found in Step 2) and Step 3), deterand for the two cases and select the case with mine the smallest . The above procedure is applied to determine the relation between bandwidth and achievable phase shift for the three return-loss cases of 10, 15, and 20 dB. The results are shown in Fig. 11. It is observed that the achievable phase shift decreases when the required return loss increases. The specific case of an octave bandwidth is also indicated in the figure. For a return loss of 15 dB, the maximum achievable phase shift is 77 .

TANG AND MOUTHAAN: PHASE-SHIFTER DESIGN USING PHASE-SLOPE ALIGNMENT WITH GROUNDED SHUNT

Fig. 12. PE versus phase shift for a bandwidth of 50%, 67%, and 100% with a return loss of 15 dB.

STUBS

1577

Fig. 14. Return loss of BPF with three poles. In case 1, the return-loss require and ! . In case 2, the return-loss requirement is met at two ment is met at ! frequencies between !  and ! .

The insertion phase of the three-pole BPF is

(16) There is one pole at

and two poles at (17)

Fig. 13. Topology 3: Three-pole BPF.

For a return-loss requirement of 15 dB, the relation between the optimum phase error and the required phase shift is shown in Fig. 12 for bandwidths of 50%, 67%, and 100%. Note that all three traces end at the point where the return-loss requirement can no longer be met. For the design of an octave bandwidth 4-bit phase shifter, discussed in Section III, the topology with two poles is used for the 45 phase bit. As observed from Fig. 10, the topology with two poles still cannot achieve a return loss of 15 dB for the 90 and 180 phase bits with an octave bandwidth. C. Topology 3: BPF With Three Poles To achieve an improvement in the return loss for larger phase shifts, a topology with three transmission poles is introduced. stub and two TLs is This topology with a single-shunt shown in Fig. 13.

. where and must be satisfied. Note that the conditions , the topology reduces to the single-stub topology When of Fig. 2. When , the return-loss bandwidth is smaller than the single-stub topology. When three transmission poles exist, there are two frequenand where the return loss reaches a mincies between imum. These frequencies are given by (18), shown at the bottom of this page. Similar to the topology with two poles, discussed in Section II-B, there are two cases meeting the return-loss requirement. This is illustrated in Fig. 14 for a return-loss requirement of 15 dB. In case 1, the requirement is met at the band edges and and exceeded in between them. In case 2, the requireand , and met at two frequencies in ment is exceeded at between them. Similar to Fig. 10, the relation between optimum phase error and return loss is shown in Fig. 15 for the 45 , 90 , and 180 phase bits with an octave bandwidth. It is observed that 45 and 90 phase bits can be achieved with respective phase errors of

(18)

1578

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 15. Optimum phase error PE versus return loss for 45 , 90 , and 180 phase bits with an octave bandwidth.

versus phase shift for bandwidths of Fig. 17. Optimum phase error PE 50%, 67%, and 100% with a return loss of 15 dB.

Fig. 18. Transformation of the T-topology to quired TL impedances.

Fig. 16. Achievable phase shift with PE of 10, 15, and 20 dB.

versus bandwidth for a return loss

0.2 and 1.1 over an octave bandwidth with a minimum return loss of 15 dB. The 180 phase bit can only achieve a return loss of 12.8 dB with an associated phase error of 4.7 . Therefore, two cascaded 90 phase bits are used for the 180 bit to achieve a larger return loss and a smaller phase error. As a drawback, cascading two sections requires a larger area than a single section. and is the same as the proThe procedure to obtain cedure given in Section II-B. However, (16) is used for the infor the three-pole BPF, also sertion phase of the BPF, and provided in Appendix A, is used. In Fig. 16, the achievable phase shift versus bandwidth is shown for return losses of 10, 15, and 20 dB. For a bandwidth of 67% and a return loss of 15 dB, the maximum achievable phase shift is 157 . Finally, in Fig. 17, the optimum phase error versus phase shift is shown for bandwidths of 50%, 67%, and 100% with a return loss of 15 dB. The bandwidth of 30% is not shown because the topologies with one or two poles are better suited for it from a size point of view.

5-topology to increase the re-

In case of large phase shifts, the required shunt stub impedance is low necessitating very broad microstrip TLs. To raise the impedance level, the T-topology can be transformed to a -topology using Kuroda identities. Both topologies have the same response. This transformation is depicted in Fig. 18 and the associated transformation formulas are given by

(19) From (19), it is observed that the impedances of the -topology are larger than the impedances of the T-topology. D. Influence of SPDT Switches Since TLs with relatively long electrical lengths are used, the nonideal performance of the SPDT switches can introduce resonances. This problem can be solved by using SPDT switches with a small off-capacitance or by employing the resistive loading technique introduced in [19]. Since two SPDT switches are used per bit, the insertion loss of the switches should be as low as possible. III. DESIGN OF BINARY PHASE-SHIFT BITS The three topologies and the method to find and are used to determine the design parameters of phase bits with band-

TANG AND MOUTHAAN: PHASE-SHIFTER DESIGN USING PHASE-SLOPE ALIGNMENT WITH GROUNDED SHUNT

STUBS

1579

TABLE I DESIGN PARAMETERS FOR 4-bit PHASE SHIFTER

Fig. 20. 22.5 BPF comparison.

A comparison between the measured and simulated insertion loss and return loss of the BPF branches without the switches is provided in Figs. 20–23. The simulated and measured TL branches are not shown because their characteristic impedances are 50 and their return losses are low. The measured insertion loss of the TL branches is between 0.1–0.2 dB. The return loss of the 22.5 and 45 bits is greater than 16 dB and the return loss of the 90 and 180 bits is larger than 18 dB over the whole -band. These high return losses provide a good match between the individual phase bits when they are cascaded. IV. 4-bit -BAND PHASE SHIFTER

Fig. 19. Comparison of the phase response of the four phase bits.

widths of 30%, 50%, 67%, and 100% and binary phase shifts of 22.5 , 45 , 90 , and 180 . The design parameters are listed in Table I. The return loss and phase error are provided for each case. Based on Table I, individual phase bits covering the -band (1-2 GHz) are designed. The phase errors of the first three bits are below 1.1 . For the 90 phase bit, the transformation of Fig. 18 is used and for the 180 phase bit, two sections of 90 are cascaded to achieve the required return loss. All four phase bits are simulated using ADS Momentum and the effect of the T-junctions connecting the stubs and the TLs are included in the results. The four individual phase bits, without the switches, , were fabricated on Rogers Duroid 5870 ( mil). The comparison between simulated and measured results of the four phase bits is shown in Fig. 19. The measured phase error of the 90 and 180 bits increases to 2.1 and 5.2 , respectively, due to the effect of the T-junctions. The phase error of the 22.5 and 45 bits is less than 2 .

Based on the individual 22.5 , 45 , 90 , and 180 bits, a complete 4-bit phase shifter is designed. Hittite HMC545E SPDT switches with an insertion loss of 0.25–0.3 dB and an isolation better than 30 dB below 2 GHz are used.1 In total, eight SPDT switches are used for the complete phase shifter. MuRata Manufacturing Company Ltd. decoupling capacitors are used for the realization.2 The 16 phase shifts are shown in Fig. 24 and the rms phase error is shown in Fig. 25. Note that the Momentum simulation results of the branches are imported into ADS and the measured -parameters of the switches are used to simulate the phase shifter. The measured rms phase error is less than 3.6 over the entire -band. The measured and simulated insertion loss from 0.2 to 3 GHz for all 16 states is shown in Fig. 26. The BPF behavior is clearly visible. The inset of Fig. 26 shows the detailed response in the passband. The measured insertion loss of the phase shifter for all 16 states varies between 3–4 dB and the worst amplitude imbalance is 0.8 dB at 1.24 GHz. For other frequencies in the -band, the amplitude imbalance is less than 0.5 dB. The measured and simulated return loss is shown in Fig. 27. The measured return loss of the phase shifter for all states is larger than 12 dB from 1.02 to 2.1 GHz and larger than 15 dB from 1.06 to 1.95 GHz. The measured group delay for the 16 states is shown in Fig. 28, 1Product description of HMC545, Hittite Microwave Corporation, Chelmsford, MA. [Online]. Available: http://www.hittite.com/products/view.html/view/HMC545 2Description of ceramic capacitors, MuRata Manufacturing Company Ltd., Kyoto, Japan. [Online]. Available: http://www.murata.com/cap/index.html

1580

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 21. 45 BPF comparison. Fig. 24. Measured and simulated phase shifts for the 16 states.

Fig. 22. 90 BPF comparison. Fig. 25. Measured and simulated rms phase error.

Fig. 23. 180 BPF comparison.

and varies between 2.8 and 3.5 ns from 1 to 2 GHz. The measured rms amplitude imbalance is less than 0.2 dB, which is shown in Fig. 29. of the phase shifter is shown in Finally, the measured Fig. 30. The linearity of this phase shifter is determined by the SPDT switches.

Fig. 26. Measured (black) and simulated (gray) insertion loss for the 16 states.

V. COMMENTS AND DISCUSSIONS A comparison with other phase shifters is made in Sections V-A and B. First, topologies for arbitrary phase

TANG AND MOUTHAAN: PHASE-SHIFTER DESIGN USING PHASE-SLOPE ALIGNMENT WITH GROUNDED SHUNT

Fig. 27. Measured (black) and simulated (gray) return loss for the 16 states.

STUBS

1581

Fig. 30. Measured IIP for all 16 states.

A. Comparison With Phase Shifters With Arbitrary Phase Shift

Fig. 28. Measured group delays for all 16 states.

Four switched phase-shifter topologies are popular for an arbitrary phase-shift design: TLs with different electrical lengths, high-pass/low-pass filters with lead and lag phases, all-pass networks matching at all frequencies, and right-/left-handed TLs. High-pass/low-pass 4-bit phase shifters with an rms phase error of 3 with bandwidths of 50% and 53% are reported in [2]. A 4-bit all-pass phase shifter with a measured phase error of 16 for an octave bandwidth (67%) is shown in [3]. A 5-bit high-pass/low-pass phase shifter with an rms phase error is 10 for a bandwidth of 40% is reported in [5]. A 1-bit 180 phase shifter with a phase error of 7 and a bandwidth of 57% is demonstrated in [8]. In our work, the measured rms phase error is 3.6 for a bandwidth of 67%. In the design methods presented in [2], [3], [5], and [8], the required phase shift is the only design parameter. Once the required phase shift is set, the theoretical response of the phase shifter is fixed. In the method proposed here, the bandwidth is also a design parameter and designers can trade off the return loss against the phase error. Note that topologies with discrete components may suffer from performance degradation, especially at higher frequencies, due to the parasitics of the discrete components. The topology presented here only uses TLs and SPDT switches, and has potential for millimeter-wave designs. B. Comparison With Phase Shifters With Specific Phase Shift

Fig. 29. Measured and simulated rms amplitude imbalance.

shifts are considered. Secondly, phase shifters with specific phase shifts are discussed. Finally, considerations on size and insertion loss for the proposed topology are provided.

Particular designs are also used to achieve specific phase shifts. In [9], a simulated phase error of 2 for a 90 phase shift is achieved for a bandwidth of 74%. With the design parameters provided, we simulated the 10-dB return-loss bandwidth to be less than 50%. An octave bandwidth is achieved for both 90 and 180 phase shifts in [10]. However, series inductors are used, which will increase the insertion loss at higher frequencies. In [11]–[13], coupled lines are used to design a 90 Schiffman phase shifter for a broad bandwidth. Theoretically, a 2 phase error can be achieved for a bandwidth of 60% [12]. With the topology proposed in Section II-C, the theoretical phase error of the 90 phase bit is 1.1 for a bandwidth of

1582

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

67% and a return loss of 15 dB. A phase error of 5 over a bandwidth of 70% with a return loss larger than 12 dB was presented in [13]. In this study, a phase error of 2.1 and a return loss larger than 18 dB over a bandwidth larger than 67% was presented (cf. Figs. 19 and 22). In [14] and [15], 180 phase shifters are presented. In [14], coupled lines are used together with open and shorted stubs to achieve 5 phase error and 14-dB return loss for a bandwidth of 67%. In [15], a theoretically exact 180 phase shift is obtained by switching between two BPFs. The bandwidth is limited by the return loss. A 100% bandwidth is realized with 10-dB return loss and 7 phase error. With the topology proposed here, the simulated and measured phase errors of the 180 phase bit are 3.1 and 5.2 for a bandwidth of 67% and a return loss of 18 dB. The simulated phase error for 100% bandwidth is 9.3 with a return loss of 16 dB. In [16], a phase-shift range from 25 to 48 is achieved for a bandwidth of 109% and a return loss of 10 dB with a multilayer technique. Phase shifts up to 105 with a return loss of 10 dB for a bandwidth of 100% can be achieved with the topology with three poles presented in Section II-C. (cf. Fig. 16).

TABLE II MEASURED INSERTION LOSS

such as microelectromechanical systems (MEMS) switches, the insertion loss of the phase shifter can be reduced further. VI. CONCLUSION A novel distributed phase-shifter topology for arbitrary bandwidth and phase shifts is presented. The topology includes a TL branch and a BPF branch for each phase bit. A theoretical analysis and scalable parameters to achieve a small phase error are provided. Using the topology, 22.5 , 45 , 90 , and 180 bits at -band are separately designed and measured. A complete -band four-bit phase shifter is also designed and measured. An rms phase error less than 3.6 and a return loss better than 15 dB from 1.06 to 1.95 GHz are measured.

C. Discussion on Size and Insertion Loss Reduction APPENDIX A The size of the proposed phase shifter depends on the frequency of operation because stubs are used. The size can be reduced by employing substrates with a higher permittivity. For example, by increasing from 2.33 to 10.2, the length of the lines is approximately halved. By using stripline instead of microstrip, the effective dielectric constant can be raised further, and the size can be further reduced. The layout can also be made more compact with the aid of electromagnetic (EM) tools to accurately assess and mitigate undesired couplings, which can reduce the area by 40–50%. Various miniaturization techniques can also aid in reducing the size [20], [21]. Note that these techniques cannot be easily applied to phase shifters using coupled lines. Finally, by using multilayer substrate techniques, the total area can be further reduced. However, cavities will be required for the discrete switches and the discrete capacitors. The measured insertion loss of the BPF branches and SPDT switches for the 4 bits are listed in Table II. It is found that the insertion loss from the switches contributes approximately 70% of the total insertion loss. When low loss switches are used,

The -parameters of the BPF with two poles are

(A-1) The -parameters of the BPF with three poles are shown in . (A-2) at the bottom of this page, where ACKNOWLEDGMENT The authors would like to specially thank Prof. J. L. Tauritz for his help in improving this paper. The authors also thank Shu Zhen, Lee Siew Choo, Goh Kah Seok, Chen Ying, Fang Hong Zhao and Hu Zijie for their valuable contributions.

(A-2)

TANG AND MOUTHAAN: PHASE-SHIFTER DESIGN USING PHASE-SLOPE ALIGNMENT WITH GROUNDED SHUNT

REFERENCES [1] R. V. Garver, “Broad-band diode phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 5, pp. 314–323, May 1972. [2] I. J. Baul and D. Conway, “L- and S -band compact octave bandwidth 4-bit MMIC phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 293–299, Feb. 2008. [3] D. Adler and R. Popovich, “Broadband switched-bit phase shifter using all-pass networks,” in IEEE MTT-S Int. Microw. Symp. Dig., 1991, pp. 265–268. [4] M. A. Morton, J. P. Comeau, J. D. Cressler, M. Mitchell, and J. Papapolymerou, “Sources of phase error and design considerations for silicon-based monolithic high-pass/low-pass microwave phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4032–4040, Dec. 2006. [5] M. A. Morton and J. Papapolymerou, “A packaged MEMS based 5-bit X -band high-pass/low-pass phase shifter,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 9, pp. 2025–2031, Sep. 2008. [6] N. Kingsley and J. Papapolymerou, “Organic ‘wafer-scale’ packaged miniature 4-bit RF MEMS phase shifter,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1229–1236, Mar. 2006. [7] J. B. Hacker, R. E. Mihailovich, M. Kim, and J. F. DeNatale, “A Ka-band 3-bit RF MEMS true-time delay network,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 305–308, Jan. 2003. [8] D. Kholodnyak, E. Serebryakova, I. Vendik, and O. Vendik, “Broadband digital phase shifter based on switchable right- and left-handed transmission line sections,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 258–260, May 2006. [9] D. W. Kang, H. D. Lee, C. H. Kim, and S. Hong, “Ku-band MMIC phase shifter using a parallel resonator with 0.18-m CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 294–301, Jan. 2006. [10] X. Tang and K. Mouthaan, “180 and 90 phase shifting networks with an octave bandwidth and small phase errors,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 8, pp. 506–508, Aug. 2009. [11] B. M. Schiffman, “A new class of broad-band microwave 90-degree phase shifters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 2, pp. 232–237, Apr. 1958. [12] J. L. R. Quirarte and J. P. Starski, “Synthesis of Schiffman phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 11, pp. 1885–1889, Nov. 1991. [13] Y. Guo, Z. Zhang, and L. Ong, “Improved wide-band Schiffman phase shifter,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1196–1200, Mar. 2006. [14] S. Eom, “Broadband 180 bit phase shifter using =2 coupled line and parallel =8 stubs,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 228–230, May 2004. [15] D. C. Boire, J. E. Degenford, and M. Cohn, “A 4.5 to 18 GHz phase shifter,” in IEEE MTT-S Int. Microw. Symp. Dig., 1985, pp. 601–604.

STUBS

1583

[16] A. M. Abbosh, “Ultra-wideband phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 9, pp. 1935–1941, Sep. 2007. [17] X. Tang and K. Mouthaan, “Design of a UWB phase shifter using shunt l/4 stubs,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009, pp. 1021–1024. [18] J. S. Hayden and G. M. Rebeiz, “Low loss cascadable MEMS distributed X -band phase shifters,” IEEE Microw. Wireless Compon. Lett., vol. 10, no. 4, pp. 142–144, Apr. 2000. [19] G. D. Lynes, G. E. Johnson, B. E. Huckleberry, and N. H. Forrest, “Design of broadband 4-bit loaded switched-line phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 6, pp. 693–697, Jun. 1974. [20] K. W. Eccleston and S. H. M. Ong, “Compact planar microstripline branch- line and rat-race couplers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2119–2125, Oct. 2003. [21] C. W. Tang and M. G. Chen, “Synthesizing microstrip branch-line couplers with predetermined compact size and bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 9, pp. 1926–1934, Sep. 2007. Xinyi Tang (S’09) received the B.S. degree from the Huazhong University of Science and Technology, Wuhan, China, in 2006, and is currently working toward the Ph.D. degree in electrical and computer engineering at the National University of Singapore, Singapore. His research interests include monolithic microwave integrated circuit (MMIC) designs for phased-array antennas and circuit theories. Mr. Tang was a recipient of the Best Student Poster Paper Award of the 2007 IEEE International Conference on Electron Devices and Solid-State Circuits.

Koen Mouthaan (M’04) received the M.Sc. and Ph.D. degrees in electrical engineering from the Delft University of Technology, Delft, The Netherlands, in 1993 and 2001, respectively. Upon completion of the Ph.D. degree, he joined the Radar Group, TNO Physics and Electronics Laboratorium, The Hague, The Netherlands. He subsequently joined SkyGate, a company that designs phased-array antennas for consumer applications. In 2003, he joined the RF and Microwave Group, National University of Singapore, Singapore, as an Assistant Professor. His research interests include active and passive integrated circuits for microwave and millimeter-wave applications.

1584

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

On the Applications of the Coupled-Line Composite Right/Left-Handed Unit Cell Ahmed E. Fouda, Student Member, IEEE, Amr M. E. Safwat, Member, IEEE, and Hadia El-Hennawy, Member, IEEE

Abstract—This paper presents two applications of the coupled-line composite right/left-handed (CL-CRLH) unit cell, compact-size rat-race hybrid, and arbitrary coupling directional coupler. Benefiting from the slow-wave effect present in both the right- and left-handed regions of the CL-CRLH unit cell, two compact-size rat-race hybrids were realized. 60% area reduction was achieved while preserving the conventional rat-race performance. The arbitrary coupling directional coupler, which operates in the stopband around the balance frequency, showed excellent in-band and out-of-band performance. To reduce its size, a slow-wave CL-CRLH unit cell was proposed. The proposed unit cell achieves a 38% size reduction. The rat-race hybrids were fabricated on microstrip technology, whereas the directional couplers were fabricated on stripline technology. Simplified circuit models for the unit cells were developed. Theoretical expectations were confirmed by electromagnetic simulations and measurements. Index Terms—Arbitrary coupling directional coupler, composite right/left-handed (CRLH) transmission lines, coupled lines (CLs), rat-race hybrid, slow-wave effect.

I. INTRODUCTION

C

OMPOSITE right/left-handed (CRLH) unit cells allow the realization of transmission lines with controllable dispersion relation and characteristic impedance. Based upon this technique, many novel and enhanced-performance guided and radiation wave microwave components were introduced [1]–[4]. Current realizations of CRLH transmission lines face many challenges, e.g., commercial off-the-shelf components are not always available with the proper values, geometrical models may not be feasible, and some implementations involve patterning of two metal layers and/or excessive use of vias [5]–[10]. Recently a novel CRLH unit cell, i.e., the coupled-line composite right/left-handed (CL-CRLH) unit cell, was proposed by one of the authors [11]. On one hand, the proposed cell is patterned on a single metal layer, i.e., it does not require vias, it has a geometric circuit model, and it liberates the design from the constraints set by the specific values of commercial off-the-shelf components. On the other hand, the length occupied in the transverse direction is proportional to the wavelength.

Manuscript received February 02, 2010; revised March 02, 2010; accepted March 26, 2010. Date of publication May 10, 2010; date of current version June 11, 2010. This work was supported by the Science and Technology Development Fund (STDF), Egypt. The authors are with the Electronics and Communication Engineering Department, Faculty of Engineering, Ain Shams University, 11517 Cairo, Egypt (e-mail: [email protected]; [email protected], [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049162

This paper is organized as follows. Section II extends the analysis developed in [11] to include the effect of the host transmission line and presents a simplified equivalent-circuit model that describes the behavior of the unit cell near the balance frequency. In Section III, the right-handed (RH) and left-handed (LH) properties of the CL-CRLH unit cell are exploited in the design of two rat-race hybrids. In Section IV, two arbitrary coupling directional couplers [12] are presented, coupled CL-CRLH cells and a slow-wave CL-CRLH unit cell are proposed, and the analysis and design guidelines of the couplers are developed. II. CL-CRLH UNIT CELL The CL-CRLH unit cell, shown in Fig. 1(a), consists of a host microstrip line loaded with coupled lines. The two ends of the coupled lines are connected together. Consequently, the differential and common modes, present in the coupled lines, see short- and open-circuited stubs, respectively. The dispersion relation and Bloch impedance, when the host linewidth is 1.65 mm, the coupled lines lengths, widths, and separation are 22, 1.7, and 0.5 mm, respectively, the substrate height is are shown in Fig. 1(b) [11]. Regions 1.6 mm, and and indicate the first RH and LH regions, respectively. The dispersion relation of a microstrip line is drawn for comparison. As seen from this figure, the unit cell is electrically longer than a microstrip line with the same physical length (the minimum separation between the ports). Transitions from RH to LH regions, recently studied in [5] as dual CRLH lines, occur when , the electrical length of the coupled line stub equals . Since the electrical length of the even mode is larger than that of the odd mode for the microstrip coupled lines, these transitions are always accompanied by stopbands. Transitions from LH to RH regions, conventionally known as balance frequencies [1], occur when the electrical length of the , . All coupled line stub approaches these bands appear below the Bragg frequency. Their number increases as the length of the coupled line stub increases. This is not the case of conventional periodic structures, where the LH band appears after the Bragg frequency [13]. Nevertheless, a transmission line periodically loaded with short-circuited series stubs or open-circuited shunt stubs has RH bands only below the Bragg frequency [13], [14]. The geometrical circuit model and the design guidelines for the CL-CRLH unit cell were detailed in [11]. Tuning of the host line was needed to achieve the balance condition. This was not analyzed in [11]. Fig. 2(a) shows a simplified circuit model for the unit cell that includes the host line. This model assumes that the cell pitch is much shorter than the wavelength so that the

0018-9480/$26.00 © 2010 IEEE

FOUDA et al.: ON APPLICATIONS OF CL-CRLH

1585

hence, the circuit model that describes the cell performance near these frequencies can be simplified to the one shown in and are the contributions of Fig. 2(b), where the coupled line stub to the series and shunt branches, respectively, and are given by (2a)

(2b) Following the procedure described in [15], the Bloch impedance and complex propagation constant of the unit cell, shown in Fig. 2(b), are expressed, respectively, in terms of the above parameters as follows: (3) Fig. 1. CL-CRLH unit cell. (a) Unit cell schematic. (b) Unit cell performance. Dashed line: dispersion relation. Solid line: Bloch impedance.

(4) The resonance condition of the series branch is given by (5) and the resonance condition of the shunt branch is given by (6) The balance condition is satisfied when Subtracting (5) from (6) yields Fig. 2. CL-CRLH unit cell. (a) Equivalent-circuit model. (b) Simplified equivalent-circuit model near LH to RH transitions.

net length of the host transmission line within the unit cell can and , where the be modeled by the lumped components suffix denotes the host. The coupled line stub of length is modeled by the T-section, where [11] (1a) and

.

(7) or (8) is the characteristic impedance of the host transmiswhere sion line. Equation (8) shows that adjusting the characteristic impedance of the host transmission line compensates the difference between the velocities of the even and odd modes, and consequently, balance can be achieved.

(1b) III. COMPACT RAT-RACE HYBRID and are the characteristic impedances and phase velocities of the even and odd modes of the coupled line stub, respectively. Near the balance frequencies (LH to RH transitions), the is negligible with respect to the first term; second term in

A. RH Design The compact rat-race hybrid based on the RH region of the arm microstrip CL-CRLH cell is shown in Fig. 3(a). The of the conventional rat-race is replaced by two RH cells, each

1586

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

TABLE I COMPARISON BETWEEN THE PROPSED COMPACT RAT-RACE HYBRIDS AND THE CONVENTIONAL ONE

measured using Agilent’s E5062A vector network analyzer (VNA). The simulated and measured -parameters, which are shown in Fig. 3(b) and (c), agree well with the theoretical predictions. B. LH Design In this design, one unit cell operating in the LH region is used to obtain a phase lead of 90 , which is equivalent to a phase lag of 270 . Thus, the arm is replaced by one LH cell, as shown in Fig. 4(a). The design parameters are the same as the previous design, except for the coupled line stub parameters that had to be adjusted to obtain the required phase and image impedance. The coupled lines lengths, widths, and separation are 22, 1.7, and 0.5 mm, respectively, as calculated from the geometrical circuit model. The EM simulated and measured -parameters are shown in Fig. 4(b) and (c). Very good agreement with theoretical predictions was achieved. C. Comparison With Conventional Rat-Race Hybrid

Fig. 3. Compact rat-race hybrid: RH design. (a) Photograph of the fabricated structure. (b) S and S measurements versus EM simulations. (c) S and S measurements versus EM simulations.

having an electrical length of 135 and an image impedance of [15]. The center frequency is chosen to be 1.7 GHz and the characteristic impedance is 50 . The structure was designed mm ). on an FR4 substrate ( The host lines of the RH cells have widths of 1.65 mm and the coupled lines lengths, widths, and separation are 14, 1.1, and 0.5 mm, respectively, so that the cells have the required electrical length and image impedance. The other three arms have widths of 1.65 mm and lengths and electrical lengths of 23 mm to have impedances of at the center frequency. All parameters were calculated of using a geometrical circuit model (Ansoft Designer, student version). Electromagnetic (EM) simulations were carried out using Ansoft Corporation’s commercial tool High Frequency Structure Simulator (HFSS) [16]. The structure was fabricated and

Table I shows a comparison between the proposed designs and the conventional one with respect to the area, 6-dB bandwidth, and insertion loss (IL). The bandwidth of the proposed compact designs is 80% of that of the conventional design; this is due to the dispersive nature of the CRLH cells. A 60% reduction in area is achieved. The IL is the same for the three designs. Further size reduction can be achieved by replacing the four arms of the rat-race by CRLH lines with appropriate impedances and electrical lengths. The proposed designs benefit from the advantages of the CL-CRLH unit cell and simultaneously achieve the same size reduction of metamaterial couplers [17]. IV. ARBITRARY COUPLING DIRECTIONAL COUPLER The arbitrary coupling directional coupler can provide up to virtually 0 dB over a broad bandwidth while still being planar and without requiring bonding wires [1]. It consists of two coupled CRLH lines and it operates near the balance frequency, i.e., transition from LH to RH regions. As discussed in [1] and [12], the condition for an arbitrary coupling directional coupler is to have the characteristic impedances of the even and odd modes purely imaginary, i.e., the even and odd modes are operating in the stopbands. To achieve maximum stopband overlap, the resohas nance frequency of the series branch of the even mode

FOUDA et al.: ON APPLICATIONS OF CL-CRLH

1587

to be aligned with the resonance frequency of the shunt branch . The resonance frequency of the shunt of the odd mode also has to be aligned with the branch of the even mode resonance frequency of the series branch of the odd mode . This section presents the coupled CL-CRLH cells and two designs for the arbitrary coupling directional coupler. Simple design equations are developed for the coupled CL-CRLH cells. Theoretical predictions are validated by circuit simulations. Performance is confirmed by EM simulations and measurements.

A. Coupled CL-CRLH Cells The proposed coupled CL-CRLH cells, shown in Fig. 5, consist of two mirrored cells separated by a distance . The same circuit model of Fig. 2(b) can be applied to the even and odd modes of the proposed unit cell near LH to RH transitions. For and are replaced by the host even-mode the even mode, and . Whereas for the equivalent lumped components and are replaced by the host odd-mode equivodd mode, and . The coupled line stub alent lumped components is still modeled by and , which are the characteristic impedances and phase velocities of the even and odd modes. The CL-CRLH unit cell allows the design of an arbitrary coupling directional coupler near all transition frequencies either from RH to LH regions or from LH to RH regions. However, the discontinuity at the RH-LH transition limits the bandwidth. Therefore, this paper focuses on the design near the balance frequency. has to be To achieve maximum stopband overlap, , which leads to aligned with

(9)

where is the lower frequency of the stopband. , which leads to to be aligned with

also has

(10)

Fig. 4. Compact rat-race hybrid: LH design. (a) Photograph of the fabricated structure. (b) S and S measurements versus EM simulations. (c) S and S measurements versus EM simulations.

where is the upper frequency of the stopband. Equations (9) and (10) are satisfied simultaneously if

(11)

This condition is difficult to achieve in microstrip technology. However, in stripline technology, where

Fig. 5. Coupled CL-CRLH cells.

(11) is satisfied, and the maximum overlap condition reduces to

(12) and (13)

(14) and are the characteristic impedances of the where even and odd modes of the host lines, respectively.

1588

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

The matching condition of the coupled cells to a line with is characteristic impedance (15) and are the Bloch impedances of the even and where odd modes of the entire cell, respectively. Applying (3) for the even and odd modes,

(16) substituting with (14), and then (12),

(17) Hence, matching is achieved when (18) The preceding analysis shows that satisfying the maximum overlap condition guarantees matching over the entire stopband. It can also be deduced intuitively that the matching condition (18) guarantees matching over the entire frequency range. Either the geometrical circuit model or EM circuit simulator is sufficient to design the coupled CL-CRLH cells. To examine the propagation characteristics of the even and odd modes separately, the EM simulator (HFSS) had been used. The unit cell (two coupled CL-CRLH cells) was designed so that the center frequency of the stopband is 2.1 GHz. The directional coupler is connected to 50- lines. The cell pitch is 10 mm so that the cell is much smaller than the wavelength. The lengths of the coupled line stubs were adjusted to allocate the stopband at the required frequency range. This requires the lengths of the stubs to be 29 mm. and To increase the coupling bandwidth, have to be increased, i.e., has to be inhas to be reduced. This can be achieved by creased and reducing the separation of the host coupled lines. The separation was set to 0.2 mm, which is the minimum dimension that can be fabricated within the available facilities. The widths of the host lines were adjusted accordingly to 0.8 mm so that and satisfy the maximum alignment condition (14). The widths and separation between the coupled lines of the stubs were adjusted simultaneously to satisfy the matching condition (18) and to equalize any parasitic effects added to the input impedances of the even and odd modes. Best stopbands

Fig. 6. Coupled CL-CRLH cells performance. (a) Even-mode performance. Dashed line: dispersion relation. Solid line: Bloch impedance. (b) Odd-mode performance. Dashed line: dispersion relation. Solid line: Bloch impedance. and Z , and the input impedance. (c) Imaginary parts of Z

Fig. 7. Circuit simulations of the five-cell arbitrary coupling directional coupler.

overlap was obtained when the coupled lineswidths and separation were set to 1.1 and 0.5 mm, respectively.

FOUDA et al.: ON APPLICATIONS OF CL-CRLH

Fig. 8. Arbitrary coupling directional coupler (DC). (a) Photograph of the fabricated five-cell DC printed on the lower substrate. (b) Photograph of the lower and upper substrates bound together to construct a stripline structure. (c) S and S measurements versus EM simulations. (d) S and S measurements versus EM simulations. The operating bandwidth is shaded in gray in (c).

The dispersion relation and characteristic impedance of the even and odd modes are shown in Fig. 6(a) and (b), respectively. and . StopFig. 6(c) shows the imaginary parts of bands alignment is obtained over a frequency range of 0.2 GHz. The input impedance is nearly constant and equals 50 over the entire frequency range.

1589

Fig. 9. Slow-wave coupled CL-CRLH cells. (a) Unit cell layout. (b) Even-mode performance. Dashed line: dispersion relation. Solid line: Bloch impedance. (c) Odd-mode performance. Dashed line: dispersion relation. Solid and Z , and the input line: Bloch impedance. (d) Imaginary parts of Z impedance.

B. Five-Cell Directional Coupler The arbitrary coupling directional coupler was constructed with five coupled CL-CRLH cells. According to [1], the coupling coefficient of the impedance coupling coupler is given by

(19)

1590

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

and a frequency shift of around 0.2 GHz. These discrepancies are due to the unavoidable air gap, which exists between the bottom and top layers of the dielectric in the stripline technology and changes the effective dielectric constant of the structure and consequently the operating frequency, and the fabrication tolerances of the mechanical etching machine. The advantage of this structure is that it does not only serves as an arbitrary coupling directional coupler in the coupling band, it also serves as a Mux/DeMux owing to its excellent out-ofband performance.

Fig. 10. Slow-wave compact DC. (a) Photograph of the fabricated five-cell DC printed on the lower substrate. (b) S and S measurements versus EM simulations. (c) S and S measurements versus EM simulations. The operating bandwidth is shaded in gray in Fig. 10(b).

where is the coupler length, , and is the number of cells. increases with . For a sufficiently long coupler, so , and consequently, . Substituting in (19) with the coupled cells parameters, dB. To validate this number and the theory presented in Section IV-A, the whole structure was simulated using Ansoft Designer (student version). Identical results were obtained as shown in Fig. 7. These simulations emphasize the major advantages of the CL-CRLH unit cell, which are the design simplicity and geometrical circuit model. Fig. 8(a) and (b) shows an image of the fabricated structure. EM-simulated and measured -parameters are shown in Fig. 8(c) and (d). The coupling in the EM simulation is 2 dB. This difference from the theoretically calculated value is due to the FR4 substrate loss. Measurements are in a good agreement with EM simulations; however, there is a little mismatch

C. Slow-Wave CL-CRLH Cell The layout of the proposed slow-wave CL-CRLH cell is shown in Fig. 9(a). The coupled lines are periodically loaded with open-circuited shunt stubs. This has the effect of adding capacitive reactance to the shunt capacitance of the coupled lines. Consequently, the effective permittivity increases and the phase velocity decreases [18]. The performances of the even and odd modes of two coupled CRLH cells are shown in Fig. 9(b) and (c), respectively. The center frequency of the stopband is chosen to be 2.1 GHz. The cell pitch is 10 mm. Each stub is loaded with 30 2.6-mm shunt stubs of 0.2-mm width and 0.6-mm center-to-center separation so that the total length of the stub is 18 mm. This is only 62% of the length of the stub constructed using conventional coupled lines. The slow-wave coupled lines separation is 2.5 mm. The coupled cells are matched to 50- lines and the host lineswidths and separation are 0.8 and 0.2 mm, respectively. Stopbands overlap and wide range matching are verified in Fig. 9(d). The compact directional coupler was constructed with five coupled slow-wave CL-CRLH cells, as shown in Fig. 10(a). Simulated and measured -parameters are shown in Fig. 10(b) and (c). Good agreement is achieved. The imperfect bonding between the upper and lower substrate halves and the fabrication tolerances cause the frequency shift and mismatch of the measured response. V. CONCLUSION The effect of the host line was included in the design of the CL-CRLH unit cell and a simplified equivalent-circuit model was developed. The analysis shows that the balance condition can be achieved in CL-CRLH by adjusting the parameters of the host lines. Benefiting from the advantages of the CL-CRLH unit cell, two important guided wave applications, i.e., compact-size rat-race hybrid and arbitrary coupling directional coupler, were presented. For each application, two designs were developed. This paper opens the door for further applications such as radiation wave applications, 2-D metamaterials, and tunable and reconfigurable components. ACKNOWLEDGMENT The authors would like to thank Prof. H. F. Ragaie and M. Sabry, both with the French University, Helwan, Egypt, for their support in the fabrication and measurements. REFERENCES [1] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications. New York: Wiley, 2006.

FOUDA et al.: ON APPLICATIONS OF CL-CRLH

[2] N. Engheta and R. W. Ziolkowski, Metamaterials: Physics and Engineering Explorations. New York: Wiley, 2006. [3] G. Eleftheriades and K. Balmain, Negative-Refraction Metamaterials:Fundamental Principles and Applications. New York: Wiley, 2005. [4] R. Marques, F. Martin, and M. Sorolla, Metamaterials with Negative Parameters. New York: Wiley, 2007. [5] C. Caloz, “Dual composite right/left-handed (D-CRLH) transmission line metamaterial,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 11, pp. 585–587, Nov. 2006. [6] G. V. Eleftheriades, “A generalized negative-refractive-index transmission-line (NRI-TL) metamaterial for dual-band and quad-band applications,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 6, pp. 415–417, Jun. 2007. [7] A. Vélez, J. Bonache, and F. Martín, “Varactor-loaded complementary split ring resonators (VLCSRR) and their application to tunable metamaterial transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 1, pp. 28–30, Jan. 2008. [8] M. Selvanayagam and G. Eleftheriades, “Negative-refractive-index transmission lines with expanded unit cells,” IEEE Trans. Antennas Propag., vol. 56, no. 11, pp. 3592–3596, Nov. 2008. [9] M. Maasch, C. Damm, M. Schubler, and R. Jakoby, “Electrically controllable double series (DS) line with left- or right-handed propagation,” in 39th Eur. Microw. Conf., Sep. 2009, pp. 516–519. [10] F. Bongard, J. Perruisseau-Carrier, and J. Mosig, “Enhanced CRLH transmission line performances using a lattice network unit cell,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 7, pp. 431–433, Jul. 2009. [11] A. M. E. Safwat, “Microstrip coupled line composite right/left-handed unit cell,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 7, pp. 434–436, Jul. 2009. [12] H. V. Nguyen and C. Caloz, “Generalized coupled-mode approach of metamaterial coupled-line couplers: Coupling theory, phenomenological explanation, and experimental demonstration,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 1029–1039, May 2007. [13] R. Collin, Foundations for Microwave Engineering. New York: McGraw-Hill, 1992. [14] A. M. E. Safwat, S. A. Tretyakov, and A. V. Räisänen, “Highimpedance wire,” IEEE Antennas Wireless Propag. Lett., vol. 6, pp. 631–634, 2007. [15] D. M. Pozar, Microwave Engineering. New York: Wiley, 2005. [16] High Frequency Structures Simulator (HFSS). Ansoft Corporation, Pittsburgh, PA, 2008. [17] P. Chi and T. Itoh, “Miniaturized dual-band directional couplers using composite right/left-handed transmission structures and their applications in beam pattern diversity systems,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1207–1215, May 2009. [18] A. M. E. Safwat, S. Tretyakov, and A. Raisanen, “Defected-ground and patch-loaded planar transmission lines,” Proc. IET Microw., Antennas, Propag., vol. 3, no. 2, pp. 195–204, Mar. 2009.

1591

Ahmed E. Fouda (S’09) was born in Cairo, Egypt, in 1985. He received the B.Sc. degree (with honors) in electrical engineering from Ain Shams University, Cairo, Egypt, in 2007, and is currently working toward the M.Sc. degree at Ain Shams University. His M.Sc. research concerns the use of metamaterials in designing enhanced-performance RF components. Since his graduation, he has been a Teaching Assistant with the Faculty of Engineering, Ain Shams University. In Summer 2008, he held an internship with the Chair of Microwave and Communication Engineering, Otto-Von-Guericke University, Magdeburg, Germany, where he developed a finite-difference time-domain (FDTD) simulation environment for microwave imaging using ground penetrating radar (GPR). In Fall 2010, he will join the ElectroScience Laboratory, The Ohio State University, as a Graduate Research Associate. His research interests include microwave imaging, antennas, and novel EM materials and devices.

Amr M. E. Safwat (S’91–M’01) received the B.Sc. and M.Sc. degrees from Ain Shams University, Cairo, Egypt, in 1993 and 1997, respectively, and the Ph.D. degree from the University of Maryland at College Park, in 2001, all are in electrical engineering. From August 2001 to August 2002, he was with Cascade Microtech Inc., In August 2002, he joined the Electronics and Communication Engineering Department, Ain Shams University, where he is currently an Associate Professor. He has been a Visiting Professor with the Otto-Von-Guericke University, Magdeburg, Germany (September 2004–November 2004), the Institut National Polytechnique de Grenoble” Grenoble, France (September 2005–November 2005), and the Radio Laboratory and MilliLab, Helsinki University of Technology, Finland (July 2006–June 2007). His research interests include metamaterials, microwave passive planar structures, and microwave photonics. Dr. Safwat was the recipient of the 2007 Egyptian Encouragement State Prize for engineering sciences.

Hadia El-Hennawy (M’00) received the B.Sc. and M.Sc. degrees from Ain Shams University, Cairo, Egypt, in 1972 and 1976, respectively, and the Doctorate of Engineering (Dr.-Ing.) degree from the Technische Universitat Braunschweig, Braunschweig, Germany, in 1982. Since 1992, she has been a Professor of communication engineering with the Electronics and Communications Engineering Department, Ain Shams University. In 2004, she became a Vice-Dean for graduate study and research. In 2005, she became the Dean of the Faculty of Engineering, Ain Shams University. Her research interests include microwave devices and subsystems, as well as filters and antennas for modern radar and wireless communications applications.

1592

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Realization of a Calculable RF Power Standard in Coplanar Technology on Alumina Substrate François Ziadé, Eric Bergeault, Bernard Huyart, Member, IEEE, and Alireza Kazemipour

Abstract—This paper presents the first efforts toward a calculable power standard in the RF domain based on a modeling approach. Consequently, it could be possible to theoretically determine the input reflection coefficient and the efficiency of the power detector. We simulated and realized a coplanar thermistor mount prototype in the 50-MHz–8-GHz frequency range whose performance has been validated by measurements. The maximum deviations between simulated and measured values do not exceed 0.06 for the reflection coefficient. The mean relative error between the measured and computed efficiency is about 1.2% over the whole frequency band. Finally, the input reflection coefficient is less than 15 dB and the efficiency is greater than 0.90 in the 50-MHz–7-GHz frequency range. Index Terms—Bolometer mount, electrical metrology, electrical simulation, electromagnetic simulation, microcalorimeter, power sensor, reflection coefficient, RF power measurement.

I. INTRODUCTION NE OF the most important quantities in RF metrology is RF power. At present, the most accurate RF power measurements techniques are based on the use of a bolometer mount whose effective efficiency is determined with high accuracy. The French power reference standard is a microcalorimeter maintained at the Laboratoire National de Métrologie et d’Essais (LNE), Trappes, France, which measures the effective of a dc substitution sensor used as the transfer efficiency standard. The effective efficiency is defined as the ratio of the substituted dc power to the total RF power dissipated in the bolometer mount [1]

O

DC substitued power

(1)

After applying an equivalence correction, it is assumed that both dc and absorbed microwave power generate the same heat. The subsitution technique is used because power measurement can then be based on dc voltage measurements. In a general setup of the symmetrical twin type microcalorimeter, the efficiency is deduced by the voltage variation of an auto-equilibrium Wheatstone bridge (Fig. 1). The bridge is balanced when there is no RF incident power. As RF power is applied, the thermistor is Manuscript received November 09, 2009; accepted February 26, 2010. Date of publication May 10, 2010; date of current version June 11, 2010. F. Ziadé and A. Kazemipout are with the Laboratoire National de Métrologie et d’Essais (LNE), 78197 Trappes, France (e-mail: [email protected]). E. Bergeault and B. Huyart are with the Institut Telecom, Telecom ParisTech, LTCI CNRS, UMR 5141, Département COMELEC, 75634 Paris Cedex 13, France (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2048256

Fig. 1. Microcalorimeter system for RF power measurement.

warmed, and its resistance changes. Consequently, the bridge is unbalanced. The dc bias to the bridge is decreased, to allow the thermistor to cool back down, increase resistance, and bring the bridge back into balance. The decrease in dc power to the thermistor is equal to the increase in RF power upon the thermistor. Generally, the two RF detecting thermistors are bridge balanced to 100 each and are connected in series (200 ) for the dc circuit. For the RF circuit, the two thermistors are connected in parallel, presenting a 50- impedance. The two symmetrical transmission lines are connected to the twin microcalorimeter, i.e., the mount under test and the passive mount used as the thermal reference, respectively. The key point of this system is to determine, with a very high degree of accuracy, the temperature variations between the two mounts. Accuracy can be achieved if the mounts are thermally isolated to microcalimeter body and if a high precision thermocouple is used between the mounts. and the bridge voltage The thermopile output volatge are measured for several cycles with and without RF power (2) The corrective coefficient is supposed to be independent of the thermopile position and is used to take into account the parasitic effects of the thermal isolation line [2]. Up to now, the only measurable quantity by a microcalorimeter setup is the effective efficiency , which is slightly different from the efficiency . Ideally, is the characteristic parameter of a power standard. It is defined as the ratio between the high-frequency (HF) power absorbed by sensitive elements and the overall HF power abresults from sorbed by the mount. The effective efficiency a dc transposition of the HF power absorbed by sensitive elements. The deviation between these two parameters is very difficult to estimate and remains unknown. A calculable efficiency

0018-9480/$26.00 © 2010 IEEE

ZIADÉ et al.: REALIZATION OF CALCULABLE RF POWER STANDARD IN COPLANAR TECHNOLOGY ON ALUMINA SUBSTRATE

1593

Fig. 3. Physical dimensions of the thermistor.

Fig. 2. Structure of the power sensor: w

= 2:8 mm, g = 0:5789 mm.

is based on mathematical and physical principles, which enable to directly determine the efficiency without making any assumption. Therefore, the labor-intensive, time consuming, and expensive calibration procedure is no longer necessary. Moreover, some classical bolometer mounts are no longer available, thus, alternative methods must be proposed. In this paper, we demonstrate the feasibility of an original, simple, and low-cost power standard prototype. Consequently, we did not expect to immediately achieve the accuracy of conventional power detectors. A key advantage of this power sensor is that it can easily be simulated. Indeed, simulation results on quantities such as efficiency and input reflection coefficient are in agreement with measurements on the prototype. This paper is partly based on [3], where a brief description of a power detector realized on alumina substrate with dc blocking capacitors, thermistors, and a coplanar waveguide transmission (CPW) line was proposed. Here, we give a complete description of that previous work, detailing each component model. Moreover, we provide additional results such as the input reflection coefficient, distribution of the losses in the power sensor, and first measurement results obtained with a modified prototype. II. REALIZATION OF THE POWER SENSOR PROTOTYPE A very simple power sensor is realized in coplanar technology , loss on an alumina substrate of relative permittivity at 1 MHz, thickness mm, tangent and metallization thickness m with thermistors used as sensitive power elements. The coplanar structure enables implementation of lumped elements without via-hole connections through the substrate material—thereby not reducing the available frequency bandwidth. Moreover, the fundamental even mode is less dispersive that the fundamental mode of a microstrip line. In designing the power sensor, we tried to minimize propagation of unwanted modes (odd fundamental mode and higher order modes) [4]. The structure (Fig. 2) of the power sensor is composed of a 3.5-mm subminiature A (SMA) connector, a 50- CPW mm (width mm and gap line of length mm), three low loss dc blocking capacitors , and two 100- thermistors (Fig. 3). The capacitors are used to isolate the RF signal transmitted by the CPW line from the dc-bias current provided by the Wheatstone bridge. These capacitors must also allow the transmit of the RF signal with

Fig. 4. Photograph of the coplanar thermistor mount prototype (from [3]0.

Fig. 5. Shematic representation of the electrical behavior of the power detector.

low loss in order to improve the efficiency of the power meter. Moreover, high values of minimize the return losses of the structure, especially at low frequencies. Therefore, the capacitors are chosen to be approximately equal to 1 nF (which is an usual value for coaxial thermistor mount). The distance between two capacitors is about 0.8 mm. Each thermistor is bridge balanced to 100 to obtain a 50- matched load for the RF signal (200 for the dc mode). The dimensions of the CPW line and the configuration of the loads were optimized so as to maximize the frequency bandwidth of the input reflection coefficient. Optimization was performed by simulation using the electromagnetic 3-D commercial software CST Microwave Studio [5]. The whole structure is realized in a well-designed metallic package configuration that minimizes parasitic radiations and external thermal sensitivity (Fig. 4). The dimensions of the metallic box are 4.4 cm 4.3 cm 2.5 cm. To avoid the resonances of TE and TM modes ( GHz, GHz, and GHz), an absorbing material is inserted in the box. III. MODEL OF THE COPLANAR THERMISTOR MOUNT A. Electrical Behavior of the Power Detector For the purpose of modelization, a complete schematic representation of the power sensor’s behavior is proposed in Fig. 5. In this schematic, we can recognize the SMA to CPW transition, the three dc blocking capacitors , and the two thermistors. Additional elements must be also considered in order to accurately simulate the electrical behavior of the power detector.

1594

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

• The radiation losses must be taken into account because of propagation of an unwanted odd fundamental mode or higher order modes due to discontinuities in the system. The discontinuities are the results of the SMA to CPW transition and are also due to the 50- load realized by the thermistors and the dc blocking capacitors. These losses can be estimated by electromagnetic simulation. , which represent the ca• Two additional capacitances pacitive interaction between the dc blocking capacitors (3) Fig. 6. Attenuation factor due to radiated power versus frequency.

where is the surface of the metallic lateral plates of the dc blocks and is the gap of the CPW line. These capacitors are approximately equal to 0.1 pF. We have also checked, using electromagnetic simulation, that there is no interaction between the wires of the thermistors. Unfortunately, simulation cannot be performed within reasonable time to precisely evaluate the efficiency of the power standard described in Fig. 5. Part of the reason for this are numerical problems (the resonant structure induces errors when computing the inverse Fourier transformation, metallic thickness of the structure compared to the wavelength), and measurement uncertainties of physical values. Therefore, an alternative approach consists of determining an equivalent electrical model for each element of the structure. The model’s elements are derived from -parameters measurements from 50 MHz to 8 GHz using the CPW multiline [6] thru-reflect-line calibration (with the short-open-load-thru (SOLT) calibration [7] for the characterization of the SMA to CPW transition). In order to avoid discontinuities, calibration kits with CPW lines of different geometries have been realized based on the size of the elements to be measured (thermistor or capacitor). Consequently, very accurate measurement values of the scattering parameters can be obtained. The radiation losses are estimated by electromagnetic simulation and are taken into account by an equivalent scattering matrix ( ) in the electrical model. Once all the values of the electrical model of the power sensor are determined, the input and the effenciency are computed reflection coefficient using the Advanced Design System (ADS) simulator from Agilent Technologies, Santa Clara, CA [8]. B. Modelization of the Radiation Losses The radiation losses can be estimated by simulating the power sensor with the electromagnetic 3-D commercial software CST Microwave Studio based on the finite integration technique. The integral form of Maxwell’s equations are solved in the time domain. An attenuation factor is, therefore, introduced as follows: (4) and represent the radiated power and the input where power, respectively. The simulated results are given in Fig. 6. As expected, the radiated power losses increase with frequency and they cannot be neglected after 4 GHz due to propagation of parasitic modes. The 3-D simulations were performed at discrete frequency points (100-MHz frequency step).

The radiation losses in the power sensor electrical model are represented by the following scattering matrix: (5)

C. Characterization of the CPW Line A multiline CPW calibration kit including the 20-mm CPW line of the power detector was realized on the same alumina substrate as the power sensor. Consequently, the reference impedance of the scattering parameters is equal to the characteristic impedance of the line. The value of the characteristic impedance on low-loss substrates can be obtained from the knowledge of the propagation constant and the capacitance per unit length of the line [9] (6) The line capacitance is obtained at low frequencies from a small lumped resistor terminating a CPW transmission line [10] (7) where is the measured dc resistance of the lumped is the measured reflection coefficient. The reload, and sults given by (6) and (7) are correct if , where represents the conductance per unit length (in our case, at MHz). When the characteristic impedance is known, transforming -parameters measurements to a 50reference impedance is then straightforward. The characteristic impedance and the propagation constant of the line of the calibration kit represent the electrical parameters of the CPW line used in the power detector (identical line). Fig. 7 shows the measurement results for the magnitude of . Large variations are observed at low frequencies because an internal inductance governed by the skin effect appears due to the magnetic field penetration inside metallic planes [11]. At high frequencies, the magnitude is increased because higher order modes begin to propagate and the line becomes dispersive. Electromagnetic simulations showed that additional air bridges do not reduce parasitic modes (by equalizing the two ground strips, as is usually the case for MMICs). It is also

ZIADÉ et al.: REALIZATION OF CALCULABLE RF POWER STANDARD IN COPLANAR TECHNOLOGY ON ALUMINA SUBSTRATE

Fig. 7. Magnitude of the characteristic impedance Z R : .

= 50 03

1

C

1595

= 1:242 pF/cm,

Fig. 10. Measured and simulated scattering parameters for the 1-nF capacitor versus frequency.

capacitor is inserted between two coplanar lines with two input and and output SMA connectors. Consequently, represent the parasitic capacitances between the central conand modelize the diductor and ground planes. electric losses due to the current circulating in the substrate of the CPW lines. The - to -parameter conversions provide the and values for (8)

Fig. 8. Line loss factor in decibels/centimeter versus frequency.

(9) (10)

Fig. 9. Equivalent electrical circuit for the multilayer ceramic capacitor C : nF, L : nH, R : ,R : , C C : pF.

= 1 03 = 0 88 = = 0 15

= 1 04

= 1 37

important to note that propagation of unwanted parasitic modes and (4). are taken into account through Fig. 8 shows the line losses in decibels/centimeter. As expected, low losses are observed for the whole frequency range. For electrical simulation using ADS, the line will be repre. sented by its scattering matrix D. Modelization of the DC Blocking Capacitors The discrete multilayer ceramic capacitor model is represented in Fig. 9. represents the ideal value of the capacitor. In order to predict the behavior of the capacitor at frequencies above serie modresonances, a frequency-dependent serie resistance elizes the dielectric and metallic losses. A calibration kit is realized for characterizing the capacitor at the desired reference planes and the multiline calibration technique is applied. The

Equations (9) and (10) are obtained at low frequencies and at and resonance, respectively. The determination of is obtained using an optimization procedure. Fig. 10 shows the measured and simulated scattering parameters of one capacitor. A very good match is obtained for the whole frequency range and very small variations are observed when modeling other capacitors. E. Modeling and Characterization of the SMA to CPW Transition The circuit model for the transition is proposed in Fig. 11. The transition is considered as a classical lumped element T network representing the launcher unit, associated modeling the losses. with a frequency-dependent resistance An additional transmission line of length takes into account the measured excess phase of the transmission or reflection coefficient [12], [13]. The CPW multiline calibration, already performed for the capacitor characterization, determines the propausing the gation constant and the characteristic impedance method of [9]. In a second step, the same lines (including the input and output SMA connectors) are measured using SOLT standards with SMA connectors from 50 MHz to 8 GHz. In this case, the reference planes are located at the input and output of the line including the SMA to CPW transitions. Therefore, the measurement results take into account the two transitions with

1596

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 11. Equivalent electrical circuit of the SMA to CPW transition L nH, L : nH, C : pF, and l : mm.

=02

= 0 19

=47

= 0:15

Fig. 14. Scattering parameters of the thermistor between 50 MHz–18 GHz. Fig. 12. Equivalent circuit of the thermistor. R : nH, r : . L

= 1 06

 05

= 99 , C = 0:076 pF, The multiline thru-relect-line (TRL) calibration is performed with a new calibration kit realized to fit the size of the thermistor. The thermistor is then inserted in a special test fixture. A Wheatstone bridge is connected to the network analyzer in order to apply the dc-bias current, and the scattering parameters are mea(at low frequency), , and are obtained from sured. the admittance matrix . Fig. 14 shows the measured and simulated scattering parameters of the thermistor. A good degree of accuracy up to 18 GHz has been obtained. To our knowledge, it is the first time that the thermistor bead behavior is modelized over a broadband frequency range.

Fig. 13. Geometrical representation of the wire.

the well-known CPW line. Consequently, it is possible to accurately characterize the transition using an optimization procedure, which minimize the deviations between the measurements and equivalent electrical model line SMA connector . is a frequency-dependent resistance [13], which can be approximated by a linear function of frequency up to 8 GHz (0.2 /GHz). is not considered in the It is also important to note that final transition model because this value is already defined by (4). included in the attenuation factor

An original electrical model of the thermistor is represented in Fig. 12. and are parasitic elements of the wires of the theris due to the semiconductor behavior of mistor (see Fig. 3). represents its resistance value. The gethe thermistor and ometrical representation of the wire is shown in Fig. 13. The is obtained from the folfrequency-dependent resistance lowing expressions: (11) (12) (13) m,

Once all the parameters of the different electrical models and the are determined, the input reflection coefficient effenciency are computed using ADS software. The dissipated power in the thermistors is calculated by monitoring the and the voltage in each of the two beads of the current is fixed at 10 mW thermistors (Fig. 12). The power source for all frequencies. The efficiency is defined by (14)

F. Model of the Thermistors

where permeabilty.

IV. SIMULATION AND CHARACTERIZATION OF THE COMPLETE POWER SENSOR

S/m, and

represents the

Fig. 15 shows the measured and simulated values of the input . The increase in the magnitude of the reflection coefficient reflection coefficient with frequency is the result of parasitic capacitances and inductances introduced by the SMA to CPW transition and the dc blocking capacitors. From 50 MHz to 7 GHz, a good impedance match is observed with a maximum value of 12 dB at 8 GHz. The agreement is satisfactory over the whole frequency band (Fig. 16). The maximum difference is found to be only 0.06 at 8 GHz and 0.04 up to 7 GHz. Table I shows the calculated and measured efficiency with the microcalimeter method. Good agreement is observed. The mean relative deviation is found to be 1.17% over the whole frequency band with a maximum value of 3.76% at 4.3 GHz. The measured efficiency is better than 0.9 from 50 MHz up to 7 GHz. At some frequencies, lower values are observed and appear to be measurement errors. In fact, these reduced values of are the

ZIADÉ et al.: REALIZATION OF CALCULABLE RF POWER STANDARD IN COPLANAR TECHNOLOGY ON ALUMINA SUBSTRATE

1597

TABLE II DISTRIBUTION OF THE LOSSES IN THE POWER SENSOR

Fig. 15. Measured and modeled magnitude of the input reflection coefficient of the power sensor versus frequency.

Fig. 16. Differences between measurement and simulation of j0

j

.

TABLE I MEASURED AND SIMULATED EFFICENCY OF THE POWER SENSOR. THE MEASUREMENT VALUES AND THE ASSOCIATED UNCERTAINTIES ARE OBTAINED WITH THE MICROCALORIMETER METHOD. DATA FROM [3]

Fig. 17. Input reflection coefficient versus frequency for the new prototype realized with single-layer capacitors.

It would be interesting to use another technology for the dc-blocking capacitors (single layer) with reduced size in order to minimize the losses. The first measurement results obtained with single-layer capacitors are shown in Fig. 17. As expected, the impedance match is greatly improved up to 18 GHz. V. CONCLUSION

result of resonances observed for the 1-nF dc block capacitors. , and consequently, the losses. These resonances increase However, the first results are very promising even though the absolute values of the input reflection coefficient and the efficiency must be improved in order to use this power sensor as a power standard (typical values of conventional power sensor dB and at 17 GHz [14]). are Table II shows the distribution of the losses in the power sensor. As expected, the main losses appear in the dc blocking capacitors and the radiation losses become significant as the frequency increases. These results provide information, which is very important to improve the efficiency of the power detector.

The feasibility of a calculable RF power detector based on electrical and electromagnetic simulations has been demonstrated. An original, simple, and low-cost prototype was realized using coplanar technology on an alumina substrate. From 50 MHz to 8 GHz, the maximum deviations between a measured and simulated reflection coefficient and efficiency do not exceed 0.06 and 0.034, respectively. These small deviations prove the validity of the approach and the accuracy of the measurement and modelization techniques. The input reflection coefficient of the power probe is better than 15 dB with an efficiency greater than 0.9 up to 7 GHz. These results can be improved by using single-layer dc capacitors, and by realizing the matched load with a simple 50- resistor with thermistors connected close to it to sense the RF power as observed in recent commercial detectors. The first measurement results

1598

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

obtained with single-layer capacitors improve the reflection coefficient up to 18 GHz. REFERENCES [1] N. S. Chung, J. Shin, H. Bayer, and R. Honingbaum, “Coaxial and waveguide microcalorimeters for RF and microwave power standards,” IEEE Trans. Instrum. Meas., vol. 38, no. 2, pp. 460–464, Apr. 1989. [2] F. R. Clague, “A method to determine the calorimetric equivalence correction for a coaxial microwave calorimeter,” IEEE Trans. Instrum. Meas., vol. 43, no. 3, pp. 421–425, Jun. 1994. [3] F. Ziade, A. Kazemipour, E. Bergeault, D. Allal, and M. Bourghes, “RF power measurement calculable primary standard,” in Precision Electromagn. Meas. Conf., Broomfield, CO, Jun. 2008, pp. 514–515. [4] R. N. Simon, Coplanar Waveguide Circuits Components and Systems. New York: Wiley, 2001. [5] “CST microwave studio: HF design and analysis: Tutorials,” Computer Simulation Technology (CST), Framingham, MA, 2006 [Online]. Available: http://www.cst.com, [15.102007]. [6] R. B. Marks, “A multiline method of network analyzer calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7, pp. 1205–1215, Jul. 1991. [7] S. Rehnmark, “On the calibration process of automatic network analyzer systems,” IEEE Trans. Microw. Theory Tech., vol. MTT- 22, no. 4, pp. 457–458, Apr. 1974. [8] “ADS Users Manual,” Agilent Technol., Santa Clara, CA, 2006. [9] R. B. Marks and D. F. Williams, “Characteristic impedance determination using propagation constant measurement,” IEEE Microw. Guided Wave Lett., vol. 1, no. 6, pp. 141–143, Jun. 1991. [10] D. F. Williams and R. B. Marks, “Transmission line capacitance measurement,” IEEE Microw. Guided Wave Lett., vol. 1, no. 9, pp. 243–245, Sep. 1991. [11] C. L. Holloway, “Internal inductance and connector loss associated with the ground plane of microstrip line,” IEEE Trans. Electromagn. Compat., vol. 39, no. 2, pp. 73–78, May 1997. [12] A. G. Chapman and C. S. Aitchison, “A broad-band model for a coaxial-to-stripline transition,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 2, pp. 130–136, Feb. 1980. [13] J. Chramiec, B. Janiczak, and J. Komisarczuk, “Novel approach to the characterization of coaxial-to-microstrip transitions,” in Proc. 27th Eur. Microw. Conf., Jerusalem, Israel, Sep. 1997, vol. 2, pp. 697–702. [14] U. Stumper, “International comparison GT-RF 75 A 11: RF power at 12 GHz, 14 GHz, and 17 GHz in 50 coaxial line,” IEEE Trans. Instrum. Meas., vol. 43, no. 1, pp. 3–6, Feb. 1994. François Ziadé was born in Tremblay-en-France, France, in 1979. He received the M.Sc. degree in applied physics, electronics, and microwave engineering from the University of Pierre et Marie Curie (Paris 6), Paris, France, in 2003, and the Ph.D. degree in electronics and telecommunications from Telecom ParisTech, Paris, France, in 2008. His doctoral research concerned power standards. In September 2007, he joined the Laboratoire National de Métrologie et d’Essais (LNE), Trappes, France, as a Researcher, where he began his research

on two different projects: the first one aims to establish the traceability and improve measurement capabilities of impedance and power in the frequency gap of 100 kHz–100 MHz, and the second one is focused on the development of ac and dc voltage references based on microelectromechanical systems (MEMS) structures.

Eric Bergeault was born in Limoges, France, in 1963. He received the Diplôme d’Etudes Approfondies (DEA) degree from the University of Limoges, Limoges, France, in 1987, the Ph.D. degree in electronics and communications from the National Superior Institute of Telecommunications (ENST), Paris, France, in 1991, and the accreditation to supervise research from the University of Limoges, Limoges, France, 1998. From 1987 to 1990, he was a Research Engineer with the Laboratoire Central des Industries Elecriques (LCIE), Fontenay-Aux-Roses, France. In 1991, he joined ENST, where he is currently a Full Professor. His research interest is in the field of microwave instrumentation. He is mainly involved with metrological applications, network analyzers, characterization of nonlinear devices and modelization, and applications to the optimization of power amplifiers.

Bernard Huyart (M’92) received the Electrical Engineer degree from the University of Lille (EUDIL), Lille, France, in 1977, the Doctoral degree in physics from the National Superior Institute of Telecommunications (ENST), Paris, France, in 1986, and the accreditation to supervise research from the University of Limoges, Limoges, France, in 1995. In 1978, he joined ENST, where he is currently a Full Professor and Head of the Radiofrequencies and Microwaves Group, which is associated with the Centre National de la Recherche Scientique (CNRS) (UMR5141). His current research interests include microwave instrumentation (six-port techniques, monolithic microwave integrated circuits (MMICs), RF channel propagation sounders), the design of mixers, modulators and demodulator in microwave monolithic integrated circuit (MMIC) or hybrid technology, noise and nonlinear devices measurement and modelization, and the design of communication or radar systems.

Alireza Kazemipour received the B.Sc. degree in electronics from the Sharif University of Technology, Tehran, Iran, in 1992, the M.Sc. degrees in physics from Tehran University, Tehran, Iran, in 1995, and the Ph.D. degree from Telecom-Paris, Paris, France, in 2002. He had been a Visiting Professor and invited conference lecturer in Japan, Korea, and Malaysia. His research interests are electromagnetics, electromagnetic compatibility (EMC), RF measurement and calibration, antenna/RF circuits design, and test.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1599

Complete On-Wafer Noise-Figure Characterization of 60-GHz Differential Amplifiers Luuk F. Tiemeijer, Ralf M. T. Pijper, and Edwin van der Heijden

Abstract—In this paper, we show that the differential noise figure of differential amplifiers is better measured directly by using baluns rather than be derived from single-ended measurements. For on-wafer measurements at 60 GHz, this can best be done using RF probes with integrated baluns. To extract the full 4 4 noise correlation matrix needed to predict the circuit behavior under any operating condition, this needs to be extended with at least one single-ended noise-figure measurement taken on an individual amplifier. For the experimental two-stage differential amplifier realized in 45-nm node CMOS studied in this paper, we found a noise figure of 3.2 dB. This is, to the best of our knowledge, the lowest noise figure reported at 60 GHz in any CMOS technology thus far. Index Terms—4 4 noise correlation matrix, 45-nm node CMOS, integrated circuits, on-wafer microwave differential noise-figure measurements.

I. INTRODUCTION T 60 GHz, low-noise amplifiers (LNAs) and subsequent components of the receive chain are preferably designed fully differential. This helps to avoid potential signal losses in the ground return path and also prevents pick up of interference, which is mainly common mode. The small-signal behavior of these circuits can be completely characterized using commercially available four-port network analyzers. Afterwards, performance figures of merit, such as differential signal gain and common-mode rejection ratio, can easily be found using mixedmode -parameters [1], [2]. In contrast, the measurement methods for the multiport noise behavior reported thus far [3]–[6], are unsuitable for the general case where we wish to characterize a differential amplifier with two correlated output noises under arbitrary operating conditions. A complicating factor is that the IRE/IEEE standard noise-figure definition [7]–[9] only applies to two-port devices. This noise figure relates the available signal to the noise ratio at the output to that at the input, where the input noise is coming from an input termination, which is assumed to have a noise K. Since this noise figure depends on temperature the complex impedance of the input termination, four noise parameters need to be specified for a complete description of the two-port noise behavior.

A

Manuscript received January 14, 2010; accepted March 05, 2010. Date of publication May 24, 2010; date of current version June 11, 2010. The authors are with the NXP-TSMC Research Centre, 5656 AE Eindhoven, The Netherlands (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049167

As discussed in [6], extension of this noise-figure concept to multiport devices, where we could be interested in singleended and differential noise figures as well, is complicated. The terminations and noise temperatures for the additional input and output ports need to be specified, and the correlations between noise figures obtained at different output ports should be tracked in case their signals need to be combined into differential and common-mode signals later. Given the expected wide proliferation of differential LNAs in future microwave applications, having a clear-cut procedure to obtain a noise figure for these devices is nevertheless highly desirable. To avoid four-port noise-figure definition issues, we return to the classic multiport noise-matrix formalism introduced in [10], and show that we can derive the full 4 4 noise correlation matrix of our symmetric differential LNA from one singleended and one differential noise-figure measurement. This, subsequently, allows us to provide noise figures for any case of interest. We demonstrate an excellent differential noise figure for the experimental two-stage 60-GHz LNA studied in this paper and show that the alternative approach of [4], employing only single-ended noise-figure measurements, is unsuitable for this class of circuits. II. THEORY In the impedance representation introduced in [10], the voltages , found at the ports of a linear multiport network containing internal noise sources, can be described with the matrix relation (1) where is the impedance matrix of the network, denotes the port currents, and describes the root mean square (rms) opencircuit noise voltages (Fig. 1). Since the noise voltages seen at the network ports are likely to emanate from the same internal noise sources, the various elements of are partly correlated. It has become customary [11] to summarize the noise behavior of such a linear multiport network in the noise correlation matrix

(2)

where the dagger indicates a Hermitian transpose operation and the overbar that we consider the mean fluctuation of a product and the complex conjugate of containing the noise voltage the noise voltage in a bandwidth , centered on a frequency . The network internal noise sources can alternatively

0018-9480/$26.00 © 2010 IEEE

1600

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

defining the differential and common-mode voltages and currents as

(6) Taking ports 1 and 2 as a mixed-mode input port, ports 3 and 4 as a mixed-mode output port, and then adopting the indices 1 and 2 for the differential input and output ports and the indices 3 and 4 for the common-mode input and output ports, respectively, the mixed-mode voltages, voltage noises, and currents can expressed as Fig. 1. Impedance representation of a linear noisy four-port network (after [10]).

(7) where

be described in the admittance representation by specifying the port noise currents. Furthermore, a chain representation locating both noise voltage sources and noise current sources at the inputs can be useful. The rules for changes of representation and for the interconnection of noisy networks, presented in [11], are easily generalized for multiport networks. For a passive network where only thermal noise needs to be considered, the noise correlation matrix is simply [11]

(8)

or

(9)

(3) where is the network temperature. When a circuit contains active devices, this simple relation no longer applies. Noise power measurements are then required to find the elements of the noise correlation matrix. For a two-port network, the four noise correlation matrix elements can be calculated from noise-figure measurements taken at at least four different input port terminations using, for instance, the hot–cold technique [12]. When the same procedure is applied to ports 1 and 3 of the four-port network of Fig. 1, leaving the unused ports 2 and 4 open ended, their open-circuit noise voltages are irrelevant. We will then obtain the noise correlation sub-matrix (4) of the probed ports. Similarly, measuring ports 2 and 4 provides the sub-matrix

Defining (10) a simple substitution reveals identical conversion rules for the mixed-mode -parameters (11) and the mixed-mode noise correlation matrix (12) Due to the symmetry of the differential amplifier, the mixedmode basis reduces the 4 4 impedance matrix

(13) (5) To be able to resolve the 16 elements in the full 4 4 noise correlation matrix for a four-port differential amplifier, we would generally need to measure noise figures for all six combinations of its two input and output ports. In this process, we would get three separate values for each diagonal element, which could be averaged for best results. Generally, however, this procedure is quite tedious and particularly impractical, as there might be little gain available between some of the required port combinations. The complete noise characterization of a differential amplifier can be simplified considerably, when we exploit its symmetry and switch to a mixed-mode description. This is done by

as well as the noise correlation matrix

(14) into two separate 2 2 blocks having only eight nonzero matrix elements. Provided that the differential amplifier is sufficiently symmetric, we can neglect the other two 2 2 blocks, and measuring the differential and common-mode noise figures is enough for a complete noise characterization. Measuring the

TIEMEIJER et al.: COMPLETE ON-WAFER NOISE-FIGURE CHARACTERIZATION

common-mode noise figure directly can be difficult when the differential amplifier has a high common-mode rejection ratio. Due to the symmetry, however, it is sufficient to measure the noise figures for two arbitrary linear combinations of differ. In this paper, ential and common-mode signals to find therefore, we measure the differential noise figure and the noise figure of one of the individual amplifiers instead. Furthermore, since the differential and common-mode noise sources are uncorrelated, we can measure the differential noise figure using baluns [3] and a conventional single-ended noise-figure measurement system, without the need to consider the commonmode port terminations. At 60 GHz, the path difference between the balun and device-under-test (DUT) should be less than 70 m, to keep the phase error below 5 . This is difficult to realize unless RF probes with integrated baluns are used. When RF probes with integrated Marchand baluns are used to measure the noise parameters of a differential amplifier, the common-mode ports are left open ended (see the Appendix). The common-mode noise voltages will then be invisible in the measurement even if the amplifier is not perfectly symmetric. As a result, such a measurement provides the upper left 2 2 block

1601

Fig. 2. 60-GHz noise-figure measurement setup is build using mainly WR15 waveguide-based components on top of a commercially available wafer probe station.

(15) of (14) where Fig. 3. Basic scheme of the 60-GHz differential noise-figure measurement setup.

(16) and the supposWhen this is combined with the measured , we are able to find the missing cross-coredly identical relation coefficients using

(17) , This provides us with the full 4 4 noise correlation matrix which allows us to predict the noise behavior of the circuit under any operating condition and any port termination.

III. MEASUREMENT SETUP As illustrated in Fig. 2, our -band (here, 55–67 GHz) noise-figure measurement setup is build using mainly WR15 waveguide-based components on top of a commercially available wafer probe station. A basic scheme of this 60-GHz noise-figure measurement setup is depicted in Fig. 3. At the input, a WR15 waveguide-based Noisecom hot–cold noise source with an excess noise ratio of about 15 dB and a WR15

waveguide-based Maury Microwave impedance tuner are used to provide two different input noise levels at selectable source impedances to the DUT. At the output, the signal is first amplified by an LNA with 6-dB noise figure, down converted to an IF in the 9–18-GHz range and then fed into an Agilent noise-figure analyzer (NFA). The image frequency is kept below the cutoff frequency of the WR15 waveguide components used in the setup and is removed without any further filtering. The setup is combined with a 67-GHz network analyzer in order to be able to measure the available gains and impedances required for a proper extraction of the DUT noise parameters from the measurements taken at different waveguide tuner settings. Two waveguide switches allow seamless alternation between -parameter and noise-figure measurements. During the noise-figure measurements, the local oscillator (LO) signal is provided by the network analyzer and amplified to a sufficient level by the local oscillator amplifier (LOA) (Fig. 2). Two isolators improve the performance of the down-converting output receive chain. Our improved -factor method [12] is used during the calibration stage where the DUT is replaced by a thru connection and the noise parameters of the output receive chain are determined. Afterwards, the cold–source method [13], [14] is used for the actual device measurement. At each waveguide tuner setis calculated from the measured ting, the DUT noise factor total noise factor (18)

1602

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 4. Impedance standards found on Cascade’s dual-probe four-port ISS calibration substrate. As explained in the text, these five standards are also suitable for the differential system calibration.

where the gains and are the available gains of the input network consisting of the impedance tuner and the RF probe, is obtained from and the DUT, respectively. The noise factor

(19) where is the network temperature. The noise factor of the output receive chain is calculated from the noise parameters determined from the calibration measurements taken using the thru connection. Subsequently, the source admittance , seen by the DUT, is calculated from the output reflection coefficient of the input network and the DUT noise parameters , , and are extracted from measurements taken at nine different tuner settings to vary , fitting the well-known relation (20) to the measured DUT noise factor . The four DUT noise parameters found this way are then used to derive the noise correlation matrix in the chain representation [11]. This is, subsequently, converted into the desired impedance representation. The same procedure is used when either the individual amplifiers of the differential LNA are measured separately using standard waveguide flanged RF probes or when the differential noise figure is measured using Cascade RF probes with integrated Marchand baluns. IV. DIFFERENTIAL SYSTEM CALIBRATION Replacing the standard RF probes with differential RF probes with integrated baluns requires a critical review of the system calibration procedure. Although it is tempting to use just the open, short, load, and straight thru calibration structures found on a dual-probe four-port impedance standard substrate (ISS) calibration substrate instead (Fig. 4), and leave the entire system calibration procedure intact [12], there are some issues that need further attention. First, when a standard short–open–load–thru (SOLT) calibration using a dual-probe four-port ISS calibration substrate is used to define an impedance reference plane at the differential probe tips, a reference impedance of 100 applies for the differential -parameters taken at these tips. This has to be accounted for when extracting the differential noise parameters. Afterwards, a 50- differential reference impedance is used to facilitate the comparison of differential and standard impedances on the same Smith chart.

Fig. 5. Measured mixed-mode S -parameters for unity impedance transformation of the two Marchand balun-embedded RF probes used for the differential noise-figure measurements. For each S -parameter, two lines are shown: one for the input probe and one for the output probe, respectively.

Furthermore, only measuring the dual open, short, and load calibration structures from the dual-probe four-port ISS calibration substrate is insufficient for obtaining the full three-port -parameter matrix of the differential probes. As shown in [15], this requires that we probe between 7–9 different calibration patterns. Afterwards, the measurement procedure for the full 4 4 noise correlation matrix of Section II should be generalized to also work with imperfect differential signals. Fortunately, when the balun embedded RF probe is sufficiently well behaved that common-mode leak-through is small, such a general approach is not required, and measuring these three calibration structures is still sufficient to extract the probe insertion and . When the loss and mismatch parameters and then balun embedded RF probe has some residual phase or amplitude imbalance, the available gain found this way is, nevertheless, not entirely correct, since the differential amplifier blocks common-mode signals. It is, therefore, better to base the calcuon the available power in the lation of the input network gain differential mode. As detailed in the Appendix, applying some simplifying assumptions on the mixed-mode error network of the probe, this can be achieved by probing an additional fourth dual-probe “loopback thru” (see Fig. 4) calibration structure. This structure represents an inductance for the differential mode and a capacitance for the common mode, respectively. Fig. 5 shows the mixed mode -parameters for unity impedance transformation (see the Appendix) of the Cascade Marchand balun-embedded RF probes used for the differential noise-figure measurements. The single-ended to differenof about 3 dB includes the losses of tial-mode insertion loss a bias tee and the two adapters needed for WR15 connectivity. and ) is around 20 dB and common-mode Port match ( leak-through ( ) is less than 30 dB. When the balun embedded RF probes have some residual phase or amplitude imbalance, the total insertion loss found when measuring the four-port straight thru standard (see

TIEMEIJER et al.: COMPLETE ON-WAFER NOISE-FIGURE CHARACTERIZATION

Fig. 6. Differential and standard thru insertion gain jS

j

1603

.

Fig. 4) could well be less than the sum of the differential-mode insertion losses of the individual probes. This is due to common-mode signals, which are leaking through and may combine in-phase with the differential signals when converted back into a single-ended signal. In our system calibration procedure, this will result in an apparent differential-mode thru standard insertion gain. Fig. 6 compares the measured differential-mode thru standard insertion loss to that of the single-ended thru standard. Whereas the latter has 0.02-dB insertion loss, the differential-mode thru standard actually shows about 0.1-dB insertion gain since it, indeed, allows some common-mode signal to leak-through. These are, nevertheless, excellent figures that we could not achieve at these frequencies using dual RF probes and external baluns. This confirms that the leak-through of common-mode signals is small enough to allow it to be neglected in the differential noise parameter extraction process. Finally, to be able to resolve the minimum differential noise needs to be varied figure with good accuracy, the value of over a sufficiently large range, which, preferably, also covers . One way to achieve this would be to select an optimal set of tuner positions for each new frequency point. This, however, is very time consuming. Therefore, similar to our previous work [12], the calibration and measurement time is kept within acceptable limits by using only one carefully chosen set of tuner positions and sweeping the noise power measurement over the entire frequency range of interest for each of these tuner povalues sitions sequentially. Although the resulting set of may not be as ideal as with the traditional method, this is easily remedied by the automatic reduction of the weight of results obtained at unfavorable tuner positions in the noise parameter extraction process [12]. Fig. 7 shows the typical constellation of values presented at 60 GHz during the standard and differential noise-figure measurements, respectively. The cross-like shape is maintained over the entire frequency range, whereas its center and orientation may differ. Here, the size of the differential cross is somewhat smaller than that of the standard cross. This is due the additional losses of the extra adaptors and the

Fig. 7. Smith chart plot of the nine source impedances presented (at 60 GHz) during the standard and differential noise-figure measurements, respectively. The lines only serve to guide the eye.

Fig. 8. 60-GHz differential LNA studied in this paper.

Fig. 9. Simplified equivalent circuit of the two-stage 60-GHz differential LNA built around a central differential transmission line.

built in bias-tee. Without them, these configurations would have been more comparable. V. DIFFERENTIAL NOISE FIGURE Figs. 8 and 9 show the layout and a simplified equivalent circuit of the differential LNA studied in this paper. Two transistor pairs are used as current mirrors and provide a high common-mode rejection ratio. This two-stage differential amplifier is built around a central differential transmission line. The two amplifier stages share four differential inductors, which,

1604

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 10. Differential transducer gain jS j, as measured (a.m.) using the balun embedded probes and after de-embedding (de-emb.) Also shown is the transducer gain of the upper and lower amplifier, leaving the other amplifier openended. The dashed lines represent the same figures, but now calculated from standard four-port S -parameter measurements.

together with several capacitors, are used to match the differential input and output impedance of the amplifier to about 100 . As seen from its equivalent circuit, the differential amplifier is more symmetrical than the layout suggests. The differential input and output of the amplifier are connected to four tiny signal pads. Six additional ground pads ensure that the structure can be measured using a four-port network analyzer and standard 125- m pitch ground–signal–ground–signal–ground (GSGSG) RF probes. Four ground pads and the pads used for biasing are not visible in this figure. of the upper and lower Fig. 10 shows the transducer gain amplifier, measured leaving the other amplifier open-ended. Also shown is the differential transducer gain measured using the balun embedded probes. The dashed lines represent the same figures, which are now calculated from standard four-port -parameter measurements. Typically there is a few tenths of a decibel difference. This is because the latter measurements were taken using different probes and a different calibration. This difference disappears after open–short–load (OSL) de-embedding [16]. Fig. 11 shows the minimum differential noise figure obtained before and after removal of the deteriorating effects of the measurements pads using OSL noise de-embedding [16]. The dashed line shows that between 58 and 64 GHz, a minimum noise figure of 3.2 dB is achieved. When compared with some of the lowest values found in the literature around 60 GHz (Table I), this represents a new record for CMOS technology, enabled by continued down-scaling and careful circuit design. The differential noise figure, reported in [18], was confirmed on the setup reported in this work. VI. COMPLETE NOISE-FIGURE CHARACTERIZATION To predict the noise behavior of the differential amplifier under arbitrary operating conditions the full 4 4 noise cormust be resolved. When the amplifier is relation matrix

Fig. 11. Minimum differential noise figure as measured (a.m.) and after removal of the deteriorating effects of the measurements pads using OSL noise de-embedding (de-emb.).

TABLE I RECORD NOISE FIGURES REPORTED FOR SOME CMOS TECHNOLOGY NODES FOR AMPLIFIERS OPERATING AROUND 60 GHz EMPLOYING EITHER SINGE-ENDED (S) OR DIFFERENTIAL (D) DESIGNS

sufficiently symmetric, this can be done based on the mea, , and using (17) to find sured sub-matrices the missing cross-correlation coefficients. Fig. 12 shows the single-ended minimum noise figures of the upper and lower amplifier, measured leaving the other amplifier open ended. They are indeed almost the same. The measured minimum differential noise figure is included for comparison. It has been suggested in [4] that once the noise from the terminations is removed, the correlation between the noises added in the two amplifier chains can be neglected, and that therefore, measuring the noise figures of the individual amplifiers would be sufficient to characterize the differential noise figure. This might have worked reasonably well for the single-stage circuit studied in [4], with 50- matched terminations applied to its unused ports, but generally this is dangerous. When translated into the correlation matrix framework used in this work, this would and imply that it would be sufficient to measure and set the remaining eight cross-correlation coefficients of to zero. The squares in Fig. 12 represent the erroneous minimum differential noise figure obtained this way. The difference with the proper measurement is obvious. Once the full four-port noise correlation matrix of the differential amplifier has been resolved the minimum noise figures for cross-connected channels can be calculated. Fig. 13 shows a comparison of a direct measurement of the cross channel noise . The agreement is acceptfigures to those calculated from able, although the direct result is less noisy.

TIEMEIJER et al.: COMPLETE ON-WAFER NOISE-FIGURE CHARACTERIZATION

1605

Fig. 12. Measured single-ended minimum noise figures of the upper and lower amplifier, leaving the other amplifier open ended. The differential noise figure is copied from the previous figure. The symbols are explained in the text.

Fig. 14. Single-ended and differential minimum noise figures calculated either terminating the unused ports into their characteristic impedance with a noise temperature T (symbols) or leaving the unused port open-ended (solid line).

istic impedance of has hardly any ( 10 mdB) effect on the differential noise figure. This confirms that the differential amplifier is sufficiently symmetric that there is no need to specify its common-mode termination when quoting its minimum differential noise figure. For completeness, Fig. 15 shows the optimum source impedances for which some of the minimum noise figures were obtained. Again, the solid lines denote the actual measurements and the minute symbols denote values calculated for terminated ports. The optimum source impedance for the individual amplifiers is roughly 50 . Contrary to what was seen in Fig. 14, terminating the unused ports has little effect. For differential operation, the optimum source impedance is around 100 . De-embedding changes this impedance from slightly inductive to slightly capacitive. Here, to the best of our knowledge, differential optimum source impedance measurements are demonstrated for the first time. Fig. 13. Measured single-ended minimum noise figures for cross-connected channels, leaving the remaining terminals open ended (solid line). The symbols represent the same noise figure, but now derived from C .

When the individual noise figures of the upper and lower amplifiers are measured, the input and output terminals of the unused amplifiers are left open ended. Using similar noise matrix calculations as for the noise de-embedding, the noise figures obtained when the input and output terminals of the unused amplifier would have been terminated into the characterwith a noise temperature can be istic impedance derived. The symbols in Fig. 14 show that terminating the unused amplifier increases the noise figure of the other amplifier by 1.2–1.5 dB. This is a considerable difference and illustrates that we need to specify the termination of both amplifiers when we want to quote their individual noise figures. On the other hand, as also illustrated in Fig. 14, despite a noticeable 0.1-dB difference in noise figure of the individual amplifiers, terminating the common-mode port into its character-

VII. CONCLUSION The differential noise figure of differential amplifiers is better measured directly using baluns [3], rather than be derived from a multitude of single-ended measurements [4]. For on-wafer measurements at 60 GHz, this can best be done using RF probes with integrated baluns. As a second noise characterization step, combining the differential noise-figure measurements with singleended noise-figure measurements taken on one of the individual amplifiers allows a fairly accurate extraction of the full 4 4 noise correlation matrix, which is needed to predict the circuit behavior under any operating condition. With this noise correlation matrix, we have demonstrated that the noise from the two amplifier channels can be highly correlated, and therefore, the differential noise figure cannot be derived from the single-ended measurements taken on these individual channels alone. Using this, we have further shown that, whereas the noise figures measured on the individual amplifiers are significantly influenced by the termination of the opposite amplifier, this is not the case

1606

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

an efficient procedure to characterize insertion loss and port mismatch and to verify that the common-mode leak-through, due to phase or amplitude unbalance, is sufficiently low to allow it to be neglected later in the differential noise parameter extraction process. When modeled [19] as a combination of two identical quarter-wavelength couplers, as shown in Fig. 16, with a coupling factor , a lossless ideal Marchand balun has an -parameter matrix given by

(21)

provided the reference impedance at output ports 2 and 3 is taken as Fig. 15. Smith chart plot of the optimum source impedances for which the minimum noise figures were obtained.

(22) where is the 50- reference impedance at input port 1. When we define the mixed-mode incident and reflected waves using

(23) and use port 1 for the single-ended input, and define port 2 to represent the differential output and port 3 to represent the common-mode output with reference impedances of , , , respectively, the mixed-mode description of this and lossless ideal Marchand balun is simply

Fig. 16. Block diagram of a Marchand balun constructed out of two identical couplers (after [19]).

for the differential noise figure. Instead, for a sufficiently symmetric amplifier, it is not required to specify the common-mode termination when quoting its minimum differential noise figure. For the experimental two-stage differential amplifier realized in 45-nm-node CMOS and characterized in this paper, we found a minimum noise figure of 3.2 dB. To the best of our knowledge, this is the lowest noise figure reported at 60 GHz in any CMOS technology thus far.

(24) In this mixed-mode representation, the single-ended input and the differential output have a lossless matched connection, whereas the common-mode output is left open ended. This ideal lossless Marchand balun has an impedance transformation ratio (25) which becomes unity for commonly used 3-dB couplers. To make this a lossy ideal balun, the mixed-mode description is changed into

APPENDIX Characterization of the Balun-Embedded Probes: A general method to characterize the three-port -parameters of the Marchand balun-embedded RF probes needed for the differential noise-figure measurements would require a dual-through pattern and a dual probe. To avoid an adaptor-removal procedure to shift the reference plane to the balun-embedded RF probe tips, the method of [15] (where a set of 7–9 different impedance standards is probed to resolve the three-port -parameters) could be an attractive alternative. For this study, we prefer

(26) where . Provided a suitable reference impedance has been used, the values of the mismatch coefficients and should be small. The value of depends on the reference impedance . This is not the case, however, for the available gain into the differential mode. Measuring the reflection coefficient at the input port when the three open, short, and load

TIEMEIJER et al.: COMPLETE ON-WAFER NOISE-FIGURE CHARACTERIZATION

1607

and are the differential- and common-mode reflecwhere tion coefficients presented at ports 2 and 3. To find the balun -parameters, (30) is put into the (almost linear) form (31) where

Fig. 17. Marchand balun-embedded RF probe mixed-mode model.

calibration standards found on an ISS dual-probe four-port calibration substrate are contacted, provides us with sufficient data to resolve these -parameters. This reflection coefficient is (27) where is the differential reflection coefficient presented at port 2. To find the balun -parameters, (27) is put into the linear form

, , , and , respectively, for each of the four measured , , , standards, and , respectively. For the first step, we use and . Afterwards, this system of four equations is solved iteratively for by matrix inversion. When the common-mode is small though, the changes seen in the leak-through extracted -parameters during the iteration steps should be small and we should get roughly the same -parameters as depends critically on the before. The actual value found for and . To minconsistency between the different values of imize the uncertainty here, we first used Cascade’s WinCal XE calibration software to obtain a proper four-port SOLT–SOLR calibration, where reciprocity (R) is the only requirement for the “loopback thru” standard. Afterwards, the open, short, load, and “loopback thru” calibration standards were re-measured to and before using (30). update the values of

(28) ACKNOWLEDGMENT where , , and , respectively, for each of the three measured standards, and , , and , respectively. This system of three equations is, subsequently, solved for by matrix inversion. To include common-mode leak-through, the mixed-mode equivalent-circuit model of Fig. 17 could provide a suitable approximation. Here, the differential-mode insertion loss , , and , and and port mismatch is accounted for by common-mode leak-through by a parasitic impedance . With this simple model, measuring the impedance at port 1 when the four open, short, load, and ”loopback thru” calibration standards found on an ISS dual probe four-port calibration substrate are contacted, would provide us with sufficient measurements to resolve these impedances. Unfortunately, this equivalent-circuit model leads to a system of nonlinear equations, which is not easily solved. Therefore, a slightly different route is taken, where (26) is extended to

(29)

. Furthermore, and is assumed. The values of and again depend on the reference impedance , This is not, however, the case for the available gains into the differential and common modes. With perfect isolation between common mode and differential ports 2 and 3, the reflection coefficient measured at the input port is now where again

(30)

The authors wish to thank C. Vaucher, NXP-TSMC Research Centre, Eindhoven, The Netherlands, for suggesting the use of balun embedded RF probes for this type of work. REFERENCES [1] D. E. Bockelman and W. R. Eisenstadt, “Combined differential and common-mode scattering parameters: Theory and simulation,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1530–1539, Jul. 1995. [2] A. Ferrero and M. Pirola, “Generalized mixed-mode S -parameters,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 458–463, Jan. 2006. [3] A. Abidi and J. Leete, “De-embedding the noise figure of differential amplifiers,” IEEE J. Solid-State Circuits, vol. 34, no. 6, pp. 882–885, Jun. 1999. [4] L. Belostotski and J. W. Haslett, “A technique for differential noise figure measurement of differential LNAs,” IEEE Trans. Instrum. Meas., vol. 57, no. 7, pp. 1298–1303, Jul. 2008. [5] J. Lintignat, S. Darfeuille, Z. Sassi, B. Barelaud, L. Billonnet, and B. Jarry, “Original approach for extracting the exact noise factor of differential microwave circuits using mixed-mode and noise-wave formalisms,” in Proc. 36th Eur. Microw. Conf., Sep. 10–15, 2006, pp. 518–521. [6] J. Randa, “Noise characterization of multiport amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1757–1763, Oct. 2001. [7] H. A. Haus et al., “IRE standards on methods of measuring noise in linear two ports 1959,” Proc. IRE, vol. 48, no. 1, pp. 60–68, Jan. 1960. [8] H. A. Haus et al., “Representation of noise in linear twoports,” Proc. IRE, vol. 48, no. 1, pp. 69–74, Jan. 1960. [9] H. A. Haus et al., “Description of the noise performance of amplifiers and receiving systems,” Proc. IEEE, vol. 51, no. 3, pp. 436–442, Mar. 1963. [10] H. A. Haus and R. B. Adler, “Canonical form of linear noisy networks,” IRE Trans. Circuit Theory, vol. CT-5, no. 3, pp. 161–167, Sep. 1958. [11] H. Hillbrand and P. H. Russer, “An efficient method for computer aided noise analysis of linear amplifier networks,” IEEE Trans. Circuits Syst., vol. CAS-23, no. 4, pp. 235–238, Apr. 1976. [12] L. F. Tiemeijer, R. J. Havens, R. de Kort, and A. J. Scholten, “Improved Y -factor method for wide-band on-wafer noise-parameter measurements,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2917–2925, Sep. 2005.

1608

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

[13] A. C. Davidson, B. W. Leake, and E. Strid, “Accuracy improvements in microwave noise parameter measurements,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1973–1978, Dec. 1989. [14] M. Kantanen, M. Lahdes, T. Vaha-Heikkila, and J. Tuovinen, “A wideband on-wafer noise parameter measurement system at 50–75 GHz,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1489–1495, May 2003. [15] K. Jung, L. A. Hayden, O. D. Crisalle, W. R. Eisenstadt, R. M. Fox, P. Hanaway, R. L. Campbell, C. McCuen, and M. Lewis, “A new characterization and calibration method for 3-dB-coupled on-wafer measurements,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 5, pp. 1193–1200, May 2008. [16] L. F. Tiemeijer, R. M. T. Pijper, J. A. van Steenwijk, and E. van der Heijden, “A new 12-term open–short–load de-embedding method for accurate on-wafer characterization of RF MOSFET structures,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 2, pp. 419–433, Feb. 2010. [17] E. Cohen, S. Ravid, and D. Ritter, “An ultra low power LNA with 15 dB gain and 4.4 dB NF in 90 nm CMOS process for 60 GHz phase array radio,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2008, pp. 61–64. [18] E. Janssen, R. Mahmoudi, E. van der Heijden, P. Sakian, A. de Graauw, R. Pijper, and A. van Roermund, “Fully balanced 60 GHz LNA with 37% bandwidth, 3.8 dB NF, 10 dB gain and constant group delay over 6 GHz Bandwidth,” in Proc. IEEE 10th Silicon Monolithic Integr. Circuits RF Syst. Topical Meeting, Jan. 2010, pp. 124–127. [19] K. S. Ang and I. D. Robertson, “Analysis and design of impedancetransforming planar Marchand baluns,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 402–406, Feb. 2001. Luuk F. Tiemeijer was born in Son en Breugel, The Netherlands, in 1961. He received the M.S. degree in experimental physics from the State University of Utrecht, Utrecht, The Netherlands, in 1986, and the Ph.D. degree in electronics from the Technical University of Delft, Delft, The Netherlands, in 1992. His dissertation was entitled: ”Optical properties of semiconductor lasers and laser amplifiers for fiber optical communication.” In 1986, he joined Philips Research Laboratories, Eindhoven, The Netherlands, where he conducted research on InGaAsP semiconductor lasers and optical amplifiers. In October 2006, he joined NXP Semiconductors, Eindhoven, The Netherlands. Since 1996, he has been involved in the RF characterization and modeling of advanced IC processes. He has coauthored over 130 scientific publications. He is the coinventor on over 20 patent applications.

Ralf M. T. Pijper was born in Holtum, The Netherlands, in 1977. He received the M.Sc. degree in applied physics from the Technical University of Eindhoven, Eindhoven, The Netherlands, in 2003. In 2005, he joined Philips Research Laboratories, Eindhoven, The Netherlands, where he is currently involved with the RF characterization of advanced integrated-circuit technologies. In October 2006, he joined NXP Semiconductors, Eindhoven, The Netherlands.

Edwin van der Heijden was born in Eindhoven, The Netherlands, in 1970. He received the Electrical Engineering degree from the Eindhoven Polytechnic College, Eindhoven, The Netherlands, in 1994. In 1996, he joined Philips Research Laboratories Eindhoven, The Netherlands, where he conducted research on high-frequency load–pull characterization of RF power transistors and on-wafer RF characterization of various active and passive devices in advanced integrated circuit (IC) processes. In 2006, he joined NXP Semiconductors, Eindhoven, The Netherlands. Since 1998, he has been involved in RF IC design of integrated transceivers.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1609

60-GHz Ultra-Wideband Radio-Over-Fiber System Using a Novel Photonic Monocycle Generation Marta Beltrán, Student Member, IEEE, and Roberto Llorente, Member, IEEE

Abstract—An impulse-radio ultra-wideband (UWB) photonic generation system targeting high user density in-flight communications with simultaneous ranging capabilities in the 60-GHz radio band is proposed and demonstrated experimentally and the implementation cost is analyzed. Impulse-radio UWB monocycles are employed for signaling. The monocycles are generated employing a pulsed laser and a differential photoreceiver with phase shifting. Optical frequency up-conversion is performed employing a low-frequency RF carrier and a Mach–Zehnder electrooptical modulator operating in the nonlinear regime. In the experiment, Gaussian monocycles at a 1.244-Gbit/s data rate with 3.8-GHz bandwidth are generated and up-converted to 57 GHz. The performance of the 57-GHz UWB signal after the transmission over a standard single-mode fiber at in-cabin distances up to 100 m is studied. The experimental results show that good quality UWB pulses can be obtained with the proposed system. The impact of the system parameters on performance including wireless transmission and associated cost is analyzed, indicating that a high number of UWB access nodes can be cost-effectively supported by the proposed system. Index Terms—Millimeter wave, optical frequency conversion, optical pulse generation, radio-over-fiber, ultra-wideband (UWB).

I. INTRODUCTION LTRA-WIDEBAND (UWB) wireless technology is capable of providing short-range communications at high data rates ( 1 Gbit/s) with low radiated power spectral density. UWB has also been considered in many other applications in medicine, sensor networks, etc. UWB has been regulated in the unlicensed band from 3.1 to 10.6 GHz for indoor communications and in the band from 22 to 29 GHz for vehicular radar systems [1],1 2 with a 10-dB bandwidth of at least 20% of the center frequency or at least 500 MHz, as in the U.S., or

U

Manuscript received April 15, 2009; revised March 05, 2010; accepted March 06, 2010. Date of publication May 10, 2010; date of current version June 11, 2010. This work was supported in part by the European Commission under the FP7-ICT-216785 UCELLS Project. The work of M. Beltrán was supported by the Ministerio de Ciencia e Innovación (MICINN), Spain, under FPI Grant BES2006-12066. The authors are with the Valencia Nanophotonics Technology Center, Universidad Politécnica de Valencia, 46022 Valencia, Spain (e-mail: mbeltran@ntc. upv.es; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2048251 1Worldwide Regulatory Status, WiMedia Alliance. [Online]. Available: http://www.wimedia.org 224 GHz UWB SRR Frequency Allocation, Strategic Automotive Radar frequency Allocation Group (SARA Group). [Online]. Available: http://www.saragroup.org

50 MHz, as in Europe. The average radiated emission level is limited to 41.3 dBm/MHz to minimize the interference on narrowband wireless services complementary in terms of range and bitrate such as WiMAX [2]. Nevertheless, the unlicensed band 3.1–10.6 GHz is not equally regulated worldwide due to spectral coexistence concerns. Outside the U.S., available effective bandwidth is 1.5 GHz, which only supports data rates of hundreds of megabits per second. While presenting several technical challenges limiting range, the 60-GHz band offers an unlicensed large frequency range worldwide [3]: 57–64 GHz in North America, 59–66 GHz in Japan and Korea, and the 57–66-GHz range is currently under consideration by the European Union. The match between the allocated frequency band for UWB and the bandwidth available in the 60-GHz band makes 60-GHz UWB a very interesting approach for multigigabit per second wireless communications [4]. Moreover, radio attenuation in the 60-GHz band benefits coexistence when a large number of users are present and reduces the potential interference with in-flight electronics. Two main UWB implementations are available today. Based on impulse radio and on multiband orthogonal frequency division multiplexing (OFDM) following the ECMA-368 standard [5]. Impulse-radio UWB employs pulses in the range of hundreds of picoseconds modulated in time, polarity, amplitude, or shape. Current UWB systems can operate with a distance limited to tens of meters. Radio-over-fiber distribution of UWB signals is a low-cost solution to extend the UWB coverage exploiting the advantages of optical fibers [6]. This transaction proposes (to our knowledge, for the first time) the use of impulse-radio UWB signals in the 60-GHz radio band for in-flight communications with potential ranging and localization functionalities. The impulse-radio UWB signal in the 60-GHz band is optically generated and distributed through standard single-mode fiber to a high number of distributed UWB access nodes as in an in-cabin UWB radio-over-fiber installation. In addition, a novel photonic technique is proposed and experimentally demonstrated to generate Gaussian monocycles, which is capable of covering the whole UWB band with high quality. The technique can provide monocycles, which could be radiated in the 3.1–10.6-GHz UWB band after adequate bandpass filtering. The experimental work herein reported demonstrates the photonic generation of impulse-radio UWB monocycles at 57 GHz bearing data at 1.244 Gbit/s and its further transmission over 100-m fiber targeting in-flight communications. Photonic generation of the impulse-radio UWB signal is an interesting approach because it enables signal generation in the 60-GHz band and seamless optical distribution in the plane. Impulse-radio

0018-9480/$26.00 © 2010 IEEE

1610

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

UWB exhibits several advantages, which can be summarized as follows. 1) UWB technology is capable of a high bit rate at very low emission power levels minimizing interference on other wireless signals. This is a key aspect revealing UWB as a very interesting radio technology in avionics. Provision of high bit-rate communications is required in high-definition audio/video entertainment services offered in-flight. 2) The specific signaling employed in impulse-radio UWB permits simultaneously communications, localization, and ranging to a sub-centimeter resolution. This is of special interest for in-flight environments, like a plane passenger’s cabin, where beside communications, localization of users or group of users exhibiting potentially large radio interference is necessary. This approach is also interesting for radio tagging and passenger identification applications. 3) Impulse-radio UWB permits adjusting the desired bandwidth, which simplifies the overall system management. This is not possible with the OFDM-based implementation, which is constrained in individual 528-MHz sub-bands. The radio-over-fiber distribution of UWB signals in the 3.1–10.6-GHz band for high-definition audio/video broadcasting in fiber-to-the-home networks was already proposed in [6]. Gaussian pulses were employed in that work as impulse-radio signals. However, Gaussian monocycle pulse shape has been reported to exhibit better performance [7]. Furthermore, Gaussian monocycles are suitable for further frequency up-conversion [8]. A photonic technique based on data modulation with high-quality optical pulses from a mode-locked laser and balanced photodetection was proposed and demonstrated to generate Gaussian monocycles [9]. These monocycles were further optically up-converted to the 24-GHz band based on the technique in [8]. This combined approach is simple compared to other reported techniques [10], [11] to generate 24-GHz impulse-radio UWB signals suitable for vehicular radar and communications. The system herein proposed performs flexible monocycle shaping at lower cost and up-conversion to the 60-GHz band reducing the frequency requirement of the electrooptical components. In addition, fiber transmission is investigated. The monocycle generation approach demonstrated herein targets to reduce cost and complexity compared to other optical techniques [12]–[14] employing custom fiber Bragg gratings, spectral shaping components, and nonlinear elements. This transaction complements the results reported in [15]. Further details on system design and practical issues are provided and the suitability of the system for simultaneous dualband UWB signal generation is discussed. Furthermore, comparison between experiment and simulation and a comprehensive analysis of the system by simulation is presented in this study. II. UWB RADIO-OVER-FIBER FOR IN-FLIGHT COMMUNICATIONS Fig. 1 depicts the proposed impulse-radio UWB radio-overfiber technique targeting to distribute high-definition multimedia contents in aircrafts. This figure shows a cabin where a 100-m standard single-mode fiber is transporting UWB

Fig. 1. Impulse-radio UWB radio-over-fiber for in-flight communications approach [15]. Block “A”: central unit for optical UWB generation. Block “B”: on-seat UWB access node.

Fig. 2. Experimental setup for monocycle generation [15]. Bit pattern generator: BPG. Mode-locked laser: MLL. Polarization controller: PC. Optical delay line: ODL. Mach–Zehnder electro-optical modulator: MZM. Standard singlemode fiber: SSMF. Photodetector: PD. Transimpedance amplifier: TIA. Phase shifter: PS.

Fig. 3. Electrical signal at the output of the generation system in Fig. 2 [15]. (a) Temporal waveform. (b) Electrical spectrum (resolution bandwidth: 300 kHz).

Fig. 4. Example of the pulse response of the photoreceiver in unbalanced operation for each optical input: (a) at each electrical output and (b) in the linear regime of the amplifier at a peak input power of 6 mW.

radio-over-fiber to provide passenger connectivity. The block marked “A” in Fig. 1 is a central unit, which generates in the optical domain the impulse-radio UWB data signal to be further distributed through standard single-mode fiber to the on-seat UWB access nodes, which are marked as “B” in Fig. 1. In the UWB access node, the UWB data signal is photodetected resulting in an electrical impulse-radio UWB signal in the 60-GHz band, which is further amplified, filtered, and radiated to be available to passengers in a given short-range coverage area (personal area). In this way, multigigabit per second wireless connectivity can be provided along the cabin minimizing

BELTRÁN AND LLORENTE: 60-GHz UWB RADIO-OVER-FIBER SYSTEM USING NOVEL PHOTONIC MONOCYCLE GENERATION

1611

Fig. 5. Experimental setup of the 60-GHz UWB-over-fiber system according to Fig. 1 [15]. The UWB transmitter is that shown in Fig. 2. Continuous-wave laser: CW. Polarization controller: PC. Mach–Zehnder electro-optical modulator: MZM. Amplification: Amp. Low-pass filter: LPF. Erbium-doped fiber amplifier: EDFA. Optical bandpass filter: OBPF. Local oscillator: LO. Photodetector: PD. Low-noise amplifier: LNA. Bandpass filter: BPF. High-power amplifier: HPA. Phase shifter: PS. Digital communications analyzer: DCA.

the interference due to the intrinsic low radiation level of UWB and the radiation-immune optical fiber distribution. The system demonstrated in this study in the 60-GHz band is the central transmission system in the plane. This radio-overfiber technique gives the advantage that the UWB access nodes would be very simple (no up/down-conversion or re-modulation is required). This is important if there are a large number of seats in the plane. Additionally, impulse-radio UWB enables high-resolution radar. The resolution depends on the pulsewidth3 and the pulse repetition frequency affects the maximum range that can be detected without ambiguity.4 III. PHOTONIC GENERATION OF GAUSSIAN MONOCYCLES Fig. 2 depicts the novel photonic technique proposed for Gaussian monocycle generation. High-quality optical pulses from an actively mode-locked fiber laser at 1560 nm, 9.95-GHz repetition rate, and 2-ps pulsewidth (3-dB bandwidth of 1.2 nm) are amplitude modulated with return-to-zero (RZ) data (1011100110100100) at 1.244 Gbit/s in a Mach–Zehnder electrooptical modulator biased at minimum transmission bias rejecting undesired laser pulses with higher extinction ratio. The data modulated optical pulses are time stretched in 10 km of standard single-mode fiber to adjust a suitable pulsewidth of about 200 ps. The stretched pulses are photodetected by a differential photoreceiver (Teleoptix, DualPIN-DTLIA Rx)5 providing complementary outputs. The two outputs are combined after adjusting their relative time delay to generate monocycles. The pulsewidth at the fiber output, the pulse response of the photoreceiver, which is dependent on the threshold voltage controls of the limiting amplifier and the optical power applied to the photoreceiver, and the time delay difference set the desired UWB bandwidth. Fig. 3 shows the monocycles generated in the experiment, exhibiting about 1-ns pulsewidth and 3.8-GHz 10-dB bandwidth. For radar application, this pulsewidth would 3Basic Radar Systems. [Online]. Available: http://www.fas.org/man/dod-01/ navy/docs/es310/radarsys/radarsys.htm 4Radar. [Online]. Available: http://www.britannica.com/EBchecked/topic/ 488278/radar/28731/Pulse-radar 543-Gbit/s Balanced Photoreceiver With Limiting TIA (DualPIN-DTLIA Rx), Teleoptix, Cornate d’Adda (MB), Italy. [Online]. Available: http://www. teleoptix.com

allow to measure range to an accuracy of 15 cm, while the repetition rate of 1.244 GHz requires transmit of a number of pulses per scan to guarantee reliable detection, e.g. 17 pulses at 2 m. We have characterized the impulse response of the photoreceiver in unbalanced operation, which is shown in Fig. 4. A pulsed source with 1.2-ps pulsewidth and 20-MHz repetition rate and a digital communications analyzer (HP83482A, 40-GHz bandwidth) with 8.75-ps transient response has been employed. Note that pseudorandom bit sequence data have not been employed, limited by the repetition rate of our pulsed laser or the non-return-to-zero (NRZ) format of the pseudorandom bit sequence generated by our data generator. Pseudorandom bit sequence would allow to measure real performance in terms of bit error rate (BER). Actively or passively mode-locked lasers (e.g. PriTel6 or Onefive), gain-switched laser diodes as used in [16] and [17], and emerging optically pumped passively mode-locked vertical-external-cavity surface-emitting lasers (VECSELs) can provide repetition rates suitable for UWB systems. Compared with mode-locked lasers, gain-switched lasers are simpler and more compact. However, timing jitter and also fluctuations of other pulse parameters are larger and power is lower than for mode-locked lasers. VECSELs are potentially cheap, however they allow pulse generation at low pulse energies. In addition, suitable pulses for UWB applications can also be generated by modulating a continuous-wave light source [18]; however, at low power. IV. 60-GHz UWB OVER FIBER SYSTEM An impulse-radio UWB signal in the 60-GHz band is generated and transmitted over 100 m of standard single-mode fiber. The experimental setup is shown in Fig. 5 and the system parameters are shown in Table I. A. Generation The generated monocycles shown in Fig. 3 are amplified and converted to optical domain by intensity modulation with an optical carrier in a Mach–Zehnder modulator (MZM 1 in Fig. 5) biased at 5.34 V to operate at the quadrature point at which the operation is the most linear (minimum transmission point is at 61550-nm Actively Mode-locked Fiber Lasers, PriTel Inc., Naperville, IL. [Online]. Available: http://www.pritel.com

1612

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

TABLE I SYSTEM PARAMETERS

Fig. 6. Monocycles measured at point (1) in Fig. 5 [15]. (a) Temporal waveform. (b) Electrical spectrum (resolution bandwidth: 300 kHz).

Fig. 7. (a) Optical monocycles measured at point (2) in Fig. 5 [15]. (b) Optical up-converted spectrum measured at point (3) in Fig. 5 (resolution bandwidth: 0.01 nm).

5.9 V). A low-pass filter is employed to remove noise at expense of some distortion in the skirt of the pulses. Fig. 6 shows the monocycles measured at point (1) in Fig. 5. Fig. 7(a) shows

the optical monocycles measured at point (2) in Fig. 5. Subsequently, an optical amplifier sets the emission level of the UWB signal in the 60-GHz band at point (4) in Fig. 5. The level adjusted would meet the UWB radiated emission levels regulated for lower frequency bands employing an adequate transmitting antenna so that it could be suitable for compatibility with future standards in the 60-GHz band. A tunable optical bandpass filter is employed to suppress amplified spontaneous emission (ASE) noise. Next, frequency up-conversion of the optical monocycles employing low-frequency components is performed. A local oscillator (LO) at 14.25 GHz is doubled in frequency and amplified to 14 dBm. The resulting 28.5-GHz signal is modulated with the optical monocycles in a Mach–Zehnder modulator (MZM 2 in Fig. 5) biased at 6 V to operate at the minimum transmission point to perform optical carrier suppression modulation. Fig. 7(b) shows the optical spectrum measured at point (3) in Fig. 5. Electrical monocycles up-converted to 57 GHz (2 28.5 GHz) are obtained after photodetection. The photodetector output is coupled to a low-noise amplifier by a WR15 adapter, and then a bandpass filter is employed to filter out the desired band. The electrical spectrum of the UWB monocycles in the 60-GHz band to be radiated at point (4) in Fig. 5 is shown in Fig. 8. The residual RF carrier at 57 GHz has to be filtered in practice as it would limit the dynamic range of the receiver and could limit emission levels if regulated. A dynamic range higher than 40 dB is achieved. The spectral efficiency is about 0.33 bit/s/Hz, which is approximately the maximum at 1.244 Gbit/s without interpulse interference. To verify the appropriate operation, direct demodulation (with no air transmission) of the 57-GHz impulse-radio UWB signal is performed employing a broadband electrical mixer, as shown in Fig. 5. The LO multiplied by 4 is mixed with the 57-GHz UWB signal at point (4) in Fig. 5 amplified by a

BELTRÁN AND LLORENTE: 60-GHz UWB RADIO-OVER-FIBER SYSTEM USING NOVEL PHOTONIC MONOCYCLE GENERATION

Fig. 8. Electrical spectrum of the impulse-radio UWB monocycles in the 60-GHz band measured at point (4) in Fig. 5 (resolution bandwidth: 500 kHz) [15].

Fig. 9. Down-converted impulse-radio UWB monocycles measured at point (5) in Fig. 5 [15]. (a) Time-domain pulses (time span: 5 ns). (b) Electrical spectrum (resolution bandwidth: 500 kHz). (c) Time-domain pulses (time span: 20 ns) showing the original data pattern. (d) Eye diagram.

high-power amplifier. A second bandpass filter connects the high-power amplifier to the mixer. A phase shifter is employed for accurate down-conversion. Fig. 9 shows the down-converted signal measured at point (5) in Fig. 5. In Fig. 9(c), the original data pattern can be easily recognized. The quality of the received pulses is evaluated from the -factor parameter measured in the eye diagram on the part of the monocycle where the eye is the most open. Excellent quality of the recovered pulses with a factor of about 7 is obtained. The specific factor in the eye diagram in Fig. 9(d) is 7. B. Fiber Transmission The generated impulse-radio UWB monocycles are also transmitted over 100 m of standard single-mode fiber, shown in Fig. 5. The electrical spectrum of the UWB monocycles at 57 GHz measured at point (4) in Fig. 5 is shown in Fig. 10. Fig. 11 shows the detected UWB pulses. In Fig. 11(c), the factor of original data pattern can be easily recognized. A about 7 is measured in the eye diagram, being 7.3 for the eye shown in Fig. 11(d). No performance degradation is obtained after 100-m fiber transmission. The bias of the modulators MZM 1 and MZM 2 in Fig. 5 is optimized to 5.7 and 5.8 V, respectively. This is the

1613

Fig. 10. Electrical spectrum of the impulse-radio UWB monocycles in the 60-GHz band after 100-m standard single-mode fiber transmission, measured at point (4) in Fig. 5 (resolution bandwidth: 300 kHz) [15].

Fig. 11. Down-converted impulse-radio UWB monocycles after 100-m standard single-mode fiber transmission, measured at point (5) in Fig. 5 [15]. (a) Time-domain pulses (time span: 5 ns). (b) Electrical spectrum (resolution bandwidth: 300 kHz). (c) Time-domain pulses (time span: 20 ns) showing the original data pattern. (d) Eye diagram.

reason for the best quality of the eye diagram in Fig. 11(d) compared with Fig. 9(d). In practice, recently developed ultra-bendable fibers7 offer easier in-vehicle installation while maintaining the characteristics of standard single-mode fibers. V. TECHNO-ECONOMIC COMPARISON The 60-GHz UWB radio-over-fiber photonic generation demonstrated in Section IV distributes the same signal, from a single source, to all seats in the plane targeting to distribute high-definition multimedia contents. UWB is a short-range (personal area) very high bit-rate technology. Thus, the simplest receiver is of the utmost interest due to cost and reliability parameters. Centralized optical frequency up-conversion appears as an interesting low-cost solution compared to perform broadband electronic mixing with a 60-GHz LO in each of the UWB access nodes of the radio-over-fiber system. In this section, the cost of the 60-GHz UWB radio-over-fiber system proposed in this paper based on photonic generation and 7ClearCurve Single-Mode Optical Fiber, Corning Inc., Corning, NY. [Online]. Available: http://www.corning.com

1614

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 12. Electrical approach of the 60-GHz UWB-over-fiber system according to Fig. 1 to be compared with the photonic approach in Fig. 5. Continuous-wave laser: CW. Polarization controller: PC. Mach–Zehnder electro-optical modulator: MZM. Amplification: Amp. Low-pass filter: LPF. Erbium-doped fiber amplifier: EDFA. Optical bandpass filter: OBPF. Local oscillator: LO. Photodetector: PD. Low-noise amplifier: LNA. Bandpass filter: BPF.

TABLE II TOTAL COST COMPARISON PHOTONIC RADIO-OVER-FIBER (ROF) VERSUS PHOTONIC BASEBAND AND ELECTRONICS (BB) (USD)

Fig. 13. Comparison of the overall cost of the photonic radio-over-fiber (RoF) versus photonic baseband and electronics (BB) schemes as a function of the number of UWB access nodes.

frequency up-conversion at the central unit, shown in Fig. 2 and Fig. 5, is compared with an all-electrical approach based on conventional transmission over fiber of digital baseband data and further UWB generation and frequency up-conversion at UWB access nodes in the electrical domain, as shown in Fig. 12. The cost analysis is based on current real costs of commercially available devices. The overall cost of both systems as a function of the number of UWB access nodes is summarized in Table II. The cost is also compared in Fig. 13. The electrooptical conversion, optical amplification, optical splitting, fiber interconnections, and low-noise amplification are considered to be the same in both systems, shown in Figs. 5 and 12 as the gray-filled area. The cost of the filters, low-pass filter, optical bandpass filter, and bandpass filter 1, is not considered in the analysis.

The order in which the modulations, MZM 1 and MZM 2 in Fig. 5, have been performed, allows performing the electrooptic conversion by direct modulation of laser diodes at low cost and performance depends critically on the selected laser. There are three main types of these lasers, which are: 1) vertical-cavity surface-emitting lasers (VCSELs) at very low cost ( $20) as they are produced in high volume; 2) Fabry–Perot (FP) lasers, which cost typically $50–$100; and 3) distributed feedback (DFB) lasers at 150–> 500 depending on the specifications. While at higher cost, the scheme employing external Mach–Zehnder modulation can generate higher modulated power resulting in higher signal-to-noise ratio compared to direct modulation schemes. A 10-Gbit/s transmitter packaging a laser diode and a Mach–Zehnder modulator for better cost/performance ratio than the alternative separated components has been considered in the cost analysis. PLC splitter modules including optical connectors are considered for optical splitting. The price considered in the analysis corresponds to that for one passive optical splitter 1 16 at ten UWB access nodes, one 1 64 at 50, one 1 128 at 100, one 1 2 and two 1 128 at 250, and one 1 4 and four 1 128 at 500. Passive optical splitters are commercially available up to 1 128.8 100-m spools of standard single-mode fiber (SMF-28e with 900- m jacket at $0.70/m) are considered for fiber interconnections in the cost analysis. Individual modules with connectors are considered for the cost evaluation of the photonic UWB transmitter. The cost ( $35 000) of the actively mode-locked fiber laser employed

2

2

81 N, 2 N, PLC Splitters, SQS Vláknová optika, Nová Paka, Czech Republic. [Online]. Available: http://www.sqs-fiber.cz

BELTRÁN AND LLORENTE: 60-GHz UWB RADIO-OVER-FIBER SYSTEM USING NOVEL PHOTONIC MONOCYCLE GENERATION

in the experiment at 5–11-GHz repetition rate drives the overall cost of the photonic UWB transmitter. Mode-locked laser diodes at 10 GHz are commercially available at lower cost9; however, at much lower power and worse noise specifications. Mode-locked lasers at repetition rates of 1 GHz (e.g., PriTel or Onefive) are currently custom designs at a cost exceeding $35 000. Nevertheless, pulsed sources at a 10-GHz repetition rate could be used employing RZ data with adequate pulsewidth so that future higher rates could be handled with no additional cost. Generation of optical pulsed data by the pulsed laser, polarization controller, optical delay line, and electro-optical modulator could be substituted by a low-cost solution consisting in a module integrating RZ pulse generator and data modulator (e.g., JDS Uniphase or Covega at $1280 at a 10-Gbit/s or higher rate), sinusoidal drive, and electrical phase shifting instead of the optical delay line to adjust the time gating of the optical pulses with the data. This alternative has been considered in the cost evaluation shown in Table II and Fig. 13. In addition, an adequate chirped fiber Bragg grating filter is considered for pulse stretching at lower cost than by 10 km of standard single-mode fiber employed in the experiment. A 10-Gbit/s differential photoreceiver including one photodiode is considered at a much lower cost than a 43-Gbit/s photoreceiver comprising two photodiodes, as employed in the experiment. Frequency doubling and further amplification for optical frequency up-conversion could be performed by an active frequency doubler, which is available in low-cost monolithic microwave integrated circuit (MMIC) technology. A commercial voltage-controlled oscillator MMIC chip is considered as well. The unit price of these MMIC chips is under $50 at ten units. The packaging of a MMIC chip into a module with connectors increases the price by $1000. The cost of the UWB access nodes in both systems is obtained by summing up the costs of their constitutive parts, considering individual chips, which, in practice, would be integrated into a single module significantly reducing costs because of the reduced packaging costs. Nevertheless, the number of interconnects and packaging of the module critically impact the performance and overall cost of both systems. The overall cost of the radio-over-fiber approach critically depends on the cost of the 60-GHz photodetector at the UWB access nodes, which depends on the type of packaging, as well as several other factors, such as minimum specifications and the type of testing or assurances. Currently, few manufacturers produce photodetectors capable of working in the 60-GHz band in high volume. The market of these photodetectors has not matured yet. The application herein proposed would not require the ultimate specifications of the high-performance photodetector employed in the experiment. The unit price of the photodetector considered in the cost analysis corresponds to that of a chip with a connector upon the end of a fiber optical cable with a guaranteed 3-dB bandwidth 50-GHz and 10-dB bandwidth 60 GHz, high maximum responsivity 0.56 A/W, and polarization dependent loss (PDL) 0.1 dB. In addition, this photodetector features excellent pulse response and high optical 91550-nm Tunable Picosecond Laser Source, TMLL1550, u t Photonics, Berlin, Germany. [Online]. Available: http://www.u2t.de

1615

power capability. As shown in Table II, the unit price of the photodetector is significantly better for high quantities. It should be USD has been noted that a currency change of euros considered for the price of the 60-GHz photodetector. In Table II, the cost of a photodetector with coaxial packaging including a fiber connector at 3-dB bandwidth 2.5 GHz is considered to evaluate the cost of the UWB access nodes in the all-electrical scheme. To the best of our knowledge, impulse-radio UWB transmitters capable of providing the multigigabit-per-second wireless communication required by multimedia streaming in the wireless personal area networks applications are not commercially available. A Gaussian monocycle generator up to 2.5-GHz repetition rate consisting of an impulse generator followed by an impulse forming network is a commercially available solution [19]. This impulse generator requires a clock input to generate impulses, which can be gated by external data. Although the cost of this generator is significantly reduced for high quantities, it makes no sense to employ this generator at UWB access nodes from the cost point of view. In addition, few electrical monocycle generators at repetition rates in the order of gigahertzs have been demonstrated in the literature [20], [21]. The impulse-radio UWB transmitter prototype recently demonstrated in [21] includes reconfigurable and modulation capabilities up to 2.5-Gbit/s pulse rate. This generator has been implemented in 0.18- m CMOS technology with a cost advantage. However, these circuits employ complicated electronic circuitry and are not easy to manufacture. Compared to all-electrical transmitters, the photonic generation of monocycles proposed in this paper has technical advantages, as it is simple in operation principle, easily reconfigurable in pulse rate, and bandwidth being capable of providing wider bandwidth and rates higher than 2.5 Gbit/s suitable for future upgrade, while it is able to provide higher-quality pulses. In Table II, the cost of an electrical UWB transmitter based on orthogonal frequency-division multiplexing (OFDM) UWB transceivers, which are commercially available, is considered. A number of OFDM UWB transceiver chipsets based on the WiMedia standard at data rates up to 480 Mbit/s have been successfully developed and are commercially available. For instance, currently Alereon AL5100/AL5300 chipsets are produced in high volume ( 10 000 units) for the top-tier PC and consumer electronics vendors. The unit price of these chipsets is under $10 at thousands of chipsets without neither nondisclosure agreement, nor volume commitment. The cost of the electrical UWB transmitter considered in Table II has been obtained by summing up the cost of three OFDM UWB chipsets, providing an aggregated data rate of 1.44 Gbit/s, and the cost of a four-port combiner module with SMA connectors at 4–8-GHz frequency range, which would be employed to combine the output of the three chipsets. A unit price of $150, $100, $50, and $30 of the OFDM UWB chipset is assumed up to 10, 100, 250, and 500 units, respectively. 60-GHz MMIC dies are considered for the LOs, mixers, frequency quadruplers, and low-noise amplifiers at UWB access nodes at very low cost under $50 at ten units. The cost of the oscillator and low-noise amplifier is the same in both systems under comparison. Note that the 60-GHz MMIC mixer and frequency quadrupler exhibit worse performance than the corre-

1616

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 14. Simulated monocycles at the output of the generation system in Fig. 2 to be compared with Fig. 3. (a) Temporal waveform. (b) Electrical spectrum (resolution bandwidth: 600 kHz).

sponding prototype modules employed in the experiment. Many 60-GHz MMIC chipsets have been demonstrated [22] and there are also commercially available (e.g. Endwave or Gotmic). From the cost comparison shown in Fig. 13, the UWB radio-over-fiber approach presents deployment cost equivalent to cost associated to a conventional baseband transmission scheme based on electronics even considering that the radio-over-fiber approach presented here operates in the 60-GHz radio band. Devices and subsystems operation at 60 GHz, mainly the 60-GHz photodetector, drive the budget in this case. It is expected that when 60-GHz technology became mature, radio-over-fiber cost will drop substantially, making the radio-over-fiber approach especially interesting for large-count deployments. Furthermore, the radio-over-fiber technique is advantageous in terms of transparency to the specific wireless standard not requiring modulation at each access node, large bandwidth, more flexibility in terms of bandwidth, and data rate. VI. SIMULATION ANALYSIS The proposed system has been analyzed employing the commercial simulation tool VPITransmissionMaker (version 7.5) using the characteristics of the devices in Table I. Simulation results have been compared to measurements to verify the simulation model and then the impact of the system parameters on the performance in terms of the BER has been evaluated. In simulation, data modulated optical pulses at the output of the modulator, MZM in Fig. 2, are generated from a hyperbolic-secant pulse transmitter at 1.25 Gbit/s. From these pulses, monocycles are generated as in Section III and are shown in Fig. 14. The noise in the monocycles has been modeled as (noise figure: additive Gaussian white noise at 20 nA/Hz 63.9 dB). Slight discrepancies between monocycles measured and simulated are mainly due to the deviation of the measured pulse shape from the ideal hyperbolic-secant shape. The filters of the digital communications analyzer have been modeled as Gaussian filters. Fig. 15 shows the simulated monocycles at point (1) in Fig. 5. The frequency response of Amp 1 and the LPF in Fig. 5 has been considered. dB/Hz of the conThe relative intensity noise tinous wave (CW) laser in Fig. 5 and the insertion loss of the MZM 1 (13 dB) set the power level at point (2) in Fig. 5 assuming an extinction ratio of the modulator of 35 dB. MZM 1 is

Fig. 15. Simulated monocycles at point (1) in Fig. 5 to be compared with Fig. 6. (a) Temporal waveform. (b) Electrical spectrum (resolution bandwidth: 600 kHz).

Fig. 16. Simulated optical monocycles at point (2) in Fig. 5 to be compared with Fig. 7(a).

biased at the quadrature bias point. Fig. 16 shows the simulated monocycles at point (2) in Fig. 5. The gain of the EDFA in Fig. 5 (25 dB), and the insertion loss of the MZM 2 (8 dB) set the maximum power spectral density at point (4) in Fig. 5, assuming an extinction ratio of 35 dB. MZM 2 is biased at the minimum transmission bias point. The OBPF is modeled as a Gaussian filter. A double of the LO frequency of 28.75 GHz is set limited by the simulation tool. In this way, the RF carrier frequency is 57.5 GHz so that the frequency response of the components in Fig. 5, PD, LNA, BPF 1, HPA, BPF 2, and frequency dependence of the mixer conversion loss is shifted by 0.5 GHz. It should be noted that with the proposed frequency up-conversion technique, the baseband signal is also available after photodetection, as shown in Fig. 17. This signal can be used for wired connectivity employing a low-cost receiver or for wireless connectivity in the 3.1–10.6-GHz UWB band provided wider bandwidth is generated and further adequate filtering of the monocycles is performed [17]. Hence, the proposed system is capable of seamless operation in the 3.1–10.6-GHz band and in the emerging 60-GHz band. This flexibility to emerging standards is of special importance in avionics, where the upgrade of in-flight avionics is a lengthy and expensive maintenance process. Fig. 18 shows the simulated spectrum at point (4) in Fig. 5. Note that, in the measurement in Fig. 8, the dependence on frequency of the conversion loss of the harmonic mixer of the spectrum analyzer has not been considered. At the receiver, the noise figure of the HPA in Fig. 5 is assumed to be 6 dB. An LO signal at 57.5 GHz is employed for down-conversion. The asymmetry and polarity of the eye diagram is dependent on the phase of this LO signal (adjusted by the PS in Fig. 5

BELTRÁN AND LLORENTE: 60-GHz UWB RADIO-OVER-FIBER SYSTEM USING NOVEL PHOTONIC MONOCYCLE GENERATION

Fig. 17. Simulated signal after the photodetector in Fig. 5. (a) Temporal waveform after further low-pass filtering (Gaussian 12.4 GHz). (b) Electrical spectrum (resolution bandwidth: 600 kHz).

1617

Fig. 20. Simulated signal at point (4) in Fig. 5 to evaluate BER performance. (a) Temporal waveform exhibiting Gaussian envelope. (b) Electrical spectrum (resolution bandwidth: 600 kHz).

Fig. 21. Simulated signal at point (5) in Fig. 5 to evaluate BER performance. (a) Eye diagram. (b) Electrical spectrum (resolution bandwidth: 600 kHz).

Fig. 18. Simulated spectrum of the signal at point (4) in Fig. 5 to be compared with Fig. 8 (resolution bandwidth: 600 kHz).

Fig. 19. Simulated signal at point (5) in Fig. 5 to be compared with Fig. 11(b) and (d). (a) Eye diagram. (b) Electrical spectrum (resolution bandwidth: 600 kHz).

in the experiment). Fig. 19 shows the simulated eye diagram and spectrum of the down-converted monocycles at point (5) in Fig. 5 at an LO phase of 225 . The eye diagram is symmetric at 270 (or at 90 for inverted polarity). It is verified that the transmission over 100 m of standard single-mode fiber [attenuation: 0.2 dB/km @ 1550 nm, dispersion: 16 ps nm km @ 1550 nm, dispersion slope: km ] does not practically impact the UWB 0.08 ps nm signal in the 60-GHz band and down-converted signal. Simulation results are in excellent agreement with experimental measurements. Slight discrepancies are mainly ascribed to the uncertainty in the edges of the frequency response of the amplifiers LNA and HPA, and filters BPF 1 and BPF 2 in Fig. 5. The data of these frequency responses have been interpolated linearly. In addition, note that the noise floor in Fig. 8 and at high frequencies in the measurements in Figs. 6, 9(b), and 11(b)

is limited by that of the spectrum analyzer. This limitation is not present in the corresponding simulated traces. In order to evaluate the bit-error-rate performance in the UWB radio-over-fiber system, pseudorandom bit sequence data are considered and the residual RF carrier frequency at 57.5 GHz in the spectrum of the UWB signal in the 60-GHz band is suppressed considering a commercial bandpass filter, BPF 1 in Fig. 5, different from that employed in the experiment (referred as “BPF 1b” in Table I), otherwise the wireless transmission distance is limited by saturation of the power amplifier. Fig. 20 shows the simulated signal at point (4) in Fig. 5. At the receiver, down-conversion is performed employing an LO at 57.5 GHz, at 300 phase to optimize the BER. A Gaussian low-pass filter with a 3-dB bandwidth of 10 GHz is employed at mixer output to remove the unwanted frequency components. BER estimation is performed by statistical analysis employing a Chi-squared model, which provides a more accurate estimation than a Gaussian model when the signal-to-noise ratio of the system is dominated by amplified optical noise. The sampling instant and the decision threshold for BER estimation are determined by optimum methods. BER is estimated in each case evaluating at least 2 data symbols. Fig. 21 shows the down-converted signal exhibiting a BER of 7 10 , demonstrating that the proposed system is error free. The filters LPF and BPF 2 in Fig. 5 are found to not significantly impact the BER performance. The system is optimized in order to maximize the number of UWB access nodes supported while meeting the criterion that the BER performance is below the typical value for error-free operation of 10 without employing forward-error-correction codes at 1.25 Gbit/s. Performance analysis is performed as a function of the different contributions to overall optical noise

1618

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 22. Simulated BER as a function of the modulation indices of the modulators and relative intensity noise.

Fig. 23. Simulated BER as a function of the modulation indices of the modulators and noise of the input monocycles.

due to the uncertainty in the experimental individual contributions. Optical noise contributions include the noise of the original monocycles converted to the optical domain, relative intensity noise from the CW laser in Fig. 5, and ASE noise from optical amplification. The ASE noise figure is considered fix at 4 dB. Note that in all simulated, it is ensured that the maximum photodiode input power and 1-dB compression point of the electrical amplifiers LNA and HPA in Fig. 5 is not exceeded. Figs. 22–24 show the BER performance and the maximum power spectral density of the UWB signal in the 60-GHz band as a function of the modulation indices of MZM 1 and MZM and 2 in Fig. 5, defined as , where and are the peak amplitude of the input monocycles and LO signal, respectively, and and are the half-wave voltage of the MZM 1 and MZM 2, respectively, shown in Table I. The BER and power spectral density are shown as a function of the relative intensity noise in Fig. 22 at 20-nA/Hz noise of the input monocycles, and in Fig. 24 for two values of the noise of the input monocycles differing 1 dB in dB/Hz. As shown in Figs. 22–24, noise figure at the higher the LO amplitude is, the better the BER is and the higher the power spectral density is. The power spectral density increases by about 7.6 dB every time the LO power increases by higher than 0.5, BER degrades significantly 4 dB. For , entering in as the LO peak-to-peak amplitude is higher than

Fig. 24. Simulated maximum power spectral density at point (4) in Fig. 5 as a function of the modulation indices of the modulators and relative intensity noise.

another nonlinear part of the MZM 2 transfer function. In addilower than approximately 0.16, BER degrades tion, for decreases because the signal-to-noise ratio rapidly as of the generation system becomes dominated by thermal noise instead of by the amplified optical noise. In addition, there is a within that the BER approximately maintains range of , the BER deat its optimum value, for higher values of grades rapidly as the peak-to-peak amplitude of the input monocycles exceeds the linear zone of the MZM 1 transfer func, tion appearing signal clipping, and for lower values of the BER degrades rapidly as the signal-to-noise ratio of the system becomes dominated by thermal noise. The minimum of about 10 at BER is at at dB/Hz, of about 10 at at dB/Hz, and of about 10 at at 18 nA/Hz . A variable optical attenuator is inserted at the fiber input to simulate the optical splitting and distribution losses in the system. Operation as a function of the number of UWB access nodes is achieved by adjusting the attenuator attenuation. The number of UWB access nodes supported for a given optical link budget (maximum attenuation) depends on the optical splitting scheme. For instance, by considering an optical splitter 1 at the output of the optical generation system, as shown in UWB access nodes are supported and the same Fig. 1, signal-to-noise ratio is received at the input of all UWB access fibers would be required to nodes. In this configuration, distribute the generated signal to the UWB nodes. Passive optical splitters are commercially available up to 1 128 with typical insertion loss of 13.5, 16.5, 20, and 23.5 dB for 1 16, 1 32, 1 64, and 1 128, respectively . The optical link budget depends on the optical power available at the input of the optical splitter. The system performance has been evaluated for the previous optimum modulation indices of MZM 1 and MZM 2 in Fig. 5, increasing the optical power by increasing the gain of the optical amplifier by 7 dB (from 25 to 32 dB). In addition, performance has been evaluated by inserting a second optical amplifier equal to the first one before the optical splitter. In this case, the gain of the first amplifier is set to 19 dB to not exceed the maximum input optical power of 3 dBm of the

BELTRÁN AND LLORENTE: 60-GHz UWB RADIO-OVER-FIBER SYSTEM USING NOVEL PHOTONIC MONOCYCLE GENERATION

Fig. 25. Simulated BER as a function of optical losses, optical amplification, relative intensity noise, and noise of the input monocycles.

second amplifier, and the gain of the second amplifier is set to the maximum of 28 dB. The system performance has been evaluated as a function of the optical link budget and wireless transmission distance. The wireless channel is simulated only considering free-space propagation losses including its dependence on frequency and antennas are simulated as a gain of 20 dB as 20 dBi is a typical gain of commercial -band horn antennas (e.g. Wisewave, ARH-1520-02, 3-dB bandwidth: 12 ) suitable for the target in-flight application. Fig. 25 shows performance as a function of the attenudB/Hz and ation and optical amplification at nA/Hz noise of the input monocycles for the back-to-back configuration (direct connection between point (4) in Fig. 5 and receiver). The maximum power spectral density at point (4) in Fig. 5 is also indicated in Fig. 25 for one optical amplifier at 25-dB gain. In Fig. 25, the performance is limited by thermal noise for a larger number of UWB access nodes at a given optical power. Increasing the number of UWB access nodes degrades the BER due to the reduction in the signal-to-noise ratio. Moreover, error-free operation is not achieved without optical amplification. Increasing the optical power increases the number of UWB access nodes supported or improves the signal-to-noise ratio in the case limited by thermal noise resulting in improved performance. The minimum BER obtained with two amplifiers is not degraded compared to the system with one amplifier, which indicates that no signal degradation is induced by the second optical amplifier. Performance is dB/Hz or nA/Hz also shown in Fig. 25 at noise of the input monocycles. At lower optical noise, the signal-to-noise ratio becomes limited by thermal noise at lower number of UWB access nodes. The maximum power spectral density decreases by 10 dB every time the optical power decreases by 5 dB, and decreases by about 1.6 dB at dB/Hz with respect to dB/Hz, or by 0.2 dB at noise of the input monocycles 18 nA/Hz with respect to 20 nA/Hz . In case of employing two optical amplifiers, the maximum power spectral density decreases by 10 dB every time the gain decreases by 5.35 dB. Performance as a function of the wireless transmission distance and optical noise at a given optical amplification and link

1619

Fig. 26. Simulated BER as a function of the wireless distance d and optical noise comparing optical amplification and link budget. The BER limit for errorfree operation is shown via a horizontal dashed line.

budget is compared in Fig. 26. The higher the optical power is, either the longer the wireless range is for error-free operation at a given number of UWB access nodes or the higher the number of UWB access nodes is at a given wireless range. For instance, at 64 UWB access nodes, the range increases from 3.2 to 20 m by increasing the optical amplification from 25 to 32 dB or at 128 UWB access nodes, the range increases from 5.6 to 149.6 m by increasing the optical amplification from 32 dB with one amplifier to 47 dB with two amplifiers. The wireless range decreases from 149.6 to 44.7 m, 14.1 or 3.8 m when the link budget increases from 25 dB (128 UWB access nodes) by 5, 10, or 15 dB when employing two optical amplifiers. Furthermore, the wireless distance is shorter by decreasing the relative intensity noise and is longer by decreasing the noise of the input monocycles. This suggests that the optical noise is limited by the noise of the input monocycles converted to the optical domain. The range decreases from 44.7 to 39.8 m when the relative intensity noise increases from 145 to 155 dB/Hz or increases from 44.7 to 47.3 m when noise of the input monocycles decreases from 20 to 18 nA/Hz . The simulation results herein reported demonstrate that the proposed 60-GHz UWB radio-over-fiber system can support high numbers of UWB access nodes at personal area distances lower than 10 m required for the target in-flight application.

VII. CONCLUSION A novel photonic generation of Gaussian monocycles has been proposed and experimentally demonstrated at 3.8-GHz bandwidth. An impulse-radio UWB radio-over-fiber system at 57 GHz has been demonstrated at 1.244 Gbit/s in a proof-of-concept experiment. The system is suitable for in-flight multigigabit per second communications and radar. Transmission over standard single-mode fiber at 100-m in-cabin distance has also been successfully demonstrated. The proposed system can compete in cost and also has advantages in terms of transparency and high bandwidth compared

1620

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

with a conventional solution based on digital baseband transmission over fiber and further electrical modulation and frequency up-conversion at distributed units. The system is suitable for the provision of UWB signals in the 3.1–10.6- and 60-GHz bands simultaneously employing lowfrequency electrooptical devices. The system operation has been verified by simulation and the impact of the system parameters including optical noise on performance has been evaluated, demonstrating that the system can serve the high number of UWB access nodes at personal area distances required for the proposed in-flight application. Performance is limited by thermal noise for a higher number of UWB access nodes. Simulation results show that using an optical amplifier to compensate for splitting and distribution losses can help to improve performance.

ACKNOWLEDGMENT The authors acknowledge Teleoptix, Division of Linkra s.r.l., Cornate d’Adda (MB), Italy, for supplying the photoreceiver. The authors would like to thank the kind support of the RAS cluster,10 and the support of the BONE Network of Excellence,11 both funded by the European Commission.

REFERENCES [1] “Revision of part 15 of the Commission’s rules regarding ultra-wideband transmission systems,” FCC, Washington, DC, Rep. FCC 02-48, April 2002. [2] R. Alemany, J. Perez, R. Llorente, V. Polo, and J. Marti, “Coexistence of WiMAX 802.16d and MB-OFDM UWB in radio over multi-mode fiber indoor systems,” in Proc. IEEE Microw. Photon., 2008, vol. ??, pp. 74–77. [3] R. C. Daniels and R. W. Heath, “60 GHz wireless communications: Emerging requirements and design recommendations,” IEEE Veh. Technol. Mag., vol. 2, no. 3, pp. 41–50, Sep. 2007. [4] C. Snow, “Ultra wideband communications past, present and future,” presented at the UBC-IEEE Future Commun. Syst. Workshop, 2007. [5] High Rate Ultra Wideband PHY and MAC Standard, ECMA-368 Int. Standard, 2007. [6] R. Llorente et al., “Ultra-wideband radio signals distribution in FTTH networks,” IEEE Photon. Technol. Lett., vol. 20, no. 11, pp. 945–947, Jun. 2008. [7] X. Chen and S. Kiaei, “Monocycle shapes for ultra-wideband systems,” in Proc. Int. Circuits Syst. Symp., May 2002, pp. 597–600. [8] Y. Le Guennec and R. Gary, “Optical frequency conversion for millimeter-wave ultra-wideband-over-fiber systems,” IEEE Photon. Technol. Lett., vol. 19, no. 13, pp. 996–998, Jul. 2007. [9] M. Beltrán, M. Morant, J. Pérez, R. Llorente, and J. Martí, “Photonic generation and frequency up-conversion of impulse-radio UWB signals,” in Proc. IEEE Lasers Electro-Opt. Soc., 2008, pp. 498–499. [10] T. Kuri, Y. Omiya, T. Kawanishi, S. Hara, and K. Kitayama, “Optical transmitter and receiver of 24-GHz ultra-wideband signal by direct photonic conversion techniques,” in Proc. IEEE Microw. Photon., 2006, pp. 1–4. 10Radio Access & Spectrum Cluster organized by Unit D1 of the DG INFOSYS and MEDIA of the Commission of the European Communities. [Online]. Available: http://www.newcom-project.eu:8080/Plone/ras 11NoE BONE ICT-216863, Building the Future Optical Network in Europe. [Online]. Available: http://www.ict-bone.eu

[11] S. Fu, W. Zhong, Y. J. Wen, and P. Shum, “Photonic monocycle pulse frequency up-conversion for ultrawideband-over-fiber applications,” IEEE Photon. Technol. Lett., vol. 20, no. 12, pp. 1006–1008, Jun. 2008. [12] J. Yao, F. Zeng, and Q. Wang, “Photonic generation of ultrawideband signals,” J. Lightw. Technol., vol. 25, no. 11, pp. 3219–3235, Nov. 2007. [13] M. Abtahi, M. Mirshafiei, J. Magné, L. A. Rusch, and S. LaRochelle, “Ultra-wideband waveform generator based on optical pulse shaping and FBG tuning,” IEEE Photon. Technol. Lett., vol. 20, no. 2, pp. 135–137, Jan. 2008. [14] J. Dong et al., “Ultrawideband monocycle generation using cross-phase modulation in a semiconductor optical amplifier,” Opt. Lett., vol. 32, no. 10, pp. 1223–1225, May 2007. [15] M. Beltrán, R. Llorente, R. Sambaraju, and J. Martí, “60 GHz UWBover-fiber system for in-flight communications,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009, pp. 5–8. [16] M. Hanawa et al., “An experimental demonstration of UWB-IR-overfiber system,” presented at the Opt. Fiber Commun. Conf., 2008, Paper OThD5. [17] H. Shams, A. Kaszubowska-Anandarajah, P. Perry, and L. P. Barry, “Optical generation, fiber distribution and air transmission for ultra wide band over fiber system,” presented at the Opt. Fiber Commun. Conf., 2009, Paper OWR2. [18] X. Wu et al., “40-GHz CSRZ optical pulse generation using a 10-GHz Mach-Zehnder modulator and a 25-ps delay line interferometer,” in Proc. IEEE Lasers Electro-Opt. Soc., 2007, pp. 882–883. [19] “A Guide to Using Picosecond Pulse Labs Generators in UWB Applications” B. McLaughlin, Picosecond Pulse Labs, Boulder, CO, Mar. 2004. [Online]. Available: http://www.picosecond.com [20] T. Kikkawa, P. K. Saha, N. Sasaki, and K. Kimoto, “Gaussian monocycle pulse transmitter using 0.18 m CMOS technology with on-chip integrated antennas for inter-chip UWB communication,” IEEE J. Solid-State Circuits, vol. 43, no. 5, pp. 1303–1312, May 2008. [21] Y. Zhu, J. E. Zuegel, J. R. Marciante, and H. Wu, “Distributed waveform generator: A new circuit technique for ultra-wideband pulse generation, shaping and modulation,” IEEE J. Solid-State Circuits, vol. 44, no. 3, pp. 808–823, Mar. 2009. [22] S. E. Gunnarsson et al., “60 GHz single-chip front-end MMICs and systems for multi-Gb/s wireless communication,” IEEE J. Solid-State Circuits, vol. 42, no. 5, pp. 1143–1157, May 2007. Marta Beltrán (S’08) received the Ingeniera de Telecomunicación degree and Posgraduate Master’s degree in communications from the Universidad Politécnica de Valencia (UPV), Valencia, Spain, in 2006 and 2007, respectively, and is currently working toward the Ph.D. degree at UPV. Since 2004, she has been a Research Technician with the Nanophotonics Technology Center, UPV. She has participated in several national and European research projects. She has collaborated in teaching at the UPV in electronics and optical communications. Her research interests include wireless photonics systems, UWB technologies, optical signal generation and processing, and optical characterization.

Roberto Llorente (M’99) received the M.Sc. degree in telecommunication engineering and Ph.D. degree from the Universidad Politécnica de Valencia (UPV), Valencia, Spain, in 1998 and 2006, respectively. In 2002, he joined the Valencia Nanophotonics Technology Center, UPV, where he has participated in national and European projects. He is currently an Associate Professor with the UPV. He has been the Technical Responsible of European Project FP6-IST-UROOF of the UPV and the Coordinator of European Project FP7-ICT-UCELLS since 2008. He has authored or coauthored over 40 papers in leading international journals and conferences. He holds three patents. His research interest includes electrooptical processing for transmission systems and hybrid wireless-optical access networks.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1621

Contributions to the Modeling and Design of Reconfigurable Reflecting Cells Embedding Discrete Control Elements Julien Perruisseau-Carrier, Member, IEEE, Frédéric Bongard, Member, IEEE, Ruzica Golubovic-Niciforovic, Roberto Torres-Sánchez, and Juan R. Mosig, Fellow, IEEE

Abstract—This paper presents new contributions to the modeling and design of reflecting cells embedding discrete control elements such as microelectromechanical system (MEMS) or diodes. First, a rigorous assessment of the different possibilities to simulate and measure the reconfigurable cell in a periodic environment is proposed. Strategies to efficiently model a cell comprising discrete control elements are then presented and discussed in terms of versatility, required assumptions, and computational effort. The most efficient method allows computing all reconfigurable states cell parameters, including information such as the total and dissipated power in each MEMS or diode, in a few minutes using a commercial full-wave solver and adequate post-processing. Finally, the benefit of such an efficient modeling is illustrated by the optimization of an element phase states distribution using a particle swarm optimizer. The concepts presented are also directly applicable to reconfigurable transmitting cells. Index Terms—Diodes, microelectromechanical system (MEMS), particle swarm optimization (PSO), periodic, reconfigurable, reflectarray, reflecting cell, tunability.

I. INTRODUCTION

R

EFLECTING cells are key components to various microwave devices such as reflectarrays [1], spatial power combiners [2], or other types of microwave devices requiring the local control of the reflection phase on a reflecting surface [3]. Recently, an effort has been specifically directed toward the implementation of dynamically reconfigurable reflecting cells, mainly in the context of reflectarray applications. Indeed, in addition to the known advantages of reflectarrays over parabolic reflectors [1], dynamically controllable reflecting cells would Manuscript received November 18, 2009; accepted December 15, 2009. Date of publication May 18, 2010; date of current version June 11, 2010. This work was supported by the European Union (EU) COST Actions IC0603 and IC0803, and by the Spanish Government Torres Quevedo under Grant PTQ-08-01-06434 and Grant TEC2008-02685/TEC. J. Perruisseau-Carrier is with the Centre Tecnològic de Telecomunicacions de Catalunya (CTTC), 08860 Castelldefels, Barcelona, Spain (e-mail: julien. [email protected]). F. Bongard is with JAST SA, Scientific Park of the Ecole Polytechnique Fédérale de Lausanne (EPFL), CH-1015 Lausanne, Switzerland. R. M. Golubovic-Niciforovic, R. Torres-Sánchez, and J. R. Mosig are with the Laboratoire d’électromagnétisme et d’acoustique (LEMA), Ecole Polytechnique Fédérale de Lausanne Ecole Polytechnique Fédérale de Lausanne (EPFL), CH-1015 Lausanne, Switzerland. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2048249

allow electronic reconfigurability. In this context, controllable reflecting cells employing different technologies for microwave reconfigurability have recently been investigated, using ferroelectric thin films [4], liquid crystals [5], photonically controlled semiconductors [6], or varactor diodes [7]–[9]. In addition, there is a particular interest in developing cells based on microelectromechanical system (MEMS) technology [10]–[15], which allows a significant reduction of the losses, intermodulation effects, and dc power consumption, while extending the high-frequency limit of operation for such devices. Encouraging results on MEMS-based cells have been demonstrated in recent years, e.g., in [10]–[15]. However, much work remains to be done toward the implementation of a viable MEMS-reconfigurable reflective system with overall satisfactory performances. First, works on MEMS reflective cells generally lack a rigorous or comprehensive assessment of their performances, namely, the characterization of all fundamental parameters (e.g., bandwidth, dependence of the phase to the incidence angle, robustness to MEMS fabrication tolerances, cross-polarization, etc.) in realistic simulation or measurement setups. Second, the fact that reconfigurable reflective cells must simultaneously meet numerous requirements implies that good overall performances can only be achieved by efficient design strategies, which have scarcely been discussed thus far. In this context, this study presents new contributions to the modeling and design of reflective cells, in a general way applicable to most implementations based on discrete control elements such as MEMS or diodes. We first review the different possibilities to account for the periodic environment of the cell in simulation and measurements. The conditions for a rigorous correspondence between the different setups are evaluated, thereby highlighting common misconceptions in reconfigurable reflecting cell characterization. Different strategies to model the MEMS variable elements in a commercial general full-wave simulator are then proposed and compared in terms of versatility and efficiency. In particular, it is shown that modeling the discrete control elements by internal ports and adequate post-processing allows drastic computation time reduction, as well as accessing particular relevant cell parameters such as, e.g., the dissipated power in each MEMS. The reduced simulation time enables cell optimization based on iterative methods requiring the full-wave simulation of the cell at each iteration. As an illustration, we present the optimization of the distribution of the different cell phase states using a particle swarm optimization (PSO) algorithm.

0018-9480/$26.00 © 2010 IEEE

1622

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 1. View of the cell proposed in [16] and used here to illustrate the presented concepts. The element consists of two pseudoring loaded with two-state MEMS variable series capacitors.

II. DESCRIPTION OF THE TEST CELL This section briefly outlines the reconfigurable reflecting cell used throughout this paper to illustrate the presented concepts. The single-polarized -band MEMS-reconfigurable cell of [16], shown in Fig. 1, was chosen. This cell was selected as a test case due to its high performance, but principally because a close agreement between simulations and measurement was demonstrated in [16]; since the simulation method used in [16] is one of the methods compared here (more precisely, it is the detail geometry simulation of Section IV-C), no further experimental validation is needed in this work. The cell is monolithic and consists of two pseudoring elements loaded with two-state series MEMS capacitors, which allows a dynamic reconfiguration of the reflection phase at a given frequency by affecting the resonance frequency of the pseudorings. The rings are loaded pairs of MEMS operated in a binary manner; hence, by discrete phase states. This digital approach providing was employed in [16] to allow good MEMS stability, and thus, large phase ranges while guaranteeing good robustness to inherent MEMS fabrication tolerances, temperature drift, and control voltage imprecision. III. CHARACTERIZATION OF RECONFIGURABLE REFLECTIVE CELLS This section reviews the different possibilities to rigorously characterize the plane wave reflection coefficient of a cell by simulation or measurement. The required assumptions for each method are clearly exposed to lift common misconceptions in the characterization of such cells. The reflection coefficient of a reflective element is computed in an infinite periodic environment. This constitutes an approximation whose significance depends on the application, but is the only solution at the cell design stage since the actual cell environment is not known until the design of the whole reflecting surface is completed (see, e.g., [1] for reflectarrays). The infinite array environment is emulated by loading a waveguide (WG) with one or several unit cells, as illustrated in Fig. 2. There are different types of WGs possible for such a characterization, which differ by the boundary conditions imposed on their lateral walls. In order to assess and compare these different characterization methods, we focus on the case of interest here, which is: 1) a cell operating in linear polarization with incident -field along and repeated by translation in a rectangular lattice (see Fig. 2) and 2) an incident wave vector in the -plane.

Fig. 2. Characterization of a cell in a WG emulating an infinite periodic environment (the WG considered here is a virtual WG with any boundary conditions on its sidewalls). In the sketch, two cells are embedded in the WG, and the incident field and rectangular lattice depicted are those considered in this study.

A compact overview of the different WG that can be used to model the cell in such a setup is provided in Table I. In each case, the boundary conditions on the sidewalls of each WG are described, along with the required assumptions on the incidence angle and on the element itself, deduced from our analysis. For the most general and comprehensive characterization of the cell, it must be simulated using periodic boundary conditions (PBCs), as known from Floquet’s theorem. The corresponding virtual WG is referred to here as the PBC-WG, in which the incident and reflected fields are expanded into so-called Floquet harmonics [17]. By placing only one cell in the PBC-WG, and assuming that the array lattice simulated corresponds to a reflectarray free of grating lobes for any scan angle above the , the PBC-WG supports two orthogground plane onal nonevanescent Floquet harmonics, which provides information on the co- and cross-polarization of the element. In summary, the PBC-WG: 1) allows the characterization of the reflection on the cell for any incidence angle and polarization of the incident field; 2) provides information to deduce the cross-polarization in the specular reflection angle; and 3) does not require assumptions regarding the symmetry of the element. Table I also describes alternative ways for the characterization of a linearly polarized element, using perfect electric conductor (PEC) and perfect magnetic conductor (PMC) boundaries, or only PECs (referred to as PECPMC and rectangular waveguide (RWG) in this table, respectively). As shown in Table I, the possibility to use a particular characterization setup depends on the incidence angle, on whether the element is symmetrical or not, and on the solver capabilities (e.g., availability of PBCs). Note that the case where all WG walls are PECs (RWG) is the only solution for experimental characterization of the cell in its periodic environment. This well-known concept [18], yet sometimes not rigorously employed, is discussed in some more detail in the Appendix. IV. EFFICIENT CELL FULL-WAVE SIMULATIONS A. Introduction The modeling and optimization of a reconfigurable cell requires a very large number of simulations. For example, in the case of the element introduced in Section II, there are different states to be simulated for several frequency points and incidence angles. Moreover, each of these simulations requires an intensive computational effort since each cell comMEMS structures. In a process of test and optiprises mization, these simulations must be repeated for each variation

PERRUISSEAU-CARRIER et al.: CONTRIBUTIONS TO MODELING AND DESIGN OF RECONFIGURABLE REFLECTING CELLS

1623

TABLE I SUMMARY OF CELL SIMULATION/MEASUREMENT POSSIBILITIES IN THE SETUP OF FIG. 2. ACRONYMS: (RECTANGULAR) WAVEGUIDE: (R)WG, PERIODIC BOUNDARY CONDITIONS: PBC, PERFECT ELECTRIC CONDUCTOR: PEC, PERFECT MAGNETIC CONDUCTOR: PMC, TRANSVERSE ELECTROMAGNETIC: TEM

in the design, which is practically prohibitive. In this context, this section first describes the major steps taken to drastically reduce the computation time using Ansoft Corporation’s commercial solver High Frequency Structure Simulator (HFSS). The simulation methods are validated using the MEMS element of Fig. 1, which will also serve to illustrate some statements of Section III.

B. PBC-WG and PECPMC-WG Simulations As explained in Section III, the simulation of an asymmetric reflective cell in a periodic environment requires the use of PBCs, which allow characterization for any incidence angle and frequency. However, an element can, in general, be designed under normal incidence and subsequently characterized under oblique incidence as well. In this case, the computation time in the design stage is reduced by the use of the PECPMC-WG (Table I). The only approximation resulting from this simplification in the case of the element of Fig. 1 is that its small asymmetry around the -plane is neglected. The impact of this approximation can be assessed by comparing the and with the results obtained with the PBC-WG with PECPMC-WG, as done in Fig. 3. Both curves are indistinguishable, which confirms that the asymmetry around the -plane is negligible while validating the proposed equivalence. In terms of computation time, the advantage of replacing the PBC by PEC and PMC boundaries is twofold. First, PEC and PMC boundaries are treated much more efficiently than PBCs by Ansoft HFSS. Second, since the cell is symmetrical around its -plane, the structure simulated in the PECPMC-WG can be cut in half to further reduce the computational effort. The designed element can subsequently be characterized under oblique incidence using the PBC-WG, and corresponding and 60 . It reveals that results are shown in Fig. 3 for the reflection phase for already significantly differs from the case of normal incidence since the phase difference reaches 20 in some parts of the band. The dependence of the reflection phase to the incidence angle significantly increases with . This is verified here by observing the large phase discrepancy—from 30 to 50 for most frequencies—of the case with regard to normal incidence. These observations, in conjunction with the discussion provided in the Appendix, demonstrate that the RWG method [18] cannot, in general, be used to characterize the reflection phase under normal incidence [e.g., a standard -band RWG at 8 GHz provides the reflection , see (9)]. phase for

Fig. 3. Comparison of the computed reflection phase of the PECPMC-WG (1 1  1 1) with that of the PBC-WG for angles of incidence  = 0 ( );  = 30 ( ), and  = 60 (). For clarity, the data are plotted only for the two = 3 mm. extreme states and for t

C. MEMS Modeling in Full-Wave Simulation Including the actual MEMS structures in the full-wave cell model leads to very intensive simulations, since there are ( , respectively) detailed MEMS geometries to include in the simulated cell with PBCs (PECPMC, respectively). This is not acceptable for an efficient design procedure. However, the small electrical size of the MEMS allows their modeling by lumped-element circuits [19]. In order to deduce these models, simulations of isolated MEMS structures are carried out together with proper parasitics treatment, and are followed by circuit extractions. These two-port simulations are defined to correspond to the actual MEMS environment within the cell, i.e., with the MEMS loading a microstrip of the width of the rings elements and on the same substrate. For the test element of Fig. 1, it was found that the MEMS series capacitor can be accurately modeled in the whole band of interest by, in the up network with and fF, and, state, a series network with in the down state, by a series pH, and fF. The circuit model of the MEMS can be introduced in the finite-elements’ full-wave simulation in two different ways. In the first one, the lumped elements are represented by surface impedance boundaries (impedance boundaries method), which already allows a drastic computation time saving with regard to the detailed MEMS geometry. However, it is still necessary to solve for each state of the reconfigurable device, as well as for each variation of the loading element. In this context, a more powerful approach, referred to here as the internal ports method, can be employed. It consists in replacing the surface impedance sheets by internal ports in the

1624

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

simulation. In this case, the full-wave simulation is run only once and the ports representing the MEMS are loaded by given circuit models in post-processing of the obtained multiport -matrix. As a result, a single and low-complexity simulation can be carried out for all states and all design variations of the loading MEMS elements, opening the path to an efficient optimization of the structure. Here, we provide the formulas to perform such a port loading in the general case of a simulation using PBCs (PBC-WG of Section III). In this case, the simulation of a unit cell comprises ports if MEMS are present in the simulated structure (the remaining port accounting for the incoming/reflected wave on the cell). However, the dimension of the corresince the PBC-WG guiding sponding -matrix is the incoming/reflected wave actually supports two orthogonal Floquet harmonics. First, we define the general -port -matrix with ports #1 and #2 corresponding to the Floquet harmonics and ports #3 to # to the variable loading elements. We can write the scattering according to subvectors (and submatrices) corsystem responding to the unloaded and loaded ports as follows: (1) where and ( and , respectively) are vectors of dimension 2 1 ( , respectively) and the maand of dimensions , trices and , respectively. Second, we define the diagonal matrix comprising the reflection coefat port . These reflection coefficients ficients are defined “towards the loads” and are given by , where and are the loading and reference impedances at port , respectively. Thus, we have (2) Now, introducing (2) in (1), after some calculations we obtain (3) where is the identity matrix of dimension - . The matrix dein (3) is thus the desired two-port -matrix linking fined as incident and reflected Floquet harmonics, from which the phase and amplitude of the co- and cross-polarized reflected fields can be deduced. Finally, for the sake of completeness and with regard to the developments leading to (3), interested readers are encouraged to consult [20], which presents a simple and efficient algorithm for the calculation of the -matrix of arbitrary interconnected multiports. V. METHODS COMPARISON This section compares the three modeling approaches described in Section IV-C in terms of precision and computation time. The computed reflection phases for the three options are shown in Fig. 4. For clarity, only four representative states are shown, but similar results are obtained for all states. A very good

Fig. 4. Comparison of the computed reflection phase with MEMS elements modeled by: (—) their detailed geometry, (1 1 1) surface impedance boundaries, (2) internal ports. For clarity, the data are plotted only for four representative = 3 mm and  = 0 . states and correspond to t

agreement is observed between all three methods, which simultaneously validates the inclusion of the MEMS loadings in the cell simulation, the “isolated” MEMS lumped model extraction, and the loading of the ports in post-processing. Moreover, it is noticeable that these simulation results are experimentally validated since good agreement between measured results and simulation using the detailed geometry approach was demonstrated in [16]. We also compare the three methods in terms of computation time. For fair comparison, first a common precision criterium is defined. As the computation time for each method depends on the number of refinement iterations in the finite-element method, the number of iterations selected for the comparison is determined according to this common convergence criterium. Here, the criterium selected is that the average phase difference of the selected iteration with regard to any subsequent one is below 1 . All simulations were run for normal incidence using the PECPMC-WG, which is the fastest way to simulate the structure (see Section IV-B). A quad-core 2.86-GHz PC with 8 GB of memory is used. In the case of the detailed geometry approach, the simulation of a single state requires about 50 min; hence, 27 h to compute all 32 states. Note that this simulation time is already minimized by the use of the PECPMC-WG and the definition of all conductors as 2-D patterns. Moreover, the high-resistivity dc-biasing lines and the etch holes in the MEMS bridges were removed from the simulations after verification of their negligible effect. Replacing the detailed MEMS geometry by impedance boundaries reduces the simulation time to about 2.5 h for all states; hence, a time saving of a factor 11. A more drastic time reduction is obtained using the internal ports method since this one only requires a single simulation of about 6 min, sufficient to compute all 32 states. Thus, this method allows the reduction of the computation time by a factor of about 300 compared to the detailed MEMS geometry description. The only parameter that will significantly impact on the aforementioned ratios of simulation times is the number of bits considered. Indeed, both detailed geometry and impedance boundaries methods

PERRUISSEAU-CARRIER et al.: CONTRIBUTIONS TO MODELING AND DESIGN OF RECONFIGURABLE REFLECTING CELLS

computation times are proportional to the number of states, while the internal ports method is almost independent of the number of internal ports. Finally, another advantage of the internal ports method is the possibility to model the lumped elements by general impedances rather than equivalent circuits. As mentioned previously, the general topic of MEMS modeling in full-wave simulation was addressed in [21]. A significant difference between the approach proposed here and [21] is that we model a whole MEMS by a single lumped model in the simulation, whereas [21] proposes to model different parts of the MEMS structures by different networks. Therefore, the proposed approach is much less complex to set up (a simple extraction on the isolated MEMS simulation/measurement is sufficient), and less computational intensive since less ports have to be included in the full-wave simulation. Finally, the precision of our method demonstrates that modeling the whole MEMS by a single lumped model is also valid when the MEMS are inserted within a radiating element, in contrast to the statement of [21]. Compared now to [11], this work is based on commercial software (Ansoft HFSS), whereas [11] implemented a homemade tool for reflecting cells. In addition to demonstrating that such an approach can also be applied to a tool available to the scientific community, the advantage of the use of HFSS is that some strongly limiting assumptions of the simulator of [11] are not required, thanks to the availability of PBCs and the definition of arbitrary geometries and materials. Finally, the possibility of also simulating the detailed MEMS geometry with HFSS allowed the demonstration of the validity and precision of the method.

In Section IV-C, ports #3 to # were loaded to deduce the linking incident and reflected funda2 2 scattering matrix mental Floquet’s harmonics on the cell, which provides information for the computation of co- and cross-polarization phases and amplitudes. In practice, it is useful to also compute additional parameters such as, e.g., the dissipation or power to be withstood by the MEMS or diodes for a given plane wave excitation. For instance, let us consider a single-polarized reflectarray cell under normal incidence. In this case, power is fed to port #1 of the overall multiport scattering matrix (corresponding here to the co-polarized field orientation). Assuming that the reflected cross-polarized field is radiated in free space, we can simply set that the reflection coefficient is zero at this . port; hence, Concerning the remaining ports, corresponding to the MEMS, they are again characterized by (2). Thus, we can calculate the input and output wave vectors and as a function at the co-polarized port, to comof the incident amplitude pute the power at each MEMS (see below). The procedure is similar to the one of Section IV-C, but we partition the general scattering matrix according to the first port only (4) and

(

of dimensions 1 1, , , and , respectively. The loading of the #2 to # ports is (5) , as defined in Section IV-C. Using (4) and (5), we find with all loaded ports incident waves as a function of the cell excitation (6) as well as reflected ones (7) Therefore, all incident and reflected waves are known at each port, and it is possible to identify the power in any of the structure components using well-known scattering expressions. For instance, the power dissipated in each individual , for ports #3 to # . The MEMS is loss in the cross-polarized reflected field or by dissipation in the cell materials is calculated in the same manner. Note that the method has been successfully applied to the analysis of the loss in a cell comprising a multitude of MEMS elements in [22], which did not provide the mathematical framework detailed in this section. Finally, the method similarly allows the computation of the RF voltage to be withstood by each MEMS, which is fundamental to assess potential MEMS breakdown or self-actuation [19]. VII. PSO PHASE DISTRIBUTION OPTIMIZATION

VI. ADDITIONAL CELL PARAMETERS CALCULATION

where (

1625

and , respectively) are of dimension , respectively) and and

This section further illustrates the benefit of the very fast, but accurate computation of the cell parameters. We present the optimization of a cell phase distribution, which represents the repartition of the different reconfigurable reflection phase states at a given frequency. In practice, a linear phase distribution is desired to minimize phase quantization errors. Some relevant information about this issue can be found in [23] and [24], the latter reference implementing a simple, but limited method for the optimization of the cell phase distribution. Here, we present the application of a global algorithm for such optimization, which does not suffer from the strongly limiting assumptions of [24]. However, such a global optimization requires the computation of the cell parameters in all states at each iteration, and could only be envisioned thanks to the very fast computation of the cell parameters discussed earlier. Here a PSO algorithm, which has been successfully applied to electromagnetic (EM) problems in the past [25]–[27], is used. Fig. 5 shows the complete optimizer structure: the optimization loop is driven by the PSO algorithm, while the EM analysis of the reflective cell is done using HFSS. The interface between the PSO algorithm and HFSS is provided by MATLAB, which allows for a proper automation of the exchange and post processing tasks required, as detailed in [28]. More specifically, the post-processing of the full-wave multiport scattering matrix of the cell to obtain the relevant cell parameters, as described in Section IV-C, is also easily implemented in MATLAB and included in the loop prior to the cost function evaluation. The input

1626

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

TABLE II OPTIMIZED PARAMETERS OF THE CELL OF FIG. 1 WITH THEIR BOUNDARIES AND OPTIMIZED VALUES AFTER OPTIMIZATION (IN MILLIMETERS)

Fig. 5. Flowchart describing the optimization procedure of the digitally reconfigurable reflective cell.

parameters of the procedure are the geometry of the resonant element, the desired overall phase range needed for the concerned application, the operating frequency, as well as the internal settings of the PSO algorithm (variables to be optimized, their respective ranges and the setup of the PSO parameters). The stopping criteria is either a cost-function threshold or a maximum number of optimization iterations. associated with the optimization The cost-function problem is defined by (8) as the mean square discrepancy between the current phase distribution and the ideal one. The ideal , which minimizes function is linear phase quantization errors, where is the index of a given state after sorting all states for a progressive phase distribution of , and is the number of independent digital MEMS ). The slope of is determined by in the cell (here the target phase range for the desired application—passed as . an input goal to the optimizer—according to Concerning , it is important to note that, in most applications, only the phase difference between the cells of a reflector is of the phase distribution relevant. As a result, the offset has no impact on the device performance and is, thus, a free parameter. This is fundamental for the cell optimization, so here the cost function is calculated at each iteration for the value of giving the lowest cost (8) The optimization procedure was applied to the test cell used previously in this paper and depicted in Fig. 1. The phase distribution was optimized at 10 GHz for a target phase range of 180 . The PSO setup shown in [25] to lead to the best convergence is used: and are equal to 1.5, time-varying is decreasing from 0.9 to 0.4 over the course of the run, and the maximal veis equal to the dynamic range for each dimension locity of the optimization space. The so-called invisible wall boundary condition is applied to the particles that go outside the optimization space.

Fig. 6. Initial and optimized phase diagram (—): simulated, (- -): ideal distribution (see text).

The input and optimized parameters of the geometry are summarized in Table II. The positions of MEMS #2 to #5 can be varied along the dimension, within boundaries set by the metallization geometry, which was let fixed here. The position of MEMS #1 is not optimized since this would imply much complication in the procedure (see the cell geometry in Fig. 1). The thickness of the foam substrate is also optimized since this parameter can easily be chosen in practice and has a direct impact on the phase range of such a resonant cell. The improvement achieved after 300 evaluations of the cost function is illustrated in Fig. 6, where the initial and the optimized phase distributions are compared to their respective “best offset ” linear goals. The initial phase distribution has been significantly improved and is almost perfect between pseudostates 12 and 32, leading to an average root mean square error of less than 3 for the whole pseudostates range. Although a detailed interpretation and discussion of the optimization based on physical considerations is beyond the scope of this study, we observe here that the remaining part of the phase distribution plot cannot be improved, which is due to a fundamental physical limitation of the device rather than to the optimizer performance. VIII. CONCLUSION A strategy to drastically reduce the full-wave computation time of reconfigurable cell embedding discrete elements such as

PERRUISSEAU-CARRIER et al.: CONTRIBUTIONS TO MODELING AND DESIGN OF RECONFIGURABLE REFLECTING CELLS

MEMS or diodes was presented and validated. The method enables full-wave based optimizations for such cells, as exemplified here using a PSO algorithm to linearize the cell phase states distribution. The method was also extended to provide information such as the total and dissipated power in each discrete control element. Although the proposed concepts were discussed here in the context of reflecting cells, they are directly applicable to transmitting cells as well.

APPENDIX A RWG-BASED CHARACTERIZATION For the reasons explained at the conclusion of Section III, we provide here some further comments on the characterization of (see a cell in a RWG. We consider a usual RWG with Fig. 2) operated in its fundamental mode only. This RWG can be used to characterize the reflection phase of a cell symmetrical around both its - and -axes, in the periodic environment of Fig. 2 and for an incidence , according to (9) [18] as follows: (9) This formula is obtained by expressing the mode field distribution as a superposition of two plane waves of same amplitude, same phase along the axis of the RWG, and of opposite and . The number of elements placed in incidence angles the RWG cross section is not necessarily 1. According to image of half-elements placed in theory, there can be any number the RWG, so for an array of lattice spacing with

(10)

Observation of (9) shows that the RWG characterization of a cell is only possible for a given incidence angle at each frequency, which is determined by the choice of . In addition, further developments demonstrate additional restrictions to the use of this method. For clarity, let us consider here the reflectarray application, although similar restrictions will apply to other applications of reconfigurable reflective cells. To that aim, we first write the cutoff frequencies of the two first as modes of the RWG with (11) and note that the condition for excluding grating lobes in a reabove the configurable array scanning any angle , independently of the incidence reflector plane is , we angle. Now, using (10), (11), and the condition obtain the condition on the number of half-elements elements in the RWG. Thus, there must be at least in the RWG so that the element and lattice characterized with mode correspond to a reflectarray able to the fundamental scan the desired scan range without grating lobes. Here, we have neglected the possible relaxation of the grating lobes condition due to the element radiation pattern or a limited scan range. , such a relaxation would not impact However, since on the result in most cases. Finally, it is observed that

1627

the maximum and minimum incidence angles that can be simulated with the monomodal RWG are and . These considerations lead to the following three main conclusions: First, the characterization of a single reconfigurable cell placed in a conventional RWG is not sufficient to assess the performance of the cell because the array lattice thereby characterized does not, in general, correspond to a reflectarray free of grating lobes. Second, since the RWG only allows the character, it cannot be considered, in ization of incidence angles contrast with the statements of [29] and [30], as a fairly good approximation of the reflection phase for other pairs of frequency . This reand angle, and especially for normal incidence mark holds for all planar elements, but was illustrated here in Fig. 3 in the case of our test MEMS cell. Third, the RWG-based characterization does not allow a rigorous assessment of the bandwidth of the element since it is not possible to characterize the variation of the reflection phase with frequency for a fixed incidence angle. As a conclusion, rigorous cell characterization requires its simulation in a PBC-WG, while RWG-based measurement represents an efficient way to validate simulations provided comparison with a strict correspondence of lattice, frequency, and incidence angle. REFERENCES [1] D. Pozar, S. Targonski, and H. Syrigos, “Design of millimeter wave microstrip reflectarrays,” IEEE Trans. Antennas Propag., vol. 45, no. 2, pp. 287–296, Feb. 1997. [2] R. A. York and Z. B. Popovic, Active and Quasi-Optical Arrays for Solid-State Power Combining. New York: Wiley, 1997. [3] A. R. Weily, T. S. Bird, and Y. J. Guo, “A reconfigurable high-gain partially reflecting surface antenna,” IEEE Trans. Antennas Propag., vol. 56, no. 11, pp. 3382–3390, Nov. 2008. [4] R. Romanofsky, “Advances in scanning reflectarray antennas based on ferroelectric thin-film phase shifters for deep-space communications,” Proc. IEEE, vol. 95, no. 10, pp. 1968–1975, Oct. 2007. [5] H. Wenfei, R. Cahill, J. A. Encinar, R. Dickie, H. Gamble, V. Fusco, and N. Grant, “Design and measurement of reconfigurable millimeter wave reflectarray cells with nematic liquid crystal,” IEEE Trans. Antennas Propag., vol. 56, no. 10, pp. 3112–3117, Oct. 2008. [6] M. Chaharmir, J. Shaker, M. Cuhaci, and A.-R. Sebak, “Novel photonically controlled reflectarray antenna,” IEEE Trans. Antennas Propag., vol. 54, no. 4, pp. 1134–1141, Apr. 2006. [7] D. Sievenpiper, J. Schaffner, H. Song, R. Loo, and G. Tangonan, “Twodimensional beam steering using an electrically tunable impedance surface,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2713–2722, Oct. 2003. [8] M. Riel and J.-J. Laurin, “Design of an electronically beam scanning reflectarray using aperture-coupled elements,” IEEE Trans. Antennas Propag., vol. 55, no. 5, pp. 1260–1266, May 2007. [9] S. V. Hum, M. Okoniewski, and R. J. Davies, “Modeling and design of electronically tunable reflectarrays,” IEEE Trans. Antennas Propag., vol. 55, no. 8, pp. 2200–2210, Aug. 2007. [10] J. Perruisseau-Carrier, H. Legay, J. Lenkkeri, J.-P. Polizzi, E. Jung, and A. Skrivervik, “A dynamically-controlled reflectarray element using embedded packaged MEMS switches,” in IEEE Int. Antennas Propag. Symp., San Diego, CA, 2008. [11] E. Perret, H. Aubert, and H. Legay, “Scale-changing technique for the electromagnetic modeling of MEMS-controlled planar phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3594–3601, Sep. 2006. [12] S. Hum, G. McFeetors, and M. Okoniewski, “Integrated MEMS reflectarray elements,” in 1st Eur. Antennas Propag. Conf., Nice, France, 2006. [13] H. Legay, B. Pinte, E. Girard, R. Gillard, M. Charrier, and A. Ziaei, “A band,” in 27th ESA low loss and steerable reflectarray antenna in Antenna Technol. Innovative Periodic Antennas Workshop, Santiago de Compostela, Spain, 2004, pp. 281–288.

Ka

1628

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

[14] B. Mencagli, R. Gatti, L. Marcaccioli, and R. Sorrentino, “Design of large mm-wave beam-scanning reflectarrays,” in Eur. Microw. Conf., Paris, France, 2005. [15] H. Rajagopalan, Y. Rahmat-Samii, and W. A. Imbriale, “Integration of RF MEMS switches with the reconfigurable reflectarray element: A novel patch-slot implementation,” in IEEE AP-S Int. Symp., 2008, pp. 1–4. [16] J. Perruisseau-Carrier and A. Skrivervik, “Monolithic MEMS-based reflectarray cell digitally reconfigurable over a 360 phase range,” IEEE Antennas Wireless Propag. Lett., vol. 7, pp. 138–141, 2008. [17] M. Bozzi, L. Perregrini, J. Weinzierl, and C. Winnewisser, “Efficient analysis of quasi-optical filters by a hybrid MoM/BI-RME method,” IEEE Trans. Antennas Propag., vol. 49, no. 7, pp. 1054–1064, Jul. 1999. [18] P. Hannan and M. Balfour, “Simulation of a phased-array antenna in waveguide,” IEEE Trans. Antennas Propag., vol. AP-13, no. 3, pp. 342–353, Mar. 1965. [19] G. Rebeiz, RF MEMS, Theory, Design and Technology. New York: Wiley, 2003. [20] P. Hallbjörner, “Method for calculating the scattering matrix of arbitrary microwave networks giving both internal and external scattering,” Microw. Opt. Technol. Lett., vol. 38, no. 2, pp. 99–102, Jul. 2003. [21] P. Arcioni, G. Conciauro, and M. Repossi, “Planar models of reconfigurable MEMS circuits,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 4, pp. 722–728, Apr. 2007. [22] J. Perruisseau-Carrier, E. Girard, and H. Legay, “Analysis of a reconfigurable reflectarray cell comprising a multitude of MEMS control elements,” in 4th Eur. Antennas Propag. Conf., Barcelona, Spain, 2010. [23] H. Salti, E. Fourn, R. Gillard, and H. Legay, “Robustness optimization of MEMS-based reflectarray phase-shifting cells,” in 3rd Eur. Antennas Propag. Conf., 2009, pp. 3724–3728. [24] J. Perruisseau-Carrier and A. Georgiadis, “Efficient optimization of the phase diagram in digitally-controlled reflective cells,” in 3rd Eur. Antennas Propag. Conf., Berlin, Germany, 2009, pp. 1230–1233. [25] J. Robinson and Y. Rahmat-Samii, “Particle swarm optimization in electromagnetics,” IEEE Trans. Antennas Propag., vol. 52, no. 2, pp. 397–407, Feb. 2004. [26] N. Jin and Y. Rahmat-Samii, “Advances in particle swarm optimization for antenna design: Real-number, binary, single-objective and multiobjective implementations,” IEEE Trans. Antennas Propag., vol. 55, no. 3, pp. 556–567, Mar. 2007. [27] B. Fuchs, R. Golubovic, A. Skrivervik, and J. Mosig, “Spherical lens antenna designs with particle swarm optimization,” Microw. Opt. Technol. Lett., accepted for publication. [28] S. López-Peña, J. Zürcher, R. Torres-Sánchez, A. G. Polimeridis, and J. R. Mosig, “Modeling and manufacturing of a series of identical antennas for a P -band ice sounder,” in Proc. 4th Eur. Antennas Propag. Conf., 2010. [29] A. Moessinger, R. Marin, J. Freese, S. Mueller, A. Manabe, and R. Jakoby, “Investigations on 77 GHz tunable reflectarray unit cells with liquid crystal,” in 1st Eur. Antennas Propag. Conf., Nice, France, 2006. [30] O. G. Vendik and M. Parnes, “A phase shifter with one tunable component for a reflectarray antenna,” IEEE Antennas Propag. Mag., vol. 50, no. 4, pp. 53–65, Aug. 2008. Julien Perruisseau-Carrier (S’07–M’09) was born in Lausanne, Switzerland, in 1979. He received the M.Sc. and Ph.D. degrees from the Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, in 2003 and 2007, respectively. In 2003, he was a Visiting Researcher with the Communication Group, University of Birmingham, Birmingham, Edgbaston, U.K. From 2004 to 2007, he was with the Laboratory of Electromagnetics and Acoustics (LEMA), Ecole Polytechnique Fédérale de Lausanne (EPFL), where he completed the doctoral degree while working on various European Union (EU) funded projects. Since December 2007, he has been a Research Associate with the Centre Tecnològic de Telecomunicacions de Catalunya (CTTC), Barcelona, Spain. He has authored over 50 journal and international conference papers. He is a Reviewer for various journals on antennas and microwaves. His research interest mainly concerns reconfigurable microwave devices. In particular, he has been involved in the development of dynamically reconfigurable reflectarrays, antennas, and metamaterials. Dr. Perruisseau-Carrier was the recipient of the Young Scientist Award of the URSI-EMTS 2007 Conference and of the IEEE AP-S Raj Mittra Travel Grant 2010.

Frédéric Bongard (S’08–M’09) was born in Yverdon, Switzerland, in 1978. He received the M.Sc. degree in electrical engineering and Ph.D. degree from the Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, in 2003 and 2009, respectively. From 2003 to 2004, he was an Assistant with the acoustics portion of the Laboratory of Electromagnetics and Acoustics (LEMA), EPFL. From 2004 to 2009, he was with the electromagnetics portion of LEMA, where he completed the doctoral degree while working as a Research and Teaching Assistant. Since November 2009, he has been a Research and Development Antenna Engineer with JAST SA, Lausanne, Switzerland. He has been involved in projects for the European Space Agency (ESA) and the European Network of Excellence on metamaterials (Metamorphose). His research interests include metamaterials for microwave applications and antenna arrays. Ruˇzica Golubovic´-Nic´iforovic´ was born in Belgrade, Serbia, in 1983. She received the Dipl.Ing. degree from the School of Electrical Engineering (ETF), University of Belgrade, Belgrade, Serbia, in 2006, and is currently working toward the Ph.D. degree at the Laboratory of Electromagnetics and Acoustics (LEMA), Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland. Her research interests include computational electromagnetics with an emphasis on planar multilayered media and optimization techniques. Roberto Torres-Sánchez was born in Granada, Spain, in 1979. He received the Ingeniero de Telecomunicación degree from the Universidad de Málaga, Málaga, Spain, in 2006, and is currently working toward the Ph.D. degree at the École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland. His research interests include EM theory, printed microwave circuits, and antennas. Mr. Torres-Sánchez was the recipient of the 2006 ASTRA Award for the Best Master Thesis Dissertation from the Spanish Colegio Oficial de Ingenieros de Telecomunicación (COIT). Juan R. Mosig (S’76–M’87–SM’94–F’99) was born in Cádiz, Spain. He received the Electrical Engineer degree from the Universidad Politécnica de Madrid, Madrid, Spain, in 1973, and the Ph.D. degree from the Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, in 1983. In 1976, he joined the Laboratory of Electromagnetics and Acoustics, EPFL. Since 1991, he has been a Professor with EPFL. Since 2000, he has been the Head of the Laboratory of Electromagnetics and Acoustics (LEMA), EPFL. In 1984, he was a Visiting Research Associate with the Rochester Institute of Technology, Rochester, NY, and Syracuse University, Syracuse, NY. He has also held scientific appointments with the University of Rennes, Rennes, France, the University of Nice, Nice, France, the Technical University of Denmark, Lyngby, Denmark, and the University of Colorado at Boulder. He is currently the Chairman of the EPFL Space Center, where he is responsible for many Swiss research projects for the European Space Agency (ESA). He has authored five book chapters on microstrip antennas and circuits and over 100 reviewed papers. His research interests include EM theory, numerical methods, and planar antennas. Dr. Mosig is a member of the Swiss Federal Commission for Space Applications. He is currently a member of the Board of the Applied Computational Electromagnetics Society (ACES). He is chairman of the European COST Project on Antennas ASSIST (2007–2011). He is a founding member and acting chair of the European Association and the European Conference on Antennas and Propagation (EurAAP and EuCAP).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

1629

High-Performance 1.5–2.5-GHz RF-MEMS Tunable Filters for Wireless Applications Mohammed A. El-Tanani, Student Member, IEEE, and Gabriel M. Rebeiz, Fellow, IEEE

Abstract—This paper presents high-performance RF microelectromechanical systems (RF MEMS) tunable filters with constant absolute bandwidth for the 1.5–2.5-GHz wireless band. The filter design is based on corrugated coupled lines and ceramic substrates ( = 9 9) for miniaturization, and the 3-bit tuning network is fabricated using a digital/analog RF-MEMS device so as to provide a large capacitance ratio and continuous frequency coverage. Narrowband (72 3 MHz) and wideband (115 10 MHz) 1-dB bandwidth two-pole filters result in a measured insertion loss of 1.9–2.2 dB at 1.5–2.5 GHz with a power handling of 25 dBm and an IIP3 33 dBm. The filters also showed no distortion when tested under wideband CDMA waveforms up to 24.8 dBm. The designs can be scaled to higher dielectric-constant substrates to result in smaller filters. To our knowledge, these filters represent the state-of-the-art at this frequency range using any planar tuning technology. Index Terms—Alumina, combline filter, constant bandwidth tunable filter, miniature planar filter, RF microelectromechanical systems (RF MEMS), tunable filter.

I. INTRODUCTION

R

ECENTLY, RF microelectromechanical systems (RF MEMS) tunable filters have demonstrated high- , wide tuning range, and very high linearity designs in the 0.1–10-GHz range [1]–[8], especially with capacitive-based switch networks. This is due to the very low loss seen in capacitive – ), and RF-MEMS devices, their capacitance ratio ( their inherent mechanical response, which does not allow the generation of intermodulation products [9]. In fact, in most of the filters presented in the literature, it is the planar resonator that limits the overall filter , and not the inherent RF-MEMS device loss. Suspended resonators or cavity-based designs are currently emerging, which result in a substantially higher performance, but at the expense of a relatively larger volume, which may not be suitable for compact wireless applications [6], [8], [10], [11].

Manuscript received June 12, 2009; revised December 30, 2009; accepted February 04, 2010. Date of publication May 24, 2010; date of current version June 11, 2010. This work was supported in part by the Center of Wireless Communications, University of California at San Diego, and by the Defense Advanced Research Projects Agency (DARPA) Microsystems Technology Office (MTO) Analog Spectral Processor Program under a contract from Rockwell Collins International. M. A. El-Tanani is with the Intel Corporation, Hillsboro, OR 97124 USA (e-mail: [email protected]). R. Rebeiz is with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA 92093 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049166

Kim et al. [12] presented a four-pole combline varactor based tunable filter at 1.9–2.15 GHz with an insertion loss of 6–7 dB and a bandwidth of 100 3 MHz. This topology, demonstrated over a narrow frequency range, requires the ability to reduce the magnetic coupling by increasing the physical spacing of the resonators at the short-circuited side. Lee et al. [13] presented a two-pole varactor-based tunable filter at 2.1–2.7 GHz with an insertion loss of 6–8 dB and a bandwidth of 94 3 MHz. The resonators are loaded transmission lines with and result in much larger size when compared to combline filters. This paper presents two planar RF-MEMS tunable filters designed specifically as a demonstration of this technology for the wireless bands. The design employs a distributed capacitive coupling between the resonators, which results in a constant absolute bandwidth over the tuning range while still using a short electrical resonator length [14]. A new wideband transformer circuit is used to achieve excellent impedance matching across the 1.5–2.5-GHz band without the need for tuning elements in the input transformer. The RF-MEMS devices are built directly , mm) together with on a ceramic substrate ( the resonators so as to result in a high at 1.5–2.5 GHz, and provide both digital and analog tuning capabilities [15]. This tuning agility is important in order to get the same loading capacitance at each resonator, especially for the narrowband design. It is important to compare wireless tunable filters [16] with a fixed-filter approach based on bulk acoustic wave (BAW) and surface acoustic wave (SAW) technologies.1 2 3 The fixed BAW and SAW filters result in a much higher (1000–3000) at 1–2.5 GHz and are 2–3 mm in area. A multiband transceiver based on fixed filters requires an SP4T to SP8T switching circuit, which adds 0.6–1.1 dB of loss,4 5 and the use of 4–8 different filters. The tunable filters, on the other hand, do not require the switching circuits, but occupy a much larger area and have a lower (100–200). Fixed filter designs also require the use of a low-noise amplifier (LNA) for every channel on the transceiver chip, while the tunable approach allows the use of a single LNA covering a large number of channels. The final design is given by loss, linearity, and cost, and RF-MEMS tunable filters may, one day, offer a solution to complex multiband radios. 1Avago

Technol., San Jose, CA. [Online]. Available: www.avagotech.com/

2TDK

Corporation, Garden City, NY. [Online]. Available: www.tdk.com/ 3Murata Electron. North Amer. Inc., Smyrna, CA. [Online]. Available: www. murata-northamerica.com/ 4Peregrine Semiconduct. Corporation, San Diego, CA. [Online]. Available: www.peregrine-semi.com/ 5M/A-COM Technol. Solutions, Boston, MA. [Online]. Available: www.macom.com/

0018-9480/$26.00 © 2010 IEEE

1630

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 1. Electrical model of the two-pole tunable filters. (a) Wideband design. (b) Narrowband design.

II. DESIGN

The overall admittance matrix can then be written as

A. Admittance Matrix

(9)

Fig. 1(a) shows the miniature two-pole tunable filter with a wideband tuning range. The filter is based on corrugated coupled-line inverter [14] with an improved input impedance transformer. The even- and odd-mode admittances seen from ports A and B are defined by

The -matrix for the 1.5–2.0-GHz narrowband tunable filter shown in Fig. 1(b) is the same as (9) with the change of and definitions according to (10)

(1) (2)

(3)

The external coupling circuits presented in Fig. 1 are synthesized to achieve impedance matching across the tuning range without the need of tunable components in the input network. is weakly dependent on the resonators coupling for narrow to moderate bandwidth filters [18], and Fig. 2 shows the calculations. The reference port for first-order model for is chosen at the loading capacitance position to uncouple

(4)

(5)

(11)

(6)

(8)

where is the passband center frequency and is the filter fractional bandwidth, and , are replaced by the uncoupled transmission-line parameters in (5). The wideband transformer circuit presented in Fig. 2(a) can value at a specific frebe used to synthesize the same quency, but with different slopes. for different matching capacitors is presented in Fig. 3 and one can synthesize a for tunable filters with constant absolute bandwidth or constant values. The same techfractional bandwidth with standard nique can be applied for the input matching of Fig. 2(b).

and , , , , , and are the unsymmetrical coupled-line -parameters found in [17]. , , , and are, respectively, the even- and odd-mode characteristic impedances and electrical lengths of the corrugated coupled lines unit cell [14], and is the number of the unit cells.

B. Implementation The narrow- and wide-bandwidth tunable filters are designed with a constant absolute bandwidth of 70 and 110 MHz and an associated tuning range of 1.5–2.0 and 1.5–2.5 GHz, respectively. The design procedure is based on (9), as outlined

(7)

EL-TANANI AND REBEIZ: HIGH-PERFORMANCE 1.5–2.5-GHz RF-MEMS TUNABLE FILTERS FOR WIRELESS APPLICATIONS

1631

TABLE I DIMENSIONS FOR THE FABRICATED WIDE-BANDWIDTH TUNABLE FILTER , mm). FOR (DIMENSIONS ARE IN MILLIMETERS, THE NARROW-BANDWIDTH FILTER: mm, mm

" = 9:9 H = 0:76 w = 0:72 l = 1:5

Fig. 4. Simulated tunable filters center frequency versus loading capacitance .

C Fig. 2. (a) Approximate model of the wide-tuning range transformer. (b) Simwith different transformer parameters (aluulated resonator mina), mm, mm, mm, mm, and mm.

Q w = 0:85 s = 0:12

l = 4:5

l = 5:5

 = 9:9 w = 0:15

final design parameters are based on a full-wave -matrix. A full-wave simulation for the filter structure without any of the capacitors was done in SONNET [19] and the 12-port -matrix is extracted. This matrix is then transferred into a two-port matrix relative to the tunable capacitors positions by terminating the 12 ports -matrix with the tunable and matching capacitors. The elements of the loaded -matrix are given by (12) is the lumped-element capacitance at port , and where is the lumped-element capacitance between ports and , . The two-port -matrix is then defined as (13)

Fig. 3. Simulated the tunable filter.

k ,Q

and normalized bandwidth percentage change of

in [14]. However, to take into account the physical layout parasitics (bends, via-hole inductance, parasitic coupling, etc.), the

are the elements of the matrix . and Fig. 3 shows the extracted coupling coefficient and for both filters, and Table I shows the tunable filters dimensions. pF for the narrowThe matching capacitors used are pF and pF for the bandwidth filter and wide-bandwidth filter. The simulated capacitance value for 1.5–2.0 GHz (1-dB – pF) and 1.5–2.5 GHz (1-dB bandwidth 70 MHz, – pF) are shown in Fig. 4 bandwidth 110 MHz, for a 4-bit implementation. The required capacitance ratio is 4.5–5.5, and this can be achieved using RF-MEMS switched capacitors [20].

1632

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Q

Fig. 6. (a) High- RF-MEMS cantilever based capacitive network. (b) Electrical-circuit model.

Q

Fig. 5. (a) Zipping effect in the high- tunable capacitive cantilever. (b) Fabricated high- tunable capacitive cantilever. (c) Capacitive cantilever capacitance versus the bias voltage .

Q

V

C. RF-MEMS Tunable Capacitive Network 1) RF-MEMS Device: A cantilever-switch with digital and analog tuning capabilities is used in this work [15]. The switch is based on 3.5–4- m-thick gold beam and results in – fF and – fF depending on the design [see Fig. 5(c)]. The RF-MEMS switch utilizes a zipping effect [see for analog tuning. Fig. 5(a)] with a hold-down bias voltage The RF-MEMS cantilever bends toward the substrate when is increased, thereby creating a zipping effect at the tip of the cantilever and increasing the down-state capacitance. This results in an ideal device for continuous frequency, which makes it ideal for continuous frequency coverage between the digital states. Alternatively, the analog tuning can be achieved using the once the switch pull-down voltage , by applying a higher is pulled-down. This eliminates the need of the large metal–insulator–metal (MIM) capacitor connected in series with the devices [see Fig. 5(b)]. This device has been tested without failure to 65 billion V) cycles (at 16 kHz under partial vacuum with under hot switching conditions ( GHz, W). Such large cycle counts are obtainable because there is always a 0.4–0.5- m air gap between the cantilever and pull-down

Fig. 7. Fabrication process of the tunable filters.

electrode, which results in a low electric field and no substrate charging. 2) Tuning Network: The 3-bit tunable network is shown in Fig. 6. Due to the large required capacitance, several MEMS devices are placed in a parallel configuration for bits 1 and 2 and results in a very low-loss network. A fixed series metal–air–metal capacitor is not used in this network since the analog tunability of the device removes the need of fine capacitance control. The main mechanisms of loss in RF-MEMS networks are the conductive losses and the bias-line effects. To ensure a low-loss network, the length of the thin metal in the RF-MEMS device is as short as possible and is 65 m (see Fig. 5). The SiCr bias lines are placed orthogonal to the high electric field in the resonator gap to reduce the coupled RF current to the bias lines [1]. The bias lines’ width is 10 m close to the resonators and 20 m away from the resonators to reduce the coupled RF current to the bias lines and thereby minimize the associated loss [1]. The bias line loss can be quite high for a bias-line resistance of 1–10 k sq, especially for high- filters, and this method ensures minimal RF coupling and highest . The bias lines are not directly attached to the device, but coupled through the small capacitance between the beam and pull-down

EL-TANANI AND REBEIZ: HIGH-PERFORMANCE 1.5–2.5-GHz RF-MEMS TUNABLE FILTERS FOR WIRELESS APPLICATIONS

1633

Fig. 8. Measurement test fixture for the RF-MEMS tunable filters.

Fig. 9. Fabricated narrow-bandwidth tunable filter. The inset shows the 3-bit RF-MEMS switched capacitor.

electrode ( fF, k at 2 GHz), which further reduces the RF-energy leakage. The total bias-line length is 2.7 mm and is chosen to result in a bias resistance of 500 k for switching speed purposes.

Fig. 10. Measured S -parameters of the narrow-bandwidth tunable filter. The measured center frequency is 1.55–2.05 GHz. The digital/analog RF-MEMS switched capacitor allows continuous frequency tuning. TABLE II SUMMARY OF THE MEASURED RF-MEMS NARROW BANDWIDTH TUNABLE FILTER, BANDWIDTH (BW) IN MEGAHERTZ

III. FABRICATION PROCESS The filters are fabricated on an alumina 99.6% substrate . Via-holes with 350- m diameter are laser drilled on a 1-mm-thick substrate and the substrate is lapped and fine polished to a final thickness of 0.76 mm. Next, the via-holes are filled with a gold electroplating process and again fine polished. A layer of TiW/Au (0.1/2 m) is first sputtered on both sides of the substrate.6 The front side metal is patterned and etched to form pads around the via-holes, while the metal on the backside is protected to make the microstrip ground plane [see Fig. 7(b)]. Second, an SiCR layer (1700 Å) is sputtered and patterned to form the high resistance bias lines. A 600/3300-Å TiW/Au layer is sputtered and patterned to form the RF-MEMS bottom electrode and the filter [see Fig. 7(c)]. Next, a 1500-Å dielectric layer is deposited using plasma enhanced chemical vapor deposition (PECVD) and patterned with reactive ion etching (RIE) to form the dielectric layer [see Fig. 7(d)]. Next, a sacrificial layer (PMMA) is used with a thickness of 1.5 m, and patterned using an RIE machine with evaporated Ti (800 Å) as a mask layer. Next, a seed Ti/Au/Ti layer (200/3000/200 Å) is sputtered and selectively electroplated to 6Vishay

EFI, Shelton, CT.

3.5 m to form the cantilevers and the filter metal [see Fig. 7(f)]. The filter transmission lines are then selectively electroplated for an additional 4 m to reduce the RF losses [see 7(g)]. The total filter thickness is 8–8.5 m, which is three times the skin depth at 1.5 GHz. The seed layer is then etched in the areas that were nonelectroplated. The final step consists of removing the sacrificial layer in a solvent and in drying the device using a super-critical point drier [see Fig. 7(h)]. The measured pull-down voltage of a MEMS switched capacitor on the same wafer is 42 V, which corresponds to a spring constant of 34 N/m. The optical profilimeter shows that

1634

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

Fig. 11. Measured versus simulated S -parameters for the narrow-bandwidth : GHz C : pF, f : GHz C : pF, tunable filter (f f : GHz C : pF).

= 2 05

= 1 55 = 0 52

= 1 85

= 1 78

= 1 87

Fig. 13. Measured S -parameters of the wide-tuning tunable filter. The measured center frequency is 1.56–2.48 GHz.

TABLE III SUMMARY OF THE MEASURED RF-MEMS WIDE BANDWIDTH TUNABLE FILTER, BANDWIDTH (BW) IN MEGAHERTZ

Fig. 12. Fabricated wide-bandwidth tunable filter.

the beam is curled down by about 0.2 m due to vertical stress gradient across the beam (average of 3 MPA m). The measured C–V curve is shown in Fig. 5 with upstate capacitance fF, and a down-state capacitance – fF for a between 40–80 V. The bias line resistance is 2 k for this fabrication run.

were actuated using a 1-kHz bipolar waveform to reduce the effects of substrate charging. The matching capacitors are implemented with surface-mount high- capacitors (0201 size) [22].

IV. MEASUREMENTS The -parameters of both filters were measured with an Agilent E5071B PNA, and the reference planes are at the subminiature A (SMA) connectors. The filters are measured in a shielded box with Teflon pushers on the top cover to assure a good electrical contact between the filter ground plane and box (no solder used on the ground plane). The box has also an opening on one side for dc probing (Fig. 8). The RF-MEMS capacitive switches

A. Narrow-Bandwidth Miniaturized RF-MEMS Tunable Filter A photograph of the fabricated tunable filter is shown in Fig. 9. The measured filter response covers a tuning range of 1.55–2.04 GHz with an insertion loss and 1-dB absolute bandwidth of 1.9–2 dB and 72 3 MHz, respectively (Fig. 10 and Table II). The return loss is better than 20 dB at all states at both ports. The fitted resonator is 125–165 at 1.55–2.04-GHz

EL-TANANI AND REBEIZ: HIGH-PERFORMANCE 1.5–2.5-GHz RF-MEMS TUNABLE FILTERS FOR WIRELESS APPLICATIONS

1635

Fig. 14. Measured versus simulated S -parameters for the wide-bandwidth RF-MEMS tunable filter (f : GHz C : pF, f : GHz C : pF, f : GHz C pF, f : GHz C : pF).

= 1 87

=22

= 1 56 =1

= 2 74 = 2 48

= 1 77 =05

tuning range. The insertion loss is also measured with the top box cover removed and showed no improvement. This is due to the negligible filter radiation loss due to the substrate thickness . The (0.76 mm) and high dielectric constant used measured insertion loss of a similar filter fabricated without the bias line to bit 1 switches (see Fig. 6) showed an improvement of only 0.1 dB. The exact capacitance value of the switches varies across the wafer, and therefore, simulation results with a fitted capacitance value is obtained and shows good agreement with the measured filter response (Fig. 11).

B. Wide-Bandwidth Miniaturized RF-MEMS Tunable Filter A photograph of the fabricated tunable filter is shown in Fig. 12. The measured filter response covers a tuning range of 1.56–2.48 GHz with an insertion loss and 1-dB absolute 10 MHz, respectively bandwidth of 1.9–2.2 dB and 115 (Fig. 13 and Table III). The return loss is better than 14 dB at all states at both ports. The fitted resonator is 86–116 at 1.6–2.5-GHz tuning range. The insertion loss is also measured with the top box cover removed and showed no improvement. Again, simulation results with fitted capacitance values show good agreement with the measured filter response (Fig. 14). The rejection at the high frequency side is limited by the source–load coupling path. Simulations show that the rejection improves by additional 10 dB at 4 GHz with the source–load coupling removed.

Fig. 15. (a) P measurement setup. (b) . (d) Measured . sured P

IIP

IIP

measurement setup. (c) Mea-

C. Nonlinear Measurements The RF signal across the RF-MEMS capacitance generates that is acting on the a mechanical force proportional to tip of cantilever. This RF-voltage swing causes a nonlinear capacitance behavior, which results in small-signal distortion. With large-signal voltage, this also results in self-biasing of the RF-MEMS cantilevers and nonoptimal filter response. The small- and large-signal measurement setups are shown in Fig. 15. All the nonlinear measurements are done in the up-state position since this results in the maximum RF-voltage swing across the RF-MEMS cantilevers, and therefore, the worst show nonlinear characteristics. The measured input that both tunable filters can handle 25 dBm of input power before self-biasing occurs [see Fig. 15(c)]. This corresponds to of 16 V across the RF-MEMS capacitance. a simulated The switch has a lower pull-in voltage in this case because the spring constant defined at the cantilever tip is lower than the spring constant defined above the pull-down electrode. Using a thicker beam results in a higher spring constant (at the tip of

1636

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 6, JUNE 2010

TABLE IV COMPARISON BETWEEN RF-MEMS FILTERS ( AND VARACTOR DIODE FILTER ( : ,h

= 9:9, h = 0:76 mm) = 6 15 = 0:62 mm) [14]

1. Size does not include bias-line pads. MHz. 2. IIP3 at f

1 =1

the Schottky diode one, but with twice the resonator , and much higher power handling and linearity. The RF-MEMS implementation also allows for much wider frequency tuning, as seen in the 1.56–2.48-GHz filter.

V. CONCLUSION

Fig. 16. Measured filter output spectrum for WCDMA input at different input power levels. (a) Narrow-bandwidth filter. (b) Wide-bandwidth filter.

the cantilever), which increases the power-handling capability of the filter at the expense of a higher control voltage. of the tunable filters is measured versus the difand results in dBm for ference frequency kHz. For the RF-MEMS switches, the intermodulation component follows the mechanical response of the bridge, level increases by 20 dB/decade for , and the is the bridge mechanical resonance frequency [9]. where measurement shows a mechanical resonance freThe quency around 30 kHz, which is same as expected for a single device [15]. A WCDMA signal with quadrature phase-shift keying (QPSK) modulation and chip rate of 3.84 Mc/s is also applied to the input of the RF-MEMS tunable filters at a large-signal level. The output spectrum shows no distortion or signal growth occurred as long as the signal power is below the 1-dB compression point (Fig. 16). This was predicted theoretically by [23] and shows the excellent linearity and power handling of RF-MEMS tunable filters.

D. Comparison With Schottky Diodes Table IV presents a comparison between the Schottky-diode implementation [14] and this study. The narrowband RF-MEMS filter results in a similar frequency range as

This paper has demonstrated that the RF-MEMS tunable filter is a suitable technology for wireless systems in the 1.5–2.5-GHz range, and can result in a narrowband filter response (72 MHz) and low insertion loss ( 2 dB). The filters can also handle at least 300 mW of RF power with very low distortion when characterized using a two-tone technique or using a WCDMA signal (adjacent channel power ratio (ACPR), etc.). A smaller filter can be implemented in the future with the use of high dielectric constant ceramic substrates. These may require higher capacitance loading, which can also be achieved using RF-MEMS devices.

ACKNOWLEDGMENT The authors would like to thank Dr. R. Mahameed, University of California at San Diego, La Jolla, for the technical discussion and encouragement during this project. The authors also appreciate the help of P. Harper, Vishay EFI, Shelton, CT, during the via-hole filling process.

REFERENCES [1] S. Park, M. A. El-Tanani, I. Reines, and G. M. Rebeiz, “Low-loss 4–6 GHz tunable filter with 3-bit high-Q orthogonal bias RF-MEMS capacitance network,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 10, pp. 2348–2355, Oct. 2008. [2] K. Entesari and G. M. Rebeiz, “A differential 4-bit 6.5–10 GHz RF MEMS tunable filter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 1103–1110, Mar. 2005. [3] A. Pothier, J.-C. Orlianges, G. Zheng, C. Champeaux, A. Catherinot, P. B. D. Cros, and J. Papapolymerou, “Low-loss 2-bit tunable bandpass filters using MEMS DC contact switches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 354–360, Jan. 2005. [4] R. M. Young, J. D. Adam, C. R. Vale, T. T. Braggins, S. V. Krishnaswamy, C. E. Milton, D. W. Bever, L. G. Chorosinski, L.-S. Chen, D. E. Crockett, C. B. Freidhoff, S. H. Talisa, E. Capelle, R. Tranchini, J. R. Fende, J. M. Lorthioir, and A. R. Tories, “Low-loss bandpass RF filter using MEMS capacitance switches to achieve a one-octave tuning range and independently variable bandwidth,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1781–1784.

EL-TANANI AND REBEIZ: HIGH-PERFORMANCE 1.5–2.5-GHz RF-MEMS TUNABLE FILTERS FOR WIRELESS APPLICATIONS

[5] B. Pillans, A. Malczewski, R. Allison, and J. Brank, “6–15 GHz RF MEMS tunable filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 919–922. [6] I. Reines, A. R. Brown, M. A. El-Tanani, A. Grichener, and G. M. Rebeiz, “1.6–2.4-GHz RF-MEMS tunable 3-pole suspended combline filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 133–136. [7] K. Entesari, K. Obeidat, A. R. Brown, and G. M. Rebeiz, “A 25–75 MHz RF MEMS tunable filter,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2399–2405, Nov. 2007. [8] S.-J. Park, I. Reines, and G. M. Rebeiz, “High- RF-MEMS tunable evanescent-mode cavity filter,” in MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2009, pp. 1145–1148. [9] L. Dussopt and G. M. Rebeiz, “Intermodulation distortion and power handling in RF MEMS switches, varactors, and tunable filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1247–1256, Apr. 2003. [10] X. Liu, L. P. B. Katehi, W. J. Chappell, and D. Peroulis, “A 3.4–6.2 GHz continuously tunable electrostatic MEMS resonator with quality factor of 460–530,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2009, pp. 1149–1152. [11] W.-D. Yan and R. R. Mansour, “Tunable dielectric resonator bandpass filter with embedded MEMS tuning elements,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 1, pp. 154–160, Jan. 2007. [12] B.-W. Kim and S.-W. Yun, “Varactor-tuned combline bandpass filter using step-impedance microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1279–1283, Apr. 2004. [13] J. Lee and K. Sarabandi, “An analytic design method for microstrip tunable filters,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1699–1706, Jul. 2008. [14] M. A. El-Tanani and G. M. Rebeiz, “Corrugated coupled-lines for constant absolute bandwidth tunable filters,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 956–963, Apr. 2010. [15] A. Grichener, B. Lakshminarayanan, and G. M. Rebeiz, “HighRF MEMS capacitor with digital/analog tuning capabilities,” in IEEE MTTS Int. Microw. Symp. Dig., Atlanta, GA, Jun. 2008, pp. 1283–1286. [16] G. M. Rebeiz, K. Entesari, I. Reines, S.-J. Park, M. A. El-Tanani, A. Grichener, and A. R. Brown, “Tuning in to RF MEMS,” IEEE Microw. Mag., vol. 10, no. 5, pp. 55–72, Oct. 2009. [17] V. K. Tripathi, “Asymmetric coupled transmission lines in an inhomogeneous medium,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 9, pp. 734–739, Sep. 1975. [18] M. Dishal, “A simple design procedure for small percentage bandwidth round-rod interdigital filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 9, pp. 696–698, Sep. 1965. [19] SONNET. ver. 11.52, SONNET Softw. Inc., Syracuse, NY, 2007. [20] G. M. Rebeiz, RF MEMS Theory, Design, and Technology. New York: Wiley, 2003. [21] “Roger RT/Duroid data sheet,” Rogers Corperation, Roger, CT, 2006. [22] “Multi-layer high- capacitors data sheet,” Jhonson Technol. Inc., Camarillo, CA. [23] K. Entesari and G. M. Rebeiz, “RF MEMS, BST and GaAs varactor system-level response in complex modulation systems,” Int. J. RF Microw. Comput.-Aided Eng., vol. 18, pp. 86–98, Jan. 2008.

Q

Q

Q

1637

Mohammed A. El-Tanani (S’05) received the B.S. degree in electrical engineering from The Islamic University, Gaza Strip, Palestine, in 2003, the M.S. degree in electrical engineering from The University of Michigan at Ann Arbor, in 2005, and the Ph.D. degree in electrical engineering from the University of California at San Diego, La Jolla, in 2009. He is currently with the Intel Coporation, Hillsboro, OR, where he is involved with on-chip microwave components design and modeling. His research includes high-efficiency CMOS power amplifiers, RF-MEMS devices and tunable filters, low-distortion varactor-diode-based RF/microwave tunable filters, phase shifters, and packaging techniques.

Gabriel M. Rebeiz (S’86–M’88–SM’93–F’97) received the Ph.D. degree from the California Institute of Technology, Pasadena. He is currently a Professor of electrical and computer engineering with the University of California at San Diego, La Jolla. From 1988 to 2004, he was with The University of Michigan at Ann Arbor. He has contributed to planar millimeter-wave and terahertz antennas and imaging arrays from 1988 to 1996, and his group has optimized the dielectric-lens antennas, which is the most widely used antenna at millimeter- wave and terahertz frequencies. His group recently developed 6–18and 30–50-GHz eight- and 16-element phased arrays on a single chip, making them one of the most complex RF integrated circuits (RFICs) at this frequency range. His group also demonstrated high- RF-MEMS tunable filters at 4–6 GHz ( 300) and the new angular-based RF-MEMS capacitive and metal-contact switches. As a consultant, he developed the 24-GHz single-chip automotive -, and -band phased arrays for defense apradar with USM/ViaSat, -, plications, the RF Micro Devices (RFMD) RF-MEMS switch, and the Agilent RF-MEMS switch. He leads a group of 18 Ph.D. students and three postdoctoral fellows in the area of millimeter-wave RFICs, microwaves circuits, RF MEMS, planar millimeter-wave antennas, and terahertz systems. He is the Director of the UCSD/Defense Advanced Research Projects Agency (DARPA) Center on RF MEMS Reliability and Design Fundamentals. He authored RF MEMS: Theory, Design and Technology (Wiley, 2003). Prof. Rebeiz is a National Science Foundation (NSF) Presidential Young Investigator. He has been an associate editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He has been a Distinguished Lecturer for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and IEEE AP-SIEEE Antennas and Propagation Society (IEEE AP-S). He was the recipient of an URSI Koga Gold Medal Recipient, an IEEE MTT-S Distinguished Young Engineer (2003), and the IEEE MTT–S 2000 Microwave Prize. He was also the recipient of the 1998 Eta Kappa Nu Professor of the Year Award, the 1998 Amoco Teaching Award given to the best undergraduate teacher at The University of Michigan at Ann Arbor, and the 2008 Teacher of the Year Award of the Jacobs School of Engineering, UCSD. His students have been the recipients of a total of 18 Best Paper Awards presented at IEEE MTT-S, RFIC, and IEEE AP-S conferences.

Q>

X Ku

W

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2010.2052387

Digital Object Identifier 10.1109/TMTT.2010.2052136

Digital Object Identifier 10.1109/TMTT.2010.2052385

EDITORIAL BOARD Editors: D. WILLIAMS AND A. MORTAZAWI Associate Editors: D. DE ZUTTER, I. GRESHAM, W. HEINRICH, W. HONG, R. JACKSON, J.-T. KUO, Y. KWON, J. LIN, M. MONGIARDO, J.-C. PEDRO, Z. POPOVIC, R. V. SNYDER, C. WANG

P. Aaen A. Abbaspour-Tamijani A. Abbosh D. Abbott A. Abdipour M. Abe M. Abegaonkar R. Abhari A. Abramowicz M. Acar L. Accatino R. Achar E. Ackerman J. Adam K. Agawa M. Ahmad H.-R. Ahn B. Ai M. Aikawa J. Aikio C. Aitchison M. Akaike T. Akin S. Aksoy I. Aksun A. Akyurtlu G. Ala L. Albasha A. Alexanian W. Ali-Ahmad F. Alimenti R. Allam K. Allen A. Alphones A. Alu A. Álvarez-Melcon A. Al-Zayed S. Amari H. Amasuga R. Amaya H. An D. Anagnostou M. Andersen K. Andersson M. Ando Y. Ando P. Andreani M. Andrés W. Andress K. Ang C. Angell I. Angelov Y. Antar G. Antonini H. Aoki V. Aparin F. Apollonio R. Araneo J. Archer F. Ares F. Ariaei T. Arima M. Armendariz L. Arnaut F. Arndt E. Artal H. Arthaber F. Aryanfar U. Arz M. Asai Y. Asano A. Asensio-Lopez K. Ashby H. Ashoka A. Atalar A. Atia S. Auster I. Awai A. Aydiner M. Ayza K. Azadet R. Azaro A. Babakhani P. Baccarelli M. Baginski I. Bahl S. Bajpai J. Baker-Jarvis B. Bakkaloglu M. Bakr A. Baladin C. Balanis S. Balasubramaniam J. Balbastre J. Ball P. Balsara Q. Balzano A. Banai S. Banba R. Bansal D. Barataud A. Barbosa F. Bardati I. Bardi J. Bardin A. Barel S. Barker F. Barnes J. Barr G. Bartolucci R. Bashirullan S. Bastioli A. Basu B. Bates R. Baxley Y. Bayram J.-B. Bégueret N. Behdad F. Belgacem H. Bell D. Belot J. Benedikt T. Berceli C. Berland M. Berroth G. Bertin E. Bertran A. Bessemoulin M. Beurden A. Bevilacqua A. Beyer M. Bialkowski

E. Biebl P. Bienstman S. Bila D. Blackham R. Blaikie M. Blank P. Blockley P. Blondy P. Blount D. Boccoli G. Boeck L. Boglione R. Boix G. Bonaguide F. Bonani G. Bonmassar O. Boos B. Borges V. Boria-Esbert O. Boric-Lubecke A. Borji S. Borm J. Bornemann W. Bosch R. Bosisio H. Boss G. Botta N. Boulejfen S. Boumaiza J. Bouny C. Boyd C. Bozler M. Bozzi R. Bradley D. Braess N. Braithwaite M. Brandolini G. Branner T. Brazil J. Breitbarth M. Bressan K. Breuer B. Bridges D. Bridges J. Brinkhoff E. Brown S. Brozovich E. Bryerton D. Budimir G. Burdge P. Burghignoli N. Buris C. C. Galup-Montoro B. Cabon P. Cabral L. Cabria C. Caloz C. Camacho-Peñalosa V. Camarchia E. Camargo R. Cameron M. Camiade C. Campbell M. Campovecchio F. Canavero A. Cangellaris A. Cantoni C. Cao F. Capolino F. Cappelluti G. Carchon J. Carmo K. Carr F. Carrez R. Carrillo-Ramirez P. Carro R. Carter N. Carvalho P. Casas R. Castello J. Catala M. Cavagnaro R. Caverly D. Cavigia J. Cazaux M. Celuch Z. Cendes D. Chadha M. Chae S. Chakraborty C. Chan C. Chang H. Chang K. Chang S. Chang T. Chang W. Chang E. Channabasappa H. Chapell W. Chappell C. Charles M. Chatras I. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu A. Cheldavi A. Chen C. Chen H. Chen J. Chen K. Chen M. Chen N. Chen S. Chen Y. Chen Z. Chen Z.-N. Chen H. Cheng K. Cheng M. Cheng Y. Cheng C. Cheon C. Chi M. Chia Y. Chiang J. Chiao A. Chin K. Chin H. Chiou Y. Chiou C. Chiu

H. Chiu A. Chizh C. Cho K. Cho T. Cho A. Choffrut C. Choi J. Choi W. Choi C. Chong M. Chongcheawchamnan C. Chou D. Choudhury E. Chow Y. Chow C. Christodoulou C. Christopoulos Q. Chu T. Chu H. Chuang M. Chuang Y. Chun S. Chung Y. Chung D. Chye A. Cidronali T. Cisco C. Cismaru O. Civi S. Clavijo M. Clénet D. Cogan P. Colantonio M. Cole J. Coleman J. Collantes R. Collin C. Collins B. Colpitts R. Compton G. Conciauro M. Condon D. Consonni A. Constanzo M. Converse K. Cools F. Cooray I. Corbella A. Costanzo S. Cotton C. Courtney G. Coutts J. Cowles J. Craninckx C. Crespo-Cadenas J. Cressler S. Cripps T. Crowe J. Cruz T. Cui E. Cullens T. Cunha W. Curtice J. Dabrowski W. Dai G. Dambrine P. Dankov F. Danneville I. Darwazeh A. Darwish N. Das M. Davidovich L. Davis D. Dawn J. Dawson H. Dayal F. De Flaviis D. De Zutter B. Deal A. Dearn J. Deen M. Dehan C. Dehollain C. Deibele G. Dejean M. DeLisio N. Deltimple S. Demir V. Demir J. Deng A. Dengi T. Denidni W. DeRaedt H. Deshpande Y. Deval R. Dey T. Dhaene L. Diaz A. Diaz-Morcillo L. Ding D. Dinkhart M. Dionigi C. Diskus A. Djordjevi T. Djordjevic J. Dobrowolski H. Dogan S. Donati X. Dong A. Dounavis P. Draxler R. Drayton A. Dreher J. Drewniak J. Duchamp A. Duffy L. Dunleavy J. Dunsmore S. Durden L. Dussopt C. Duvanaud J. East J. Ebel K. Eccleston I. Ederra R. Egri I. Ehrenberg N. Ehsan T. Eibert H. Eisele W. Eisenstadt G. Eleftheriades

F. Ellinger G. Ellis T. Ellis M. El-Nozahi M. Elsbury S. Elschner M. El-Shenawee T. Enoki K. Entesari L. Epp I. Erdin O. Ergul T. Eriksson C. Ernst D. Erricolo I. Eshrah M. Essaaidi H. Esteban C. Eswarappa W. Eyssa A. Ezzeddine C. Fager M. Fahmi Y. Fan D. Fang M. Farina A. Fathy M. Faulkner P. Fay A. Fazzi E. Fear P. Fedorenko D. Feld Y. Feng A. Feresidis A. Fernandez T. Fernandez M. Fernández-Barciela M. Ferndahl F. Fernez P. Ferrari E. Ferre-Pikal A. Ferrero M. Ferriss H. Fetterman J. Fiedziuszko S. Fiedziuszko G. Fikioris J. Fikioris I. Filanovsky F. Filicori D. Filipovic R. Fletcher B. Floyd H. Foltz N. Fong B. Fornberg F. Fortes K. Foster P. Foster P. Franzon A. Frappe J. Freire M. Freire A. Freundorfer F. Frezza I. Frigyes R. Frye J. Fu O. Fu R. Fujimoto O. Fujiwara C. Fumeaux C. Furse V. Fusco D. Gabbay E. Gad M. Gadringer N. Gagnon J. Gajadharsing A. Gala C. Galbraith B. Galwas J. Gambini A. Gameiro O. Gandhi B. Gao J. Gao S. Gao C. Gaquiere H. Garbe J. Garcia M. Garcia P. Garcia-Ducar F. Garcia-Vidal K. Gard P. Gardner P. Garland P. Gaudo J. Gautier S. Gedney B. Geelen F. Gekat B. Geller R. Genov A. Georgiadis N. Georgieva J. Gerdes W. Gerhard S. Gevorgian H. Ghali M. Ghanevati F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione M. Ghovanloo F. Giannini A. Gibson I. Gil P. Gilabert B. Gimeno D. Ginste A. Goacher E. Godshalk A. Goel C. Goldsmith M. Golio M. Golosovsky R. Gómez-García A. Goncharenko X. Gong

R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath A. Görür K. Gosalia M. Gouker K. Goverdhanam W. Grabherr J. Graffeuil L. Gragnani J. Grahn J. Grajal V. Granatstein A. Grbic A. Grebennikov I. Gresham A. Griol D. Grischowsky S. Grivet-Talocia E. Grossman S. Gruszczynski T. Grzegorczyk S. Guenneau T. Guerrero S. Gunnarsson J. Guo Y. Guo C. Gupta M. Gupta R. Gupta R. Gutmann W. Gwarek R. Habash S. Hadjiloucas D. Haemmerich M. Hagmann S. Hagness A. Halappa P. Hale D. Ham E. Hamidi O. Hammi H. Han T. Hancock A. Hanke G. Hanson Y. Hao Z. Hao R. Harjani L. Harle H. Harris P. Harrison O. Hartin J. Hasch H. Hashemi K. Hashimoto J. Haslett G. Hau S. Hauptmann L. Hayden L. He Y. He R. Heath E. Hegazi G. Hegazi S. Heinen W. Heinrich G. Heiter M. Hella R. Henderson F. Henkel B. Henning D. Heo K. Herrick F. Herzel J. Hesler J. Hesthaven K. Hettak H. Heuermann P. Heydari A. Hietala A. Higgins A. Hirata J. Hirokawa M. Ho K. Hoffmann R. Hoffmann E. Holzman V. Hombach J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii J. Horng T.-S. Horng J. Horton K. Hosoya M. Hotta J. Hoversten J. Howard M. Høyerby H. Hsieh L. Hsieh C. Hsu H. Hsu J. Hsu C. Hsue R. Hu C. Huang F. Huang H. Huang P. Huang T. Huang J. Hubert W. Huei A. Hülsmann A. Hung C. Hung J. Hung I. Hunter I. Huynen H. Hwang J. Hwang K. Hwang R. Hwang G. Iannaccone K. Ikossi M. Isaksson T. Ishizaki

Digital Object Identifier 10.1109/TMTT.2010.2052388

S. Islam M. Ito K. Itoh T. Itoh Y. Itoh A. Ittipiboon F. Ivanek D. Iverson M. Iwamoto D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob K. Jacobs S. Jacobsen D. Jaeger J. Jaeger S. Jagannathan N. Jain G. James M. Janezic S. Jang M. Jankovic D. Jansen L. Jansson H. Jantunen H. Jardon-Aguilar J. Jargon N. Jarosik B. Jarry P. Jarry A. Jastrzebski B. Jemison W. Jemison S. Jeng A. Jenkins S. Jeon D. Jeong J. Jeong Y. Jeong A. Jerng T. Jerse T. Jiang X. Jiang G. Jianjun D. Jiao J. Jin J. M. Jin J. Joe T. Johnson B. Jokanovic U. Jordan K. Joshin J. Joubert S. Jung T. Kaho S. Kanamaluru K. Kanaya S. Kang P. Kangaslahti B. Kapilevich I. Karanasiou M. Karim T. Kataoka A. Katz R. Kaul R. Kaunisto T. Kawai S. Kawasaki M. Kazimierczuk L. Kempel P. Kenington P. Kennedy A. Kerr D. Kettle A. Khalil W. Khalil S. Khang A. Khanifar A. Khanna R. Khazaka J. Khoja S. Kiaei J. Kiang B. Kim C. Kim D. Kim H. Kim I. Kim J. Kim S. Kim T. Kim W. Kim N. Kinayman R. King N. Kinzie S. Kirchoefer A. Kirilenko M. Kishihara T. Kitazawa J. Kitchen T. Klapwijk E. Klumperink D. Klymyshyn L. Knockaert R. Knoechel M. Koch K. Koh N. Kolias J. Komiak A. Komijani G. Kompa A. Konanur A. Konczykowska H. Kondoh B. Kopp B. Kormanyos J. Korvink P. Kosmas Y. Kotsuka S. Koziel A. Kozyrev V. Krishnamurthy H. Krishnaswamy C. Krowne J. Krupka D. Kryger H. Ku H. Kubo A. Kucar

A. Kucharski C. Kudsia A. Kudymov D. Kuester B. Kuhn W. Kuhn T. Kuki A. Kumar J. Kuno C. Kuo J.-T. Kuo H. Kurebayashi F. Kuroki L. Kushner S. Kusunoki D. Kuylenstierna Y. Kwon G. Kyriacou A. Lacaita J. Lamb P. Lampariello U. Langmann T. Larsen L. Larson J. Laskar C. Lau K. Lau A. Lauer D. Lautru P. Lavrador A. Lavrinenko A. Lazaro G. Lazzi R. Lech B. Lee C.-H. Lee C. Lee H. Lee J. Lee J.-H. Lee K. Lee R. Lee S. Lee T. Lee Y. Lee D. Leenaerts Z. Lei G. Leizerovich K. Leong Y. Leong R. Leoni C. Ler G. Leuzzi B. Levitas R. Levy C. Li L. Li M. Li X. Li L. Lianming C. Liao S. Liao D. Lie E. Lima E. Limiti F. Lin J. Lin K. Lin T. Lin Y. Lin S. Lindenmeier A. Lindner F. Ling P. Linnér D. Linten D. Linton D. Lippens F. Little V. Litvinov C. Liu H. Liu J. Liu K. Liu Q. Liu S. Liu Y. Liu Z. Liu A. Llewandowski O. Llopis I. Lo L. Locht A. Loke K. Lonngren T. Lopetegi N. Lopez U. Lott G. Lovat D. Lovelace Z. Low C. Lu L. Lu S. Lu Y. Lu V. Lubecke S. Lucyszyn D. Ludwig N. Luhmann M. Lui J. Luy G. Lyons A. M. Niknejad K. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella J. Machac B. Machiels M. Madihian A. Madjar G. Magerl S. Magierowski R. Mahmoudi I. Maio F. Maiwald A. Majedi H. Majedi M. Majewski M. Makimoto R. Makinen D. Malocha J. Manges

R. Mansour D. Manstretta J. Mao S. Mao F. Maradei A. Margomenos D. Markovic E. Márquez-Segura J. Martens F. Martin E. Martini K. Maruhashi J. Marzo D. Masotti A. Massa G. Massa F. Mastri J. Mateu A. Matsushima M. Mattes G. Matthaei K. Mayaram M. Mayer U. Mayer W. Mayer J. Mazeau S. Mazumder A. Mazzanti G. Mazzarella K. McCarthy G. McDonald I. McGregor M. McKinley J. McLean D. McQuiddy A. Mediano F. Medina M. Megahed I. Mehdi K. Mehrany A. Melcon R. Melville F. Mena D. Mencarelli C. Meng R. Menozzi W. Menzel P. Mercier B. Merkl F. Mesa R. Metaxas A. Metzger P. Meyer P. Mezzanotte E. Michielsen A. Mickelson D. Miller P. Millot J. Mingo F. Miranda D. Mirshekar A. Mirzaei S. Mitilineos R. Miyamoto K. Mizuno J. Modelski W. Moer M. Moghaddam A. Mohammadi S. Mohammadi A. Mohammadian P. Mohseni E. Moldovan M. Mollazadeh M. Mongiardo P. Monteiro J. Montejo-Garai G. Montoro J. Monzó-Cabrera J. Morente T. Morf D. Morgan M. Morgan A. Morini A. Morris J. Morsey A. Mortazawi M. Moussa M. Mrozowski Q. Mu J.-E. Mueller J. Muldavin K. Murata S.-S. Myoung M. Myslinski B. Nabet V. Nair K. Naishadham Y. Nakasha M. Nakatsugawa M. Nakhla J.-C. Nallatamby I. Nam S. Nam J. Nanzer T. Narhi A. Nashashibi A. Natarajan J. Nath A. Navarrini J. Navarro J. Nebus R. Negra J. Neilson B. Nelson P. Nepa A. Neri H. Newman G. Ng D. Ngo E. Ngoya C. Nguyen E. Nicol A. Nicolet S. Nicolson E. Niehenke M. Nielsen K. Nikita P. Nikitin N. Nikolova M. Nisenoff K. Nishikawa T. Nishino

G. Niu B. Noori C. Nordquist B. Notaros K. Noujeim D. Novak I. Novak G. Nusinovich K. O I. Obeid J. Obregon R. O’Dea M. O’Droma M. Odyniec J.-E. Oh T. Ohira E. Öjefors H. Okazaki V. Okhmatovski A. Oki M. Okumura G. Olbrich S. Olson F. Olyslager A. Omar K. Onodera B.-L. Ooi S. Ootaka H. Oraizi G. Orengo A. Orlandi R. Orta J. Ortega-Gonzalez S. Ortiz S. Otaka B. Otis K. Ozdemir T. Ozdemir O. Ozlem P. Paco R. Paknys S. Pal Y. Palaskas D. Palmer S. Pamarti G.-W. Pan S.-K. Pan A. Panariello K. Pance J. Papapolymerou S. Parisi C.-S. Park E. Park J.-S. Park M.-J. Park S. Park W. Park A. Parker T. Parker D. Pasquet M. Pastorino H. Pau S. Paulotto A. Pavio D. Pavlidis W. Pearson J.-C. Pedro S. Peik S. Pellerano G. Pelosi M. Pelosi D. Pelz R. Pengelly J. Pereda F. Pereira A. Perennec B. Perlman D. Peroulis L. Perregrini K. Per-Simon M. Persson M. Petelin A. Peterson A. Petosa O. Peverini U. Pfeiffer A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierantoni B. Pillans S. Pinel Z. Ping M. Pirola S. Pisa G. Pisano D. Pissoort D. Plant C. Plett J. Plumridge C. Pobanz A. Poddar F. Podevin R. Pogorzelski G. Ponchak A. Poon D. Popovic Z. Popovic J. Portilla M. Pospieszalski A. Pothier K. Pourvoyeur J. Powell H. Powen R. Prabhu L. Pradell S. Prasad D. Prather A. Priou S. Pruvost Y. Qian R. Qiang J. Qiu T. Quach X. Quan R. Quay C. Queck C. Quendo R. Quéré F. Quesada F. Raab V. Radisic

M. Raffetto A. Raffo T. Rahkonen R. Raich A. Raisanen O. Ramahi M. Ramdani R. Ranson P. Rantakari L. Ranzani P. Ratajczak H. Rategh C. Rauscher J. Rautio T. Rautio B. Rawat J. Rayas-Sanchez G. Rebeiz J. Rebollar M. Reddy J. Reid R. Reid J. Reina-Tosina S. Reising B. Rembold K. Remley R. Renaut S. Rengarajan D. Resca P. Reynaert S. Reynolds A. Rezazadeh E. Rezek S. Ricci A. Riddle L. Rienzo D. Ritter E. Rius J. Rizk V. Rizzoli M. Roberg I. Robertson P. Roblin A. Roden C. Rodenbeck W. Rodriguez F. Rodriguez-Morales M. Rodwell A. Rofougaran R. Rogers H. Rogier U. Rohde V. Rokhlin Y. Rolain J.-M. Rollin R. Romanofsky S. Romisch G. Romo Y. Rong D. Rönnow D. Root N. Rorsman M. Rosario L. Roselli A. Rosen U. Rosenberg M. Rosker T. Roste F. Rotella E. Rothwell R. Rotman P. Rovati J. Roy L. Roy M. Roy T. Rozzi T. Rubaek J. Rubio D. Rudolph M. Rudolph A. Ruehli C. Ruppel A. Rydberg J. Ryynänen C. Saavedra F. Sabath K. Sachse B. Sadler N. Safari A. Safarian A. Safavi-Naeini A. Safwat P. Saha K. Saito I. Sakagami S. Sakhnenko T. Samaras J. Sambles C. Samori A. Sanada J. Sanchez S. Sancho K. Sano A. Santarelli H. Santos S. Sanyal K. Sarabandi T. Sarkar C. Sarris H. Sato P. Saunier M. Sawan H. Sayadian A. Sayeed W. Scanlon E. Schamiloglu J. Schellenberg M. Schindler E. Schlecht E. Schmidhammer L.-P. Schmidt S. Schmidt D. Schmitt F.-J. Schmueckle J. Schoebel D. Schreurs D. Schrijver A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann J. Schutt-Aine

J. Scott F. Sechi K. Sellal V. Semenov E. Semouchkina K.-S. Seo J. Sercu A. Serebryannikov J. Sevic O. Sevimli F. Seyfert L. Shafai A. Shameli O. Shanaa Z. Shao I. Shapir A. Sharma S. Sharma J. Sharp D. Sheen T. Shen Z. Shen Y. Shestopalov J. Shi Y.-Q. Shi H. Shigematsu Y. Shih H. Shin S. Shin S.-H. Shin N. Shino W. Shiroma S. Shitov K. Shu D. Shyroki D. Sievenpiper C. Silva D. Silveira M. Silveirinha K. Silvonen W. Simbuerger G. Simin R. Simons C. Simovsky J. Simpson V. Simulik D. Simunic H. Singh D. Sinnott Z. Sipus C. Siviero H. Sjöland M. Slazar-Palma R. Sloan P. Smith C. Snowden R. V. Snyder M. Sobhy A. Sodagar N. Sokal K. Solbach J. Sombrin Y.-K. Song R. Sorrentino A. Soury E. Sovero J. Sowers R. Sperlich B. Spielman K. Stadius P. Staecker D. Staiculescu D. Stancil A. Stancu A. Stanitzki S. Stapleton J. Staudinger P. Stauffer B. Stec D. Steenson P. Steenson M. Steer G. Stegmayer J. Stenarson B. Stengel K. Stephan C. Stevens N. Stevens M. Steyaert J. Stiens I. Stievano S. Stitzer M. Straayer B. Strassner A. Street W. Struble M. Stubbs M. Stuchly B. Stupfel A. Suárez G. Subramanyam T. Sudo N. Suematsu T. Suetsugu C. Sullivan F. Sullivan A. Sulyman N. Sun S. Sun X. Sun R. Sutton K. Suzuki J. Svacina M. Swaminathan D. Swanson B. Szendrenyi W. Tabbara A. Taflove Y. Tajima T. Takagi M. Takahashi I. Takenaka T. Takenaka V. Talanov S. Talisa K.-W. Tam B. Tan E. Tan J. Tan T. Tanaka C.-W. Tang W.-C. Tang

X.-H. Tang T. Taris R. Tascone P. Tasker J. Taub J. Tauritz V. Tavares S. Taylor D. Teeter R. Temkin M. Tentzeris V. Teppati J.-P. Teyssier N. Thakor H. Thal J. Tham M. Thumm M. Tiebout E. Tiiliharju M.-R. Tofighi P. Tognolatti T. Toifl T. Tokumitsu A. Tombak A. Topa E. Topsakal H. Torres-Silva G. Town S. Tretyakov R. Trew P. Troyk C. Trueman A. Truitt C.-M. Tsai Z.-M. Tsai J. Tsalamengas C.-H. Tseng T. Tsiboukis J. Tsui M. Tsutsumi S. H.-L. Tu W.-H. Tu N. Tufillaro V. Turin G. Twomey C.-K. Tzuang T. Ueda V. Urick K. U-Yen N. Uzunoglu T. Vähä-Heikkilä R. Vahldieck A. Valdovinos G. Vandenbosch K. Vanhille D. Vanhoenacker-Janvier G. Vannini L. Vardapetyan G. Vasilescu C. Vaucher J. Vaz L. Vegni G. Vendelin S. Verdeyme M. Vérez A. Verma J. Verspecht P. Vial H.-O. Vickes A. Victor L. Vietzorreck C. Vittoria S. Vitusevich R. Voelker S. Voinigescu J. Volakis A. Vorst M. Vossiek M. Vouvakis B. Vowinkel L. Vreede K. Vryssas C. Wagner B. Waldmann P. Waldow A. Walker P. Wambacq S. Wane B.-Z. Wang C. Wang C.-F. Wang C.-J. Wang E. Wang F. Wang H. Wang J. Wang K.-C. Wang N. Wang X. Wang Y. Wang Y.-H. Wang Z.-G. Wang C. Ward J. Ward W. Wattanapanitch J. Webb D. Webster R. Webster S. Wedge J. Weem X. Wei D. Weide R. Weigel R. Weikle C. Weil T. Weiland D. Weile S. Weinreb M. Weiss S. Weiss T. Weller C. Wen G. Wen S. Wentworth D. Wentzloff R. Wenzel J. Whelehan J. Whitaker J. White J. Wiart M. Wickert

A. Wiesbauer J. Wight D. Willems B. Willemsen D. Williams A. Williamson J. Wilson J. Wiltse T. Winkel K. Wise D. Wisell M. Wolf E. Wollack G. Wollenberg F. Wong K. Wong M. Wong S. Wong K. Woo J. Wood G. Woods D. Woolard C. Wu J.-M. Wu K.-L. Wu K. Wu L. Wu R.-B. Wu T. Wu T.-L. Wu R. Wylde T. Wysocki M. Xia S. Xiang J. Xiao Y. Xiao C. Xie J. Xu S. Xu Q. Xue M. Yagoub T. Yakabe A. Yakovlev K. Yamamoto K. Yamauchi W. Yan C.-L. Yang F. Yang N. Yang X. Yang Y. Yang Z. Yang F. Yanovsky H.-W. Yao J. Yao A. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto J. Yau S. Ye J. Yeh K.-S. Yeo S.-P. Yeo K.-W. Yeom L.-K. Yeung W.-Y. Yin X.-S. Yin S. Yngvesson D. Yongsheng D. Yoo H.-J. Yoo J.-G. Yook E. Yoon J.-B. Yoon R. York S. Yoshikado A. Young B. Young D. Young P. Young W. Young H.-K. Yu M. Yu P. Yu R. Yu W. Yu Y. Yu M. Yuan M. Yuce S.-W. Yun F. Zabini J. Zaeytijd K. Zaki P. Zampardi J. Zapata L. Zappelli C. Zelley P. Zhai C. Zhang F. Zhang G. Zhang H. Zhang J. Zhang N. Zhang Q.-J. Zhang R. Zhang Y. Zhang A.-P. Zhao Y.-J. Zhao Y. Zhao Y. Zheng Q. Zhiguo H. Zhou A. Zhu L. Zhu N.-H. Zhu X. Zhu J. Zhuang H. Zirath