JULY 2010 
IEEE MTT-V058-I07A (2010-07) [58, 7 ed.]

Citation preview

JULY 2010

VOLUME 58

NUMBER 7

IETMAB

(ISSN 0018-9480)

PART I OF TWO PARTS

PAPERS

Smart Antennas, Phased Arrays, and Radars Power-Recycling Feedback System for Maximization of Leaky-Wave Antennas’ Radiation Efficiency ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ... H. V. Nguyen, A. Parsa, and C. Caloz Active Circuits, Semiconductor Devices, and ICs 6-bit CMOS Digital Attenuators With Low Phase Variations for -Band Phased-Array Systems ...... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... .. B.-H. Ku and S. Hong A -Enabled Mobile-TV RF Front-End With TV-GSM Interoperability in 1-V 90-nm CMOS . ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ..... P.-I. Mak and R. P. Martins Design of a Highly Efficient 2–4-GHz Octave Bandwidth GaN-HEMT Power Amplifier ....... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ........ P. Saad, C. Fager, H. Cao, H. Zirath, and K. Andersson Signal Generation, Frequency Conversion, and Control An Integrated Divide-by-Two Direct Injection-Locking Frequency Divider for Bands Through . ......... ......... .. .. ........ ......... ......... ........ ........ S. Dal Toso, A. Bevilacqua, M. Tiebout, N. Da Dalt, A. Gerosa, and A. Neviani An Octa-Push Oscillator at -Band ...... ......... ........ ......... ......... ....... K. Kawasaki, T. Tanaka, and M. Aikawa Large Improvement in Image Rejection of Double-Quadrature Dual-Conversion Low-IF Architectures ........ ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ...... J.-S. Syu, C.-C. Meng, Y.-H. Teng, and H.-Y. Liao Millimeter-Wave and Terahertz Technologies Harmonic Generation Using Nonlinear LC Lattices ..... . ... G. N. Lilis, J. Park, W. Lee, G. Li, H. S. Bhat, and E. Afshari Design of a 60-GHz Substrate Integrated Waveguide Butler Matrix—A Systematic Approach . ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ....... C.-J. Chen and T.-H. Chu Electromagnetic-Bandgap Waveguide for the Millimeter Range . ......... ........ ......... ......... ........ ......... ......... .. .. ... I. Ederra, I. Khromova, R. Gonzalo, N. Delhote, D. Baillargeat, A. Murk, B. E. J. Alderman, and P. M. de Maagt

1641

1651 1664 1677

1686 1696 1703 1713 1724 1734

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Wireless Communication Systems Amplitude Offset Estimation by Phase Comparison in Suppression Loops ...... ......... ... P. A. Warr and N. Bissonauth Self-Calibrated Two-Point Delta–Sigma Modulation Technique for RF Transmitters ... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... . S. Lee, J. Lee, H. Park, K.-Y. Lee, and S. Nam Identifying RF Identification Cards From Measurements of Resonance and Carrier Harmonics ........ ......... ......... .. .. ........ ......... ......... ........ ......... .... H. P. Romero, K. A. Remley, D. F. Williams, C.-M. Wang, and T. X. Brown Field Analysis and Guided Waves Anisotropic 3-D Composite Right/Left-Handed Metamaterial Structures Using Dielectric Resonators and Conductive Mesh Plates .... ......... ........ ......... ... ....... ........ ......... ....... T. Ueda, N. Michishita, M. Akiyama, and T. Itoh High-Frequency Pulse Distortion on a Lossy Microstrip Line With a Top Cover ........ ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... . J. Bernal, F. Mesa, D. R. Jackson, W. L. Langston, and J. T. Williams Formulas for the Number of Surface Waves on Layered Structures ...... ... ...... . G. Valerio, D. R. Jackson, and A. Galli Input Impedance of Nonperiodic Sources Exciting 1-D Periodic Shielded Microstrip Structures ....... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... . G. Valerio, P. Burghignoli, P. Baccarelli, and A. Galli Homogenized Green’s Functions for an Aperiodic Line Source Over Planar Densely Periodic Artificial Impedance Surfaces ........ ......... ....... S. Paulotto, P. Baccarelli, P. Burghignoli, G. Lovat, G. W. Hanson, and A. B. Yakovlev

1742 1748 1758

1766 1774 1786 1796 1807

CAD Algorithms and Numerical Techniques A General Procedure for Introducing Structured Nonorthogonal Discretization Grids Into High-Order Finite-Difference Time-Domain Methods ........ ......... ......... ........ ......... ......... ........ ......... . R. B. Armenta and C. D. Sarris An Efficient Algebraic Method for the Passivity Enforcement of Macromodels ..... ... S. Gao, Y.-S. Li, and M.-S. Zhang

1818 1830

Filters and Multiplexers New Multiband Coupling Matrix Synthesis Technique and Its Microstrip Implementation ...... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ....... Y.-T. Kuo, J.-C. Lu, C.-K. Liao, and C.-Y. Chang

1840

Packaging, Interconnects, MCMs, Hybrids, and Passive Circuit Elements Novel Wideband Transition Between Coplanar Waveguide and Microstrip Line ..... S. Bulja and D. Mirshekar-Syahkal Design and Analysis of 1-D Uniform and Chirped Electromagnetic Bandgap Structures in Substrate-Integrated Waveguides .... ......... ........ .......... ......... ........ ......... ... J. D. Schwartz, R. Abhari, D. V. Plant, and J. Azaña Impact of Shorting Vias Placement on Embedded Planar Electromagnetic BandGap Structures Within Multilayer Printed Circuit Boards . ......... ........ ......... ........ .. ........ ......... ......... ..... F. de Paulis, L. Raimondo, and A. Orlandi

1851 1858 1867

Instrumentation and Measurement Techniques Covariance-Based Vector-Network-Analyzer Uncertainty Analysis for Time- and Frequency-Domain Measurements . .. .. ........ ......... ......... ........ ......... A. Lewandowski, D. F. Williams, P. D. Hale, J. C. M. Wang, and A. Dienstfrey

1877

MEMS and Acoustic Wave Components Compact Low-Loss Tunable -Band Bandstop Filter With Miniature RF-MEMS Switches .... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ .. I. Reines, S.-J. Park, and G. M. Rebeiz

1887

Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

1896

CALLS FOR PAPERS

Special Issue on RF Nanoelectronics ..... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... .

1897

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $23.00 per year for electronic media only or $46.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE S. M. EL-GHAZALY, President L. BOGLIONE W. CHAPPELL M. GUPTA

R. SNYDER, President Elect

J. HACKER M. HARRIS J. HAUSNER

K. ITOH S. KOUL J. LASKAR

T. LEE J. LIN

M. MADIHIAN, Secretary A. MORTAZAWI V. J. NAIR

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

N. KOLIAS, Treasurer

Y. NIKAWA G. PONCHAK

D. SCHREURS W. SHIROMA

R. SNYDER B. SZENDRENYI

Distinguished Lecturers K. TOMIYASU L. YOUNG

A. CANGELLARIS S. GEVORGIAN F. ELLINGER F. GHANNOUCHI A. FERRERO S. LUCYSZYN

A. PHAM P. TASKER M. TENTZERIS

R. WEIGEL K. WU

Past Presidents K. WU M. YU

B. PERLMAN (2009) J. MODELSKI (2008) J. S. KENNEY (2007)

MTT-S Chapter Chairs Albuquerque: H. J. WAGNON Atlanta: D. LEATHERWOOD Austria: A. SPRINGER Baltimore: N. BUSHYAGER Bangalore: T. SRINIVAS Beijing: Z. FENG Belarus: A. GUSINSKY Benelux: D. VANHOENACKER-JANVIER Boston: J. MULDAVIN Brasilia: J. DA COSTA/ A. KLAUTAU Buenaventura: M. QUDDUS Buffalo: J. WHALEN Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: M. ROY Central & South Italy: G. D’INZEO Central No. Carolina: N. S. DOGAN Chengdu: Z. NEI Chicago: H. LIU Cleveland: M. SCARDELLETTI Columbus: F. TEXEIRA Connecticut: C. BLAIR Croatia: Z. SIPUS

Czech/Slovakia: P. HAZDRA Dallas: Q. ZHANG Dayton: A. TERZUOLI Delhi/India: S. KOUL Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHISH Finland: A. LUUKANEN Florida West Coast: K. A. O’CONNOR Foothills: F. FREYNE France: P. EUDELINE Germany: K. SOLBACH Greece: R. MAKRI Harbin: Q. WU Hawaii: R. MIYAMOTO Hong Kong: W. S. CHAN Houston: J. T. WILLIAMS Houston, College Station: G. H. HUFF Hungary: T. BERCELI Huntsville: H. G. SCHANTZ Hyderabad: M. CHAKRAVARTI India/Calcutta: D. GUHA India: D. BHATNAGER Indonesia: E. T. RAHARDO Israel: S. AUSTER Japan: K. ARAKI Kansai: T. OHIRA

Editors-In-Chief AMIR MORTAZAWI Univ. of Michigan Ann Arbor, MI 48109-2122 USA Phone: +1 734 936 2597 Fax: +1 734 647 2106 email: [email protected] DYLAN WILLIAMS NIST Boulder, CO 80305 USA Phone: +1 303 497 3138 Fax: +1 303 497 3970 email: [email protected]

Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: W. DEAL Los Angeles, Metro/San Fernando: F. MAIWALD Malaysia: M. ESA Malaysia, Penang: Y. CHOW Melbourne: K. LAMP Mexico: R. M. RODRIGUES-DAGNINO Milwaukee: S. G. JOSHI Mohawk Valley: E. P. RATAZZI Montreal: K. WU Nanjing: W. X. ZHANG New Hampshire: D. SHERWOOD New Jersey Coast: D. REYNOLDS New South Wales: A. M. SANAGAVARAPU New Zealand: A. WILLIAMSON North Italy: G. VECCHI North Jersey: H. DAYAL/K. DIXIT Northern Australia: M. JACOB Northern Nevada: B. S. RAWAT Norway: Y. THODESEN Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: X. GONG Ottawa: Q. YE

DANIEL DE ZUTTER Universiteit Gent Belgium email: [email protected] WOLFGANG HEINRICH Ferdinand-Braun-Institut (FBH) Germany email: [email protected] WEI HONG Southeast Univ. China email: [email protected] ROBERT W. JACKSON Univ. of Massachusetts,Amherst USA email: [email protected] K. REMLEY, Editor-in-Chief, IEEE Microwave Magazine C. TZUANG,

Philadelphia: J. NACHAMKIN Phoenix: S. ROCKWELL Poland: W. J. KRZYSZTOFIK Portugal: C. PEIXEIRO Princeton/Central Jersey: A. KATZ Queensland: A. RAKIC Rio de Janeiro: J. BERGMANN Rochester: S. CICCARELLI/ J. VENKATARAMAN Romania: G. LOJEWSKI Russia, Moscow: V. A. KALOSHIN Russia, Nizhny: Y. BELOV Russia, Novosibirsk: A. GRIDCHIN Russia, Saint Petersburg: M. SITNIKOVA Russia, Saratov: N. M. RYSKIN Russia, Tomsk: R. V. MESCHERIAKOV Saint Louis: D. MACKE San Diego: G. TWOMEY Santa Clara Valley/San Francisco: M. SAYED Seattle: K. A. POULSON Seoul: S. NAM Serbia and Montenegro: A. MARINCIC Shanghai: J. F. MAO Singapore: A. ALPHONES South Africa: C. VAN NIEKIRK South Australia: H. HANSON South Brazil: R. GARCIA

Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: E. ARVAS Taegu: Y.-H. JEONG Taipei: F.-T. TSAI Thailand: P. AKKARAEKTHALIN Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS Turkey: I. TEKIN Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Kiev: Y. POPLAVKO Ukraine, East, Kharkov: O. V. SHRAMKOVA Ukraine, East Student Branch Chapter, Kharkov: M. KRUSLOV Ukraine, Rep. of Georgia: D. KAKULIA Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West, Lviv: I. ISAYEV ˇ Venezuela: J. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKHMATOVSKI

Associate Editors JEN-TSAI KUO Nat. Chiao Tung Univ. Taiwan email: [email protected] YOUNGWOO KWON Seoul Nat. Univ. Korea email: [email protected] JENSHAN LIN Univ. of Florida USA email: [email protected]

MAURO MONGIARDO Univ. of Perugia Italy email: [email protected] JOSÉ PEDRO Univ. of Aveiro Portugal email: jcp.mtted.av.it.pt ZOYA POPOVIC Univ. of Colorado, Boulder USA email: [email protected]

Editor-in-Chief, IEEE Microwave and Wireless Component Letters

RICHARD SNYDER RS Microwave Company USA email: [email protected] CHI WANG Orbital Sciences Corp. USA email: [email protected] KE-LI WU Chinese Univ. of Hong Kong Hong Kong email: [email protected]

T. LEE, Web Master

IEEE Officers PEDRO A. RAY, President MOSHE KAM, President-Elect DAVID G. GREEN, Secretary PETER W. STAECKER, Treasurer JOHN R. VIG, Past President TARIQ S. DURRANI, Vice President, Educational Activities ROGER W. SUDBURY, Director, Division

JON G. ROKNE, Vice President, Publication Services and Products BARRY L. SHOOP, Vice President, Member and Geographic Activities W. CHARLTON (CHUCK) ADAMS, President, IEEE Standards Association ROGER D. POLLARD, Vice President, Technical Activities EVELYN H. HIRT, President, IEEE-USA IV—Electromagnetics and Radiation

IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer PATRICK MAHONEY, Marketing THOMAS SIEGERT, Business Administration CECELIA JANKOWSKI, Member and Geographic Activities MATTHEW LOEB, Corporate Activities ANTHONY DURNIAK, Publications Activities DOUGLAS GORHAM, Educational Activities JUDITH GORMAN, Standards Activities BETSY DAVIS, SPHR, Human Resources MARY WARD-CALLAN, Technical Activities CHRIS BRANTLEY, IEEE-USA ALEXANDER PASIK, Information Technology IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $125.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2010 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.

Digital Object Identifier 10.1109/TMTT.2010.2056750

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

1641

Power-Recycling Feedback System for Maximization of Leaky-Wave Antennas’ Radiation Efficiency Hoang V. Nguyen, Member, IEEE, Armin Parsa, Member, IEEE, and Christophe Caloz, Fellow, IEEE

Abstract—A novel power-recycling feedback scheme is proposed for systematic maximization of the generally poor radiation efficiency of leaky-wave antennas (LWAs). In this scheme, the nonradiated power at the end of the LWA structure, instead of being lost in the terminating load, is fed back to the input of the LWA through a power-combining system, which constructively adds the input and feedback powers while ensuring perfect matching and isolation of the two signals. As a result, the radiation efficiency of the isolated (or open-loop) LWA 0 is enhanced by the system’s ( 1) to the overall radiation efficiency of gain factor = 0 , which may reach 100% for any value of 0 in a lossless system. The design of the power-recycling system depends on 0 , which typically results from a tradeoff between required directivity and restricted size. The paper derives, for a rat-race-based implementation, the exact design equations, which determine both the rat-race impedance ratios and the feedback phase conditions of the system. The build-up of the steady-state regime from the transient regime at the onset of the system is explicated by transient circuit and electromagnetic simulations. Finally, an experimental power-recycling LWA system, including naturally ohmic and dielectric losses in addition to other imperfections, is demonstrated, where the isolated antenna efficiency 0 is enhanced from 38% to 68%, corresponding to a system efficiency enhancement of = 1 8. The proposed power-recycling feedback system applies to all LWAs and solves their fundamental efficiency problem in practical applications involving a tradeoff between relatively high directivity (higher than half-wavelength resonant antennas) and small size (smaller than open-loop LWAs or complex phased arrays). Index Terms—Composite right-/left-handed (CRLH) structures, feedback, leaky-wave antenna (LWA), power-recycling, radiation efficiency, rat-race coupler.

I. INTRODUCTION EAKY-WAVE antennas (LWAs) constitute a class of traveling-wave antennas that exhibit high directivity and frequency scanning capabilities, while requiring no complex feeding networks [1]. However, they generally suffer from low radiation efficiency, because all of the power that has not been radiated when reaching the end of the leaky-wave structure is dissipated in the matched load. For typical values of leakage factors, a radiation efficiency of 90% requires a structure length

L

Manuscript received July 27, 2009; revised December 27, 2009; accepted April 16, 2010. Date of publication June 07, 2010; date of current version July 14, 2010. The authors are with the Poly-Grames Research Center, École Polytechnique de Montréal, and Centre de Recherche En Électronique Radiofréquence (CREER), Montréal, QC, Canada H3T-1J4 (e-mail: van-hoang. [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049690

[1]. Such sizes are impractical in most of the order of 8–10 microwave wireless systems. Recently, the authors proposed a high-efficiency LWA array using a power-recycling series feeding network [2]. This antenna was a 2-D array, which recycled the power left at the output of the center element into the input of the neighboring elements and then further recycling the power left at the output of these neighbors into the next adjacent elements. Using this cross-recycling mechanism, the overall antenna with five elements reached a radiation efficiency of more than twice that of a single-antenna element. However, this approach for efficiency enhancement is applicable only to an array and not to a single LWA. In this study, we present a novel power-recycling scheme that maximizes the radiation efficiency of any single LWA, by using a self-recycling mechanism, where the nonradiated power at the end of the structure is fed back into the input of the antenna itself. Such a power-recycling system may enhance the radiation efficiency of any LWA to 100% in a lossless system. Although a 100% efficiency cannot be achieved in a practical lossy system, the proposed mechanism provides a significant radiation efficiency enhancement. A similar power-recycling technique was reported in an optical system for a gravitational-wave antenna in [3]. In this antenna, a partially transmitting mirror is used to recycle the light power back into the main interferometer in order to increase the effective power and hence enhance the power gain of the system. At microwaves, a LWA having its output connected back to its input, so as to form a self-oscillating antenna, was reported in [4], but this system, as an oscillator, does not have any input port and can therefore not transmit a modulated signal. Moreover, the amount of radiated power cannot be controlled, and no attempt was made to enhance the radiation efficiency in this self-oscillating antenna. Thus, the proposed power-recycling LWA system constitutes a unique solution for efficiency enhancement of LWAs. Using this system, a LWA may provide an optimal solution for applications where a tradeoff between directivity and size exists, more specifically, when a directivity higher than that of resonant antennas is required but where size is restricted to much less than mentioned above. For instance, an the huge sizes of 8–10 may exhibit a direcLWA of the length of the order of 1–3 tivity and gain significantly larger than that of a resonant antenna, while avoiding the complexity of a conventional antenna array. This paper considers only the case of fixed broadside radiation, where the main benefit of the power-recycling system is radiation efficiency enhancement. However, the structure may be

0018-9480/$26.00 © 2010 IEEE

1642

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 1. Schematic of a conventional LWA terminated with a matched load Z .

refined and incorporate real-time tuning phase shifters in order to also provide beam scanning. This paper is organized as follows. Section II presents the principle of self power-recycling LWA. Section III proposes a rat-race-based system configuration and its theoretical demonstration. Section IV describes the transient operation of the system at the onset of the source in order to explicate how the fields build up into the steady-state regime. An experimental demonstration is made in Section V. Finally, conclusions are given in Section VI.

II. PRINCIPLE OF FEEDBACK POWER RECYCLING Fig. 1 shows the schematic of a conventional LWA of length terminated with a matched load . This antenna has a propagation constant and an attenuation constant , which includes, in general, both leakage and ohmic/dielectric/mismatch loss. We consider here a harmonic excitation with voltage . The input wave propagates along the LWA structure and, when it is faster ), it progressively than light (i.e., at frequencies where leaks out to the free space to build up a radiating beam at the . The remaining power at the end of the angle and may be related to LWA is absorbed by the matched load the input power as . Therefore, the radiation efficiency of the LWA reads [1]

(1) where a lossless structure has been assumed in the second , for the sake of simplicity, without loss equality, i.e., of generality. In this case, the attenuation constant is solely due to leakage and reduces exactly to the leakage factor. According to (1), in order to increase the radiation efficiency of an LWA to a maximum level, one needs to increase its length until almost all of the input power (typically 90% [1]) has been radiated. This results in enhanced directivity, due to increased radiation aperture, but may also imply an excessively large size, which is generally prohibitive toward low frequencies. Consequently, LWAs are typically designed with lengths for which a substantial fraction of the input power reaches its output and is lost in the load, leading to a low radiation efficiency. Fig. 2 shows the proposed power-recycling LWA system, which provides a remedy to this fundamental efficiency problem, by recycling the nonradiated power at the end of the antenna back into its input. This system incorporates an ideal adder in a feedback loop of the LWA, which sums the

Fig. 2. Proposed power-recycling LWA system.

applied input and recycled signals. The resulting signal that appears at the input of the LWA has a larger amplitude than the applied input signal for a nonzero recycled signal. As a result, the radiated power of the power-recycling LWA system is increased compared with the case of the open-loop LWA, and hence the radiation efficiency of the feedback system is . superior to that of the open-loop (isolated) LWA The adder in Fig. 2 may be realized by a Wilkinson combiner (a three-port network) or by a 180 hybrid coupler (a four-port network) in the form of a rat-race, a tapered coupled-line coupler, or a magic-T [5]. As will be seen in Section III, the adder will need to accommodate different power-combining ratios depending on the open-loop LWA efficiency . In the case of the Wilkinson combiner, this would require impedance transat two output formers for matching to a system of impedance ports [5]. Therefore, the 180 hybrid option was preferred in this work. Specifically, we chose the rat-race, because the conventional magic-T is nonplanar and the tapered coupled-line coupler is harder to design and suffers from limited coupling levels. III. SYSTEM CONFIGURATION AND THEORETICAL DEMONSTRATION Here, we demonstrate and characterize the rat-race-based power-recycling system using a scattering parameter approach, and then first for an LWA of arbitrary radiation efficiency specifically for the case of a 3-dB LWA system, corresponding . to an LWA efficiency of A. Rat-Race System Implementation and Operation Principle Fig. 3 shows the rat-race-based implementation of the powerrecycling LWA system of Fig. 2, along with the port and wave voltage notations that will be used in this forthcoming analysis. Two transmission lines, and , have been added in the feedback loop to provide the proper phase condition for maximal system efficiency . The difference port is terminated by . a matched load In order to provide arbitrary power-combining ratios, the ratrace includes two sets of transmission-line sections, with respecand , as shown in tive impedances Fig. 3, where and are positive real numbers satisfying the

NGUYEN et al.: POWER-RECYCLING FEEDBACK SYSTEM FOR MAXIMIZATION OF LWAs’ RADIATION EFFICIENCY

1643

We may then write (3) This expression can be transformed as follows:

(4)

Fig. 3. Rat-race=based implementation of the power-recycling LWA system shown in Fig. 2. In general, the rat-race exhibits different power-combining ratios, corresponding to two sets of impedances (Z and Z ), depending on the open-loop efficiency  of the LWA. Notation for the 180 hybrid ports: i: input; f : feedback; 6: sum; 1: difference.

relation for perfect isolation between the two coupled ports (ports 1 and 3) [6]. The resulting scattering matrix reads

In the last expression, using (3), i.e., yields

may be expressed as a function of , which, with

(5) Substituting the scattering parameters of the rat-race, given by (2), into this relation yields (6) which finally provides the sought conditions for taking into account the rat-race relation

(2)

%, as (7a) (7b)

and , , represent the incident and where reflected voltages of port , respectively. The power-recycling LWA system operates as follows. The rat-race coupler constructively adds the input ( , port 1) and recycled or feedback ( , port 3) signals at its sum port ( , port 4), toward the input of the LWA, while using its difference port ( , port 2) for matching in the steady-state regime and for power regulation in the transient regime, as will be shown later. In addition, it provides perfect isolation between the input and feedback ports, which ensures complete decoupling between the corresponding signals. Via this positive (i.e., additive) feedback mechanism, the power appearing at the input of the LWA progressively increases during the transient regime until it reaches its steady-state level, leading to a theoretical system radiation efficiency of 100%, as will be demonstrated next.

Equation (7a) gives the impedances of the transmission-line sections building the rat-race, while (7b) gives the required length of the two transmission lines building the feedback loop (Fig. 3). Fig. 4(a) plots the values of and with respect to the , coropen-loop LWA radiation efficiency . When , which responding to 50% efficiency, corresponds to a 3-dB (equal power combining) rat-race. This particular case will be demonstrated by circuital, full-wave, and experimental results in the next two sections. When the conditions of (7a) and (7b), which are the condi[(3)], are met, the radiation efficiency of the tions for power-recycling LWA system reads, following (1), as

B. Demonstration of Efficiency Enhancement Throughout this section, we consider again, without loss of generality, the case of a lossless system (no ohmic, dielectric, or mismatch losses). The actual losses will be automatically taken into account and will be discussed in the experimental demonstration (Section V). It will be shown that, in such a lossless system, the efficiency of the system can be enhanced to 100%. Essentially, assuming that the rat-race within the system is matched at the input (source) port, this will be achieved when the rat-race is designed so as to nullify the power at the difference (load) port, i.e., .

or

%

(8)

1644

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

(10) The power-recycling gain can be expressed as a function of the open-loop and system efficiencies (11) which reduces to for 100% system radiation efficiency. This relation expresses the fact that, to reach a given inoverall efficiency , the system must provide a gain versely proportional to the open-loop LWA and exactly equal . In other words, as , the efficiency of the to in open-loop (isolated) LWA has been increased by a factor the power-recycling system. Equation (11) is plotted in Fig. 4(b) . for It may seem shocking at first glance that “gain” is produced by a purely passive system. However, this “gain” is not a gain in the sense of an active amplifier gain, where energy is added into the system by an external dc source, resulting in a system output larger than the input power power . Here, the role of the “external source” is played by the feedback loop, but this source is external only with respect to the rat-race, which effectively sees two power sources (ports 1 and 3). From the viewpoint of the rat-race, this leads to a larger compared with the power power at the input of the LWA , hence the at the input of the system analogy with an active system. However, no energy has been added to the overall system, and no more power is radiated than the power provided by the source. Fig. 4. Design and performance of the power-recycling system versus the openloop (isolated) LWA radiation efficiency  for 100% (lossless) LWA system efficiency ( = 1). (a) Normalized impedances a and b of the rat-race (see Fig. 3) computed by (7a). (b) Power-recycling gain G computed by (11).

It is useful to relate the radiation efficiency of the system to that of the open-loop LWA. For this purpose, we define here a as or system power-recycling gain

(9) From this equation, the relation between be

and

is found to

C. Particular Case of a 3-dB Broadside System According to Fig. 4, the proposed power-recycling scheme can accommodate any open-loop LWA radiation efficiency. We will consider here the particular case of an open-loop efficiency of 50%, which corresponds to an average situation in practice and which is implemented here with a simple 3-dB rat-race coupler. Couplers with other power-division ratios may naturally be used for other efficiencies. For very low radiation efficiencies, the utilization of a rat-race coupler would be unpractical because, as shown in Fig. 4(a), this would correspond to excessive admittance ratios for the two sets of transmission lines constituting the rat-race coupler. In this case, a coupled-line coupler, easily achieving low coupling levels, is recommended instead. In the case of very high radiation efficiencies, very large admittance ratios would also be required, but in this case the power-recycling scheme itself loses its usefulness. According to (7a), a 3-dB power-recycling LWA system corresponds to a 3-dB (equal power combining) rat-race, , and an LWA having an open-loop radiation efficiency of 50% (i.e., ). In addition, we consider here the case in (7b). In of broadside radiation, which corresponds to order to demonstrate the radiation efficiency enhancement in a practical real implementation, an open-loop LWA and a 3-dB power-recycling system were designed and simulated using the Method of Moments (Ansoft Designer). of both the Fig. 5(a) shows the transmission parameter open-loop LWA and the power-recycling LWA system. The

NGUYEN et al.: POWER-RECYCLING FEEDBACK SYSTEM FOR MAXIMIZATION OF LWAs’ RADIATION EFFICIENCY

1645

TABLE I HARMONIC BALANCE (AGILENT ADS) SENSITIVITY ANALYSIS FOR A 3-dB POWER-RECYCLING LWA (FIG. 3) USING AN IDEAL RAT-RACE, IDEAL TRANSMISSION LINES OF LENGTH , AND MODELING THE LWA BY AN ATTENUATOR. IS THE DEVIATION FROM THE DESIRED FEEDBACK PHASE , GIVEN IN (7B). THE INPUT POWER IS 0 dBm AND THE POWER IS GIVEN IN dBm



Fig. 5. Full-wave (method of moments, Ansoft Designer) demonstration of a 3-dB power-recycling LWA system designed at 4.58 GHz in the lossless case (neither ohmic nor dielectric losses), comparing the open-loop LWA (Fig. 1) and the power-recycling LWA (Fig. 3). The LWA is an interdigital/stub CRLH LWA [7] with the parameters: number of unit cells: ; unit cell length: mil; number of fingers: (three pairs); finger width: mil; inter-finger gap: mil (everywhere); stub width: mil; mil; length of other stubs: length of first and last stubs: mil; substrate thickness: mil; substrate permittivity: . (a) -parameters. (b) Radiation efficiency.

p = 208 644 S

N =6 g=6 L = 580 h = 62

N = 10 w

w = 15 = 39 L = " = 2:2

antenna is an interdigital/stub composite right-/left-handed (CRLH) LWA [7] with the design parameters given in the caption of the figure. The transmission level has been reduced from 3 dB for the open-loop LWA to 18 dB for the power-recycling LWA system. This indicates that the power at port 2, which is usually wasted in the load in the conventional open-loop LWA, has been nullified in the power-recycling system. This conventionally lost power is now recycled back into the input of the LWA to increase the overall system radiation efficiency. port will be The transient role of the matched load at the explicated in the time-domain analysis of Section IV. Fig. 5(b) shows the radiation efficiency of the open-loop LWA and the power-recycling LWA. The open-loop (or iso-

1



P =

P

lated) LWA has an efficiency close to 50%, resulting typically from a tradeoff between directivity or gain and size. Within the power-recycling system, the efficiency of the LWA has been enhanced to a value of 95%, very close to the ideal case of 100%. Further matching optimization would certainly increase this performance. In this simulation, ohmic and dielectric losses have been set to zero in order to validate the fundamental theory of this section, and the difference from 95% to 100% is therefore due exclusively to minor mismatches across the system. These results provide an idea of the maximum possible efficiency enhancement, using high-quality substrates. The actual enhancement attainable in practical implementation with a commercial substrate will be demonstrated experimentally in Section V. Finally, Table I quantifies the impact of deviations from the and system conditions of (7) in terms of sum-port power efficiency, for a 3-dB power-recycling system, with an input 0 dBm. The center of the table indicates the power of optimal case considered above where the LWA exhibits exactly 3-dB attenuation (which may also include all possible losses), 100% and 3 dBm, which is twice the yielding , where power at the input port, consistently with is given by (9) and shown to be equal to 3 dB in Fig. 4(b) . Moving horizontally, the table from this point for shows the effect of a deviation from a 3-dB attenuation in the and , as may have been LWA, which is a reduction of both expected since conditions (7) are not exactly satisfied any more. Ohmic, dielectric, and mismatch losses would naturally tend to increase the attenuation, toward the right-hand side of the table. Moving vertically in the table from the center row shows the phase in feedback-loop effect of a deviation from a and . transmission lines, which is again a reduction of both What is most important to note is that deviations do not ruin the performances of the power-recycling system but only degrade them progressively as they increase. IV. BUILD-UP OF THE WAVE FROM THE TRANSIENT TO THE STEADY-STATE REGIMES The transient operation of the power-recycling LWA system may not be obvious from the previous descriptions. For instance, how can the power build up to produce of power at the sum port which becomes twice that of the input port? It is the purpose of the present section to clarify and illustrate the transition of the system from the transient to the steady-state regimes. It will be seen that power is irreversibly dissipated in the load

1646

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

TABLE II TRANSIENT BEHAVIOR OF THE IDEAL (NO LOSS, NO DELAYS) 3-dB POWER-RECYCLING LWA SYSTEM OF FIG. 3, COMPUTED BY (12). PASSES REFER TO PASSES OF THE WAVE ACROSS THE LWA FROM THE ONSET OF THE dBm SYSTEM. THE POWER LEVELS ARE IN dBm AND P

=0

of the port in the transient regime. However, the proposed system is intended to operate in the steady-state regime, where the switch-on transient regime is safely considered a negligible ratio of the operation duration of the antenna system. The efficiencies of Section III naturally refer to the steady-state regime. Without loss of generality, this section considers the particular case of a 3-dB power-recycling LWA system. A. Mathematical Calculation of Powers To first gain a quick and basic intuitive perception of the transient response, let us consider a simplified mathematical model of the system, neglecting frequency and exact propagation delay consideration. In reference to Fig. 3, we excite the system by a 0 dBm 1 mW signal, which corresponds to the ini0.316 V and 0 V. From this tialization and may be computed versus the point, the voltages number of passes of the signal across the feedback loop using the following algorithm:

Fig. 6. Transient response (Agilent ADS) of the 3-dB power-recycling LWA system of Fig. 3, using an ideal rat-race coupler, ideal feeding transmission lines of length ` ` = , and a 3-dB resistive attenuator. The system is 0.316 V (0 dBm in excited by a 1-GHz harmonic source of peak voltage V a 50- system).

=



=3 4

=

B. Circuit Simulation of Instantaneous Power Waveforms

(12) The resulting powers are listed in Table II. Initially, before the signal had time to penetrate into the feedback loop (so that the loop is invisible to the rat-race), the input power equally splits between the and ports. After the first pass, the signal apslightly bepears at the port, thereby more than doubling yond . At this time, a significant amount of power (around 9 dB 0.125 mW) is still present at the port, since and are still very different. As the number of passes increases, (as predicted in the steady-state we have 0 mW. This shows that the analysis of Section III) and port acts as a power regulator. Initially, its load absorbs the excess power , until has reached the level of , which corresponds to the steady-state regime, where it serves only for matching.

The algorithm of the previous section was an oversimplified model of the actual power-recycling system. Let us now perform rigorous circuit simulation, exactly taking into account frequency and propagation delays across the rat-race and the feedback loop. In this simulation, the only simplifications will be the modeling of the LWA by an attenuator, the utilization of an ideal model for the rat-race, and the absence of loss. The excitation frequency is arbitrarily chosen as 1 GHz, corresponding 1 ns, and the source power to harmonic period of was set to 1 mW. Fig. 6 shows the transient evolution of the instantaneous , and . The source is switched on at powers at ports . Therefore, at this time, the corresponding power is immediately present, whereas it did not have time to reach the other ports. Next, the wave splits into two waves toward the left and right of the input port along the rat-race. The times and ports are for the waves to reach the 0.25 ns, 0.5 ns, and 0.75 ns, respectively. After these times, the exact transient behavior of

NGUYEN et al.: POWER-RECYCLING FEEDBACK SYSTEM FOR MAXIMIZATION OF LWAs’ RADIATION EFFICIENCY

Fig. 7. Full-wave simulated (FIT, CST Microwave Studio) transient electricfield distributions for the power-recycling 3-dB LWA system of Fig. 3 with parameters of Fig. 5 at different instants. The excitation frequency is f 4.58 =f 0.218 ns. (a) t GHz, corresponding to the harmonic period of T 0.137 ns, (b) t t T= 0.192 ns, (c) t t T= 0.246 ns, (d) t t T= 0.301 ns, (e) t t T 0.519 ns, and (f) t t T 1.884 ns.

= + 4= = +3 4 = = +8 =

=1 = = + 2= = + =

=

=

the signal, which lasts here for around 5 ns, can be observed on the curves, in agreement with the prediction of Table II. is slightly varying and stabilizes to its nominal Initially, value of 1 mW only after the steady-state regime has been reached. This is because, at early times, the wave entering into the system does not see the other ports. Matching, as defined by scattering parameters, is valid only in the steady-state regime. increases progressively to finally reach 2 mW. starts with a negative cycle. This is due to the fact that, during , the wave traveling in the lower arm of this time, the rat-race toward the port has not reached this port yet so as to cancel out with the wave traveling in the upper arm; in fact, the lower arm wave is reaching the port only at time . grows to stabilize at the same level of the From that time, input power, 1 mW, in the steady-state regime. Finally, quickly decays from to fully vanish, as expected from satisfaction of the system’s conditions (7). C. Full-Wave Simulation of Electromagnetic Fields The transient electromagnetic field distributions are plotted in Fig. 7 for the 3-dB power-recycling LWA system of Fig. 3 with parameters of Fig. 5. These results are self-explanatory.

1647

Fig. 8. Full-wave simulated (method of moments, Ansoft Designer) and measured scattering parameters for the 3-dB CRLH LWA prototype shown in the inset, which has the parameters given in Fig. 5, except for the number of unit cells which is here N . (a) Return and insertion losses. (b) Dissipated power ratio, including radiation and loss power.

=7

The evolution of the system from the transient to the steady-state regime can be followed step by step by using the same description the previous paragraph (ignoring the numerics). Optimal understanding of the system’s operation may be gained by considering in parallel the results of Figs. 6 and 7. V. EXPERIMENTAL DEMONSTRATION Here, we present the experimental performance of the proposed power-recycling LWA system, again for the 3-dB case, by comparing the open-loop and feedback system responses. Real ohmic and dielectric losses are naturally present in this case, and therefore the results of this section provide a realistic assessment of the efficiency enhancement capability of the system. A. Open-Loop (Isolated) LWA Fig. 8(a) shows the scattering parameters for the isolated (open-loop) microstrip CRLH LWA shown in the inset of Fig. 8(b). This antenna was designed to dissipate a combined and radiation and loss power, computed by shown in Fig. 8(b), close to 3 dB for a 3-dB power-recycling

1648

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

TABLE III GAIN, DIRECTIVITY, AND EFFICIENCY FOR THE OPEN-LOOP LWA OF FIG. 8 AND FOR THE POWER-RECYCLING LWA OF FIG. 9

Fig. 9. Power-recycling 3-dB LWA prototype using the same antenna as in Fig. 10 with relevant phase shifts and power-flow indications. The total phase ` ` ` shift from port 1 to port 3 along the solid line is: ` = = =  (` since the CRLH LWA is operated here at broadside).

2+7 4+0+7 4= 4

=0

+

+

+

=

system. The gain, directivity, and efficiency performances of this antenna are listed in the left-hand side of Table III. The 38%, which is a measured radiation efficiency is of only 50%. poor performance compared with the ideal value of A 12% degradation of the radiation efficiency is largely attributed to the mismatch (7.94% computed from measured of 11 dB) and ohmic/dielectric losses of the open-loop microstrip CRLH LWA. B. Power-Recycling LWA System The antenna of Fig. 8 is now inserted into a 3-dB power-recycling system. This leads to the layout of Fig. 9, where the microstrip CRLH LWA and the rat-race coupler have been integrated on the same substrate. The resulting antenna system occupies an area that is more than twice the area of the original antenna. However, the size of the nonradiating part of the system (coupler and feeding lines) can be dramatically reduced by using a substrate with a higher permittivity, by exploiting the space at the back side of the antenna, or by implementing the rat-race coupler with lumped elements. Since the paper focuses on the concept of power-recycling rather than its specific implementation, no effort has been made along the direction of size reduction in the structure of Fig. 9. The scattering parameters and dissipated power for this structure are shown in Fig. 10. In the full-wave simulation, essentially all of the power has been , while around 94% of the power dissipated has been dissipated in the experimental case. The gain, directivity, and efficiency performances are given in the right-hand side of Table III. The full-wave and experimental radiation efficiencies are of 77.27% and 68.45%, respectively. This represents enhancements by factors of 2.01 and

Fig. 10. Full-wave simulated (method of moments, Ansoft Designer) and measured scattering parameters for the power-recycling 3-dB LWA system shown in Fig. 9. (a) Return and insertion losses. (b) Dissipated power ratio, including radiation and loss power. The inset shows the steady-state regime simulated electric field distribution.

1.80, respectively, corresponding fairly well to the system gain of expected from (9) and Fig. 4 for a 3-dB system. The degradation of measured radiation efficiency of 68.45% from the ideal 100% power-recycling LWA system efficiency is attributed to mismatch and ohmic/dielectric losses present in the LWA and rat-race coupler constituting the feedback system. As noted in the previous section, the losses in the open-loop LWA correspond to a 12% degradation of the open-loop radiation efficiency . In the 3-dB feedback system where the power-recycling gain , this degradation results in a 24% according to (10). reduction of system radiation efficiency The imperfect design of the rat-race coupler (in measurement, 15 dB and 18 dB in Fig. 10(a), while they should ideally be , meaning that a small amount of power is still reflected to the source and dissipated in the load in the steady-state regime) reduces approximately an addition 6% of system radiation efficiency. The remaining 1.55% degradation

NGUYEN et al.: POWER-RECYCLING FEEDBACK SYSTEM FOR MAXIMIZATION OF LWAs’ RADIATION EFFICIENCY

1649

The design conditions of the power-recycling system, depending on , has been derived for a rat-race-based implementation, in the form of rat-race impedance ratios and feedback phase conditions. The build-up of the steady-state regime from the transient regime at the onset of the system has been explicated by transient circuit and electromagnetic simulations, and an experimental power-recycling LWA system has been demonstrated, where the isolated antenna efficiency is enhanced from 38% to 68%, corresponding to a system . The proposed power-reefficiency enhancement of cycling feedback scheme applies to all LWAs and solves their fundamental efficiency problem in practical applications involving a tradeoff between relatively high directivity (higher than half-wavelength resonant antennas) and small size (smaller than open-loop LWAs or complex phased arrays). In this study, the power-recycling feedback system was designed for fixed CRLH LWA broadside radiation. The authors are currently extending this design for frequency [8] and electronic [9], [10] full-space scanning capabilities by incorporating phase shifting elements in both the feedback loop and the power combiner. This will lead to a LWA structure with both maximal efficiency and full-space scanning capabilities.

Fig. 11. Full-wave simulated (method of moments, Ansoft Designer) and meaplane sured radiation patterns for the power-recycling LWA of Fig. 9 (a) plane (H -plane). (E -plane). (b) 

=0

= 90

of radiation efficiency can be attributed to the ohmic/dielectric losses of the rat-race coupler and feeding transmission lines. Finally, the radiation patterns of the structure are plotted in Fig. 11. The asymmetry in the -plane [Fig. 11(a)] may first appear surprising, since the system’s topology is perfectly symmetric with respect to the -plane. The reason for this asymmetry is that the structure electromagnetically asymmetric, since the port is cold, as clearly visible in the inset of Fig. 10(b).

VI. CONCLUSION Conventional LWAs provide high directivity while featuring a simple architecture, but suffer from low radiation efficiency, which is often prohibitive in practical applications with size restriction, since all of the power that has not been radiated when reaching their end is dumped into the load. This paper has proposed a general solution to this fundamental problem: a novel power-recycling feedback system, which feeds back the nonradiated power to the input of the LWA through a power-combining system. This power-combining system is a rat-race coupler, which constructively adds the input and feedback powers while ensuring perfect matching and isolation of the two signals, thereby dramatically enhancing the antenna’s efficiency. Specifically, the radiation efficiency of the isolated (or open-loop) LWA, , is enhanced by the system’s gain factor to the overall radiation efficiency of , which may reach 100% for any value of in a lossless system.

ACKNOWLEDGMENT The authors would like to thank Rogers Corporation, Rogers, CT, for donating the substrate, ANSYS Inc. for donating Ansoft Designer software license, and S. Abielmona and Dr. T. Kodera of the Poly-Grames Research Center, Montreal, QC, Canada, for many fruitful discussions.

REFERENCES [1] A. A. Oliner and D. R. Jackson, , J. L. Volakis, Ed., Antenna Engineering Handbook, 4th ed. New York: McGraw-Hill, 2007, ch. 11. [2] H. V. Nguyen, S. Abielmona, and C. Caloz, “Highly efficient leakywave antenna array using a power-recycling series feeding network,” IEEE Antenna Wireless Propag. Lett., vol. 8, pp. 441–444, 2009. [3] S. Sato, M. Ohashi, M.-K. Fujimoto, M. Fukushima, K. Waseda, S. Miyoki, N. Mavalvala, and H. Yamamoto, “High-gain power recycling of a Fabry–Perot Michelson interferometer,” Appl. Opt., vol. 39, no. 25, pp. 4616–4620, Sep. 2000. [4] W. Fu, Y. Qian, and T. Itoh, “A ring-laser type quasi-optical oscillator using leaky-wave antenna,” in Proc. 27th Eur. Microw. Conf. (EuMC), 1997, vol. 1, pp. 181–184. [5] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2004. [6] C.-Y. Pon, “Hybrid-ring directional coupler for arbitrary power division,” IEEE Trans. Microw. Theory Tech., vol. MTT-9, no. 11, pp. 529–535, Nov. 1961. [7] C. Caloz and T. Itoh, Electromagnetic Metamaterials Transmission Line Theory and Microwave Applications. New York: Wiley, 2005. [8] L. Liu, C. Caloz, and T. Itoh, “Dominant mode (DM) leaky-wave antenna with backfire-to-endfire scanning capability,” Electron. Lett., vol. 38, no. 23, pp. 1414–1416, Nov. 2002. [9] S. Lim, C. Caloz, and T. Itoh, “Electronically-scanned composite right/ left-handed microstrip leaky-wave antenna,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 6, pp. 277–279, May 2004. [10] S. Lim, C. Caloz, and T. Itoh, “Metamaterial-based electronically controlled transmission line structure as a novel leaky-wave antenna with tunable angle and beamwidth,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 161–173, Jan. 2005.

1650

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Hoang V. Nguyen (S’01–M’09) received the B.A.Sc. degree (with honors) from the University of Toronto, Toronto, ON, Canada, in 2001, the M.A.Sc. degree from Carleton University, Ottawa, ON, Canada, in 2004, and the Ph.D. degree from the École Polytechnique of Montréal, Montréal, QC, Canada, in 2010, all in electrical engineering. He is currently a Research Associate with the Poly-Grames Research Center, École Polytechnique de Montréal, where he develops microwave circuits, antennas and systems. Dr. Nguyen was the recipient of the URSI Canadian Young Scientist Award for the Best Paper Award presented at the 2007 International Symposium on Signals, Systems and Electronics and Honorable Mention Award for a paper presented at the 2008 IEEE Antennas and Propagation Society International Symposium.

Armin Parsa (S’02–M’08) received the B.S. degree from Amirkabir University of Technology, Tehran, Iran, in 1997, the M.S. degree from Tarbiat Modarres University, Tehran, Iran, in 2001, and the Ph.D. degree from Concordia University, Montréal, QC, Canada, in 2008, all in electrical engineering. Since 2008, he has been a Postdoctoral Fellow with the Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada. His main research interests include ultrawideband and leaky-wave antennas, propagation and scattering of waves, high-frequency techniques, computational electromagnetics, and emerging materials.

Christophe Caloz (F’10) received the Diplôme d’Ingénieur en Électricité and Ph.D. degree from the École Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, in 1995 and 2000, respectively. From 2001 to 2004, he was a Postdoctoral Research Engineer with the Microwave Electronics Laboratory, University of California at Los Angeles (UCLA). In June 2004, he joined the École Polytechnique de Montréal, Montréal, QC, Canada, where he is now a Full Professor, a member of the Poly-Grames Microwave Research Center, and the holder of a Canada Research Chair (CRC). He has authored and coauthored over 360 technical conference papers, letters, and journal papers, three books, and eight book chapters, and he holds several patents. He is a member of the Editorial Board of the International Journal of Numerical Modelling, the International Journal of RF and Microwave Computer-Aided Engineering, the International Journal of Antennas and Propagation, and Metamaterials of the Metamorphose Network of Excellence. His research interests include all fields of theoretical, computational and technological electromagnetics engineering, with strong emphasis on emergent and multidisciplinary topics. Dr. Caloz is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Technical Committees MTT-15 (Microwave Field Theory) and MTT-25 (RF Nanotechnology), a speaker of the MTT-15 Speaker Bureau, and the Chair of the Commission D (Electronics and Photonics) of the Canadian Union de Radio Science Internationale (URSI). He received the UCLA Chancellors Award for Post-doctoral Research in 2004 and the IEEE MTT-S Outstanding Young Engineer Award in 2007.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

1651

6-bit CMOS Digital Attenuators With Low Phase Variations for -Band Phased-Array Systems

X

Bon-Hyun Ku, Student Member, IEEE, and Songcheol Hong, Member, IEEE

Abstract—This paper presents 6-bit CMOS digital step attenuators with low phase variations. To mitigate the insertion phase variation of conventional switched Pi/T attenuators, the proposed attenuators employ a compensation circuit. This includes a lowpass filter for phase/amplitude correction. Analysis and comparison of two types of Pi-attenuators with the inductive and capacitive correction circuits are described. The two types of attenuators are fabricated using a 0.18- m CMOS process. The attenuators have a maximum attenuation range of 31.5 dB with 0.5-dB steps (64 states). The attenuators with the inductive and capacitive correction structures, respectively, exhibit root mean square (rms) amplitude errors of less than 0.3 and 0.4 dB, and rms phase errors of less than 3.5 and 2 at 8–12 GHz. The insertion losses are 8.7 and 10.5 dB at 10 GHz, respectively. The input 1-dB compression points are 15 and 13 dBm at 10 GHz, and the total chip sizes, excluding pads, are 1.25 0.4 mm2 and 0.67 0.5 mm2 . Index Terms—Attenuator, CMOS switch, digital step attenuator, phase correction, phased-array phase variation, root mean square (rms) phase error.

I. INTRODUCTION MPLITUDE control circuits such as variable attenuators and variable gain amplifiers are required in a variety of applications, including the automatic gain control of transmitter/ receiver systems, amplitude weighting in phased-array radars, and temperature compensation of power amplifiers [1]–[3]. In phased-array antennas and beam-forming systems, accurate and wide amplitude control is required to adjust the sidelobe levels and null points precisely, and constant transmission phase during amplitude control is required to avoid tracking errors and complex phase/amplitude calibrations [4], [5]. Low device power consumption is an important requirement since the phased-array systems consist of a large number of individual transmit/receive modules integrated with respective antenna elements so that many power amplifiers and phase/amplitude control circuits are utilized. Power-handling capability and

A

Manuscript received September 09, 2009; revised March 16, 2010; accepted April 05, 2010. Date of publication May 24, 2010; date of current version July 14, 2010. This work was supported by the Basic Science Research Program through the National Research Foundation of Korea (NRF) funded by the Ministry of Education, Science and Technology R11-2005-029-04001-0 (2009) through the Intelligent Radio Engineering Center, and by the Korea Government (MEST) under National Research Foundation of Korea (NRF) Grant 2010-0000843. The authors are with the Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 305-701, Korea (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049691

performance insensitivity over temperature variations are also required.1 2 Given the listed requirements, a digitally controlled step attenuator is preferable to a variable gain amplifier or an analog attenuator in terms of control complexity, power consumption, phase variation, and linearity [6], [7]. Several designs of digital step attenuators, shown in Fig. 1, have been demonstrated in the literature: switched path attenuators [8],3 distributed attenuators using p-i-n diodes and CMOS switches as a varistor [9], [10], and switched Pi/T attenuators [11]–[18]. These attenuators mainly achieve relative attenuations from insertion loss differences by on/off control of RF switches. Switched path attenuators use single-pole-double-throw (SPDT) switches to steer the signal path between a thru line and a resistive network, as shown in Fig. 1(a). This topology provides low phase variation over attenuation states, but it exhibits high insertion losses at reference states due to the cumulative losses of all SPDT switches for a multibit design, and it occupies a large chip area. Accordingly, it is not suitable for the design of CMOS digital step attenuators. Distributed step attenuators have the advantage of low insertion loss by virtue of having no series switches in the signal path, as shown in Fig. 1(b). The number of varistors and quarter-wavelength transmission lines, however, increases linearly with the number of attenuation states. Therefore, this topology occupies a large chip area to have high-resolution/ high-attenuation range. The switched Pi/T attenuators in Fig. 1(c) have series and shunt single-pole-single-throw (SPST) switches merged with a resistive network for attenuation. These topologies have a single series switch in a signal path. The parasitic difference of the switch on/ off state, however, causes the transmission phase change. In this paper, 6-bit CMOS digital step attenuators with two types of phase/amplitude correction networks are demonstrated. Analysis of the proposed 6-bit attenuator and the bit ordering of the attenuators are also studied. In Section II, we discuss the limitations of conventional switched Pi/T structure. In Section III, the design concept of the proposed topology to alleviate the limitations is explained. In Section IV, we describe the analysis and design of two types of the proposed topologies and compare these two structures. In Sections V and VI, the circuit implementation and measured results are presented. 1Attenuator, digital, 5-bit 0.1–20.0 GHz, part MAATGM0004-DIE, M/A-Com Inc., Lowell, MA, 2007. 2DC–18.0-GHz GaAs MMIC 5-bit digital attenuator, part A1000-BD, Mimix Broadband Inc., Hsinchu, Taiwan, 2007. 30.5-dB LSB GaAs monolithic microwve integrated circuit (MMIC) 6-bit digital attenuator, dc–13 GHz, part HMC424, Hittite, Chelmsford, MA 2007.

0018-9480/$26.00 © 2010 IEEE

1652

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 2. (a) nMOS switch with a source-body tied. (b) Equivalent-circuit model of the nMOS switch.

Fig. 3. (a) Conventional switched Pi attenuator using nMOS switches, and its equivalent circuits at: (b) reference and (c) attenuation state.

Fig. 1. Topologies of digital step attenuators. (a) Switched path attenuator. (b) Distributed step attenuator. (c) Switched Pi/T and bridged-T attenuators.

II. DESIGN LIMITATIONS OF CONVENTIONAL STRUCTURES A. Conventional Switched Pi/T Attenuators The conventional switched Pi/T attenuators are composed of series/shunt switches and resistors. The nMOS transistor as the series/shunt switches is a key component of the digital step attenuators. In this work, the nMOS switch with a source-body tied in Fig. 2(a) that was modeled by using the equivalent-circuit model, as shown in Fig. 2(b), has been used [19]. For simple analysis, the switch model in Fig. 2(b) can be approximated by ignoring the body parasitics and series parasitic inductance. and off-state capacitance in The on-state resistance Fig. 2(b) remain as the dominant elements. Fig. 3(a) shows a circuit schematic of the conventional switched Pi attenuator and Fig. 3(b) and (c) shows equivalent circuits of reference and attenuation states.

B. Limitations of Conventional Design To explore the condition of having no transmission phase difference, which is the condition of the conventional switched Pi attenuator, the transmission phases are derived from the transmission matrices at the reference and attenuation states. The ) matrix for the reference state, as shown transmission ( in Fig. 3(b), is given by (1), shown at the bottom of this page. from the transmission matrix The transmission coefficient is the is given by (2), shown at the bottom of this page. Here, on-resistance of the series transistor , is the off-capaci, and is the characteristic tance of the shunt transistor impedance. Using (2), the transmission phase at reference state can be written as (3) where The transmission matrix for the attenuation state, as shown in Fig. 3(c), and the transmission coefficient from the matrix can also be derived as for the reference state. Using these

(1)

(2)

KU AND HONG: 6-bit CMOS DIGITAL ATTENUATORS

equations, the transmission phase at attenuation state written as

1653

can be

(4) where , is the on-resistance of the shunt tran, and is the off-capacitance of the series transistor sistor . To yield no transmission phase difference, it is necessary to satisfy the following equation: (5) One can find the series and shunt switch off-capacitances and to realize zero transmission phase difference . It can be derived as (6) and (7), shown at the bottom of this page. These and are negative because no other variables capacitances can be negative values; therefore, they must ideally be zero so as to have no transmission phase difference. For example, to have 16-dB attenuation of the conventional Pi attenuator, the gatewidths of the series/shunt transistors and resistor values are determined by considering the insertion loss, return loss, attenuation level, insertion phase difference, bandwidth, etc. The resistor values of each series and shunt path and are chosen as 154 and 29 , respectively. The gatewidths and are determined as of the series and shunt switch of 30 and 20 m. The series switch has the on-resistance 18 and the off-capacitance of 21 fF, and the shunt switch has of 29 and the off-capacitance of 15 fF. the on-resistance Fig. 4 shows the simulation results of the conventional Pi attenuator for 16-dB attenuation. The insertion loss is 1.3 dB at 10 GHz with 0.1-dB loss variation at 0–20 GHz. Having 16-dB attenuation, as shown in Fig. 4(a), the transmission phase difference increases quite a bit with respect to frequency, as shown in Fig. 4(b). The insertion phase of the attenuation state is relatively lead phase in comparison with that of the reference state. This is because of the existence of the switch off-capacitance. As shown in Fig. 5(a), the transmission phase difference inis swept from creases as the series switch off-capacitance 0 to 45 fF when is 15 fF for 16-dB attenuation. The phase and are negligible sidifference can be zero only when multaneously; however, this is not possible in real MOSFET switches. Fig. 5(b) shows the insertion loss variation with the swept from 10 to 70 m. gatewidth of the series transistor and , If one reduces the size of the transistor to have small the insertion loss is increased. It is, therefore, concluded that this conventional topology cannot have both low insertion loss and a small phase difference.

Fig. 4. Simulation results of conventional switched Pi attenuator for 16-dB attenuation. (a) Attenuation level and insertion loss. (b) Transmission phases of reference and attenuation states and phase difference.

III. PROPOSED STRUCTURE A. Phase Characteristics of High-Pass/Low-Pass Filter The proposed structure is introduced to reduce the transmission phase difference of the switched Pi/T attenuator induced by switching. As shown in Fig. 4(b), the attenuation state has a phase-lead comparing with the reference phase. This is due to the phase-shift characteristics of a high-pass filter. In contrast, a low-pass filter has a phase lag to the reference phase. Generally, these specific phase characteristics are widely used in high-pass/low-pass filter-type phase shifters [19], [20]. Fig. 6 shows the Pi-type high-pass/low-pass filter network. of the low-pass filter in From the transmission coefficient is given by Fig. 6(b), the transmission phase

(8)

(6) (7)

1654

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 7. (a) Switched Pi attenuator with low-pass filter network. (b) Transmission phase characteristics of conventional and proposed structures.

Fig. 5. Simulation results of conventional switched Pi attenuator for 16-dB attenuation. (a) Transmission phases difference. (b) Insertion loss.

Fig. 8. (a) Equivalent circuit of switched Pi attenuator with low-pass filter at attenuation state. (b) Phase/amplitude correction network in which a Pi-type low-pass filter network merged with series resistors R .

Fig. 9. (a) Inductive and (b) capacitive phase/amplitude correction networks. Fig. 6. Pi-type: (a) high-pass filter network and (b) low-pass filter network.

(9) , the transmission For a specified frequency lower than phase is always negative; i.e., the low-pass filter has a phase-lag characteristic.

Fig. 10. (a) Pi attenuator with inductive correction network, and its equivalent circuits at: (b) reference state and (c) attenuation state.

B. Phase/Amplitude Correction Network Using the phase-lag characteristic of a low-pass filter, we can effectively reduce the transmission phase difference of a conventional switched Pi attenuator. Fig. 7(a) shows the structure of a switched Pi attenuator with a low-pass filter network. By optimizing the low-pass filter elements and in Fig. 6(b), the transmission phase of the attenuation state can be similar to the reference phase at the desired frequency, as shown in Fig. 7(b). The low-pass network also reduces the attenuation level. As shown in Fig. 8(a), the low-pass filter is connected in parallel with the resistive network so that the attenuation level becomes

much lower. To avoid this, the series resistor is inserted in series with the low-pass filter network, as shown in Fig. 8(b). These correction resistors can be implemented with the series of the resistive network in Fig. 8(a). Each correcresistor is set to . As shown in Fig. 9(a) tion resistor value of and (b), one can make the three-element low-pass filter function or as a shunt capacitor . This operas a series inductor ates as a phase corrector, although the slope of the transmission phase over frequency becomes smaller due to the decrease of the number of poles. There are two noteworthy cases: in case 1,

KU AND HONG: 6-bit CMOS DIGITAL ATTENUATORS

Fig. 11. Simulation results of proposed switched Pi attenuator for 16-dB attenuation with inductive correction network. (a) Attenuation level and insertion loss. (b) Transmission phases of reference and attenuation states and phase difference. (c) Phase differences with various L .

1655

Fig. 13. Simulation results of proposed switched Pi attenuator for 16-dB attenuation with capacitive correction network. (a) Attenuation level and insertion loss. (b) Transmission phases of reference and attenuation states and phase difference. (c) Phase differences with various C .

IV. DESIGN OF THE PROPOSED STRUCTURES In this section, two types of switched Pi attenuators with inductive and capacitive correction networks are analyzed. The proposed scheme is also applicable to the switched T or bridged-T attenuators. Fig. 12. (a) Proposed switched Pi attenuator with capacitive correction network and its equivalent circuits at: (b) reference state and (c) attenuation state.

the inductive phase/amplitude correction network has lower insertion loss than the capacitive network, and in case 2, the capacitive phase/amplitude correction network has no inductor. In Section IV, a comparison between these two structures will be briefly outlined.

A. Inductive Correction Structure Fig. 10 shows the switched Pi attenuator with inductive correction network and its equivalent circuits at reference and attenuation states. For simple analysis, the body parasitics and series parasitic inductance are neglected. The transmission phases at reference and attenuation states and can be written as (10) and (11), shown at the bottom

1656

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

of this page, where and , respectively. To achieve no transmission phase difference, it is necrequired to realize the essary to satisfy (5). The inductance transmission phase difference as zero is derived as follows:

(12) With this , the gatewidths of the series and shunt transistors, resistances, and series inductance are optimized considering insertion loss, return loss, attenuation level, insertion and have large phase difference, bandwidth, etc. When values simultaneously, which means a high attenuation level (large ) with a large gatewidth for the series transistor (large ), there may be no solution for . For 16-dB attenuation, and are 30 and 20 m. Also is the gatewidths of is 77 , and is 0.75 nH, respectively. Fig. 11(a)–(c) 35 , shows the simulation results. As shown in Fig. 11(a), insertion loss is 1.3 dB at 10 GHz with 0.1-dB loss variation at 0–20 GHz, which is almost the same as the insertion loss for the conventional switched Pi attenuator in Fig. 4(a). In Fig. 11(b), the transmission phase difference becomes very small at the broadband frequencies. This shows a big improvement in comparison with the graph for the conventional switched Pi attenuator shown in Fig. 4(b). Fig. 11(c) shows the phase difference between the reference and attenuation states of the switched Pi attenuator with is swept from 0 to an inductive correction network where 1 nH (0.2-nH step).

B. Capacitive Correction Structure Fig. 12 shows the switched Pi attenuator with a capacitive correction network and its equivalent circuits at reference and attenuation states. The transmission phase at the reference and attenuation states and can be written as (13) and (14), shown at the bottom and , respecof this page, where tively. To achieve no transmission phase difference, it is necessary to satisfy (5). The capacitance required to realize the as zero can be derived as transmission phase difference (15), shown at the bottom of this page. With this equation of , the gatewidths of the series and the shunt transistors, resistances, and shunt capacitance are optimized considering insertion loss, return loss, attenuation level, insertion phase differand have large values simulence, bandwidth, etc. When taneously, there may be no solution for . For 16-dB attenuation, the gatewidths of and are 30 and 20 m. Also is is 77 , and is 145 fF, respectively. Fig. 13(a)–(c) 35 , shows the simulation results. The insertion loss is 2.3 dB at 10 GHz with a 2.5-dB loss variation at 0–20 GHz, as shown in Fig. 13(a). In Fig. 13(b), the transmission phase difference becomes very small with broadband frequency in comparison with that of the conventional switched Pi attenuator in Fig. 4(b). Fig. 13(c) shows the phase difference between the reference and attenuation states of the switched Pi attenuator with a capacitive is swept from 0 to 200 fF (40-fF correction network where step).

(10) (11)

(13) (14)

(15)

KU AND HONG: 6-bit CMOS DIGITAL ATTENUATORS

1657

Fig. 15. Proposed switched: (a) Pi attenuator (for high attenuation) and (b) bridged-T attenuator (for low attenuation).

TABLE I COMPONENT VALUES FOR DESIGNED 6 bits OF INDUCTIVE CORRECTION STRUCTURE

Fig. 14. Simulated insertion loss variation of: (a) inductive and (b) capacitive correction structures as varying L and C , respectively.

TABLE II COMPONENT VALUES FOR DESIGNED 6 bits OF CAPACITIVE CORRECTION STRUCTURE

C. Comparison of Inductive and Capacitive Correction Structures To compare the insertion losses of two structures, the magnifrom the transmission matude of transmission coefficient trix at the reference state is given by (16) and (17), shown at the bottom of this page. The off-capacitances of the shunt path switch are neglected for the convenience of analysis. When and are set to be zero, (16) and (17) become as follows: (18) Fig. 14(a) and (b) shows the insertion loss with swept from 0 to 1 nH, and swept from 0 to 200 fF. The insertion loss and loss variation of the inductive correction network are much lower than those of the capacitive correction network. is much smaller than the other square From (16), the term of terms in the denominator of (16), and thereby the degradation of the insertion loss with frequency is not critical. From (17),

we can see that the term of is dominant in the denominator of (17) so that the insertion-loss degradation with frequency is greater. V. IMPLEMENTATION OF THE 6-bit DIGITAL STEP ATTENUATORS Fully integrated -band 6-bit digital step attenuators have been fabricated using commercially available 1P6M 0.18- m CMOS technology. The digital step attenuator circuit consists of six digital bits corresponding to binary-weighted attenuations of 16, 8, 4, 2, 1, and 0.5 dB. As shown in Fig. 15, bridged-T configurations for 4 dB or less and Pi configurations for large

(16) (17)

1658

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 16. Bit ordering of the designed 6-bit digital step attenuators.

Fig. 17. Simulated: (a) rms amplitude and phase errors and (b) power-handling capabilities of the reference states at 10 GHz according to the different bit orderings (capacitive correction structure).

Fig. 18. Chip photograph of 6-bit digital step attenuators. (a) Inductive and (b) capacitive correction structure.

attenuations, such as 8 and 16 dB, are adopted. The component values of each bit attenuator using the proposed structure are shown in Tables I and II. For a higher attenuation bit, the smaller of the series switch is chosen for the large isolagatewidth tion of a series switch. As mentioned in Section IV, the large so that the attenuation bits (8 and 16 dB) also have larger and the larger or are required to effectively smaller alleviate the transmission phase difference. In the 16- and 8-dB attenuation bits, spiral inductors are used to achieve the desired inductance , as shown in Table I, and microstrip lines with the bottom-layer metal M1 as a ground plane are employed in other attenuation bits. After bit designs are finished, they have to be ordered appropriately to achieve the optimal performance. The bit ordering should be considered in terms of: 1) loading effects and 2) power-handling capability. When the attenuation is changed from the reference state to the 64th state (maximum attenuation), each attenuation bit has to preserve its accurate attenuation independent of the state that may cause the different loading effect. Power-handling capabilities are strongly dependent on the maximum voltage swing, which can be applied to drain/source node of the nMOS switch. The higher attenuation bits have the lower power-handing capabilities since they have the larger voltage difference between the drain and source of . Also, the higher attenuation bits in each the series switch

Pi or bridge-T configurations have the lower shunt resistor so that the even larger voltage swing is applied between . When the signal the drain and source of the shunt switch voltage amplitude is high in a negative swing, it can push the drain junction diode of the nMOS switch into a forward biased region so that the output signal is distorted. Considering these loading effects and the power-handling capability, appropriate bit ordering has been determined as shown in Fig. 16. The 16-dB attenuation bit has the lowest power-handling capability so that it is located at the end of the attenuator. Although there is a little degradation of linearity, the 8- and 4-dB attenuation bits are separated from the 16-dB attenuation bit because a relatively large variation in attenuation by loading effects is experienced. Fig. 17(a) shows rms amplitude and phase errors according to the different bit orderings for the capacitive correction structure. The bit ordering #1 (0.5-4-8-2-1-16 dB) has better accuracy than the bit ordering #2 (0.5-1-2-4-8-16 dB)—ascending bit ordering—in the desired frequencies because of the different loading effect. Also, the degradation of power-handling capability according to the different bit orderings, particularly the location of the most high attenuation bit (16 dB) is shown in Fig. 17(b). As the 16-dB attenuation bit is placed near the input stage, the input 1-dB becomes lower. Comparing with compressed power the bit ordering #1 (0.5-4-8-2-1-16 dB) with the input

KU AND HONG: 6-bit CMOS DIGITAL ATTENUATORS

1659

Fig. 19. Measured and simulated insertion loss at reference state (inductive correction structure).

Fig. 21. Measured: (a) relative attenuation, and (b) relative insertion phase of 64 different states of the digital step attenuator (inductive correction structure).

Fig. 20. Measured: (a) input and (b) output return loss (inductive correction structure).

of 15 dBm, the bit ordering #3 (0.5-1-2-16-4-8 dB) and #4 of 12.5 and 10 dBm, (16-8-4-2-1-0.5 dB) have the input respectively, in simulation. In the case of applying the digital attenuators to bidirectional transmit/receive systems, the most high attenuation (16 dB) bit should be put in the middle of the attenuation bits such as the bit order #3 (0.5-1-2-16-4-8 dB). Power-handling capabilities can be improved by using the stacked series/shunt nMOS transistors in which the signal voltage is equally divided into each stacked transistor. The transmission line is used to interconnect attenuation bits. It improves the matching performance and also can adjust the transmission phase characteristics. A two-and-one-half dimensional (2.5-D) electromagnetic (EM) simulation was performed

Fig. 22. Measured and simulated rms amplitude error and rms phase error (inductive correction structure).

to accurately predict the characteristics of the inductors, transmission lines, interconnects, and pads. The layout of the series/shunt resistors, which are the crucial components in the attenuator design, was carefully chosen. To reduce the process variation effects of the very small resistors, the resistors connected in parallel with several larger resistors were used. VI. MEASURED RESULTS Fig. 18 shows photographs of 6-bit digital step attenuators: the (a) inductive and (b) capacitive correction structures and chip areas, excluding the pads, are 1250 400 m 0.5 mm and 670 500 m 0.34 mm , respectively. They were fabricated in 1P6M 0.18- m CMOS technology. The digital step attenuators were measured with on-chip probing using an Anritsu

1660

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 23. Measured and simulated insertion loss at reference state (capacitive correction structure).

Fig. 25. Measured: (a) relative attenuation, and (b) relative insertion phase of 64 different states of the digital step attenuator (capacitive correction structure).

Fig. 24. Measured: (a) input and (b) output return loss (capacitive correction structure).

Fig. 26. Measured and simulated rms amplitude error and rms phase error (capacitive correction structure).

37397D network analyzer. The gate control voltage of 1.8 V was used. They consume no dc power.

8–12 GHz. Fig. 22 shows the measured and simulated rms amplitude and phase errors. The rms amplitude deviation is less than 0.3 dB at 8–12 GHz, and is less than 0.5 dB at dc–14 GHz. The rms phase error is less than 3.8 at 8–12 GHz, and is less than 4.2 at dc–14 GHz.

A. Inductive Correction Structure Fig. 19 shows the measured and simulated insertion losses at the reference state of the inductive correction structure. The attenuator has an insertion loss (of the reference state) of 8.7 dB at 10 GHz and 8–9.3 dB at 8–12 GHz. The measured input and output return losses of all attenuation states are shown in Fig. 20. The return loss is higher than 10 dB at 8–12 GHz, and higher than 9 dB at 0–14 GHz. Fig. 21(a) shows the measured attenuation relative to that of the reference state. The attenuation range is 31.5 dB at 10 GHz, and 31.5 0.5 dB at 8–12 GHz. Fig. 21(b) shows the measured insertion phase relative to that of the reference state. The insertion phase is varying from 12 to 5 over

B. Capacitive Correction Structures Fig. 23 shows the measured and simulated insertion losses at the reference state of the capacitive correction structure. The attenuator has an insertion loss (of the reference state) of 10.5 dB at 10 GHz and 9.8–11.3 dB at 8–12 GHz. The measured input and output return losses of all attenuation states are shown in Fig. 24. The return loss is higher than 11 dB at 8–12 GHz. Fig. 25(a) shows the measured attenuation relative to that of the reference state. The attenuation range is 31.2 dB at 10 GHz,

KU AND HONG: 6-bit CMOS DIGITAL ATTENUATORS

1661

Fig. 28. Measured power-handling capabilities of the major states (ref., 0.5, 1, 2, 4, 8, 16, 31.5 dB) at 10 GHz (inductive correction structure).

Fig. 27. Measured: (a) attenuation errors and (b) insertion phases of the inductive and capacitive correction structures over the 64 attenuation states at 10 GHz, respectively.

Fig. 29. Measured two-tone intermodulation (f = 9:995 GHz; f = 10:005 GHz) response at 10 GHz versus input power (inductive correction structure).

31.2 0.5 dB at 8–12 GHz. Fig. 25(b) shows the measured insertion phase relative to that of the reference state. The insertion phase varies from 9 to 3 over 8–12 GHz. Fig. 26 shows the measured and simulated rms amplitude and phase errors. The rms amplitude deviation is less than 0.4 dB at 8–12 GHz. The rms phase error is less than 2.2 at 8–12 GHz. C. Accuracy Comparison Between Inductive and Capacitive Correction Structures Fig. 27 shows the attenuation errors and insertion phases of the inductive and capacitive correction structures over the 64 different attenuation states at 10 GHz, respectively. The attenuation error is the difference between the desired attenuation (from 0 to 31.5 dB with 0.5-dB step) and the measured attenuation. The inductive and capacitive structures have the attenuation errors varying from 0.5 to 0.7 dB overall 64 states, as shown in Fig. 27(a). The insertion phases of the inductive and capacitive structures, as shown in Fig. 27(b), vary from 11 to 4 and from 8 to 2 over all 64 states, respectively. Here, the ripples of the insertion phase are occurred from the phase changes by the on and off transition of each attenuation bit according to the attenuation states. The insertion phase variations by the on and off transitions of the lower 4-bits (0.5, 1, 2, and 4 dB) are nearly the same, e.g., the insertion phases of the attenuation states from 0.5 to 7.5 dB or from 8.5 to 15.5 dB, as shown in Fig. 27(b). For 8- and 16-dB attenuation bits, however,

the insertion phase changes of the two structures are quite different. Comparing with the inductive correction structure, the phase variation of capacitive correction structure is even lower and better agree with the simulation results, as shown in Figs. 22 and 26. The inductive correction structure employs the spiral inductors not supported by the foundry model library in 8- and 16-dB attenuation bits. Some errors caused by EM simulation brought more or less the differences between the simulated results and the measured results. D. Power-Handling Capabilities Fig. 28 shows the measured power-handling capabilities of the inductive correction structure. The insertion losses of the major states (ref., 0.5, 1, 2, 4, 8, 16, 31.5 dB) versus input power of the inductive corare measured at 10 GHz. The input rection structure is 15 dBm at 10 GHz. The capacitive correction of 13 dBm at 10 GHz. The structure has also the input two tone measurement is performed to characterize the input third-order intercept point (IIP3). The spacing of the two tones is 10 MHz. Fig. 29 shows the measured two-tone intermodulaGHz GHz response of the intion ductive correction structure at 10 GHz versus input power. The values are 29 dBm for the inductive correcmeasured input tion structure and 28 dBm for the capacitive correction structure, respectively.

1662

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

TABLE III SUMMARY OF DIGITAL STEP ATTENUATORS

E. Comparison to Other Digital Step Attenuators Table III compares the performance of the reported digital step attenuators. Compared with the GaAs attenuators [11], [13], [14], the proposed attenuators demonstrates the highest attenuation of 31.5 dB (6-bit) and the highest resolution of 0.5 dB with the lowest insertion phase variation and the smallest chip values are lower size. The insertion losses are higher and than those of the GaAs attenuators because of the relatively poor performance of the CMOS transistors. The proposed attenuators are also the first fully integrated CMOS digital step attenuators with wideband characteristics covering dc–14 GHz.

VII. CONCLUSION The proposed CMOS digital step attenuators have been designed with a method of alleviating the tradeoff between the insertion phase characteristic and the insertion loss of conventional switched Pi/T attenuators. The attenuators employ a phase/ amplitude correction network that includes a low-pass filter. Appropriate bit ordering to obtain the optimal performance is discussed in terms of loading effects and power-handling capability. The 6-bit digital step attenuators were fabricated with inductive and capacitive correction structures in 0.18- m CMOS technology with the high attenuation range of 31.5 dB and the high resolution of 0.5 dB. The digital step attenuator with inductive correction exhibits less than 0.3 dB 3.5 rms amplitude/phase errors at 8–12 GHz, and has broadband characteristics over dc–14 GHz. The attenuator with capacitive correction shows less than 0.4 dB 2.2 rms amplitude/phase errors over 8–12 GHz. Die areas are 0.5 mm and 0.34 mm , respectively. The insertion losses are 8.7 and

10.5 dB at 10 GHz, and the return losses are higher than 10 and and input values are 15 11 dB at 8–12 GHz. The input and 29 dBm for the inductive correction structure, and 13 and 28 dBm for the capacitive correction structure, respectively. For phased-array systems at -band, the proposed CMOS digital attenuators are competitive with GaAs attenuators due to its high attenuation and phase accuracy, wide band of operation, compact chip area, etc. ACKNOWLEDGMENT The authors would like to thank Dr. D.-W. Kang, University of California at San Diego, La Jolla, for helpful discussions. The authors also thank Prof. D.-W Kim, Chungnam National University, Daejeon, Korea, and Dr. L. Jeon, RFcore Company Ltd., Seongnam, Korea, for their valuable comments. REFERENCES [1] F. Ellinger, R. Vogt, and W. Bachtold, “Calibratable adaptive antenna combiner at 5.2 GHz with high yield for laptop interface card,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2721–2720, Dec. 2000. [2] B. A. Kopp, M. Borkowski, and G. Jerinic, “Transmit/receive modules,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 827–834, Mar. 2002. [3] M. Hangai, H. Asao, M. Hieda, M. Yamaguchi, and M. Miyazaki, “Amplitude/phase temperature compensation attenuators with variableFET resonators,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 3058–3065, Dec. 2008. [4] D. Roques, J. Cazaux, and M. Pouysegur, “A new concept to cancel insertion phase variation in MMIC amplitude controller,” in Proc. IEEE Microw. Millimeter-Wave Monolithic Circuits Symp., Dallas, TX, May 1990, pp. 59–62. [5] S. Walker, “A low phase shift attenuator,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 2, pp. 182–185, Feb. 1994. [6] H. Dogan, R. G. Meyer, and A. M. Niknejad, “Analysis and design of RF CMOS attenuators,” IEEE J. Solid-State Circuits, vol. 43, no. 10, pp. 2269–2283, Oct. 2008.

Q

KU AND HONG: 6-bit CMOS DIGITAL ATTENUATORS

[7] R. Kaunisto, P. Korpi, J. Kiraly, and K. Halonen, “A linear-control wideband CMOS attenuator,” in Proc. IEEE Int. Circuits Syst. Symp., Sydney, Australia, 2001, vol. 4, pp. 458–461. [8] L. Sjogren, D. Ingram, M. Biedenbender, R. Lai, B. Allen, and K. Hubbard, “A low phase-error 44-GHz HEMT attenuator,” IEEE Microw. Guided Wave Lett., vol. 8, no. 5, pp. 194–195, May 1998. [9] J. K. Hunton and A. G. Ryals, “Microwave variable attenuators and modulators using PIN diodes,” IEEE Trans. Microw. Theory Tech., vol. MTT-10, no. 7, pp. 262–273, Jul. 1962. [10] B.-W. Min and G. M. Rebeiz, “A 10-50-GHz CMOS distributed step attenuator with low loss and low phase imbalance,” IEEE J. Solid-State Circuits, vol. 42, no. 11, pp. 2547–2554, Nov. 2007. [11] B. Khabbaz, A. Pospishil, and H. P. Singh, “DC-to-20-GHz MMIC multibit digital attenuators with on-chip TTL control,” IEEE J. SolidState Circuits, vol. 27, no. 10, pp. 1457–1462, Oct. 1992. [12] D. Krafcsik, F. Ali, and S. Bishop, “Broadband, low-loss 5- and 6-bit digital attenuators,” in IEEE MTT-S Int. Microw. Symp. Dig., Orlando, FL, May 1995, pp. 1627–1630. [13] J. C. Sarkissian, M. Delmond, E. Laporte, E. Rogeaux, and R. Soulard, -band 6-bit digital attenuator with integrated serial to parallel “A converter,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, Jun. 1999, pp. 1915–1918. [14] I.-K. Ju, Y.-S. Noh, and I.-B. Yom, “Ultra broadband DC to 40 GHz 5-bit pHEMT MMIC digital attenuator,” in Proc. Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 995–998. [15] Y. S. Dai, D. G. Fang, and Y.-X Guo, “A novel UWB (0.045–50 GHz) digital/analog compatible MMIC variable attenuator with low insertion phase shift and large dynamic range,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 1, pp. 61–63, Jan. 2007. [16] W. Ciccognani, F. Giannini, E. Limiti, and P. E. Longhi, “Compensating for parasitic phase shift in microwave digitally controlled attenuators,” Electron. Lett., vol. 44, no. 12, pp. 743–744, Jun. 2008. [17] N. D. Doddamani and A. V. H. Nandi, “Design of SPDT switch, 6 bit digital attenuator, 6 bit digital phase shifter for L-band T/R module using 0.7 m GaAs MMIC technology,” in Int. Signal Process. Commun. Network. Conf., Feb. 22–24, 2007, pp. 302–307. [18] R. Baker, “CMOS-based digital step attenuator designs,” Wireless Design Dev., pp. 46–50, May 2004.

Ku



1663

Ku 

[19] D.-W. Kang, H. D. Lee, C.-H. Kim, and S. Hong, “ -band MMIC phase shifter using a parallel resonator with 0.18- m CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 294–301, Jan. 2006. [20] M. A. Morton, J. P. Comeau, J. D. Cressler, M. Mitchell, and J. Papapolymerou, “Sources of phase error and design considerations for silicon-based monolithic high-pass/low-pass microwave phase shifters,” IEEE Microw. Theory Tech., vol. 54, no. 12, pp. 4032–4040, Dec. 2006. Bon-Hyun Ku (S’05) received the B.S. degree in electronics engineering from Kyungpook National University, Daegu, Korea, in 2004, the M.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2006, respectively, and is currently working toward the Ph.D. degree at KAIST. His research interests are RF and microwave integrated circuits and systems for radar and communication systems.

Songcheol Hong (S’87–M’88) received the B.S. and M.S. degrees in electronics from Seoul National University, Seoul, Korea, in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 1989. In May 1989, he joined the faulty of the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea. In 1997, he held short visiting professorships with Stanford University, Palo Alto, CA, and Samsung Microwave Semiconductor. His research interests are microwave integrated circuits and systems including power amplifiers for mobile communications, miniaturized radar, and millimeter-wave frequency synthesizers, as well as novel semiconductor devices.

1664

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

A 2 VDD-Enabled Mobile-TV RF Front-End With TV-GSM Interoperability in 1-V 90-nm CMOS Pui-In Mak, Member, IEEE, and Rui P. Martins, Fellow, IEEE

Abstract—A 2 DD -enabled mobile-TV RF front-end with TV-GSM interoperability is described. It is an on/off-chip codesign employing externally three customized UHF/VHF preselect filters, an RF switch, and a balun. The integrated part includes: 1) a cascode–cascade inverter-based low-noise amplifier that features 2 attenuator a high gain-to-power efficiency; 2) a linearized using reliably-overdriven MOS switches; 3) an inductive-peaking feedforward path that evens out the passband variation; and 4) two cascode I/Q mixer drivers capable to drive passive mixers with small gain and bandwidth reduction. Gate-drain-source engineering and self-biased structures are the keys enabling performance optimization with low power and no reliability risk. Fabricated in a 90-nm CMOS process with 1-V thin-oxide devices, the RF front-end measures 68-dB rejection at GSM-900 uplink, 0.7-dB passband roll-off, 3.9-dB noise figure, and 5.5-dBm third-order intercept point at a maximum voltage gain of 26.2 dB. The core occupies 0.28 mm2 and draws 15 mW. The achieved power-performance metrics compares favorably with the prior state of the art. Index Terms—Attenuator, CMOS, DVB-H, GSM-rejection filter, high-voltage circuits, inverter amplifier, ISDB-T, low-noise amplifier (LNA), MediaFLO, mixer, mobile TV, RF integrated circuit (RFIC), T-DMB, TV-GSM interoperation, TV tuner, ultrahigh frequency (UHF), very high frequency (VHF).

I. INTRODUCTION

C

ONTINUED downscaling of transistor gate length and oxide thickness has led to the development of low-voltage-enabled high-performance analog and RF CMOS circuits [1], [2]. Inside the sub-1-V supply voltage regime, the design challenges are more severe than ever [3], cannot be scaled much because of [4]. Threshold voltage transistor variability, matching and leakage issues. The tradeoff between signal swing and transistor overdrive sets the hurdle for power-performance optimization. In this sense, high-voltage (HV)-enabled circuits emerge as a feasible alternative to cope with the sub-1-V technologies at low and scaling roadmaps cost. Fig. 1 shows the nominal Manuscript received December 21, 2009; revised April 20, 2010; accepted April 22, 2010. Date of publication June 07, 2010; date of current version July 14, 2010. This work was supported in part by the Research Committee of the University of Macau and the Macao Science and Technology Development Fund (FDCT). P.-I. Mak is with the Analog and Mixed-Signal Very Large Scale Integration (VLSI) Laboratory, University of Macau, Macau 853, China (e-mail: [email protected]). R. P. Martins is with the Analog and Mixed-Signal Very Large Scale Integration (VLSI) Laboratory, University of Macau, Macau 853, China and also with the Instituto Superior Técnico (IST)/Technical University of Lisbon, 1049-001 Lisboa, Portugal (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049769

Fig. 1. Elevated reliability.

V

ensures voltage headroom but requires design for

with process advancements. Arrived at the 90-nm CMOS node outpacing the nominal value and beyond, an elevated becomes an effective way for restoring back more voltage -toratio directly opens up a great headroom. A high deal of flexibility in defining circuit topologies while ensuring a sufficient dynamic range for analog and RF signal processing. Evidently, design-for-reliability becomes a mandatory concern for preventing device overstress. The general advantages of HV-enabled circuits can be discussed by using the following two examples. As shown in Fig. 2(a), a hybrid-transistor power amplifier to enhance the (PA) [5] permits exploiting an elevated power efficiency. The thin-oxide transistor featuring a high can serve as the input device to maximize the speed. The thickoxide transistor, serving as the cascode device, effectively multiplies up the voltage-withstand capability of the PA and improves its reverse isolation. -elevated Alternatively, as shown in Fig. 2(b), a ultra-wideband (UWB) balun low-noise amplifier (LNA) [6] increases the output dynamic range while allowing a larger , resulting in both high gain and high load resistance can notably limit the dynamic range. Obviously, a large output bandwidth (BW). Subject to different applications, a can be employed to address the BW gain-peaking inductor issue. This paper describes novel HV-enabled RF circuits that are fully compliant with standard technologies. Only thin-oxide transistors are required, avoiding the need of thick-oxide devices and expensive specialized-HV devices (e.g., laterally diffused MOS). The proof-of-concept prototype is a mobile-TV tuner RF front-end. The integrated part includes attenuator, a gain roll-off compensation an LNA, a

0018-9480/$26.00 © 2010 IEEE

MAK AND MARTINS:

-ENABLED MOBILE-TV RF FRONT-END WITH TV-GSM INTEROPERABILITY IN 1-V 90-nm CMOS

1665

Fig. 3. Typical CS amplifier with a resistive load.

and Fig. 2. RF circuits using an elevated V

(2)

. (a) PA. (b) Wideband balun LNA.

path, and two I/Q mixer drivers. Fabricated in a 1-V 90-nm CMOS process, the RF front-end achieves performance metrics that are competitive with the prior state-of-the-art technologies while consuming lower power. The experimental results were reported briefly in [7]. This paper extends significantly the presentation with more circuit insights and definitive materials. is due to the fact The reason for choosing just a doubled of around 1 V. that nanoscale technologies have a nominal implies typically a 2-V supply, which can be easily A generated by a 3.6 3.7-V Li-ion battery using a low-dropout regulator (LDO). In RF systems, LDO is widely employed to improve the power-supply rejection ratio of the driven circuit. The second key contribution of this study is related to the fact that the proposed RF front-end supports both typical reception and TV-GSM interoperation through an on/off-chip co-design. TV-GSM interoperation has been the subject of intense research in recent years [8]. A nearby GSM-900 interferer complicates the tuner from receiving the VHF-III and UHF bands (170–860 MHz), where most of the mobile-TV standards such as DVB-H, ISDB-T, T-DMB, and MediaFLO are broadcast. A customized off-chip preselect filter in conjunction with an on-chip gain roll-off compensation technique realizes sufficient rejection at the GSM-900 band, while ensuring a flat passband. Section II outlines the fundamental reason of adopting an el. Section III overviews the tuner architecture with evated emphasis on TV-GSM interoperation. Section IV describes the design and simulation of each building block. Section V reports the experimental results and benchmarks the work to the literature. Section VI concludes this paper.

is the transconductance of where is the noise factor and , is the Boltzmann’s constant, and is the temperature (in equation of a MOSFET considering Kelvins). The generic the mobility degeneration parameter is given by (3) is the aspect ratio of the transistor and is the where transconductance parameter. From (3), the input-referred thirdorder intercept point (IIP3) of a MOSFET can be calculated [9] as (4) With (1), (2), and (4), the dynamic range plifier can be calculated as

of the CS am-

(5) where

is the dc voltage gain as given by (6)

cannot be arbitrarily increased to maximize the In practice, . Next, it will be observed how and the bias condi. Since the output common-mode tion limit the achievable voltage must be within , we have (7) where denotes a ratio value 1 and can be conveniently set to . Re-arranging (7) yields 0.5 for

II. FUNDAMENTALS FOR ADOPTING AN ELEVATED

(8)

The basis for adopting an elevated are illustrated through and the dynamic range analyzing the relationship between of a common-source (CS) amplifier with a resistive load, as shown in Fig. 3. The channel-length modulation is neglected for simplicity. The input-referred squared noise voltages imputable and are given, respectively, by to (1)

Substituting (8) back into (5), the

can be re-expressed as (9)

From (9), it can be deducted that the most straightforward is by elevating the . From manner to increase the gain-to-power-efficiency’s viewpoint, it would be preferable to

1666

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 5. 170–750-MHz preselect filter’s profile for receiving the TV band in the presence of GSM-900 uplink. Fig. 4. Proposed on/off-chip codesigned mobile-TV tuner RF front-end supporting VHF-III (170–240 MHz) and UHF (470–860 MHz) bands in typical reception mode and narrower UHF (470–750 MHz) band in TV-GSM interoperation mode.

boost the voltage gain by increasing but not ( for a given transistor size), as an equal increment of can help to maintain the fairly unchanged under the . Assuming a conservative value condition that of [10], should be equal to 6 (15.5 dB) for , which is a reasonable value to be achieved. An analogous observation exists for inductively-degenerated CS LNA and Gilbert-cell mixer as addressed in [9] and [11]. cannot be arbitrarily increased to maximize Obviously, due to reliability limitations. Boosting the device the reliability by transistor stacking [12] has been one of the ways to push upward the drain–source voltage withstand capability of MOS devices. The major device reliability concerns of an ultrascaled CMOS process include the absolute maximum rating (AMR), hot carrier injection (HCI), negative bias temperature instability (NBTI), time-dependent dielectric breakdown (TDDB), and punchthrough effect [13]. Their implications to the circuit structures based on the employed 1-V 90-nm CMOS process will be justified in the text wherever appropriate. III. TUNER ARCHITECTURE FOR TV-GSM INTEROPERATION Fig. 4 shows the proposed -enabled mobile-TV tuner RF front-end. It supports VHF-III (170–240 MHz) and UHF (470–860 MHz) bands in typical reception mode, and narrower UHF band (470–750 MHz) in TV-GSM interoperation mode. A direct-conversion architecture [14] facilitates the frequency plan and hardware reuse for multiband multistandard mobile-TV applications [15]. The external passives include an off-the-shelf balun, an off-the-shelf SP3T RF switch, and three customized preselect filters built with surface-mount devices (SMDs). A wideband LNA covering the VHF-III and UHF bands is employed as it can cover both VHF-III to UHF bands without demanding reconfigurability [16]. The LNA has to exhibit low noise, high gain, and gain control such that the noise contribution of the latter circuitry is minimized. However, due to the high gain of the LNA, the linearity of the front-end is limited by attenuator and I/Q mixer drivers. Since a the helps improving linearity with low overhead as discussed in

Section II, techniques for improving the linearity of the attenuator and I/Q mixer driver are developed. All circuit blocks are differential to desensitize them from bondwire variation, while minimizing common-mode pickups and even-order nonlinearity. An inductive-peaking feedforward path is added to even out the passband variation. The reason for the LNA to have three sets of differential outputs will be addressed later in Section IV. The gain control is implemented digitally in three steps: 1) the LNA provides a one-step high-/low-gain control; 2) attenuator offers a coarse gain control with a 6-dB the step size; and 3) the I/Q mixer driver renders a fine gain control with a 0.75-dB step size. The rationale for employing three preselect filters is related to the fact that, in the frequency range of interest, the VHF-III band suffers from harmonic mixing if only one preselect filter is adopted, since the UHF band is located at the third harmonic of the local oscillator (LO) when downconverting the VHF-III band by hard-switching mixers. This drawback is overcome by separation of the VHF-III and the UHF prefilters with transference of the mixers to a polyphase harmonic-rejection mixer scheme [17], [18]. The VHF-III prefilter serves to reject the interferers located at the harmonics of the LO, whereas the mixer scheme interpolates a pseudo sinewave to suppress the third and fifth harmonics of the LO. The preselect filter for the narrower-UHF band supports the TV-GSM co-integrated terminals. The presence of the GSM-900 service poses a strict challenge to the tuner operating in the UHF band [19]. Fig. 5 outlines the spectrum of TV-GSM interoperation, where the upper cutoff frequency is reduced from 860 to 750 MHz, as allowed by the DVB-H specifications [20]. Since the isolation between the tuner and GSM transceiver is limited to roughly 10 dB, external filtering is required for seamless TV-GSM interoperation. For the GSM transceiver, a forefront off-chip high-pass filter (HPF) minimizes its leakage power from increasing the tuner’s input noise floor. For the tuner, a preselect filter having a notch at 900 MHz is required. An attenuation level of not less than 58 dB is necessary to guarantee the GSM-900 uplink signal, with a maximum power level of 33 dBm, still aligned with the maximum input power outlined by the DVB-H standard. Such a rejection requirement cannot be simply fulfilled by an off-the-shelf TV-tuner filter

MAK AND MARTINS:

-ENABLED MOBILE-TV RF FRONT-END WITH TV-GSM INTEROPERABILITY IN 1-V 90-nm CMOS

1667

) that can maximize the linear output the supply (i.e., is given by swing. The differential voltage gain

(10)

Fig. 6. 1

2V

inverter LNA. Input dc level is assumed to be at V

(0 V).

[21]. Typically, with no intent of TV-GSM interoperation, only 30-dB attenuation at GSM-900 uplink is provided. Moreover, due to a limited factor, a sloped passband is induced. Here, a customized preselect filter and a feedforward gain roll-off compensation path are applied concurrently in the TV-GSM interoperation mode to meet the GSM-rejection profile, while maintaining the flatness of the passband prior to down-conversion. Likewise, the input-referred noise around the transition frequency will not be significantly degraded. Other design specifications are concisely summarized here as they have been extensively reported in the literature [15], [22]. The representative linearity specification is given by the pattern test of DVB-H, i.e., the TV tuner has to demodulate a 16-QAM signal when there are two interferers, one digital (40-dB stronger) and one analog (45-dB stronger), two and four channels away from the desired, respectively. This test sets the IIP3 to 5 dBm together with a noise figure (NF) of 8 dB. The sensitivity specification is also given by the DVB-H standard. At the maximum gain a NF of 5 dB is required. This value includes the insertion loss (IL) of the external components. IV. ON/OFF-CHIP CIRCUIT DESIGN All of the integrated circuits are of differential architecture, though several schematics are shown in their single-ended equivalents for simplicity. A. Basic Cell of the LNA Here, we describe the basic cell of the LNA that can be easily upscaled to operation. As shown in Fig. 6, a inverter-type amplifier with the NMOS’s source terminal as the input enables a wideband input-impedance match. The capacitive cross-coupling technique [23] reuses the gate–source of both nMOS and pMOS devices, retransconductance sulting in an improved gain-to-power efficiency. In this subcirthrough cuit level, the input dc voltage is set at 0 V the off-chip balun. The gate voltage is self-biased by the feed, resulting in an ouput dc voltage halfway of back resistor

and are the transconductance and output resiswhere tance of , respectively. The overall transconductance corresponds to the term which can for sufficiently large and , be approximate to implying roughly a tripled increment of transconductance when comparing to that achieved by a single transistor. With 1 V, proper sizings lead to 0.5 V and . Given that of the thin-oxide nMOS for both and pMOS transistors is roughly 0.3 V, each transistor is biased 0.2 V. The -toin strong inversion with ratio is 30 V and the output dynamic range is 0.6 . ’s source node as the input terminal realizes The use of a wideband input impedance match. It can be shown that the of the LNA is given by differential input resistance (11) which can be simplified to a handy and observable form as follows: (12) and are assumed to be infinite. However, in when nanoscale technologies, (11) is essential for an accurate cal. and the total input parasitic culation of capacitance determine the value and BW of the input , as given by reflection coefficient magnitude (13) where denotes the output resistance of the test source. The finite output impedance of and complicates the optimization between voltage gain, BW and NF under an impedancematch condition. For the sizing of each component, a constraintbased semi-computed design flow [24] is applied to optimize those parameters concurrently. It is noteworthy that the capacitive cross-coupling technique and the use of an off-chip balun for signal injection allows effective noise and distortion cancel. lation of Self-biased inverter-based circuits are sensitive to process, voltage, and temperature (PVT) variation. The back-gate control scheme highlighted in [25] is an effective solution for this problem. It keeps the supply current constant and reduces the sensitivity to supply ripple by returning the correcting signals to and . A triple-well process is required to isolate the bulk of nMOS from substrate. Because this work is the very first proof-of-concept prototype, this back-gate control scheme has not been embedded.

1668

Fig. 7. Three 2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

2V

partitioning schemes for the LNA.

Since the linearity of the receiver is mainly limited by the I/Q mixer drivers, the main design consideration of the LNA is to with guaranteed reliabilty. operate it reliably under a partiThe associated biasing is simplified by introducing a cascode-inverter LNA, tioning concept. Based on it, a cascode-cascade-inverter LNA that befits the proand a posed RF front-end, are developed. Partitioning Concept: In order to reliably bias the 1) thin-oxide transistors under an elevated supply, it is convenient to equally divide the supply into four regions, as shown in Fig. 7. ,a inverter-type amFrom left to right, under to ) can be connected in three different ways plifier ( without affecting the performance and reliability. Such an amplifier is self-biased by a feedback resistor. It can be observed , and that the inter-rail voltage levels ( ) call for additional circuitry for generating the inter supply rails. This overhead, however, can be avoided by exploiting a and ). The cascode of two identical inverter amplifiers ( intermediate point is still the RF input node, self-biased to a because of voltage division. Due to value close to a matched I/O dc level, the voltage gain can be enhanced furto ), ther by cascading the cascoded inverter amplifiers ( without needing any ac coupling. Cascode-Inverter LNA: Fig. 8 describes the trans2) inverter LNA into a casformation of a code-inverter LNA. It is noteworthy that the main objective is to keep the RF performance, power consumption and output resistance remain unchanged, but the voltage capability is doubled. inverter The LNA is structured by, first, splitting the LNA into two, relying on the principle of device parallelism. The second is stacking them together. The reliability of all devices is maintained at static and power-up/down transient as the dc-levels of all internal nodes follow linearly with the when it ramps up (see Fig. 8, right). Due to the separation of circuits from 1 to 2, the output impedance of each output ( and ) is doubled from its original design. This issue can be solved by ac-shorting the dual outputs passively by a capacitor, or actively by another gain stage as shown in Fig. 9. The latter can further boosts the RF gain, at the expense of the is of even higher output impedance). The reliaBW (since bility is guaranteed simply by adding two cascode devices and with resistor for the drain–gate bias.

Here, the passive combination has been selected for its excellent linearity and the facts that the required summing capacitor atcan be merged into the subsequent programmable tenuator and the gain of the supply and ground noises to the single-ended output can be remarkably reduced. The gain enhancement is modified as a double capacitive cross-coupling technique. Thus, the bias point, and the net voltage stressed on each transistor, are unaltered when compared with a generic design. This feature contrasts with the outside-rail HV circuits [26] which operates with large signals, the trajectories of the device terminal voltages in transients must be controlled to be within the reliability limits such as HCI, TDDB, and punchthrough. The factor is introduced as a correction constant since the roles of nMOS and pMOS in the lower inverter are switched compared with the upper one. It is possible to design without , but it helps ensuring that the upper and lower inverters can achieve the same RF performances. The bulk of should be tied to its source to avoid voltage overdrive and between the bulk and source terminals. The bulks of can be used to desensitize the circuit from PVT variation as mentioned before. The dc level of the input node is self-biased (halfway of the elevated supply). to a value close to Cascode-Cascade-Inverter LNA: Its schematic is 3) shown in Fig. 10. Adding one more gain stage boosts gain and permits gain switching without affecting the input impedance and that are inmatch. The second stage is realized by verter-like CS amplifiers offering a simple gain-bypass mode . Considering the by using a switch (SW) in parallel with and , the voltage gain of upper path involving in the high-gain mode can be expressed by (14) where and are the transconductance and output resistance of , respectively. In the low-gain mode, is reduced (in parallel with the ON-resistance of the SW). The input is given by resistance of (15) Since loads to , the gains of and are simultaneously reduced in the low-gain mode when is decreased, effectively increasing the linearity. Note that no reliability issue is induced as the gain control involves no change of bias point. and The consideration applies for the lower path involving . One particular feature of this LNA is that, in addition to and ), the two high-impedance output terminals ( is available, which a low-impedance output terminal will be reused for gain roll-off compensation (to be described in Section IV-F). The simulated performances of the standalone LNA is shown in Fig. 11. At no load condition, the high-gain mode shows 10–dB BW 31.4-dB voltage gain, 2.8-dB NF, and of 0.1–1.5 GHz. At the low-gain mode, the performances are 10–dB BW of 21.1-dB voltage gain, 3.6-dB NF, and 0.1–1.56 GHz. An in-band two-tone test at 400 and 500 MHz shows an IIP3 of 3.3/5.4 dBm at high-/low-gain mode. The

MAK AND MARTINS:

-ENABLED MOBILE-TV RF FRONT-END WITH TV-GSM INTEROPERABILITY IN 1-V 90-nm CMOS

Fig. 8. Constant-power constant-performance transformation of a 1

2V

inverter LNA into a 2

2V

1669

cascode-inverter LNA (simplified half circuit).

2

Fig. 9. 2 V cascode-inverter LNA with its dual outputs combined actively by a dual-input cascode amplifier.

LNA draws 9.8 mW at 2 V. It is noteworthy that those performance metrics exclude the loading effect of the attenuator and I/Q mixer drivers. Cosimulations between blocks are necessary to justify the overall RF performances. B. ESD Protection Scheme Since (see Fig. 10) is self-biased internally by the LNA at a dc level halfway of the supply, it is convenient to apply forward-connected diode chains to boost the ESD protection level. ’s and ’s are three substrate pnp As shown in Fig. 12, and diodes, which, together with the reverse-biased diodes ( ) and power clamp construct the ESD protection scheme. In

2

Fig. 10. 2 V cascode–cascade-inverter LNA with triple output terminals and high/low-gain mode (simplified half circuit).

the ESD-robustness simulation, a human body model (HBM) voltage pulse is applied to the LNA’s input to induce a large zapping discharge current that has a rising/falling time of 8 ns [27]. As verified in all combinations, the RF input pins can withstand minimally 4 kV of ESD zapping without causing internal or protection devices failure. This result fulfills the standard of “safe level” in the chip-level ESD specifications. The main concern is the induced nonlinear parasitic capacitance, which is roughly 0.2 pF in this design. With a rail-to-rail

1670

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 11. Simulated performances of the standalone LNA with no load.

Fig. 12. ESD protection scheme of the RF input pin.

0

Fig. 13. Programmable C 2C attenuator for coarse-gain control. The input capacitor 2C is divided into 2C ’s to interface with the LNA.

Fig. 14. Simulated magnitude response of the C

0 2C attenuator.

sinewave applied at the input, the total harmonic distortion is 0.1%. C. Programmable

Attenuator

The linearity of the I/Q mixer driver limits that of the entire TV tuner because of the voltage gain of the LNA. Instead of utilizing the current-steering gain-control method [28] that can affect the operating points, a passive attenuator (Fig. 13) is inserted between the LNA and the I/Q mixer drivers to control coarsely the dynamics of the RF signal. Conveniently, the input capacitor can be divided into two equally sized capacitors ( ’s) and lower for passively combining the LNA’s upper outputs. The five-stage attenuator offers an attenuation to 30-dB with a 6-dB step size, i.e., range for , where is the gate has control logic for switching the attenuation levels. Sizing a tradeoff between the accuracy and BW. An increase of value can desensitize the gain step from parasitic capacitances, whereas a decrease of value can maximize the BW. Here is 0.5 pF for the targeted BW. The simulated the optimized attenuator is shown in Fig. 14. magnitude response of the The ON-resistance of the switches and capacitor size determine the BW of the attenuator, which is well over 1 GHz among all attenuation levels. The output is not buffered before driving the mixer driver, inducing 0.1-dB passband gain loss under a 0.1-pF load, which models the input capacitance of the mixer driver. helps minimizing the size and nonlinearity An elevated partitioning scheme of MOS switches. In the proposed there are four gain switching methods using digital inverters, as shown in Fig. 15. nMOS and pMOS switches using the cor1 V in the ON-state, responding inverter ensure

Fig. 15. Appropriate digital inverters for switches operating at different supply rails. They drive nMOS and pMOS switches correspondingly, such that V of 1 V in ON-state can be achieved in the four cases shown.

2

in the OFF-state. The main reliability concern of the attenuator is the bias temperature instability (BTI), because all switches conduct no static current, and to overcome it, triplewell nMOS switches allowing bulk-source connection are employed as they are far less affected by BTI than the pMOS devices. The associated tradeoff is that nMOS requires a deep n-well to permit bulk-source connection, which is a cheap option that is widely available in advanced processes. The parasitic capacitances associated with the deep-n-well diodes have to be accounted to minimize gain-step error. The terminal voltage is purposely set to (0.5 V) to interface with the I/Q mixer drivers. As such, the gain-control logics [ to ] can be (1.5 V) in the ON-state. Considreliably up-shifted to and ) can ering AMR and TDDB, the RF input signals ( . In the linear region, a 0.5-V increment be as large as 0.4 of overdrive leads to a better linearity and a smaller

MAK AND MARTINS:

-ENABLED MOBILE-TV RF FRONT-END WITH TV-GSM INTEROPERABILITY IN 1-V 90-nm CMOS

1671

0

Fig. 16. IIP3’s of the C 2C attenuator with 1-V and 1.5-V gate voltages. The triple-well nMOS switches are biased at a DC level of 0.5 V.

Fig. 18. Proposed cascode I/Q mixer driver. M is partially switchable for finestep gain control. The low-impedance node N interfaces the feedforward path to compensate the gain roll-off.

Fig. 17. 0:5

2V

-up level shifter.

transistor size for a given ON-resistance . The of a CMOS transistor based on a first-order model is given by (16) The simulated IIP3 of the attenuator under a 50- source impedance with 1.5-V and 1-V gate-control voltages are shown in Fig. 16. The former achieves 9.8– 16.1 dBm IIP3 among an attenuation range of 0– 30 dB, showing minimally 7.3-dB improvement of IIP3 when comparing it with the latter. -up level shifter is shown in Fig. 17. The involved It features a simple structure to realize low-to-high transition from 0/1-V input to 0.5/1.5-V output. When the input is logic 1 is close to ground, the MOS switch is turned ON (1 V), 0.5 V (with a resistance much smaller than ), yielding ] and making [ to [i.e., ] equal to logic 1 (1.5 V). On the other hand, when the input is logic 0 (0 V), is OFF, yielding 1.5 V [i.e., 2 V 1V 1 V] and making [ to ] equal to logic 0 (0.5 V). It can be verified that all terminal voltages of MOS devices satisfy the reliable limits. The required reference voltages are generated by an on-chip high-ohmic polysilicon resistor ladder. D. I/Q Mixer Drivers The proposed I/Q mixer drivers (Fig. 18) are based on a cascode structure with a resistive load to drive a passive mixer. in terms of linear It benefits the most from an elevated output swing and reverse isolation. The fine-gain control [ to

Fig. 19. Magnitude response of the RF front-end at different sizes of the M .

] is set at the ac-switching part of (i.e., ), covering a 0-to-6-dB gain range with a 0.75-dB step size. The gain-control in the OFF-state logics [ to ] are up-boosted to in the ON-state to improve the linearity, similar to and attenuator. The entire coarse-fine the gain control in the gain control involves no change of bias points, ensuring the reliand are nMOS transistors ability in all operating modes. and are of long channel length (i.e., with 1.2 m) to avoid BTI and punchthrough. and are linearized and self-biased by at a of . They deliver the signal current to the two cascode and while maintaining adequate reverse and I/Q devices isolations of 76.3 and 38.4 dB in simulations, respectively. The without jeopardizing linear output swing is boosted to 0.6 the reliability limits in terms of RF stress [29], which is confirmed by checking the trajectories of the terminal voltages in power-up/-down transients. The mixer driver is to deliver high swing output to a resistive load while ensuring a sufficient voltage gain. When driving a passive mixer realized with MOSFET, a small device size is preferred as the switching power of the LO path can be minishown in Fig. 19 is of pMOS mized. The mixer switch

1672

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 22. Simplified schematic of the feedforward gain roll-off compensation path.

Fig. 20. Simulated dc-node voltage variation of Fig. 18 with the 2 ramped up from 0 to 2 V.

2V

loading effect between it and the LNA and the attenuator must be taken into account to check the overall gain and output BW under different loads. As shown in Fig. 20, with 700 , the voltage gain can be maintained at 20 dB with around 1-GHz overall output BW with sizes of ranging from , where 1, 2, 3, 4. With a 50RF test source and sized as 3.6/0.1, the simulated differential voltage gain of the mixer driver is 5.4 dB and IIP3 is 8.6 dBm. The I/Q mixer driver is extensively voltage biased to freeze the operating points necessary for reliable operation. Since the DVB-H employs time-slicing operation [20], a resistor ladder generating the reference and bias voltages avoids wrong voltage buildup (remove) sequences in internal nodes during power-up (down) transients. Fig. 20 shows the simulated internal node voltages of the I/Q mixer drivers (markers correspond to Fig. 18) ramps up from 0 to 2 V. It can be observed when the that the potential differences of all internal nodes are within the reliable guides of AMR and TDDB. E. External Components

Fig. 21. Simulated S and S of the three preselect filters for: (a) 170–240-MHz band; (b) 470–860-MHz band; and (c) 470–750-MHz band.

type to take advantage of the output dc level, in. creasing the gate–source overdrive in the ON-state as Since the I/Q mixer driver has resistive input impedance, the

and of the three preselect filters opThe schematics, timized for the 170–240, 470–860, and 470–750 MHz bands, are shown in Fig. 21(a)–(c), respectively. The source and input impedances of the RF front-end are matched with 75 . The -factors of surface-mount-device (SMD) inductor and capacitor at 1 GHz are 60 and 80 , respectively. A 1-pF input parasitic capacitance is assumed to be at the input of the is less than 10 dB in all cases. RF front-end. The in-band The 470–750-MHz preselect filter achieves 60-dB rejection at 900 MHz at the expense of 1.66-dB passband variation near the cutoff. This effect is addressed by a gain roll-off compensation technique to be described next. The 170–240-MHz preselect filter can achieve more than 30-dB rejection at 510 MHz to prevent the harmonic mixing. In order to measure the frequency response with minimum IL, the 470–860-MHz preselect filter structure. for typical reception is a simplified The selected balun and RF SP3T switch are wideband components. Up to 1 GHz, the 1:2 balun has a 1.2-dB IL [30] and the single-pole triple throw (SP3T) RF switch has a 0.4-dB IL [31]. F. Feedforward Gain Roll-Off Compensation The gain roll-off due to the 470–750-MHz preselect filter and attenuator the finite BW limitation of the LNA and

MAK AND MARTINS:

-ENABLED MOBILE-TV RF FRONT-END WITH TV-GSM INTEROPERABILITY IN 1-V 90-nm CMOS

1673

Fig. 23. Simulated magnitude responses of the RF front-end with and without gain roll-off compensation.

Fig. 24. (a) Test fixture for resisting the ambient GSM signals and (b) chip micrograph of the RF front-end.

in conjunction induce significant gain roll-off near the cutoff. (see Fig. 10) is a low-impedance output node of the LNA reusable for gain roll-off compensation. Confirmed by on/offchip cosimulation, a feedforward path (Fig. 22) from with inductive peaking and amplification realizes a low- highpass characteristic. The gain block of 1 implies cross connection of the differential terminals. It compensates the passband roll-off due to the external preselect filter, the LNA, and the attenuator. The inductor is differential for area savings and the amplification is based on another inverter amplifier . Since it is a low- peaking, the technique is insensi. An error amplifier loop around tive to the absolute value of generates a regulated supply for reliable operation of . The error amplifier is based on a differential pair with a current mirror load. Its current tail nMOS is diode-connected to allow self-biasing, avoiding any overstress when the ramps up. This current tail is sized to consume a voltage stress to reduce the voltage stress on the differential of pair and current mirror. The simulated passband flatness with and without compensation is depicted in Fig. 23. The passband flatness is improved by 2.55 dB. V. EXPERIMENTAL RESULTS, DISCUSSIONS AND BENCHMARKS Prototypes of the RF front-end have been fabricated in a 1-V 90-nm CMOS process. The die micrograph and test fixture

Fig. 25. Measured RF performances of the RF front-end with the corresponding preselect filters for: (a) 170–240-MHz band; (b) 470–860-MHz band; and (c) 470–750-MHz band.

for resisting the ambient GSM signals from affecting the test results are shown in Fig. 24(a) and (b), respectively. The RF front-end employs a single 2-V supply. It occupies 0.28-mm active area and is filled symmetrically by obligatory dummy tiles to avoid mechanical strain differences. The metal lines are set to 1- m width per 1-mA dc current to prevent electromigration. An inductive-peaking 50- test buffer was designed to drive the equipments since the loading effect of the buffer cannot be simply de-embedded. Fig. 25(a)–(c) shows the RF performances measured with different preselect filters. The measured peak voltage gain

1674

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

TABLE I PERFORMANCE SUMMARY AND COMPARISON WITH THE STATE-OF-THE-ART CMOS VGLNAS

1: TV-GSM inter-operation mode. 2: With external filters. 3: The ESD protection level is based on HBM simulation.

Fig. 27. Linearity measurements with two-tone tests at 0.5 and 0.7 GHz and 0.7 and 0.9 GHz.

Fig. 26. Measured RF performances against the gain control word at 600 MHz. (a) Voltage gain and gain error. (b) NF and IIP3.

ranges from 24.9 to 26.5 dB, and the minimum NF of the RF front-end ranges from 3.5 to 3.9 dB, after de-embedding the loss of the RF switch, balun, and preselect filters. The in-band is below 10 dB in all modes. In TV-GSM interoperation mode, the rejection at GSM-900 uplink measures 68 dB and

less than 0.7-dB gain roll-off within 470–750 MHz. Since the GSM-rejection filter is realized in a discrete form, post-tuning for alignment is necessary to ensure a stable and accurate notching at GSM-900 uplink against PVT. The manufacturable implementation of the filter prototypes should be with the low-temperature co-fired ceramic (LTCC) technology [32], [33] in the next phase, which can have an accurately controlled frequency response. Fig. 26(a) and (b) shows the voltage gain and gain error, NF and IIP3, measured against the gain-control words, respectively. The gain step error is within 1 dB throughout a gain control range of 46 dB. It is believed that this attenuator, which error can be mainly attributed to the should be reoptmized with the parasitic capacitance caused by the deep-n-well diodes and the density tilings. Throughout a 46-dB gain-control range, the IIP3 ranges from 5.5 to 4 dBm under a two-tone test at 400 and 500 MHz. Nevertheless, when the RF front-end is switched to the low-gain mode (10-dB gain back-off), an IIP3 of 1.6 dBm together with a minimum NF of 6.4 dB is adequate to pass the DVB-H pattern test with low-sensitivity degradation. The RF front-end excluding the test circuitry consumes 15 mW, out of which 10

MAK AND MARTINS:

-ENABLED MOBILE-TV RF FRONT-END WITH TV-GSM INTEROPERABILITY IN 1-V 90-nm CMOS

mW is due to the LNA and the attenuator (plus its associated bias circuit). The desensitization of the RF front-end to the GSM-900 interference is characterized by using two two-tone tests, as shown in Fig. 27. A two-tone test at 0.5 and 0.7 GHz measures an IIP3 of 5.5 dBm. With a preselect filter notching at the 0.9 GHz, the two-tone test at 0.7 and 0.9 GHz shows that the generated thirdorder intermodulation (IM3) distortion becomes insignificant. With no access to industrial long-term reliability testers, the reliability of the chip was justified by operating it continuously at room temperature for three days (reference the method described in [13]). No detrimental effect on the performance was noted. Due to a similar reason, the ESD robustness could not be strictly characterized experimentally. The achieved ESD-protection level is based on simulations. Comprehensive systemlevel measurements including EVM degradation in a TV-GSM co-integrated scenario should be chased in the latter stages of the research, with the presence of the digital demodulator and other analog-baseband circuitry. There is no similar on/off-chip co-design RF front-end reported in the literature for direct performance comparison. Nevertheless, it is relevant to compare the combined performance of attenuator with the state-of-the-art CMOS the LNA and variable-gain low-noise amplifiers (VGLNAs) [25], [34], [35] in Table I. With similar NF and linearity performances, this work is advantageous for its lowest power consumption, multistandard conformity and TV-GSM interoperability. The chip area is much larger than [25] due to the reason that, here, a wider gain range is realized, and two I/Q mixer drivers and one gain-roll-off compensation path are implemented. The mixer drivers are capable of driving both resistive and capacitive loads with low gain and BW reduction. VI. CONCLUSION This study demonstrated that RF circuits reliably powered by are capable of achieving high performances an elevated with low power consumption and no reliability risk. The pre-enabled mosented proof-of-concept prototype is a bile-TV RF front-end with TV-GSM interoperability. Verified in a 1-V 90-nm CMOS process with standard 1-V thin-oxide devices, the circuit core draws 15 mW at a custom-elevated 2-V supply. In TV-GSM interoperation mode, an inductive-peaking feedforward path evens out the passband to 0.7-dB variation, while showing 68-dB rejection at the GSM-900 uplink. The presented stress-conscious circuit architectures and self-bias techniques are generally applicable for different designs. It is believed that HV-enabled circuits with design-for-reliability possess a high potential in boosting RF circuit performances in sub-1-V technologies at low cost. This research direction has been followed with the main objectives of increasing the circuit portfolio and the integration level. ACKNOWLEDGMENT The authors would like to thank Prof. B. Razavi, University of California at Los Angeles (UCLA), for the valuable discussion, Prof. F. Maloberti, University of Pavia, Pavia, Italy, and the staff of Circuits Multi-Projet (CMP), Grenoble, France, for

1675

assistance on packaging, and the reviewers for their valuable comments and suggestions. REFERENCES [1] P.-I. Mak, S.-P. U, and R. P. Martins, Analog-Baseband Architectures and Circuits for Multistandard and Low-Voltage Wireless Transceivers. Berlin, Germany: Springer, 2007. [2] L. Leung and H. C. Luong, “A 1-V 9.7-mW CMOS frequency synthesizer for IEEE 802.11a transceivers,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 1, pp. 39–48, Jan. 2008. [3] B. Razavi, “Design considerations for future RF circuits,” in Proc. IEEE ISCAS, May 2007, pp. 741–744. [4] W. Sansen, “Analog design challenges in nanometer CMOS technologies,” in Proc. IEEE A-SSCC, Nov. 2007, pp. 5–9. [5] M. Zargari, L. Nathawad, H. Samavati, S. S. Mehta, A. Kheirkhahi, P. Chen, K. Gong, V. Vakli-Amini, J. A. Hwang, S.-W. M. Chen, M. Terrovitis, B. J. Kaczynski, S. Limotyrakis, M. P. Mack, H. Gan, M.-L. Lee, R. T. Chang, H. Dogan, S. Abdollahi-Alibeik, B. Baytekin, K. Onodera, S. Mendis, A. Chang, Y. Rajavi, S. H.-M. Jen, D. K. Su, and B. A. Wooley, “A dual-band CMOS MIMO radio SoC for IEEE 802.11n wireless LAN,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2882–2895, Dec. 2008. [6] R. Bagheri, A. Mirzaei, S. Chehrazi, M. Heidari, M. Lee, M. Mikhemar, W. Tang, and A. Abidi, “An 800-MHz-6-GHz software: Defined wireless receiver in 90-nm CMOS,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2860–2876, Dec. 2006. V -enabled TV-tuner RF [7] P.-I. Mak and R. P. Martins, “A 2 front-end supporting TV-GSM interoperation in 90 nm CMOS,” in IEEE Symp. VLSI Circuits, Tech. Dig., Jun. 2009, pp. 278–279. [8] M. Flath, “Address challenges in DVB-H receiver design,” EE Times–Asia pp. 1–2, Jun. 2007 [Online]. Available: http://eetasia.com/ARTICLES/2007JUN/PDF/ EEOL_2007JUN01_RFD_ACC_EMS_TA.pdf [9] W. Sheng, A. Emira, and E. Sánchez-Sinencio, “CMOS RF receiver system design: A systematic approach,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 5, pp. 1023–1034, May 2006. [10] A. J. Scholten, L. F. Tiemeijer, R. Van Langevelde, R. J. Havens, A. T. A. Zegers-van Duijnhoven, and V. C. Venezia, “Noise modeling for RF CMOS circuit simulation,” IEEE Trans. Electron Devices, vol. 50, no. 3, pp. 618–632, Mar. 2003. [11] M. El-Nozahi, E. Sánchez-Sinencio, and K. Entesari, “Power-aware multiband-multistandard CMOS receiver system-level budgeting,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 56, no. 7, pp. 570–574, Jul. 2009. [12] K. Y. Son, C. Park, and S. Hong, “A 1.8-GHz CMOS power amplifier using stacked nMOS and pMOS structures for high-voltage operation,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 11, pp. 2652–2660, Nov. 2009. [13] B. Serneels and M. Steyaert, Design of High Voltage xDSL Line Drivers in Standard CMOS. Berlin, Germany: Springer, 2008. [14] P.-I. Mak, S.-P. U, and R. P. Martins, “Transceiver architecture selection–Review, state-of-the-art survey and case study,” IEEE Circuits Syst. Mag., vol. 7, no. 2, pp. 6–25, Jun. 2007. [15] I. Vassilios, K. Vavelidis, N. Haralabidis, A. Kyranas, Y. Kokolakis, S. Bouras, G. Kamoulakos, C. Kapnistis, S. Kavadias, N. Kanakaris, E. Metaxakis, C. Kokozidis, and H. Peyravi, “A 65 nm CMOS multistandard, multiband TV tuner for mobile and multimedia applications,” IEEE J. Solid-State Circuits, vol. 43, pp. 1522–1533, Jul. 2008. [16] D. Im, H.-T. Kim, and K. Lee, “A CMOS resistive feedback differential low-noise amplifier with enhanced loop gain for digital TV tuner applications,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 11, pp. 2633–2642, Nov. 2009. [17] J. A. Weldon, R. S. Narayanaswami, J. C. Rudell, L. Lin, M. Otsuka, S. Dedieu, T. Luns, K.-C. Tsai, C.-W. Lee, and P. R. Gray, “A 1.75-GHz highly integrated narrowband CMOS transmitter with harmonic-rejection mixer,” IEEE J. Solid-State Circuits, vol. 36, no. 12, pp. 2003–2015, Dec. 2001. [18] C.-Y. Cha, H.-B. Lee, and K. K. O, “A TV-band harmonic rejection mixer adopting a gm linearization technique,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 9, pp. 563–565, Sep. 2009. [19] V. Rambeau, H. Brekelmans, M. Notten, K. Boyle, and J. V. Sinderen, “Antenna and input stages of a 470–710 MHz silicon TV tuner for portable applications,” in Proc. ESSCIRC, Sep. 2005, pp. 239–242. [20] Mobile and Portable DVB-T/H Radio Access-Parts 1 and 2: Interface Specification and Interface Conformance Testing, Int. Standard IEC 62002-1, IEC, Oct. 2005.

2

1676

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

[21] “Datasheet of TDK low pass filters for DVB-H/ISDB-T DEA Series DEA200710LT-1238A1,” TDK, Uniondale, NY. [22] M.-C. Kuo, S.-W. Kao, C.-H. Chen, T.-S. Hung, Y.-S. Shih, T.-Y. Yang, and C.-N. Kuo, “A 1.2 V 114 mW dual-band direct-conversion DVB-H tuner in 0.13 m CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 3, pp. 740–750, Mar. 2009. [23] D. J. Allstot, L. Xiaoyong, and S. Shekhar, “Design considerations for CMOS low-noise amplifiers,” in Proc. IEEE RFIC Symp., Jun. 2004, pp. 97–100. [24] P.-I. Mak and R. P. Martins, “Design of an ESD-protected ultra-wideband LNA in nanoscale CMOS for fullband mobile TV tuners,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 56, no. 5, pp. 933–942, May 2009. [25] L. Tripodi and H. Brekelmans, “Low-noise variable-gain amplifier in 90-nm CMOS for TV on mobile,” in Proc. ESSCIRC, Sep. 2007, pp. 368–371. [26] K. Ishida, A. Tamtrakarn, T. Sakurai, and H. Ishikuro, “An outside-rail opamp design targeting for future scaled transistors,” in Proc. A-SSCC, Nov. 2005, pp. 73–76. [27] Y.-W. Hsiao and M.-D. Ker, “A 5-GHz differential low-noise amplifier with high pin-to-pin ESD robustness in a 130-nm CMOS process,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1044–1053, May 2009. [28] J. Hu, M. Felder, and L. Ragan, “A fully integrated variable-gain multi-tanh low-noise amplifier for tunable FM radio receiver front-end,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 55, no. 7, pp. 1805–1814, Aug. 2008. [29] L. Larcher et al., “Oxide breakdown after RF stress: Experimental analysis and effects on power amplifier operation,” in Proc. Int. Reliabil. Phys. Symp., Mar. 2006, pp. 283–287. [30] “Datasheet of Mini-Circuits, JTX-2-10T, RF transformer,” Mini-Circuits, Brooklyn, NY. [31] “Datasheet of Anadigics, AWS5523, SP3T Switch,” Anadigics, Warren, NJ. [32] G. Brzezina, L. Roy, and L. MacEachern, “Design enhancement of miniature lumped-element LTCC bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 815–823, Apr. 2009. [33] K. Huang and T. Chiu, “LTCC wideband filter design with selectivity enhancement,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 7, pp. 452–454, Jul. 2009. [34] J. Xiao, I. Mehr, and J. Silva-Martinez, “A high dynamic range CMOS variable gain amplifier for mobile DTV tuner,” IEEE J. Solid-State Circuits, vol. 42, pp. 292–301, Feb. 2007. [35] T. Kim and B. Kim, “A 13 dB IIP3 improved low-power CMOS RF programmable gain amplifier using differential circuit trans-conductance linearization for various terrestrial mobile D-TV applications,” IEEE J. Solid-State Circuits, vol. 41, no. 4, pp. 945–953, Apr. 2006. Pui-In Mak (S’00–M’08) received the B.S.E.E.E. and Ph.D.E.E.E. degrees from the University of Macau (UM), Macau, China, in 2003 and 2006, respectively. He was with Chipidea Microelectronics Ltd., Macau, in the summer of 2003 as a Trainee Engineer. Since 2004, he has been with the Analog and Mixed-Signal Very Large Scale Integration (VLSI) Laboratory, UM, as a Research Assistant (2004–2006), an Invited Research Fellow (2006–2007) and (Co)-Coordinator of the Wireless (Biomedical) Research Line (2008-). He is currently an Assistant Professor with UM. He was a Visiting Fellow with the University of Cambridge, Cambridge, U.K., and a Visiting Scholar at INESC-ID, Instituto Superior Técnico/UTL, Lisboa, Portugal in 2009. He has coauthored a book, Analog-Baseband Architectures and Circuits for Multistandard and Low-Voltage Wireless Trans-

ceivers (Springer, 2007), and approximately 50 papers in referred journals and conferences. He holds one U.S. patent and has several applications pending. His research interests are analog and RF circuits and systems for wireless and biomedical applications and engineering education. Dr. Mak is an associate editor for the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS (2010–2011), the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS (2010–2011), and the IEEE Circuits and Systems (CAS) Society Newsletter (2010–present). He was the corecipient of paper awards at ASICON’03, MWSCAS’04, IEEJ Analog VLSI Workshop’04, PRIME’05, DAC/ISSCC-SDC’05, APCCAS’08 and PrimeAsia’09. He was the recipient of the Honorary Title of Value decoration from Macao Government in 2005; the Clare-Hall Visiting Fellowship from the University of Cambridge in 2009; the IEEE MGA GOLD Achievement Award in 2009; the IEEE CAS Society Chapter-of-the-Year Award in 2009, the UM Research Award in 2010, and the IEEE CAS Society Outstanding Young Author Award in 2010. He is a member of the IEEE GOLD Committee (2007–present), CASS Board-of-Governors (2009–2011), the CAS Publication Activities Committee (2009–2011), the CAS Web Ad hoc Committee (2010–present), and the Technical Committees of CASCOM (2008-) and CASEO (2009–present). He served on the Technical/Organization Committees of numerous conferences such as APCCAS’08 and ISCAS’10. He co-initiated the GOLD Special Sessions in ISCAS’09–10.

Rui P. Martins (M’88–SM’99–F’08) was born on April 30, 1957. He received the B.S., M.S., and Ph.D. degrees and the Habilitation for Full-Professor in electrical engineering and computers from the Department of Electrical and Computer Engineering, Instituto Superior Técnico (IST), TU of Lisbon, Lisboa, Portugal, in 1980, 1985, 1992, and 2001, respectively. He has been with the Department of Electrical and Computer Engineering/IST, TU of Lisbon, since October 1980. Since 1992, he has been on leave from IST, TU of Lisbon, and is also with the Department of Electrical and Electronics Engineering, Faculty of Science and Technology (FST), University of Macau (UM), Macau, China, where he has been a Full Professor since 1998. At FST, he was the Dean of the Faculty from 1994 to 1997, and he has been Vice-Rector of UM since 1997. From September 2008, after the reform of the UM Charter, he was nominated after open international recruitment as Vice-Rector (Research) until August 31, 2013. Within the scope of his teaching and research activities, he has taught 20 bachelor’s and master’s courses and has supervised 21 theses, both graduate and doctoral. He has written 13 books, coauthoring three and co-editing ten, plus authoring or coauthoring five book chapters, 176 refereed papers, as well as other 70 academic work, in the areas of microelectronics, electrical and electronics engineering, engineering, and university education. He has also coauthored seven submitted U.S. patents (with one approved and issued in 2009, one classified as “patent pending” and five still in the process of application). He has founded the Analog and Mixed-Signal Very Large Scale Integration (VLSI) Research Laboratory, UM. Prof. Martins was the founding chairman of the IEEE Macau Section from 2003 to 2005, and of the IEEE Macau Joint-Chapter on Circuits and Systems (CAS)/Communications (COM) from 2005 to 2008 [World Chapter of the Year 2009 of the IEEE Circuits and Systems Society (CASS)]. He was the general chair of the 2008 IEEE Asia–Pacific Conference on Circuits And Systems—APCCAS’2008 and was elected vice-president for Region 10 (Asia, Australia, the Pacific) of the IEEE CASS for the period of 2009 to 2010. He is an associate editor of the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—II: EXPRESS BRIEFS (2010–2011). He was the recipient of the Medal of Professional Merit from Macao Government (Portuguese Administration) in 1999 and the Honorary Title of Value from Macao SAR Government (Chinese Administration) in 2001.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

1677

Design of a Highly Efficient 2–4-GHz Octave Bandwidth GaN-HEMT Power Amplifier Paul Saad, Student Member, IEEE, Christian Fager, Member, IEEE, Haiying Cao, Student Member, IEEE, Herbert Zirath, Senior Member, IEEE, and Kristoffer Andersson, Member, IEEE

Abstract—In this paper, the design, implementation, and experimental results of a high-efficiency wideband GaN-HEMT power amplifier are presented. A method based on source–pull/load–pull simulation has been used to find optimum source and load impedances across the bandwidth and then used with a systematic approach to design wideband matching networks. Large-signal measurement results show that, across 1.9–4.3 GHz, 9–11-dB power gain and 57%–72% drain efficiency are obtained while the corresponding power-added efficiency (PAE) is 50%–62%. Moreover, an output power higher than 10 W is maintained over the band. Linearized modulated measurements using a 20-MHz long-term evolution signal with 11.2-dB peak-to-average ratio show an average PAE of 27% and 25%, an adjacent channel leakage ratio of 44 and 42 dBc at 2.5 and 3.5 GHz, respectively.

TABLE I STATE-OF-THE-ART WIDEBAND PAs

Index Terms—GaN HEMT, high efficiency, octave bandwidth, power amplifier (PA), wideband matching networks.

I. INTRODUCTION

I

N MODERN and future wireless communications systems, the increasing number of frequency bands and spectrum fragmentation require the development of circuits and subsystems having broadband capabilities. From the transmitter point of view, the power amplifier (PA) is the most critical component since its performance strongly influence the overall system features in terms of bandwidth, output power, efficiency, and operating temperature. This makes wideband PAs that cover many frequency bands while maintaining high efficiency an important research topic. Thus far, the most popular technique to design broadband amplifiers is the distributed or traveling-wave amplifier approach [1]–[3]. In this case, a linear design method is used that ensures linearity, flat gain, and high return loss over the whole band [4], [5]. However, the drawback of this approach lies in the high number of devices used to achieve the same gain as a single device, and therefore, it results in high cost, large size, and low-efficiency levels. Manuscript received December 08, 2009; revised April 01, 2010; accepted April 08, 2010. Date of publication May 27, 2010; date of current version July 14, 2010. This research was carried out at the GigaHertz Centre in a joint project supported by the Swedish Governmental Agency for Innovation Systems (VINNOVA), Chalmers University of Technology, ComHeat Microwave AB, Ericsson AB, Infineon Technologies Austria AG, Mitsubishi Electric Corporation, NXP Semiconductors BV, Saab AB, and the SP Technical Research Institute of Sweden. The authors are with the Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Göteborg, Sweden (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049770

The efficiency may be improved by using harmonically tuned PAs such as class J or F [6], [7] or switched-mode power amplifiers (SMPAs) like class E, D, or inverse-F [6], [8]. However, such amplifiers are commonly used for narrowband applications with up to 10% fractional bandwidth. For wideband PAs, with octave or larger bandwidths [9], the harmonic tuning approach cannot be used because harmonics fall inside the required bandwidth. Therefore, high-efficiency wideband PAs reported in the literature have a bandwidth of less than one octave [10]–[12]. Their designs are based on approximated switch mode and harmonics tuning strategies. However, they do not present any general method or analytical derivation for the design of the wideband matching networks used. In this paper, the design of a high-efficiency octave bandwidth PA is presented. The design is based on a source–pull/ load–pull simulation approach together with a detailed method for the design of suitable broadband matching network solutions. Comparison between the performance of the presented PA with state-of-the-art results for high-efficiency wideband PAs are summarized in Table I. The comparison shows the excellent performance of the designed PA and thereby demonstrates the usefulness of the proposed approach for the design of wideband PAs for future wireless systems combining wide bandwidth with high efficiency and linearity. This paper is organized as follows. In Section II, the characterization and modeling of the bare-die device is presented together with the PA design strategy. An extensive design procedure for the wideband matching networks is presented in Section III. The PA topology and its implementation are presented in Section IV, while experimental results in terms of bandwidth, output power, and efficiency are shown in Section IV. Conclusions are then given in Section V.

0018-9480/$26.00 © 2010 IEEE

1678

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

TABLE II MAXIMUM PAE AND PAE WHEN USING Z AND Z FOR ALL FREQUENCIES

Fig. 1. Efficiency optimized source and load impedances.

II. DEVICE MODEL AND PA DESIGN APPROACH A. Device Model A 3.6-mm GaN bare-die device, Cree CGH60015DE, has been used for the PA design. The device has a breakdown voltage of around 100 V, a pinch-off voltage around 3 V, and the saturation drain current is approximately 2.3 A. In order to minimize the effects of package and mounting parasitics, a bare-die mounting technique has been used. The transistor has been characterized with dc and bias-dependent -parameters using the procedure in [15]. A switch mode optimized transistor model has been extracted from these measurements [15]. The model is based on simplified expressions for the nonlinear currents and capacitances where focus is put on accurately predicting the high-efficiency regions of the transistor characteristics. In contrast to most commercial models that only offer terminal voltages and currents, the model allows the intrinsic waveforms to be studied directly in a computer-aided design (CAD) tool, and therefore, allows a careful investigation of the transistor operation. Details about the modeling approach are and ) are given in [15]. In the model, diode models ( added to accurately predict forward gate voltage and negative gate–drain voltage conditions. The model has been successfully used for the design of high-efficiency narrowband LDMOS [15] and GaN [16] PAs. B. PA Design Approach The first step to design the 2–4-GHz wideband PA was to find the optimum source and load impedances that maximize the performance of the device in terms of efficiency in the required bandwidth. Load–pull/source–pull simulations have therefore been performed using the large-signal transistor model developed. Fig. 1 shows the optimum impedances at several frequencies within the required bandwidth. The associated maximum PAE at each of those frequencies is listed in Table II. A challenging task resides in the design of broadband matching networks that present those impedances at input and output of the device for each frequency. Noticing that the optimum impedances for this device are relatively close to each other across the band, new load–pull/source–pull simulations have been performed at 2, 2.5, 3.5, and 4 GHz. In this case, the source and load impedances that were optimum at 3 GHz were fixed and used for all frequencies. The simulation results

Fig. 2. Simulated PAE versus phase of the unity magnitude second harmonic reflection coefficient.

listed in Table II show an acceptable degradation of less than 8% in power-added efficiency (PAE). The task can therefore be and simplified to make the device see at its input and output, respectively, across the entire bandwidth. C. Influence of Second Harmonic Impedance A careful control of the second-harmonic termination at the output of the device is critical for the resulting efficiency. However, second harmonic tuning requires additional design efforts. In the previous simulations of the PAE, the second harmonic was not optimized and it was assumed to be open circuit. While and the device see the optimum impedances at the fundamental, the impedance of the second harmonic has been varied across the periphery of the Smith chart in order to study its influence on the performance of the PA. Fig. 2 shows the PAE of the device versus the phase variation of the second harmonic reflection coefficient. It is noticed that the PAE is rather independent of the second harmonic phase, except when it approaches a short circuit. In this case, the PAE is significantly degraded when the impedance of the second harmonic approach the short-circuit region (180 ). This means that during the design of the wideband matching network, no additional design efforts are needed for the second harmonics if the matching network does not approach the short-circuit region.

SAAD et al.: DESIGN OF HIGHLY EFFICIENT 2–4-GHz OCTAVE BANDWIDTH GaN-HEMT PA

1679

Fig. 3. Step-by-step lumped matching network design and its equivalent distributed network. (a) Low-pass network. (b) Bandpass network. (c) Upward impedance transformation of RL to 50 . (d) Norton transformation to get rid of the ideal transformer. (e) Arrangement of capacitor c1 into three parallel capacitors Cout; C 11; and C 12. (f) Corresponding distributed network.

III. DESIGN OF BROADBAND MATCHING NETWORKS From the output, the transistor can be approximated by an network, where ideal current source with a parallel is the source resistance corresponding to the PA load line resistance and the capacitance is the total drain–source capacitance. The main goal of the matching network is to resonate the transistor output capacitance over a bandwidth. Ideally the active device internal current source will then see a purely resistive impedance equal to over the band, thus producing a wideband PA frequency response. The design procedure for the output matching network is explained below. An analogous procedure has been used for the input matching network. A. Matching Network Design Approach By calculating the inverse of the conjugate value of the op, a load line of and timum load impedance pF can be estia transistor output capacitance of mated. In summary, the matching network should therefore be and to 50 across the 2–4-GHz designed to match bandwidth. The prototype low-pass matching network is shown in elements, for the Fig. 3(a). The normalized admittances, prototype low-pass matching network can be calculated using equations found in [17] and [18]. These networks have been derived to have an optimum minimum-loss characteristic across a given bandwidth. The calculation of elements involve the

factor, , where with and being the lower and upper band edge angular frequencies. pF have been used instead of Note that a value of pF. The reason is that a value of pF will make it easier to convert the lumped network to a corresponding distributed network, as will explained in Section III-B. The elements represent a low-pass filter in a 1- system with 1-rad/s corner frequency [18]. The low-pass prototype network corner frequency is scaled from the nominal 1 rad/s to the by dividing the elements , and by design value . The impedance scaling is then applied by multiplying the shunt elements and by , and the series elements , and by . The low-pass network is thereafter transformed into a bandpass version by resonating each series or shunt element at . Fig. 3(b) shows the final values of the elements of the bandpass network after frequency and impedance scaling. In order to scale the terminating resistor upwards to 50 , a Norton transformation have been used because of its ability to insert an ideal transformer into the network without affecting the bandwidth [18]. An ideal transformer with a transformation is inserted at the output. The inductor and ratio of the terminating resistor are scaled upwards in impedance by a factor , as shown in Fig. 3(c). Using Norton transformation, the transformer together with the two series-shunt capacitors are transformed to a arrangement of capacitors, as shown in Fig. 3(d).

1680

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 5. Simulated impedance of the distributed output matching network versus frequency. The fundamental and second harmonic impedance frequency ranges are given by 2–4 and 4–8 GHz, respectively.

Fig. 4. Insertion loss and return loss for the lumped output matching network (thick) and its corresponding distributed network (thin). The simulations use port 1 and port 2 impedances of 32 and 50 , respectively.

B. Distributed Matching Network As shown in Fig. 3(e), the capacitor pF can be replaced by three parallel capacitors, the transistor output capF, pF, and pF. pacitance Based on transforms between lumped and distributed elements, the lumped network is transformed into the distributed network shown in Fig. 3(f). A resonant parallel – to ground can be approximated by a grounded quarter-wavelength transmission line stub [19]. The characteristic impedance of the transmission line stub is equal to the reactance of the inductor or capacitor multiplied by a factor . Based on this transformation, the resonators – and – in Fig. 3(e) have been replaced by shunt stubs and , respectively. Moreover, based on that the model of a transmission line is and have approximated by a lumped -network, been replaced by a transmission line [19]. Finally, the complete distributed network is shown Fig. 3(f). The capacitor , which is a part of the matching network, is kept lumped because it can also serve as a dc-block capacitor. The impedances of the TLs are obtained by those transformations and their physical sizes are computed in simulator. Since the calculations are based on approximate formulas, a slight modification on the physical sizes of the transmission lines have been made by fine tuning in simulator. Fig. 4 compares the insertion loss and return loss of the lumped-element matching network and the corresponding distributed network. They show similar response versus frequency, but, as expected, with more losses for the distributed network due to the losses of the substrate and the conductor metal. Fig. 5 shows the impedance of the output matching network, as well as the impedance of the second harmonic. We notice that the second harmonic is far away from short circuit, and hence, according to the results in Section II-C, high PAE performance is expected across the bandwidth.

Fig. 6. PA topology. The dashed rectangle represent the input matching network, while the solid rectangle surrounds the output matching network.

IV. PA DESIGN AND IMPLEMENTATION The circuit diagram of the designed PA is depicted in Fig. 6. The space between the transistor and printed circuit board (PCB) lines is reduced as much as possible by careful alignment in order to avoid undesirable parasitics that will reduce and are used to model the input and the bandwidth. output bondwire inductances, respectively. Their values are estimated to be 0.15 nH each. The output matching network consists of the distributed network determined in Section III. It is surrounded by the solid rectangle in Fig. 6. The only difference is that the capacitor is used to short circuit the stub in order to apply the drain bias. The input matching network, surrounded by the dashed box, was derived using the same approach used for the output matching and have been added at the input network. Two tapers and output of the device. Without adding them, the practical imand plementation of the PA would be very difficult because would be at the edges of the PCBs. The input matching network has been slightly modified in of the order to stabilize the PA. The Rollet stability factor amplifier is given by [20]

The expression above clearly shows that , i.e., the stability, . Therefore, a can be improved by increasing the real part of is added at the input of the amplifier 27- series resistance

SAAD et al.: DESIGN OF HIGHLY EFFICIENT 2–4-GHz OCTAVE BANDWIDTH GaN-HEMT PA

Fig. 7. Photograph of the implemented wideband PA.

to improve the stability in the high-frequency band. Further improvement in the amplifier stability in the low-frequency band can be achieved by reducing the gain in this range. The parallel , set to 400 , improves the low-frequency staresistance bility margin by reducing the input impedance further. and are equal to 8 nH and are used to The inductors prevent the leakage of RF into the dc supply lines. and have been bent away from the metal ridge on which the active device is mounted in order to reduce the electromagnetic coupling. Electromagnetic simulations were performed on the transmission line parts of the input and output matching networks to study the effects of the bends in the final layout. A fine tuning on the matching networks has been made to overcome their effects. The circuit was finally optimized for high-efficiency and wideband operation to minimize the impact of mounting and manufacturing tolerances. It is important to note that the optimization did not significantly change the original design derived in Section II. Finally, Monte Carlo simulations have been used to study the impact of components variability and uncertainty on the PA performance. Uncertainties introduced by the manufacturing process and the lumped components have been considered. The Monte Carlo simulations have shown that the design is robust and not very sensitive to these variations. The PA was implemented on a Rogers 5870 substrate with and thickness of 0.4 mm. Its size is 65 65 mm . Fig. 7 is a photograph of the fabricated PA using the bare-die GaN-HEMT device. V. MEASUREMENT RESULTS The implemented wideband PA has been characterized by large-signal and modulated measurements to evaluate its performance. A. Large-Signal Measurements Measurements were made using a continuous wave (CW) input signal generated by a microwave synthesized source (Ag-

1681

Fig. 8. Measured and simulated output power of the PA versus frequency at a fixed input power of 31 dBm.

ilent E4438C) boosted by a microwave driver amplifier, and the relevant power levels were measured by a power meter (Agilent E4419B). Filtering was indeed used to ensure high-accuracy power measurements. A low-pass filter with 4-GHz cutoff frequency has been placed at the output of the amplifier while measuring the PA performance between 1.75–3.5 GHz. The 4-GHz low-pass filter has been replaced by another low-pass filter with 6-GHz cutoff frequency to measure the PA performance between 3.5–4.5 GHz. In order to check the sensitivity of the PA performance versus , a gate-bias sweep was first performed. Output gate bias and PAE were therefore measured versus gate power voltage at different frequencies. The results showed that the PA performance at peak output power was insensitive to the gate voltage. Hence, for the large-signal measurements the chosen dc bias for the gate is similar to the one used in simulations V and the drain bias is set, as in simulations, to V. The PA were characterized versus frequency between 1.75–4.45 GHz using a constant input power of 31 dBm. Figs. 8 and 9 show the measured frequency response of the wideband PA. The measured output power is between 40–42 dBm in the frequency range of 1.9–4.3 GHz, which means that less than 2-dB ripple in the output power, and hence, in the power gain, is obtained across the band. Within the same band, the drain efficiency of the amplifier is between 57%–72%. This corresponds to a PAE between 50%–63% and a fractional bandwidth of 78% about a center frequency of 3.1 GHz. In Figs. 8 and 9, a reasonable agreement between simulation and measurement results can be noted up to 3.2 GHz. At higher frequencies, simulations and measurements diverge, but the difference is still acceptable as the maximum difference between simulated and measured output power and drain efficiency are less than 2 dB and 10%, respectively. Figs. 10 and 11 show the power gain and PAE plotted versus output power for different frequencies 2, 2.5, 3.5, and 4 GHz. This set of frequencies has been chosen because 2 and 4 GHz

1682

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 9. Measured and simulated drain efficiency of the PA versus frequency at a fixed input power of 31 dBm.

Fig. 10. Measured PAE versus output power at 2, 2.5, 3.5, and 4 GHz.

are at the band edges, while 2.5 and 3.5 GHz are the frequencies having the highest and lowest efficiency, respectively. The gate bias voltage used in the measurements is slightly below the pinch-off voltage, which was selected to maximize the peak efficiency. This explains the gain decrease at low input power levels in Fig. 10. By a slight increase of the gate bias, a more constant back-off gain can be achieved with a just small degradation in peak efficiency performance. Fig. 12 shows measured and simulated gain and PAE versus output power at 2.5 GHz. The output power compresses at 41 dBm where the maximum efficiency reaches 60%. The measured gain is 10 dB. A very good agreement between simulation and measurement results is obtained at high power levels. The disagreement at lower power levels may be explained by the fact that the model was identified assuming a switched high-power mode of operation, therefore sacrificing the accuracy in backed-off operation [15]. The PA was characterized versus drain-bias voltage in order to evaluate the potential of the PA for use in polar envelope

Fig. 11. Measured gain versus output power at 2, 2.5, 3.5, and 4 GHz.

Fig. 12. Comparison between measured and simulated PAE and gain versus output power at 2.5 GHz.

tracking transmitters and to see if the maximum output power can be increased (see Fig. 13). The results show that the maximum output power can be increased to 42 dBm if drain voltage V. Moreover, the drain efficiency is increased to is maintained higher than 64% over a 9-dB dynamic range of output power. Fig. 14 shows simulated and measured second and third harmonic distortion power levels relative to the fundamental frequency output power. The harmonic output power ranges from 13 to 40 dBc across the band. Note that the second harmonic at the lowest input frequency is within the operating frequency of the wideband PA. The large-signal input return loss has been measured using 31-dBm input power, and compared to the simulated one, as shown in Fig. 15. A return loss of better than 4 dB is obtained across the band with a minimum of 5 dB around 3 GHz. The relatively large input return loss is mainly due to the fact that the input matching network was designed to maximize the PAE,

SAAD et al.: DESIGN OF HIGHLY EFFICIENT 2–4-GHz OCTAVE BANDWIDTH GaN-HEMT PA

Fig. 13. Comparison between measured and simulated drain efficiency and output power versus drain voltage at 2.5 GHz.

Fig. 14. Measured and simulated second and third relative harmonic level. The results are presented relative to the fundamental frequency output power.

rather than the gain, across the bandwidth. As a consequence, the return loss is not necessarily minimized in this design. B. Modulated Measurements Linearized modulated measurements have been performed to evaluate the performance of the PA when used with modern wireless communication signals. In the experiment, a 20-MHz long-term evolution (LTE) signal with 11.2-dB peak-to-average ratio (PAR) is used. The high PAR implies that the PA will operate in a highly backed off state, which results in relatively low average efficiency. Measurements were performed at two different frequencies: 2.5 and 3.5 GHz. These frequencies have been chosen because of their position in the middle of the band and because of the peak PA efficiency that is highest at 2.5 GHz (60% PAE) and lowest at 3.5 GHz (50% PAE). The digital pre-distortion (DPD) used,

1683

Fig. 15. Measured and simulated large-signal input return loss using 31-dBm input power.

Fig. 16. PA output signal spectrum of a 20-MHz LTE signal at center frequency of 2.5 GHz before and after digital predistortion.

at both frequencies, is based on a memory polynomial model with nonlinear order 11 and memory depth 6 [21]. Fig. 16 shows the measured output spectrum at 2.5 GHz, with and without DPD, at an average input power of 18.8 dBm for the LTE signal described above. The adjacent channel leakage ratio (ACLR) of the PA without DPD reaches 38.5 dBc with an average PAE of 30%, whereas the ACLR of the PA with the DPD reaches 44 dBc at an average PAE of 27%. The output spectrum was also measured with the same LTE input signal at 3.5 GHz. The ACLR is improved from 37 to 42 dBc when DPD is applied resulting in a linearized average efficiency of 25%. These results show that the presented PA can be used in wireless communications applications for a wide range of frequencies. Finally, it is important to state that, as in all modulated measurements, the absolute values for the average efficiency depend on the statistics of the signal and could easily be improved if a signal with lower PAR power level was used.

1684

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

VI. CONCLUSION In this paper, an extensive design procedure for wideband highly efficient PAs has been presented. The procedure is based on a load/source–pull methodology combined with a systematic design of broadband matching networks. The proposed procedure has been demonstrated by implementing a hybrid high-efficiency wideband PA based on a 15-W bare-die GaN HEMT device. Experimental results verify the success of the presented method. Large-signal measurement results show 78% fractional bandwidth around 3.1-GHz center frequency, less than 2-dB ripple in the gain across the band resulting in an output power between 40–42 dBm and PAE between 50%–62%. Linearized modulated measurements using a 20-MHz LTE signal demonstrate an average PAE of 27% and 25%, and an ACLR of 43.7 and 42 dBc at 2.5 and 3.5 GHz, respectively. The excellent results obtained show that the approach is suitable for the design of wideband PAs for future wireless systems where wide bandwidth needs to be combined with high efficiency and linearity.

[15] H. Nemati, C. Fager, M. Thorsell, and Z. Herbert, “High-efficiency LDMOS power-amplifier design at 1 GHz using an optimized transistor model,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 7, pp. 1647–1654, Jul. 2009. [16] P. Saad, H. Nemati, M. Thorsell, K. Andersson, and C. Fager, “An inverse class-F GaN HEMT power amplifier with 78% PAE at 3.5 GHz,” in Proc. 39th Eur. Microw. Conf., Sep. 29–Oct. 1, 2009, pp. 496–499. [17] G. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [18] D. Dawson, “Closed-form solutions for the design of optimum matching networks,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 121–129, Jan. 2009. [19] R. W. Rhea, HF Filter Design and Computer Simulation. New York: Noble, 1994. [20] J. Rollett, “Stability and power-gain invariants of linear twoports,” IEEE Trans. Circuit Theory, vol. 9, no. 1, pp. 29–32, Mar. 1962. [21] J. Kim and K. Konstantinou, “Digital predistortion of wideband signals based on power amplifier model with memory,” Electron. Lett., vol. 37, no. 23, pp. 1417–1418, Nov. 2001.

REFERENCES [1] E. Saphiro, J. Xu, A. Naga, F. Williams, U. Mishra, and R. York, “A high efficiency traveling-wave power amplifier topology using improved power-combining technique,” IEEE Microw. Guided Wave Lett., vol. 8, no. 3, pp. 133–135, Mar. 1998. [2] C. Paoloni and S. Kosslowsky, “Graphical design method for traveling wave amplifier based on filter theory,” in IEEE MTT-S Int. Microw. Symp. Dig., 1993, vol. 1, pp. 273–276. [3] J. Gassmann, P. Watson, L. Kehias, and G. Henry, “Wideband, highefficiency GaN power amplifiers utilizing a non-uniform distributed topology,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 615–618. [4] C. Duperrier, M. Campovecchio, L. Roussel, M. Lajugie, and R. Quere, “New design method of uniform and nonuniform distributed power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2494–2500, Dec. 2001. [5] P. Shastry and A. Ibrahim, “Design guidelines for a novel tapered drain line distributed power amplifier,” in Proc. 36th Eur. Microw. Conf., Sep. 2006, pp. 1274–1277. [6] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 2006. [7] F. Raab, “Class-F power amplifiers with maximally flat waveforms,” in IEEE MTT-S Int. Microw. Symp. Dig., Nov. 1997, vol. 45, no. 11, pp. 2007–2012. [8] A. Grebennikov and N. Sokal, Switchmode RF Power Amplifiers. Burlington, MA: Newnes, 2007. [9] Y.-F. Wu, R. York, S. Keller, B. Keller, and U. Mishra, “3–9-GHz ganbased microwave power amplifiers with L–C–R broad-band matching,” IEEE Microw. Guided Wave Lett., vol. 9, no. 8, pp. 314–316, Aug. 1999. [10] H. Xu, S. Gao, S. Heikman, S. Long, U. Mishra, and R. York, “A high-efficiency class-E GaN HEMT power amplifier at 1.9 GHz,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 1, pp. 22–24, Jan. 2006. [11] M. van der Heijden, M. Acar, and J. Vromans, “A compact 12-Watt high-efficiency 2.1–2.7 GHz class-E GaN HEMT power amplifier for base stations,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 657–660. [12] P. Wright, J. Lees, J. Benedikt, P. Tasker, and S. Cripps, “A methodology for realizing high efficiency class-j in a linear and broadband PA,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3196–3204, Dec. 2009. [13] P. Colantonio, F. Giannini, R. Giofre, and L. Piazzon, “High-efficiency ultra-wideband power amplifier in GaN technology,” Electron. Lett., vol. 44, no. 2, pp. 130–131, Jan. 2008. [14] S. Azam, R. Jonsson, and Q. Wahab, “Designing, fabrication and characterization of power amplifiers based on 10-Watt SiC MESFET and GaN HEMT at microwave frequencies,” in Proc. 38th Eur. Microw. Conf., Oct. 2008, pp. 444–447.

Paul Saad (S’09) received the B.S. degree in electrical engineering from Lebanese University, Beirut, Lebanon, in 2005, the M.S. degree in RF and microwave engineering from the University of Gävle, Gävle, Sweden, in 2007, and is currently working toward the Ph.D. degree at Chalmers University of Technology, Göteborg, Sweden. He is currently with the GigaHertz Centre, Microwave Electronics Laboratory, Chalmers University of Technology. His research concerns the design of high-efficiency and wideband PAs. Mr. Saad was the recipient of the Certificate of High Achievement of the 2009 Student High Efficiency Power Amplifier Design Competition of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

Christian Fager (S’98–M’03) received the M.Sc. and Ph.D. degrees in electrical engineering and microwave electronics from Chalmers University of Technology, Göteborg, Sweden, in 1998 and 2003, respectively. He is currently an Assistant Professor with the Microwave Electronics Laboratory, Chalmers University of Technology. His research interests concern the areas of large-signal transistor modeling and high-efficiency transmitter architectures. Dr. Fager was the recipient of the 2002 Best Student Paper Award of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

Haiying Cao (S’09) received the B.E. degree in communication engineering from Beijing University of Posts and Telecommunications, Beijing, China, in 2005, the M.Sc. degree in communication engineering from Chalmers University of Technology, Göteborg, Sweden, in 2007, and is currently working toward the Ph.D. degree at Chalmers University of Technology. His research interests include advanced digital signal processing in wireless communication systems, behavioral modeling for RF PAs, and nonlinear system identification algorithms.

SAAD et al.: DESIGN OF HIGHLY EFFICIENT 2–4-GHz OCTAVE BANDWIDTH GaN-HEMT PA

Herbert Zirath (S’84–M’86–SM’08) was born in Göteborg, Sweden, on March 20, 1955. He received the M.Sc. and Ph.D. degree from Chalmers University, Göteborg, Sweden, in 1980 and 1986, respectively. He is currently a Professor of high-speed electronics with the Department of Microtechnology and Nanoscience, Chalmers University of Technology. In 2001, he became the Head of the Microwave Electronics Laboratory, Chalmers University of Technology, which currently has 70 employees. He currently leads a group of approximately 40 researchers in the area of high-frequency semiconductor devices and circuits. He currently works part-time with Ericsson AB as a Microwave Circuit Expert. He has authored or coauthored over 300 papers in international journals and conference proceedings and one book. He holds four patents. His main research interests include InP-HEMT devices and circuits, SiC- and GaN-based transistors for high-power applications, device modeling including noise and large-signal models for field-effect transistor (FET) and bipolar devices, and foundry-related monolithic microwave integrated circuits (MMICs) for millimeter-wave applications based on both III–V and silicon devices.

1685

Kristoffer Andersson (S’03–M’06) received the M.Sc. and Ph.D. degrees in electrical engineering from Chalmers University of Technology, Gäteborg, Sweden, in 2001 and 2006, respectively. His research interests are in the area of characterization and modeling of wide-bandgap transistors.

1686

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

An Integrated Divide-by-Two Direct Injection-Locking Frequency Divider for Bands S Through Ku Stefano Dal Toso, Student Member, IEEE, Andrea Bevilacqua, Member, IEEE, Marc Tiebout, Member, IEEE, Nicola Da Dalt, Member, IEEE, Andrea Gerosa, Senior Member, IEEE, and Andrea Neviani, Member, IEEE

Abstract—In this work, direct injection locking is applied to a ring oscillator topology to design a wideband divide-by-two frequency divider circuit with a locking range covering bands through , namely input frequencies from 2 to 16 GHz. A thorough analysis is carried out: a behavioral model is developed that allows us to capture the operation of the two-stage differential ring oscillator. The mixing operation inherent in the direct injection scheme is deeply investigated and compared with tail injection locking. The design guidelines leading to a wide locking range are derived and exploited. Prototypes implemented in a digital 65-nm CMOS technology draw 1.6 mA from a 1.2-V supply. Since the design is inductorless, the divider area is as low as 130 m2 . The output phase noise tracks the reference’s one with the expected 6-dB difference over the entire range of operation frequencies. Index Terms—CMOS, injection locking, wideband frequency divider.

I. INTRODUCTION

A

S multistandard multiband systems emerge in today’s wireless market, there is an increasing demand for broadband building blocks, capable of operating over a wide range of frequencies with low power consumption. However, the constant increase of the operation frequencies contrasts with the call for low-power devices. Frequency dividers are largely employed in frequency synthesis and generation of quadrature phases. They are usually designed as clocked digital circuits, due to the robustness of this approach. However, a pure digital design suffers of the increase of power consumption with the frequency of operation. An alternative approach is injection-locked circuits [1]–[14]. Harmonic or ring oscillators are synchronized by a reference signal at a frequency close to an integer multiple of their oscillation frequency . In this way, frequency division is achieved. There are two main drawbacks in injection-locked dividers [1]–[5]: They are often made of oscillators to operate at higher frequencies; the area-hungry

Manuscript received July 15, 2009; revised February 24, 2010; accepted April 20, 2010. Date of publication June 07, 2010; date of current version July 14, 2010. S. Dal Toso, A. Bevilacqua, A. Gerosa, and A. Neviani are with the Department of Information Engineering, University of Padova, 35131 Padova, Italy (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). M. Tiebout and N. Da Dalt are with Infineon Technologies Austria AG, 9500 Villach, Austria (e-mail: [email protected]; nicola.dadalt@infineon. com). Digital Object Identifier 10.1109/TMTT.2010.2049680

, frequency to be divided should not be too different from that is, the locking range of the divider is usually quite limited. In this study, we present a divide-by-two circuit that addresses both issues by applying direct injection locking [1] to a ring oscillator-based topology. The design is ultimately based on digital gates, hence it benefits from technology scaling, while it is lower power than purely clocked digital circuits, as the divider circuitry switches at the output frequency rate. The proposed circuit topology is similar to the one used in [9] and [10]. Compared with [9] and [10], the reported ring oscillator core makes use of a reduced number of devices, leading to less capacitive loading at the output nodes of the ring oscillator. This allows to make use of larger injection devices and to obtain wider locking ranges, as will be discussed in the following, without impairing the operation frequency. The optimal biasing of the injection devices dictated by the thorough analysis we carried out is also central in achieving a superior performance with respect to [9] and [10]. The experimental results of the proposed divider show a very compact circuit with a three-octave locking range and a 2-mW power consumption. The proposed circuit is not tailored for a specific application. It can be used for frequency generation in any system operating in bands , , , or (e.g., WLAN, Bluetooth, WiMAX, UWB, or radars), as it accepts any input signal in the frequency range from 2 to 16 GHz. Moreover, due to its low power consumption, it may enable the use of higher frequency smaller area oscillators in cellular systems (or any other system in the low-gigahertz range) by operating as a prescaler. This paper is organized as follows. In Section II, the architecture of the proposed frequency divider is presented and carefully analyzed to single out the mechanisms that allow for the measured broadband locking range. The circuit-level design of the prototyped circuit is described in Section III, while the experimental results are reported in Section IV. The paper is concluded in Section V. II. DIVIDER ARCHITECTURE AND ANALYSIS The proposed divider design is depicted in Fig. 1. A two-stage differential ring oscillator is synchronized by means of direct and . The injection injection at the gates of devices signal is differential as the multiphase multiple-input scheme enhances the locking range [12], [13]. This fits well the case when the input signal is generated by an integrated voltage-controlled oscillator (VCO), which commonly features a differen-

0018-9480/$26.00 © 2010 IEEE

DAL TOSO et al.: INTEGRATED DIVIDE-BY-TWO DIRECT INJECTION-LOCKING FREQUENCY DIVIDER FOR BANDS

THROUGH

1687

Fig. 1. Schematic of the proposed circuit.

Fig. 2. Schematic and model of the delay cell. (a) Circuit implementation of the delay cell. (b) Behavioral model of the delay cell.

tial topology. As will be clear from the following text, devices and act as current-commutating mixers. The signal at their gates modulates the drain current at the input frequency rate, while the output voltage is applied at their drain and source terminals, such that the latter are swapped every half cycle of the output waveform. The result is that current flowing through the injection devices is at the beat of the input and output frequencies, thus enabling injection locking. Large injection devices result in larger synchronization current and, consequently, larger locking range. However, if the average conductance of the transistors is too high, they load the ring oscillator in such a way that the oscillations tend to be damped, and the locking range is impaired. A. Ring Oscillator Behavioral Model and Locking Range Formulation Fig. 2(a) shows the circuit implementation of a single delay cell of the ring oscillator, while the corresponding behavioral model we developed is depicted in Fig. 2(b). The cell is a differential CMOS inverter made of an nMOS pair loaded by two pMOS transistors in positive feedback. When the differential input commutates, one branch acts as a ratioed logic until the pMOS cross-coupled pair turns on and the gate latches to the final configuration. The equivalent model mimics the behavior of the delay cell as follows. The nMOS differential pair, described by the nonlinear element , is assumed to hard-switch crosses a threshold, which is set to as the input signal zero for convenience. Next, the particular output node of the differential cell, which was previously at the high logic level, network driven by is pulled down. This is described by an . Finally, when the output signal crosses

Fig. 3. Switching of the delay cell: voltages in the behavioral model.

the zero-threshold, the nonlinear element that represents the pMOS pair kicks in, instantaneously saturating the output .1 The propagation time of voltage to its final value to reach the delay cell is thus set in the time it takes , described as follows: the zero level from an initial value of (1) The transient behavior of the equivalent model is exemplified in Fig. 3 for a low-to-high transition of the input. When the responds switching to input signal crosses the zero, and the capacitance is discharged. Consequently, evolves in an exponential fashion until it reaches the threshold , i.e., the zero level. At this point, instantavoltage of . Note neously pulls the output voltage to the final value that, in practice, the switching of the pMOS pair will take a finite amount of time. However, this does not limit the proposed can be easily taken behavioral model, as the rise/fall time of time constant in (1) such that into account by adjusting the the overall propagation time fits the circuit-level simulation or the measurement. Let us now consider a ring oscillator made of a chain of delay cells. The number of inverting stages can be even or odd, provided that there is cross connection in the feedback path in the first case. In both cases, the period of oscillation is determined by the propagation time of a signal transition through the

K

1 is modeled as an impulsive current source triggered at the zero-threshold ( )= ( 1) ( 2). crossings, that is,

K t

0 CV  t 0 t 0 kT =

1688

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 4. Behavioral model of the delay cell under injection locking.

complete chain, that is, the free-running frequency of the ring oscillator is expressed by (2) Notice that, for this formulation of to be valid, the role of in the behavioral model is crucial. Without , there would be an additional constraint setting the amplitude of oscillation. As described in [7], the output voltage must show symmetric . However, peaks with respect to the switching threshold of for a ring oscillator with two stages, such as the one used in this work, the nonlinear analysis in [7] predicts an amplitude equal to zero, from which stems the motivation for the presented model, to . where the amplitude is set by The behavioral model is instrumental to investigate the operation of the ring oscillator under injection locking. We assume that a multiphase multiple-input injection scheme is employed to maximize the locking range, as described in [12] and [13]. and in The effect of the synchronization devices (i.e., case of the two-stage oscillator depicted in Fig. 1) in locking conditions is to inject a periodic current signal whose period . In order to keep the problem tractable, only the fundais mental harmonic of the injected signal is included in the behavioral model through the current source (3) as shown in Fig. 4.2 This is a realistic assumption when , since the injected current is converted to a voltage that adds to the signal of the intrinsic delay impedance in Fig. 4. Then, when cell by the parallel the second-order and higher order harmonics of the injected signal fall beyond the impedance cutoff angular frequency , they are significantly attenuated with respect to the fundamental, and their effect can be neglected. At lower frequencies, the single-tone model of the injected signal becomes increasingly inaccurate, particularly when the voltage impedance becomes comparable with developed across the the maximum voltage swing of the circuit. Nonetheless, the developed model is capable of predicting the locking range over a broad range of amplitudes of the injection signal with reasonable accuracy, as discussed in the following, and verified by means of transistor-level simulations in Section III. The effect of the synchronization signal on every delay cell of the ring oscillator is to change the cell propagation time such that the period of oscillation of the ring matches the period of . Without loss of generality, we suppose that a low-to-high . As a transition of the input signal occurs at the time 2The parasitic capacitances of the injection devices are taken into account by including them in C .

Fig. 5. Normalized locking range extrema  and  cillator.

of the N -stage ring os-

consequence, under locking condition, at can write

, we

(4) where

. Solving (4) for , we obtain

(5) and . The argument of where the arccosine function must be limited between 1 and 1, this requirement yielding the locking range in the form of the following implicit formulation: (6) For , i.e., , (6) holds true only for , that is, the ring oscillates at its free running frequency. Increasing , i.e., , the range of increasing the amplitude of the injection signal values of satisfying (6), that is, the locking range, increases as well. Solving (6) numerically, one can plot the locking range and as a function of for several values of , extrema , as shown in Fig. 5. As mentioned earlier, when the validity of the model is questionable since the higher order harmonics of the injected signal should also be taken into account. Nonetheless, as long as the injection level is not too high ), compared with the voltage swing of the circuit (i.e., the model still yields reasonably accurate results, as demonstrated by the transistor-level simulation results discussed in , the higher Section III. These results also show that, for is still correctly predicted by the edge of the locking range model. Moreover, they show that continues to decrease below the value ( 0.2) predicted by the model when approaches in the high unity, thus suggesting that the locking range injection regime can be approximated by its upper limit . The increase of the locking range with the number of stages observed in Fig. 5 is due to the multiphase multi-input injection

DAL TOSO et al.: INTEGRATED DIVIDE-BY-TWO DIRECT INJECTION-LOCKING FREQUENCY DIVIDER FOR BANDS

THROUGH

1689

and , is also satisfied. For convenience, we define is on, or in the triode region, which are equal to 1 when respectively, and equal to 0 elsewhere. Clearly, the condition is in saturation is given by when . As a consequence, we write the injection signal, i.e., the , as drain current of

(14)

Fig. 6. Schematic of the delay cell with direct injection device.

scheme we assumed, which implies an increase of the overall increases. Notice that instrength of the injection signal as creasing the number of stages beyond the division ratio may be unpractical due to the requirement of a multiphase signal. Consider, for example, the case of the frequency division by two. , the required phase shift in the signal injected in two If adjacent cells is , which is quite convenient and readily available in differential circuits. However, if a ring oscillator with . With three stages is employed, the required phase shift is , we would need quadrature phases, and so on.

and are the expressions of the drain curwhere conrent in triode and saturation region, respectively, and tains the spectral components of at frequencies other than . as a square wave with amplitude , Approximating and are rectangular waves with duration , and , respectively, and period . As detailed in the Appendix, as a result of the mixing, the ampliin the case of ditude of the injection signal component at is vide-by-two operation

B. Direct Injection Locking and Divide-by-Two Operation As mentioned in the foregoing discussion, the injection device acts as a mixer, thus enabling superharmonic injection-locking operation. Consider the schematic drawn in Fig. 6, where the circuit implementation of the delay cell is completed . In order to analyze with the nMOS injection transistor the operation of the circuit in injection locking, we write the voltages in the circuit as (7) (8) (9) where , is the dc value and , and is the dc value of . As a of consequence of the ac large-signal differential output voltage, swap every semiperiod, the source and drain terminals of and the drain–source voltage is written as (10) while the gate–source voltage reads as (11) The transistor

is on when (12)

where and is the nMOS threshold voltage. Furthermore, it is in triode region when the condition (13)

(15) where . To derive (15), we made the approximation that the injection device is never in triode, which , as described by holds up to small positive values of , , and (28). Notice that, assuming , the maximum possible value for is cannot exceed or even lower, if the threshold 0.25, if voltage of the nMOS device is higher (e.g., because of the body effect or in low-power technologies). As a consequence, the asis never in triode is basically always verified sumption that in practice. Equation (15) shows that an injection device with a larger form factor, as well as a larger amplitude of the injec, results in a larger locking range. Moreover, (15) tion signal points out that the bias conditions of also play an important role in determining the locking range. is In Fig. 7, the normalized injection amplitude plotted in solid line when (15) is valid, namely when the injection device never operates in triode. Transistor-level simulations with devices that follow the long-channel model (as assumed in the Appendix) are reported in Fig. 7 as crosses to validate the analysis. Quite good agreement is observed. For higher values than shown in Fig. 7, is in triode part of the time, of and the simulated curves flatten out, saturating to the limit value values. Short-channel effects given by (30) for large (dashed line in Fig. 7) result in a decrease of the injection amplitude, with all of the other parameters remaining the same. However, the trends described by (15) are unaffected. From Fig. 7, it is not ultimately important, as a smaller is clear that a large amplitude of the injection signal can be compensated by an in. crease of C. Comparison With Other Injection Techniques It is interesting to compare the direct injection mechanisms described in Section II-B and other schemes used in the liter-

1690

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

is the desired dc bias current for the at frequency , where delay cell. In this situation, (16) becomes (18) and (17) turns into (19)

Fig. 7. Normalized amplitude of the injection signal: theory (solid line) and transistor-level simulations (crosses for devices that follow the long-channel model, and dashed line for short-channel devices).

suggesting that the two approaches may yield the same amount of injection signal for a sufficiently large . However, in the case of tail injection in the square-wave regime, the relative injection level is fixed, since the amplitude of injection and the amplitude of oscillation are both proportional to . On the other hand, in the direct injection scheme, is not limited by and any fundamental constraint. One can select large values without significantly affecting the operation of the ring oscillator and without a large additional power consumption. III. DIVIDER CORE AND TESTING INTERFACES CIRCUIT-LEVEL DESIGN

Fig. 8. Schematic of the delay cell with tail injection device.

ature. Focusing on the context of divide-by-two circuits based on ring oscillators and superharmonic injection locking, a commonly used technique is to inject the synchronization signal using the tail current generator of a differential amplifier employed as the delay cell (see Fig. 8) [8], [12], [13]. A signal and frequency is superimposed to the dc of amplitude of the tail device . The amplitude gate–source voltage into the differof the injection current flowing at frequency ential load is (16) , and long-channel behavior is considwhere ered for simplicity. Comparing (15) and (16) gives roughly3 (17) which points out an advantage in the direct injection scheme since can be as large as , while is limited to guarantee that the tail device is always in saturation. in such a way that its Another possibility is to drive drain current is a square wave commutating between 0 and 3To keep the discussion effective, here, (15) has been further coarsely approximated by (2 = )(V V )V , assuming V = V =2 and d = 1.

0

The inverter cells are designed as differential inverters, as mentioned in Section II-A and shown in Fig. 2(a). The ratio between the pMOS and nMOS devices is chosen to minimize the propagation time. As already recalled, the commutation of the gate takes place in two phases. At first, one branch is off, while the other one acts as a ratioed logic experiencing a high-to-low commutation. For this phase to be fast, large nMOS devices compared with the pMOS loads are beneficial. However, as soon as the voltage of the discharging node drops , where is the pMOS threshold voltage, below the cross-coupled pair turns on. The second phase is thus dominated by the latching transient for which large pMOS devices are required. The result is that the optimal condition is when the pMOS and nMOS transistor are sized approximately 4.8 m, equal. The absolute dimensions of the devices ( 0.06 m) are selected as a compromise between power consumption, capability of driving loading capacitances, and matching between devices. result in a broad locking range, as disLarge widths of cussed in Section II. The locking range obtained in simulation for different transistor widths is plotted in Fig. 9 for several and 0.3 V. The channel length is kept values of to the minimum allowed by the technology to minimize the capacitive parasitics. The simulation results confirm the outcome of the developed theory, predicting increased locking range for . As a wider devices and for a higher dc gate voltage of tradeoff between wide locking range and high frequencies of 2.8 m has been seoperation, in the design, a width of lected for the injection devices. In Fig. 10, the transistor-level simulation results are recast to yield the relative injection level at the edges of the locking range, expressed in terms of relative frequency . The simulaand values of tion data points refer to several widths of . The theoretical limit value of obtained evaluating (6) at equality is also plotted in Fig. 10 as a solid line. There is quite an agreement between circuit-level simulations and analytical

DAL TOSO et al.: INTEGRATED DIVIDE-BY-TWO DIRECT INJECTION-LOCKING FREQUENCY DIVIDER FOR BANDS

THROUGH

1691

Fig. 11. Chip micrograph.

Fig. 9. Simulated locking range versus width of the injection device for difvalues and V 0.3 V. ferent V

=

Fig. 12. Measured locking range for several values of the input common-mode voltage.

Fig. 10. Simulated and calculated (solid line) relative injection amplitude for different widths of the injection device: W 0.7 m ,W 1.4 m , W 2.8 m , and W 5.6 m .

=

(5)

=

()

=

( )

=

(4)

calculations, confirming the validity of the development carried out in Section II. Static CMOS inverters complete the design of the divider core. They are employed as buffers at each of the four ring oscillator nodes, as illustrated in Fig. 1. In order to allow for testing, buffering stages have been designed to feed the divider and to drive the measurement equipment. The single-ended off-chip synchronization signal is converted into differential form by a resistively loaded differential amplifier. A second identical stage removes the commonmode signal, while static CMOS inverters drive the injection devices. AC coupling between the differential amplifiers and the inverters allow to bias the latter at their switching threshold. Due to the limited swing provided by the hard-switched differential amplifiers, the CMOS inverters act more as amplifiers than digital gates. As a result, the differential signal provided to the injection devices is, depending on frequency, in the range of 300–600 mV, which is an amplitude easily achieved by integrated VCOs, even in low-supply-voltage technologies. The can be insynchronization signal common-mode voltage dependently set, as the CMOS inverters are ac-coupled to the and . This is very important: to get a large gates of

locking range it is not ultimately essential to feed a large differential signal to the injection devices, but to realize a large modulation of their conductance at the synchronization signal rate. As a consequence, adjusting the common-mode voltage allows to compensate for the limited input signal swing. At the output, ac-coupled CML inverters are used to drive the measurement equipment. IV. MEASUREMENT RESULTS Prototypes of the proposed design have been implemented in a low-power digital 65-nm CMOS technology and assembled in chip-on-board fashion for testing. A micrograph of the chip is shown in Fig. 11. The die is largely pad-dominated. The overall active area is just 0.006 mm , while the actual divider core takes as low as 130 m . The injection-locked divide-by-two operation is effective over a large range of frequencies, almost one decade. Fig. 12 shows the input-referred locking range for several values of . Clearly, an adequate value of the common-mode voltage is essential to achieve a large locking range, although more than higher 100% locking range is achieved for any value of than half of the 1.2-V supply voltage. The largest observed 1 V. locking range spans from 1.8 to 16.4 GHz at The measured input-referred locking range extrema ( and ) are compared in Fig. 13 with the predictions of the simulation and the theory developed in Section II. We observe a quite good agreement between the estimated and the measured data, while there are larger discrepancies with regard to the

1692

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 13. Input-referred locking range extrema: comparison of measurement (), simulation ( ), and theory (5).

Fig. 14. Measured divider spectrum in free running and under injection locking.

lower frequency edge. Both the theory and the simulations yield more conservative results compared with the measurements. The free-running oscillation frequency of the ring oscillator is 4.6 GHz. The output spectrum in this case is shown in Fig. 14, as well as in the case the divider is locked. The ring oscillator noise skirts are largely suppressed under injection locking. Fig. 15 shows the divider phase noise under locking condition measured at an input frequency of 16 GHz, that is in the worst case, at the top edge of the locking range. The phase noise of the synchronization signal is also reported for comparison. The 6-dB difference between input and output phase-noise spectra confirms that the divider operates as expected. Notice that the injection-locking divider does not generate any noise floor. At 200 kHz , the measurement setup noise large offsets floor is observed instead. The spurious tones observed for 1 MHz are due to the measurement setup, as they also show up during the measurement of the phase noise of the input signal, i.e., when connecting the Agilent E8257D signal source directly to the Agilent E4407B spectrum analyzer. The dc current drawn by the divider core at several input freis shown in Fig. 16. As quencies and for a few values of the ring oscillator is basically a digital circuit, one would expect the current consumption to increase with the frequency, as

Fig. 15. Measured phase noise of the locked divider and of the input signal at an input frequency of 16 GHz.

Fig. 16. Measured dc current consumption of the divider versus input frequency.

TABLE I MEASURED LOCKING RANGE VERSUS SUPPLY VOLTAGE

opposed to the quite flat curves plotted in Fig. 16. In the presented design, the divider operates in an almost class-A fashion, which explains the observed current consumption. In any case, the power consumption is lower than 2.1 mW. Varying the 1.2-V supply voltage by 10% changes the free-running frequency of the ring oscillator. The locking range results shifted in frequency, but were not changed in relative terms: they do not depart from the 160% measured in nominal conditions, as shown in Table I. In Table II, the performance of the reported design is summarized and compared with other divide-by-two circuits based on injection-locked ring oscillators. With the exception of [13], our work clearly achieves a much wider locking range, using the smallest amount of area, and featuring the lowest power consumption. The work reported in [13], however, operates at much

DAL TOSO et al.: INTEGRATED DIVIDE-BY-TWO DIRECT INJECTION-LOCKING FREQUENCY DIVIDER FOR BANDS

THROUGH

1693

TABLE II SUMMARY OF MEASURED PERFORMANCE AND COMPARISON WITH THE STATE OF THE ART

lower frequencies compared with our design, which has the potential to achieve an even broader locking range if tailored for the bottom end of the frequency spectrum, as shown in Fig. 9 and discussed in Section III.

respectively. Approximating , (21) can be recast as plitude

as a square wave with am-

V. CONCLUSION Direct injection locking applied to a nonharmonic oscillator proves in this paper to be a viable technique for the design of broadband low-power frequency dividers. The use of an injecconnected directly across the output terminals tion device of the oscillator enables large locking ranges, since the injection mechanism results in large injection signals, and at the same time it does not at first order affect the oscillation amplitude. A careful analysis singles out that the mixing occurring in the injection device, and enabling superharmonic injection locking, is primarily due to the swapping of the source and drain terminals while the transistor is in saturation, such that drain-curof rent commutation occurs. The proposed topology lends itself nicely to technology scaling, as it is ultimately built around logic gates. The designed prototypes, implemented in a low-power digital 65-nm CMOS technology, verify this statement: experiments report a 2–16-GHz locking range, achieved with a 2-mW power consumption from a 1.2-V supply. The inductorless design occupies only 130 m . The phase noise measured at the output of the divider tracks the reference’s one with the theoretical 6-dB offset over the entire range of operation frequencies. Moreover, the proposed frequency divider is not observed to introduce any noise floor.

(22) From (14), (20), and (22), it is clear that there are two different mechanisms enabling the mixing between and and the generation of a synchronization signal at . One is the direct multiplication of the two signals. The other is an indirect and mixing effect, due to the multiplication of by and , respectively. We now derive the amplitude of the injection signal in (14), , in the case of divide-by-two operation . We express and in Fourier series as

(23) where

APPENDIX Employing the long-channel model for the MOS transistor, in (14) in triode and the expressions of the drain current of in saturation region can be written as

(24) and

(20) and (25) where (21)

(26)

1694

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Consequently,

, with . Neglecting for simplicity all of the foregoing and taking into account only Fourier coefficients for the first harmonic of , we obtain

(27) Equation (27) shows that direct and indirect mixing result in a complex relationship between and , , and . Some simplifications can be carried out assuming that the injection transistor never enters the triode region, i.e., for

[10] S.-L. Jang, Y.-H. Chuang, S.-H. Lee, and J.-J. Chao, “Circuit techniques for CMOS divide-by-four frequency divider,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 3, pp. 217–219, Mar. 2007. [11] F. H. Huang, D. M. Lin, H. P. Wang, W. Y. Chiu, and Y. J. Chan, “A 20 GHz CMOS injection-locked frequency divider with variable division ratio,” in Radio Frequency Integr. Circuits (RFIC) Symp. Dig., Jun. 2005, pp. 469–472. [12] J.-C. Chien and L.-H. Lu, “Analysis and design of wideband injectionlocked ring oscillators with multiple-input injection,” IEEE J. SolidState Circuits, vol. 42, no. 9, pp. 1906–1915, Sep. 2007. [13] A. Mirzaei, M. E. Heidari, R. Bagheri, and A. A. Abidi, “Multi-phase injection widens lock range of ring-oscillator-based frequency dividers,” IEEE J. Solid-State Circuits, vol. 43, no. 3, pp. 656–671, Mar. 2008. [14] Y.-H. Yu and Y.-J. Chen, “Ring-based direct injection-locked frequency divider in display technology,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 11, pp. 752–754, Nov. 2008.

(28) In this case,

and

. Moreover, if (29)

that is, when the transistor is on only part of the time, the last term of (27) turns out to be negligible. When the transistor is instead always on, then , and the last term of (27) is zero. The bottom line is that, in the regime is at least sometimes on, but it never operates in in which triode, (27) reduces to (15). Notice that the limit condition for is that is always on and always in large values of for a given triode. This condition gives an upper bound to as follows: (30)

REFERENCES [1] M. Tiebout, “A CMOS direct injection-locked oscillator topology as high-frequency low-power frequency divider,” IEEE J. Solid-State Circuits, vol. 39, no. 7, pp. 1170–1174, Jul. 2004. [2] A. Mazzanti, P. Uggetti, and F. Svelto, “Analysis and design of injection-locked LC dividers for quadrature generation,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1425–1433, Sep. 2004. [3] H. R. Rategh and T. H. Lee, “Superharmonic injection-locked frequency dividers,” IEEE J. Solid-State Circuits, vol. 34, no. 6, pp. 813–821, Jun. 1999. [4] S. Verma, H. R. Rategh, and T. H. Lee, “A unified model for injectionlocked frequency dividers,” IEEE J. Solid-State Circuits, vol. 38, no. 6, pp. 1015–1027, Jun. 2003. [5] H. Wu and A. Hajimiri, “A 19 GHz 0.5 mW 0.35 m CMOS frequency divider with shunt-peaking locking-range enhancement,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 2001, pp. 412–413. [6] M. Acar, D. Leenaerts, and B. Nauta, “Design challenges in emerging broadband wireless systems,” in Proc. IEEE Radio Frequency Integr. Circuits (RFIC) Symp., 2004, pp. 211–214. [7] G. R. Gangasani and P. R. Kinget, “Time-domain model for injection locking in nonharmonic oscillators,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 55, no. 6, pp. 1648–1658, Jun. 2008. [8] A. Bonfanti, A. Tedesco, C. Samori, and A. L. Lacaita, “A 15-GHz broadband 2 frequency divider in 0.13- m CMOS for quadrature generation,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 724–726, Nov. 2005. [9] Y.-H. Chuang, S.-H. Lee, S.-L. Jang, J.-J. Chao, and M.-H. Juang, “A ring-oscillator-based wide locking range frequency divider,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 8, pp. 470–472, Aug. 2006.

4

Stefano Dal Toso (S’07) was born in Vicenza, Italy, in 1982. He received the Laurea degree in electronics engineering from the University of Padova, Padova, Italy, in 2006, where he is currently working toward the Ph.D. degree in information and communication technology with the Department of Information Engineering. His research topics include the design of RF integrated circuits.

Andrea Bevilacqua (S’02–M’05) received the Laurea and Ph.D. degrees in electronics engineering from the University of Padova, Padova, Italy, in 2000, and 2004, respectively. From 1999 to 2000, he was an Intern with Infineon Technologies, Munich, Germany. In 2001, he visited the Microelectronics Laboratory, University of Pavia, Pavia, Italy. From 2002 to 2003, he was a Visiting Scholar with the University of California at Berkeley. Presently, he is an Assistant Professor with the Department of Information Engineering, University of Padova. His current research interests include the design of RF/microwave integrated circuits and the analysis of wireless communication systems. Dr. Bevilacqua serves as a member of the Technical Program Committee of the IEEE European Solid-State Circuits Conference and of the IEEE International Conference on Ultra-Wideband.

Marc Tiebout (S’90–M’93) was born in Asse, Belgium, in 1969. He received the M.S. degree in electrical and mechanical engineering from the Katholieke Universiteit Leuven, Leuven, Belgium, in 1992, and the Ph.D. degree in electrical engineering from the Technical University of Berlin, Berlin, Germany, in 2004. In 1993, he joined Corporate Research and Development, Microelectronics, Siemens AG, Munich, Germany, designing analog integrated circuits in CMOS and BiCMOS technologies. In 1997, he started the design of RF devices and building blocks in submicrometer CMOS technologies. From 1999 to 2005, he was with Infineon Technologies AG, Munich, Germany, where he worked on RFCMOS circuits and transceivers for cellular wireless communication products and conducted highest frequency RFCMOS research for 17- and 24-GHz applications. Since 2006, he has been with Infineon Technologies AG Austria, Villach, Austria, implementing his previous work to RFCMOS products as, e.g., ultra-wideband. He has authored or coauthored more than 30 IEEE publications and holds more than ten patents. Dr. Tiebout serves as a member of the Technical Program Committee of ISSCC and ESSCIRC. His main interest is low-power high-frequency circuits and systems in CMOS.

DAL TOSO et al.: INTEGRATED DIVIDE-BY-TWO DIRECT INJECTION-LOCKING FREQUENCY DIVIDER FOR BANDS

Nicola Da Dalt (M’99) received the M.S. degree (summa cum laude) from the University of Padova, Padova, Italy, in 1994 and the Ph.D. degree from RWTH Aachen, Aachen, Germany, in 2007, both in electronic engineering. From 1996 to 1998, he was with CSELT, Turin, Italy, as a Concept Engineer working on architectures and synchronization of data transmission networks. Since 1998, he has been with Infineon Technologies Austria AG, Villach, Austria, where he is now a Principal Engineer. He currently leads an analog mixedsignal design group with a focus on high-performance and high-speed clock systems in advanced CMOS technologies.

Andrea Gerosa (M’99–SM’07) was born in Milan, Italy, in 1971. He received the M.S. and Ph.D. degrees from the University of Padova, Padova, Italy, in 1995 and 1998, respectively, both in electrical engineering. From August 1997 to July 1998, he was a Visiting Graduate Student with University of California at Berkeley. Currently, he is an Assistant Professor with the University of Padova. He has authored or coauthored more than 60 papers in international journals or conference proceedings. He has performed research activities in the area of analog and mixed integrated circuits, for high-frequency, low-noise, and low-power applications. Recently, he has been working extensively on front-end technology for ultra-wideband (UWB) transceivers, with particular emphasis on wideband low-noise amplifiers, mixers, and energy detectors for impulse-radio UWB radios.

THROUGH

1695

Andrea Neviani (M’05) received the Laurea degree (cum laude) in physics from the University of Modena, Modena, Italy, in 1989, and the Ph.D. degree in electronics and telecommunication engineering from the University of Padova, Padova, Italy, in 1994. He was an EAP graduate student with the University of California, Santa Barbara, in 1994. From 1994 to 1998, he was a Research Associate with the University of Padova, where, from November 1998, he was an Associate Professor. From November 1998 to November 1999, he was a Visiting Engineer with Rutherford Appleton Laboratory, Oxfordshire, U.K. He is currently with the Department of Information Engineering, University of Padova. He has authored or coauthored approximately 100 journal articles and conference papers. Early in his career, he was involved with numerical simulation, modeling, and characterization of compound semiconductor devices for high-frequency applications and the study of methods for the statistical simulation of VLSI circuits. At present, his main interest is the design of RF integrated circuits for communication and radar applications and mixed-signal circuits for biomedical applications. Dr. Neviani is serving as an Associate Editor of the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS.

1696

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

An Octa-Push Oscillator at V -Band Kengo Kawasaki, Student Member, IEEE, Takayuki Tanaka, Member, IEEE, and Masayoshi Aikawa, Member, IEEE

Abstract—In this paper, an octa-push oscillator is first presented. An -push oscillator principle is effectively adopted. The proposed oscillator consists of eight sub-circuits, a microstrip ring resonator, and an output circuit. The microstrip ring resonator plays the role of both resonator and power-combiner circuit. This oscillator has the advantages of easy circuit design and the miniaturization of the circuit size due to the simple circuit configuration. The oscillator generates the output signal, utilizing the electromagnetic resonant field in the resonator. Based on the -push principle, the desired eighth harmonic signal is successfully obtained. This octa-push oscillator achieves high-quality millimeter-wave oscillation in -band using inexpensively available -band devices. The measured output power of 4 dBm at a frequency of 51 GHz is obtained with the phase noise of 100 dBc/Hz at the offset frequency of 1 MHz. Index Terms—Eighth harmonic, microstrip ring resonator, millimeter-wave oscillator, octa-push oscillator.

I. INTRODUCTION

M

ICROWAVE AND millimeter-wave technologies are widely used for many systems such as wireless communication systems, sensor systems and radar systems. An oscillator is an essential component in all wireless systems. The practical requirements for high quality microwave and millimeter wave oscillators are low noise, small size, low cost, high efficiency, high output power and high temperature stability. However, high frequency oscillators have some practical problems such as the phase noise, cost, stability and output power. To solve these problems, a push–push principle is a very effective and promising method to employ. Many technical papers about the push–push oscillator, which generates the second harmonic signal for the output signal, have been widely published [1]–[10]. In these oscillators, the factor is relatively higher than that of direct oscillators and frequency doubler schemes. This is because the sub-circuits (S.C.s) operate at half the desired output frequency [11]. In general, the push–push oscillator can generate a frequency signal twice as high as the operating frequency of semiconductor devices. Therefore, the push–push oscillator is an effective technique for extending the oscillating frequency range using the lower frequency devices. Moreover, high-order -push oscillators, which are

the extended concept of the push–push oscillator, have been introduced [11]–[13]. Some triple-push oscillators have also been published [14]–[18]. In this paper, the authors have proposed the simplified structure harmonic oscillator (SSHO) [8]. In the oscillators, the resonator plays the leading role of the common resonator and the part of the power combiner. The oscillators require no in-phase power-combiner circuit, which is necessary in conventional push–push oscillators [8]–[10]. The quadruple-push oscillator reports were first published by authors using the SSHO [19], [20]. The SSHO has several technical advantages [8], [21]. First, this concept enables high-order harmonic generation with high efficiency. Second, it is suitable to generate with multisemiconductor devices. Consequently, low phase noise and high output power are easily achieved. Additionally, the oscillator is called an “ -push oscillator” when the order of the output harmonic is the same as the number of devices [11]–[20]. Third, the number of devices is not necessarily the same as the order of the output harmonic. Therefore, the active circuit and other components can be designed separately. The concept is very effective in achieving simple circuit configuration. The authors have recently presented the eighth harmonic oscillator utilizing the push–push resonant mode with two S.C.s [22]. This paper proposes an octa-push oscillator, which generates the eighth harmonic signal in -band to improve output performances such as the output power, phase noise, and the suppression of undesired harmonics. The eight S.C.s operate at the ring ressame fundamental frequency in a one-wavelength onator at the fundamental frequency. At the output circuit, the desired eighth harmonic signal is enhanced; this is due to the in-phase power combining. The undesired fundamental and harmonic signals are, in principle, suppressed. The proposed oscillator is designed and fabricated in -band. The S.C.s and microstrip ring resonator are designed at the fundamental frequency in -band. The basic operation of the octa-push oscillator, which generates the eighth harmonic signal for the output signal, is experimentally confirmed in -band. Relatively better characteristics of the output power, the phase noise and suppression of undesired harmonics are obtained, when compared with previous work [22]. II. PUSH–PUSH PRINCIPLE AND OCTA-PUSH OSCILLATOR

Manuscript received August 18, 2009; revised February 13, 2010; accepted March 31, 2010. Date of publication May 27, 2010; date of current version July 14, 2010. This work was supported by the Research Collaboration with NIHON DINPA KOGYO (NDK), Japan. The authors are with the Department of Engineering Systems and Technology Graduate School of Science and Engineering, Saga University, Saga 840-8502, Japan (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049692

A basic technical concept of the proposed octa-push oscillator is shown in Fig. 1. Negative resistance (N.R.) circuits are embedded to enhance the desired harmonics in the resonator. The resonator plays a main role in the oscillator by working with the output circuit to extract the desired harmonic signal. The features of this basic concept are as follows. N.R.s are properly embedded to enhance the desired resonant fields.

0018-9480/$26.00 © 2010 IEEE

KAWASAKI et al.: OCTA-PUSH OSCILLATOR AT

-BAND

1697

Fig. 1. Technical concept of the proposed octa-push oscillator.

An output circuit extracts the desired harmonic signal selectively. A. Push–Push Principle Push–push oscillators have very attractive advantages such as much higher frequency generation and low phase noise. The high-frequency output signal of the harmonic signal is generated by low-frequency devices and a resonator. Consequently, inexpensive millimeter-wave oscillators can easily be realized. Moreover, the phase noise is also comparatively better than that of direct oscillators, doubler, and multiplier schemes [11]. A structure of basic push–push oscillator is shown in Fig. 2(a). The oscillator consists of two identical sub-circuits (S.C.s), a common resonator, and a power-combining circuits. The two S.C.s oscillate at the same frequency, with a phase difference of 180 through the common resonator. Equations (1) and (2) represent the output signals from the two S.C.s (1) (2) The fundamental signals of the two signals are out-of-phase, which is expressed by (3) (3) The combined output signal as follows:

can then be expressed by (4)

(4) The

fundamental

signal are, in

and odd harmonics principle, canceled out are combined

and the even harmonics in-phase and enhanced. Fig. 2(b) shows the circuit structure of the SSHO [8]–[10], [15]. The oscillator utilizes the electromagnetic resonant field in the resonator with excellent harmonic coherency. The resonator plays the role of both the common resonator and power combiner. This oscillator has the advantages of simple structure, reduced size, and the selectivity of the desired harmonic. B. Octa-Push Oscillator Fig. 3 shows the circuit configurations of -push oscillators based on the SSHO. They are very effective for higher order har-

Fig. 2. Circuit structure of the push–push oscillator. (a) Conventional basic push–push oscillator. (b) Simplified structure harmonic oscillator (SSHO).

monic signal generation [11], [12]. Fig. 3(a), (b) show the circuit configurations of the second harmonic push–push oscillator and the fourth harmonic quadruple-push oscillator presented by the authors [10], [15]. Fig. 3(c) shows the circuit configuration of the proposed octa-push oscillator. Eight S.C.s are connected wavelength at the funto a ring resonator; the interval is . The octa-push oscillator has a damental frequency wavelength interval at the fundamental frequency. In this circuit, eight identical S.C.s, which play the role of N.R., are reasonably connected to the resonator. Each interval of connecting points, where S.C.s are connected with the resonator, is a half wavelength at the fourth harmonic frequency. The push–push resonant mode is generated at the fourth harmonic frequency between the adjoining S.C.s. In Fig. 3(c), an output circuit is arranged inside of the microstrip ring resonator. The input RF-impedance matching for the fourth and eighth harmonic frequency is satisfied at the coupling points between the output port circuit and ring resonator. Therefore, the fourth and eighth harmonic signals are selectively injected to the resonator. The resonant modes for the fundamental and other harmonics are suppressed; this is due to the RF-impedance characteristic. Eight S.C.s are connected to the point “1–8” to generate the octa-push resonant mode. The resonant mode is shown in Fig. 4. The signals of the adjoining S.C.s have a phase difference of 180 at the fourth harmonic frequency . This results in a 45 phase difference at the fundamental . The output circuit is connected to the in-phase frequency and the maximum voltage points for the eighth harmonic signal, as shown in Fig. 4. From the coupling points of “1–8,” the eighth

1698

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 4. Octa-push resonant mode on a ring resonator.

Fig. 5. Circuit configuration of the octa-push oscillator.

Fig. 6. Circuit configuration of the S.C.

hand, the fourth harmonic signal is suppressed at the output port. III. CIRCUIT DESIGN AND SIMULATION

N

Fig. 3. Basic circuit configurations of -push oscillators. (a) Push–push oscillator. (b) Quadruple-push oscillator. (c) Octa-push oscillator.

harmonic signal is transferred in-phase to the output port. In the resonator, these coupling points have the maximum voltage. Undesired harmonic resonant fields are out-of-phase at the output can port and suppressed in principle. The output signal then be expressed as follows: (5) Using the ring resonator and the output circuit, only the eighth harmonic signal and its harmonics are combined in-phase. Equation (5) shows the eighth harmonic signal; the harmonics can be obtained at the output port. On the other

The practical circuit configuration of the proposed octa-push oscillator is shown in Fig. 5. The oscillator is designed in three steps. First, S.C.s are designed at the fundamental frequency, which is one-eighth of the output frequency. Second, a microstrip ring resonator is also designed at the fundamental frequency. Third, the output circuit is designed at the eighth . The output circuit enhances the harmonic frequency eighth harmonic signal, suppressing undesired harmonics. Fig. 6 shows the circuit configuration of the S.C. The active device, HEMTs (Fujitsu’s FHX35LG), are used in the S.C.s. The Agilent ADS is used to design the circuit and the microstrip ring resonator. The S.C.s are designed to show the N.R. at the gate port (coupling port with the resonator) at the fundamental . A microstrip open stub is then connected to the frequency drain port of the HEMT to provide the N.R. at the gate. The open stub is connected to the source port; this is because of the intentional distortion of the fundamental frequency signal. The

KAWASAKI et al.: OCTA-PUSH OSCILLATOR AT

-BAND

1699

Fig. 7. Impedance characteristic of the S.C. Fig. 10. Simulated magnetic vector distribution on the resonator and the output circuit at 8f (simulated by Momentum).

TABLE I SUBSTRATE PARAMETERS

Fig. 8. Circuit layout of the coupling point.

Fig. 9. Simulated magnetic vector distribution in the resonator and the output circuit at 4f (simulated by Momentum).

Fig. 11. V -band octa-push oscillator.

gate voltage is 0 V. Fig. 7 shows the impedance characteristic of the S.C. The maximum drain voltage of the HEMT is 4.0 [V]. The operating drain bias voltage is 3.9 [V]. At the coupling point of the S.C. and the resonator, a chip capacitor “C” is mounted for the coupling capacitor. It is difficult to stabilize the resonance mode at the eighth harmonic frequency because the resonance mode harmonics are at a much higher order in the resonator. Therefore, in order to stabilize the resonant mode, the octagon-shaped resonator is adopted and the gap capacitors are formed at the coupling points between the output circuit and the resonator. The octagon-shaped resonator forms the discontinuity boundary condition in the resonator at the fourth and the eighth harmonic frequency. The circle-shaped ring resonator is also designed and fabricated in comparison with the octagon resonator circuit. The ring resonator octa-push oscillator has resulted in unsatisfactory performance. This is

mainly due to the instability of the eighth harmonic resonant modes. Fig. 8 shows the circuit layout of the coupling point. There are gap capacitors at the coupling points between the resonator and the output circuit. In the previous work [22], the output circuit is directly connected to the resonator. However, the phase noise performance is not good due to the tight coupling to the resonator. The gap capacitor is designed as follows. The distance between the resonator and the end of the output circuit is 0.1 [mm], the width of the gap in the output circuit is 0.5 [mm], and the capacitance of the gap capacitor is about 0.02 [pF]. The characteristics of the microstrip ring resonator and the output circuit are simulated. The characteristic impedance of the microstrip line of the ring resonator is 116 . ADS Momentum is used in the simulation. In the simulation setup, ports “1–8” are the signal input ports and port 9 is the output port. Fig. 9

1700

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

TABLE III MEASURED OUTPUT POWER OF UNDESIRED HARMONICS

Fig. 12. Output power spectrum of eighth harmonic signal (center frequency: 51 GHz, span: 40 MHz).

Fig. 14. Phase noise power spectrum of the output signal (SPAN: 10 MHz, RBW: 10 kHz, VBW: 1 kHz).

Fig. 13. Output power spectrum of undesired harmonics (50-GHz span).

TABLE II MEASURED OUTPUT PERFORMANCES

shows the magnetic vector in the microstrip ring resonator and the output circuit at the fourth harmonic frequency. Input signals at ports “1–8” are fed with same amplitude. The phase at ports 1, 3, 5, and 7 are 0 ; ports 2, 4, 6, and 8 are 180 . These input ports are set as “internal” ports. From Fig. 9, the fourth harmonic signals at the output port are mutually out-of-phase and suppressed at the output port (Port 9). Fig. 10 shows the magnetic vector at the eighth harmonic frequency as well. Input signals at ports “1–8” are fed to the resonator with same amplitude and in-phase. It is also confirmed that the eighth harmonic signals are combined in-phase at the output port. When both the fourth and eighth harmonic frequencies are simulated, the resonant standing wave is generated in the microstrip ring

Fig. 15. Oscillating output power and frequency as a function of drain voltage.

resonator. On the other hand, a traveling wave of the eighth harmonic signal is observed in the output circuit. IV. FABRICATION AND MEASUREMENT The proposed octa-push oscillator is designed in -band and the oscillating signals are measured. The circuit is fabricated on

KAWASAKI et al.: OCTA-PUSH OSCILLATOR AT

-BAND

1701

TABLE IV COMPARISON OF OTHER MILLIMETER BAND OSCILLATORS

a Teflon glass fiber substrate. Table I shows the specifications of the substrate. The fabricated octa-push oscillator is shown in Fig. 11. A subminiature A (SMA) connector (Gigalane, PSFS00) is mounted to the output port through a via-hole on the back surface of the substrate. The circuit size is 80 mm 80 mm. The output signals are measured using a spectrum analyzer (Agilent HP8565EC) with a harmonic mixer (Agilent 11970U). Fig. 12 shows the output power spectrum of the desired eighth harmonic signal at the frequency of 51.8 GHz. Fig. 13 shows the output power spectrum of undesired harmonics ( – ). The measured output power of 10.17 dBm is obtained at the desired eighth harmonic frequency. The real output power can be estimated to be 4 dBm because the SMA connector has the insertion loss of 6 dB at 50 GHz. The drain voltage is 3.9 V and the current is 350 mA. Table II shows the output performance of the eighth harmonic signal. In the proposed oscillator, the eighth harmonic signal is successfully obtained. Table III shows the measured output power of undesired signals from to ; their output power is less than 19 dBm. Suppres) to sion of the undesired signals are from 15.33 dBc (at 45.00 dBc (at ). The suppression of undesired signals is mostly good. Fig. 14 shows the output power spectrum to estimate the phase noise. The phase noise of 100 dBc/Hz at 1-MHz offset frequency, and 79 dBc/Hz at 100-kHz offset frequency, are obtained. Fig. 15 shows the variation of output . The frequency and output power versus the drain voltage range of is from 3.0 to 3.9 V. The variation of output freis about 0.7% (from 51.84 quency versus the drain voltage to 52.24 GHz). From these experimental results, the basic operation of the octa-push oscillator is successfully confirmed. Table IV shows the comparison with other oscillators. The proposed oscillator achieves comparatively good phase noise and output power in -band in spite of using a commercially available -band device and the simple structure. The output power is 1.6 times better and the phase noise is also much better than the previous work [22]. However, the power efficiency is worse because eight HEMTs are used in this work. V. CONCLUSION In this paper, an octa-push oscillator, used to generate the eighth harmonic signal for the output signal, was realized for

the first time. Utilizing the -push principle, the desired eighth harmonic signal can successfully be enhanced in -band. The higher order electromagnetic resonant field on a ring resonator is effectively used. As a result, the oscillator has the advantage of simple circuit configuration. A -band millimeter signal is generated by inexpensive -band HEMT due to the advantage of the octa-push principle. The output signal is selectively obtained because harmonic signals generated by S.C.s are selectively injected to the resonator. The desired eighth harmonic signal is selectively transferred to the output port, as well. The discontinuous layout of the octagon resonator and the gap capacitor are very important points in design used to obtain the eighth harmonic signal steadily, while improving the low noise performances. The oscillating frequency control can be achieved by adjusting the resonant frequency of the resonator. For example, a 3-D monolithic microwave integrated circuit (MMIC) is an effective method. The principle of the proposed oscillator shows promise for generating higher millimeter-wave and up to terahertz signals. REFERENCES [1] A. M. Pavio and M. A. Smith, “A20–40-GHz push–push dielectric resonator oscillator,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 12, pp. 1346–1349, Dec. 1985. [2] F. X. Sinnesbichler, “Hybrid millimeter-wave push–push oscillator using silicon–germanium HBTs,” IEEE Trans. Microw. Theory., vol. 51, no. 2, pp. 422–430, Feb. 2003. [3] F. X. Sinnesbichler and G. R. Olbrich, “SiGe HBT push–push oscillators for V -band operation,” in IEEE Silicon Monolithic Integr. Circuits RF Syst. Top. Meeting Dig., Apr. 2000, pp. 55–59. [4] R. Wanner, H. Schafer, R. Lacher, G. R. Olbrich, and P. Russer, “A fully integrated 70 GHz SiGe low phase noise push–push oscillator,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, vol. 3, pp. 1523–1526. [5] R. Wanner, R. Lachner, G. R. Olbrich, and P. Russer, “A SiGe monolithically integrated 278 GHz push–push oscillator,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 333–336. [6] Y. Baeyens, N. Weimann, V. Houtsma, J. Weiner, Y. Yang, J. Frackoviak, P. Roux, A. Tate, and Y. K. Chen, “High efficient harmonically tuned InP D-HBT push–push oscillators operating up to 287 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 341–344. [7] K. Kawahata, T. Tanaka, and M. Aikawa, “A K -band push–push oscillator with high suppression of undesired harmonic signals,” IEICE Trans. Electron., vol. E86-C, no. 8, pp. 1433–1437, Aug. 2003. [8] H. Xiao, T. Tanaka, and M. Aikawa, “Push–push oscillator with simplified circuit structure,” Electron. Lett., vol. 38, no. 24, pp. 1545–1547, Nov. 2002.

1702

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

[9] H. Xiao, T. Tanaka, and M. Aikawa, “A 20 GHz push–push oscillator using ring resonator,” IEICE Trans. Electron., vol. E87-C, no. 12, pp. 2143–2149, Dec. 2004. -band push–push [10] H. Xiao, T. Tanaka, and M. Aikawa, “A low noise oscillator using slot-ring resonator,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 1333–1336. [11] U. L. Rhode, A. K. Poddar, and G. Bock, The Design of Modern Microwave Oscillators for Wireless Applications. New York: Wiley, 2005, ch. 10, sec. 8, pp. 300–301. [12] U. L. Rhode, A. K. Poddar, J. Schoepf, R. Rebel, and P. Patel, “Low noise low cost ultra wideband -push VCO,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 1171–1174. [13] S. C. Yen and T. H. Chu, “An th-harmonic oscillator using an -push coupled oscillator array with voltage-clamping circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 2169–2172. [14] Y. L. Tang and H. Wang, “A novel triple-push oscillator approach,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2000, vol. 2, pp. 1201–1204. [15] Y. L. Tang and H. Wang, “A 24.6-GHz MMIC HBT triple-push oscillator,” in Proc. 31st Eur. Microw. Conf., Oct. 2001, pp. 1–4. [16] C. Jonghoon and A. Mortazawi, “Design of push–push and triple-push oscillators for reducing 1 noise upconversion,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3407–3414, Nov. 2005. [17] B. Cath and M. M. Hella, “A 60 GHz CMOS combined mm-wave VCO/divider with 10-GHz tuning range,” in IEEE Proc. Custom Integr. Circuits Conf., Sep. 2009, pp. 665–668. [18] C. Chen, C. Li, B. Jr. Huang, K. Lin, H. Tsao, and H. Wang, “Ringbased triple-push VCOs with wide continuous tuning ranges,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 9, pp. 2173–2183, Sep. 2009. -band quadruple-push [19] H. Xiao, T. Tanaka, and M. Aikawa, “A oscillator,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 889–892. [20] F. Ramirez, M. Ponton, and A. Suarez, “Nonlinear-optimization techniques for quadruple-push oscillators,” in Proc. 37th Eur. Microw. Conf., Oct. 2007, pp. 1169–1172. [21] M. Aikawa, E. Nishiyama, and T. Tanaka, “Advanced utilization of microwave resonant fields and its applications to push–push oscillators and reconfigurable antennas,” IEICE Trans. Electron., vol. E89-C, no. 12, pp. 1798–1805, Dec. 2006. [22] K. Kawasaki, T. Tanaka, and M. Aikawa, “ -band 8th harmonic push–push oscillator using microstrip ring resonator,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 697–700. [23] T. Kashiwa, T. Ishida, T. Katoh, H. Kurusu, H. Hoshi, and Y. Mitsui, “ -band high-power low phase-noise monolithic oscillator and investigation of low phase-noise performance at high drain bias,” IEEE Trans. Microw. Theory, vol. 46, no. 10, pp. 1559–1565, Oct. 1998. [24] H. Hoshino, R. Tachibana, T. Mitomo, N. Ono, Y. Yoshihara, and R. Fujimoto, “A 60 GHz phase-locked loop with inductor-less wide operation range prescaler in 90-nm CMOS,” IEICE Trans. Electron, vol. E92-C, no. 6, pp. 785–791, Jun. 2009. [25] Y. Fukasawa, K. Kawaguchi, T. Yoshida, T. Sugiyama, and A. Nakagawa, “High-performance 76-GHz planar Gunn VCO,” IEICE Trans. Electron., vol. E-91-C, no. 7, pp. 1098–1103, Jul. 2008. [26] S. Kurachi and T. Yoshimaru, “Low phase noise, InGaP/GaAs HBT VCO MMIC for millimeter-wave applications,” IEICE Trans. Electron., vol. E88-C, no. 4, pp. 678–682, Apr. 2005.

Ku

N

N

N

=f

Ka

V

V

Kengo Kwasaki (S’08) was born in Saga, Japan, on August 7, 1984. He received the B.Eng. and M.Eng. degrees in electronic and electronics from Saga University, Saga, Japan, in 2007 and 2009, respectively, and is currently working toward the Ph.D. degree in systems and technology at Saga University. His research is concerned with the design of microwave and millimeter-wave circuits. Mr. Kawasaki is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was a recipient of the 2008 Excellent Student Award of the IEEE Fukuoka Section.

Takayuki Tanaka (M’97) was born in Fukuoka, Japan, on November 27, 1962. He received the B.S. and M.S. degrees from Saga University, Saga, Japan in 1986 and 1988, respectively, and the D.E. degree from Kyushu University, Fukuoka, Japan in 2002. From 1988 to 2004, he was a Research Associate and is currently a Lecturer with Saga University. From September 2008 to March 2009, he was a Visiting Researcher with the University of Manitoba, Winnipeg, MB, Canada. His research interests are concerned with the design of microwave oscillators and mobile communication antennas. Dr. Tanaka is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, and the Institute of Image and Television Engineers (ITE), Japan.

Masayoshi Aikawa (M’78) was born in Saga, Japan, in 1946. He received the B.S., M.S., and Dr.Eng. degrees in electronics engineering from Kyushu University, Fukuoka, Japan, in 1969, 1971, and 1985, respectively. In 1971, he joined the Musashino Electrical Communication Laboratories, Nippon Telegraph and Telephone Corporation (NTT), Tokyo, Japan, where he was involved with research and development on microwave and millimeter-wave integrated circuits and 20-GHz digital radio trunk transmission systems and 26-GHz subscriber radio systems. In 1986, while on leave from NTT, he was with ATR Optical and Radio Communications Research Laboratories, Osaka, Japan, where he was engaged in research on basic technologies such as highly integrated MMIC technology with a focus on multilayer MMIC and 3-D MMIC and RF signal processing for future radio communications. In 1989, he returned to the NTT Wireless Systems Laboratories, Yokosuka, Japan, where he was engaged in research and development mainly on 3-D MMIC technology and MMIC modules for terrestrial, mobile, and satellite communication systems. In 1997, he joined Saga University, Saga, Japan. He has extended his research in active and smart antennas, harmonic oscillators, and their integrated transceivers in microwave and millimeter-wave bands for future wireless applications in the ubiquitous era. Prof. Aikawa is a Fellow of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 1991 Microwave Prize of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

1703

Large Improvement in Image Rejection of Double-Quadrature Dual-Conversion Low-IF Architectures Jin-Siang Syu, Student Member, IEEE, Chin-Chun Meng, Member, IEEE, Ya-Hui Teng, and Hua-Yu Liao

Abstract—The reasons for a degradation of image-rejection performance in double-quadrature–double-quadrature and single-quadrature–double-quadrature dual-conversion low-IF downconverters are fully discussed in this paper. Polyphase filters (PPFs) are inserted at proper positions to minimize the effects of device/signal mismatches, and thus improve the image rejection without calibration. Both a 0.35- m SiGe heterojunction bipolar transistor 5.2-GHz double-quadrature–double-quadrature downconverter with an RF PPF and a 0.18- m CMOS 2.2/4.8-GHz single-quadrature–double-quadrature downconverter with a switched-band low-noise amplifier (LNA) and a narrowband inter-stage PPF are demonstrated. Compared with our previous work, the 5.2-GHz downconverter achieves a 15-dB improvement in image-rejection ratio (IRR) of the first image signal (IRR1 ) even without a pre-selection filter or LNA. Additionally, the dual-band downconverter has a 25-dB improvement in IRR of the second image signal (IRR2 ), which nearly reaches the theoretical limit of a four-stage PPF covering 20–40 MHz. Index Terms—Dual conversion, Hartley architecture, image rejection, low IF, polyphase filter (PPF), Weaver architecture.

I. INTRODUCTION

A

LOW-IF down-conversion architecture is widely used [1]–[3] since this architecture can eliminate dc offset and flicker noise problems. A static or random dc offset may noise may cause a demodulation error while preposterous cover up desired signals and results in a fatal detection error, especially in a CMOS process [4]–[6]. On the other hand, series capacitors can be added at the output to block the dc component in a low-IF receiver because the down-converted signal is not noise can be avoided by choosing a located at dc. The noise corner. However, a higher proper IF band beyond the IF band results in higher power consumption for the subsequent analog-to-digital converter (ADC). Besides, a dual-conversion Manuscript received October 27, 2009; revised March 19, 2010; accepted April 08, 2010. Date of publication May 24, 2010; date of current version July 14, 2010. This work was supported by the National Science Council of Taiwan under Contract NSC 98-2221-E-009-033-MY3, Contract NSC 98-2221-E-009031, and Contract NSC 98-2218-E-009-008-MY3, by the Ministry of Economic Affairs of Taiwan under Contract 96-EC-17-A-05-S1-020, and by the Ministry of Education (MoE) Aim for the Top University (ATU) Program under Contract 95W803. The authors are with the Department of Electrical Engineering, National Chiao Tung University, Hsinchu 300, Taiwan (e-mail: jssyu.cm95g@nctu. edu.tw; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049695

downconverter alleviates the burden of a high-frequency local oscillator (LO) signal generation, but two conversions have two image bands to be suppressed. In previous literature of dual-conversion low-IF downconverters [7]–[10], including our previous work, the was limited to about 40–45 dB without a low-noise amplifier was unable to reach the theoretical (LNA); while the limit due to device/signal mismatch, layout path imbalance, and process variations. In this paper, two Weaver–Hartley dual-conversion low-IF downconverters are demonstrated with large improvement in image rejection. A Weaver architecture [11], [12] is a complex dual-conversion system, while a Hartley architecture [13] consists of a complex mixer and a complex filter such as a passive microwave coupler [14], a polyphase filter (PPF) [9], [15] or an active complex bandpass filter [3], [16], [17]. In this paper, a 5.2-GHz double-quadrature–double-quadrature downconverter, using a preceding RF at the cost of noise performance and PPF achieves a high power consumption. Additionally, a 2.2/4.8-GHz dual-band single-quadrature–double-quadrature receiver employs a switched-band LNA to pre-filter the first image signal. An inter-stage PPF, employed between the first- and second-stage mixers, also achieves significant improvement in the quadrature accuracy of output in-phase/quadrature (I/Q) signals and also . the This paper is organized as follows. Single-band/multiband quadrature signal generators are introduced in Section II, while Section III reviews the Weaver–Hartley hybrid architectures with emphasis on nonideal effects. Circuit implementations and measurement results of a single-band double-quadrature–double-quadrature Weaver–Hartley downconverter and a dual-band single-quadrature–double-quadrature Weaver–Hartley downconverter are reported in Section IV. Conclusions are outlined in Section V, and finally, a dual-band double-quadrature–double-quadrature Weaver–Hartley architecture is also proposed at the end of this paper for further improvement in image-rejection ratio (IRR). II. QUADRATURE SIGNAL GENERATION A. Phasor and Complex Representations for Real Signals includes the information of amplitude A phasor of a real signal. An arbitrary phasor sequence (A) and phase (four vectors) can be decomposed into four balanced sequences [15], [18], which are: 1) quadrature counterclockwise (right-

0018-9480/$26.00 © 2010 IEEE

1704

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

, Similarly, a phasor sequence with a balanced amplitude , as shown in Fig. 1(c), can be but a quadrature phase error decomposed into (3) with an error function (4) Generally, a phasor sequence with both amplitude imbalance and phase error, as shown in Fig. 1(d), can be decomposed into

(5) Hence, an overall error function becomes (6) It is evident that either a phase error or an amplitude imbalance produces an opposite phasor sequence. It is worthwhile to mention that the reciprocal of calculated results is the IRR in an image-rejection receiver described in [19, eq. (5.15)] with LO quadrature amplitude/phase mismatches ~, L ~ , and I~. (b) Phasor set with amplitude Fig. 1. (a) Balanced phasor sets R imbalance (1A). (c) Phasor set with quadrature phase error (). (d) Phasor set with both phase and amplitude imbalance.

(7) where

handed) sequence ; 2) quadrature clockwise (left-handed) sequence ; 3) collinear differential sequence ; and 4) collinear in-phase sequence , as indicated in Fig. 1(a). A differential amplitude/phase imbalance affects the isolation and second-order nonlinearity significantly, but not gain and image rejection [15]. Therefore, assuming a phasor sequence is fully differential for simplicity, the phasor sequence is simply a linear combination and a left-handed sequence . of a right-handed sequence can be deThe right-handed sequence , while the left-handed senoted as a complex signal represents [12]. In quence is defined as an amplitude ratio addition, an error function of and to facilitate the analysis throughout this paper. Since a down-converted image signal is at the opposite spectrum of a desired signal, the reciprocal of the error function is equal to the IRR. For example, a phasor sequence with unbalanced amplitudes and ), as shown in ( Fig. 1(b), can be decomposed into (1) with an error function (2)

,

, and

. B. Lumped Quadrature Signal Generation Methods A distributed microwave quadrature coupler, such as a quarter-wavelength coupled-line coupler, a Lange coupler, or a branch-line coupler, occupies enormous die area, especially for low-frequency applications (e.g., below 10 GHz). Instead of microwave realizations, lumped quadrature signal genera– PPF is tors are widely used at low frequencies. An one of the widely used solutions. The transfer function of a single-stage PPF [see Fig. 2(a)] with a balanced quadrature phasor sequence ( or ) is expressed as (8) is the transmission zero of where and . the pole frequency of both Thus, the equivalent error function is defined as

and also

(9) For a quadrature signal generation, the input differential signal can be decomposed into and with the same amplitudes; thus, the output error function has the same meaning as the ratio of negative and positive signal gain, defined in (9).

SYU et al.: LARGE IMPROVEMENT IN IMAGE REJECTION OF DOUBLE-QUADRATURE DUAL-CONVERSION LOW-IF ARCHITECTURES

1705

should be taken into account when deciding a sufficient IRR bandwidth. C. Wide-Band/Multiband Extensions by Multistage PPF For a multistage PPF with a balanced quadrature phasor sequence ( or ), the transfer function can be expressed as ~ or Fig. 2. (a) Single-stage PPF with a balanced quadrature phasor input (R ~ ). (b) PPF with Q input shorted to ground. (c) PPF with I/Q input connected L

(14)

together.

and its correspondent error function can thus be expressed as

(15)

Fig. 3. IRR with a pole frequency deviation due to a process variation.

A single-stage PPF with input shorted to the ground, as shown in Fig. 2(b), has a quadrature output ratio (10) The output phasor sequence belongs to a constant-quadrature-phase sequence, illustrated in Fig. 1(b); thus, by (2), the error function becomes (11) where . On the other hand, a PPF with I/Q input connected, as shown in Fig. 2(c), has a quadrature output ratio of

(12) . where Therefore, the output phasor sequence has balanced amplitudes, but a phase error , the same as Fig. 1(c). By (4), the error function becomes (13) As analyzed above, even though one topology always has a quadrature phase difference while the other type always has exact balanced amplitudes, the two PPF topologies have the same error function. Moreover, Fig. 3 shows the correspondent IRR of the PPF with a center frequency variation due to process variation. If a center frequency varies 20%, the IRR is drastically degraded to only 20.8 dB. Therefore, the process variation

is the open-circuited voltage gain of the where -stage PPF, defined by (8); is the equivalent stage. source/load impedance of the of each stage is larger than 1 and reaches the maxThe at the center frequency. Thus, the impedance imum value of ratio between each stage and the input/output impedance dominate the overall voltage gain/loss. On the other hand, the voltage has a transmission zero and results in a nargain of the rowband rejection response. With different locations of transmission zeros of a multistage PPF, there are three typical circumstances, which are: 1) nar; 2) wideband ; and rowband 3) multiband applications. 1) For a narrowband application, all the transmission zeros are identical, ; thus, an -stage PPF provides a total error , thus the error is reduced with increasing cascading of stages, as shown in Fig. 4(a). In other words, for a given tolerable , the ratio bandwidth becomes IRR (16) where is the target error function after the PPF, is the error function of one-stage PPF, and is the number of stages. Thus, for a target 40-dB IRR of a quadrature generator, the ratio bandwidth becomes 1.041, 1.494, and 2.4 for single-, two-, and three-stage PPFs, respectively. In addition, the voltage gain (or loss) of a multistage PPF with the same center frequency can be calculated. By (14), the voltage gain of an -stage PPF at the center frequency can be expressed as

(17)

where

, ,

, and

.

1706

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 4. Three-stage PPF on different pole locations. (a) Equal RC pole. (b) Unequal RC poles with an equiripple frequency response. (c) Unequal RC poles for multiband applications.

Fig. 5. (a) Schematic of a double-quadrature complex mixer topology and its complex representation. (b) Schematic of a single-quadrature topology and its complex representation.

Equation (17) shows that the increase of resistance lessens the voltage loss when the last stage is open circuited [15]. However, in reality, the loading of the PPF is the gate (base) of the . Thus, active mixer core with capacitive impedance of the input impedance is typically open circuited at low frequencies, but degrades at high frequencies. The voltage gain should be modified as

(18)

Although the increase of resistance can increase , the stage , resulting from a high small capacitance at the resistance , may degrade the overall voltage gain, especially at high frequencies. Thus, an optimum voltage gain aland the output loading ways exists if the source impedance are given. capacitance 2) For a wideband application, a multistage PPF with logarithmic increase of pole locations results in an equiripple image rejection frequency response, as shown in Fig. 4(b). The derivation of optimum pole locations for a minimum IRR of an -stage PPF had been proposed for a given ratio bandwidth [15]. Thus, for a target IRR, the number of stages and the pole locations can be defined [15]. 3) Finally, for a multiband quadrature generation, each stage of a PPF is set at different desired frequencies, as shown in Fig. 4(c). The image-rejection bandwidth becomes wider when compared to the individual response because the distant away transmission zeros still provide a certain effect on a given band. Certainly, detailed information on gain and IRR bandwidth can be obtained by simulation tools.

Fig. 6. Block diagrams of a: (a) double-quadrature–double-quadrature dualconversion system and (b) single-quadrature–double-quadrature dual-conversion system with nonideal input signals.

III. WEAVER–HARTLEY IMAGE-REJECTION ARCHITECTURE A. Introduction of Weaver–Hartley Architecture Mixing operations of complex double- and single-quadrature topologies can be expressed by the complex signal multiplication, as shown in Fig. 5(a) and (b), respectively. A double-quadrature mixer topology includes four real mixers with two quadrature inputs, while a single-quadrature complex mixer has two real mixers with only one of the two inputs being quadrature. Double-quadrature–double-quadrature/single-quadrature–double-quadrature Weaver–Hartley architectures and their complex notations are illustrated in Fig. 6(a) and (b), respectively. The desired RF signal and image signals are down-converted to a low-IF band by the first LO

SYU et al.: LARGE IMPROVEMENT IN IMAGE REJECTION OF DOUBLE-QUADRATURE DUAL-CONVERSION LOW-IF ARCHITECTURES

and second LO . The angular frequencies of the desired, first image, second image, first LO, and second LO , , , , and , signals are denoted as respectively. Additionally, the angular frequencies of the IF signal after the first and second down-conversions are defined and , respectively. as The relations of the signals defined above can be expressed as

1707

B. Nonideal Effects Input I/Q signal mismatch and device mismatch of the mixers are unavoidable for a practical circuit fabrication and lead to a degradation of the IRR. The device mismatch can be referred to an input I/Q signal gain/phase mismatch. When a quadrature phase error or an amplitude mismatch exists, opposite phasor sequence (or opposite complex-frequency signal) is induced, as described in Section II-A. Imperfect input signals can be represented as a positive spectrum with an error negative spectrum (21a)

(19) The operations of double-quadrature–double-quadrature/ single-quadrature–double-quadrature can be well explained by the frequency shifting in the spectrum [12]. Since the signal is located at the negative quadrature , , and signals are first left-shifted spectrum, , and bands, respectively. Next, to ; thus, these signals are down-converted by signals are left-shifted to , , and bands, respectively. After the dual conversions of the Weaver system, the first image signal is shifted out of the output low-pass band. On the other hand, the down-converted second image signal is , which cannot be filtered out by the low-pass located at PPF in filter, but can be highly rejected by the following the Hartley system [10]. signal is For a dual-band application, the polarity of the set to be switchable (i.e., the input signal can be chosen to be either left- or right-shifted for a complex mixing) [10]. In order frequency is set at to reuse the second-stage mixers, the the halfway point between two application bands. That is, the desired signal of the high-frequency band is the image signal of the low-frequency band and vice versa. The relations of these signals are given as follows:

(20) and represent high- and low-frequency where the suffix operation modes, respectively. For the first conversion, the positive spectrum of the desired is left-shifted to at the high-frequency signal mode, while the negative spectrum of the desired signal is right-shifted to the same band at the low-frequency mode, as described in (20). Similar to the single-band case, the first image signal is shifted away from the output passband, while the second image signal is filtered out by the following multistage PPF in the Weaver–Hartley hybrid system. Note that this dual-band architecture cannot be realized by a conventional double-quadrature–double-quadrature topology because the double-quadrature–double-quadrature receiver pre-filters out the negative RF signal, which is our desired signal for the low-frequency operation mode; however, a dual-band double-quadrature–double-quadrature Weaver–Hartley receiver will be proposed in Section V.

; where , , and are the input error functions at , , , respectively. and Similarly, imperfect quadrature and signals can also be decomposed into (21b) (21c) All of the error functions are much smaller than unity. Note that, for the low-band operation of the dual-band architecture, signal should be switched to , the as shown in Fig. 6(b). After the complex mixing operation at the first stage, as shown in Fig. 6(a), we can obtain (21d) Here, the high-frequency terms are negligible thanks to the inherent low-pass characteristics of active mixers. By the same token, the outputs after the second conversion can be expressed as follows: RF input input input (21e) is the error function of the PPF at the output of where the second-stage mixers. Similar to a double-quadrature–double-quadrature down-converter, a single-quadrature–double-quadrature down-converter with differential input signals can be treated as a complex mixing with the input error function , defined in (21a), equaling 1. The IF outputs of a single-quadrature–double-quadrature down-converter are directly rewritten as RF input input input

(21f)

Equations (21e) and (21f) provide detailed information for IRR degradation. Compared with (21e) and (21f), the of a double quadrature system is times larger than that of a single-quadrature system; thus, a fully double-quadrature

1708

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 7. Block diagram of a 0.35-m SiGe HBT double-quadrature–double-quadrature Weaver–Hartley low-IF downconverter.

system typically provides a higher IRR. However, if the quadrastill degrades, ture LO signals are not accurate enough, the but a double-quadrature–double-quadrature system suppresses , and the effect of the LO quadrature accuracy by a factor of can be almost determined by the thus guarantees that the PPF. To solve the drawback of a single-quadrature–doublewith quadrature topology, an inter-stage PPF centered at is inserted between the firsta frequency response of and second-stage mixers, as shown in Fig. 6(b). The outputs of the modified dual-conversion system are described as follows:

RF input input

(21g)

input The inserted inter-stage PPF guarantees a higher system dynamic range by reducing the large first image signal before entering the second-stage mixers even though cannot be improved [9]. However, when compared with (21f) and (21g), the quadrature accuracy of the IF signal is further improved and can be further pushed to the theoretical limit of the PPF. IV. CIRCUIT DESIGN AND MEASUREMENT RESULTS A. 5.2-GHz Double-Quadrature–Double-Quadrature Dual-Conversion Low-IF Downconverter Fig. 7 shows the block diagram of a 0.35- m SiGe HBT double-quadrature–double-quadrature dual-conversion low-IF downconverter and corresponds to the architecture in Fig. 6(a). In a double-quadrature–double-quadrature downconverter, both the first- and second-stage complex mixers contain four Gilbert mixers. and ) with the same phase Correlated LO signals ( error maintain a maximum IRR [12]. Fig. 8(a) and (b) shows the mathematical expression and the schematic of the compensated frequency doubler [20]; thus, the self-mixing dc offset, gener, can be eliminated. The LO ated by the current phase delay frequency quadrupler in Fig. 7 consists of two compensated frequency doublers to generate coherent signals with less phase error. A three-stage PPF is employed at the RF port for a quadrature signal generation at three bands , , and . Since

Fig. 8. (a) Block diagram of a high-precision compensated frequency doubler. (b) Schematic of the compensated frequency doubler employed in the LO quadrupler (bias circuit is not shown for simplicity).

5.2 GHz 5.14 GHz 3.072 GHz , the transmission zeros of the three stages are set according to this order to minimize the loss of PPF. Therefore, the desired signal and image signals are perfectly quadrature in nature. In the LO quadruplers, two-stage PPFs are employed in the output quadrature generators and the quadrature generator required by the compensated frequency doublers, as shown in Fig. 8. The center frequencies of the two-stage PPFs are set the same and the resistance in the second stage is twice as large as that in the first stage while the capacitance is only half. Since the unlicensed national information infrastructure (U-NII) band is covering 5.15–5.35 GHz with 200 MHz bandfor the width, it means a ratio bandwidth of RF band is needed. However, the implemented signal bandwidth needs to be designed wider than the required one to tolerate the silicon process variation. As described in Section II-B, the IRR at the original center frequency becomes 20.8 dB for a single-stage PPF and 41.6 dB for a two-stage PPF if a 20% frequency deviation is applied. Therefore, a two-stage cascade PPF is sufficient for the LO generator when considering both the required signal bandwidth and process variation if a 40-dB IRR is set as the criterion. Besides, in order to obtain about 50-dB IRR within the bandwidth of 15–35 MHz, a three-stage PPF is incorporated at the end of the downconverter. A die photograph of the 5.2-GHz downconverter is shown in Fig. 9 and the die size is 1.6 1.35 mm . The total current of eight mixers is 32 mA at a 3.3-V supply, while the LO generator and the IF output buffers consume 35 mA. A conversion gain reaches 10 dB when the LO power is larger than 4 dBm. The measured single-sideband noise figure (SSB-NF) is lower than

SYU et al.: LARGE IMPROVEMENT IN IMAGE REJECTION OF DOUBLE-QUADRATURE DUAL-CONVERSION LOW-IF ARCHITECTURES

1709

TABLE I PERFORMANCE COMPARISONS

Fig. 9. Die photograph of the 0.35-m SiGe HBT double-quadrature–doublequadrature Weaver–Hartley low-IF downconverter. Fig. 11. IRR for the first/second image signals in three samples of the 0.35-m SiGe HBT double-quadrature–double-quadrature Weaver–Hartley low-IF downconverter.

the positive spectrum is relatively flat [10]. The LO-to-RF isolation is 52 dB. The measured performance is summarized and compared with state-of-the-art architectures in Table I. B. 2.2/4.8-GHz Single-Quadrature–Double-Quadrature Dual-Conversion Low-IF Downconverter

Fig. 10. Conversion gain and SSB-NF of the 0.35-m SiGe HBT double-quadrature–double-quadrature Weaver–Hartley low-IF down-converter.

20 dB for ranging from 15 to 35 MHz, as shown in Fig. 10. The flicker noise corner in Fig. 10 is absent (less than 1 MHz) thanks to the low flicker noise of SiGe HBT devices. The downof 8 dBm and an of 9 dBm when converter has an GHz, GHz, , and MHz. Fig. 11 shows the IRR from three samples to be on average about 55/50 dB for the first/second image signals, respectively. The IRR of the second image signal has a bandpass shape because the PPF is a complex notch filter for the negative spectrum covering 15–35 MHz, while the frequency response of

Fig. 12 shows the block diagram of a 0.18- m CMOS single-quadrature–double-quadrature dual-band low-IF receiver consisting of a dual-band LNA, a single-quadrature Hartley system and a double-quadrature Hartley system. Moreover, the cascaded complex mixer topology corresponding to the architecture in Fig. 6(b) can be treated as a Weaver architecture. Fig. 13(a) shows that the switched-band LNA consists of a switchable notch filter in the second stage. Previously, a notch resonator was inserted in the filter consisting of a series LNA to provide an additional IRR and suppress interference [21], [22]. In this work, a switchable notch filter is employed. or The resonance frequency is when the control transistor is on or off, respectively. The simulated frequency response of the switched-band LNA with and without a switchable notch filter is shown in Fig. 13(b). The of a single-quadrature–double-quadrature downconverter

1710

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 12. Block diagram of a dual-band 0.18-m CMOS single-quadrature–double-quadrature Weaver–Hartley low-IF downconverter.

Fig. 15. Die photograph of the dual-band 0.18-m CMOS single-quadrature–double-quadrature Weaver–Hartley low-IF downconverter.

Fig. 13. (a) Schematic of the LNA with a switchable notch filter. (b) Simulated frequency response.

Fig. 16. Conversion gain and SSB-NF of the dual-band 0.18-m CMOS singlequadrature–double-quadrature Weaver–Hartley low-IF downconverter.

Fig. 14. LO quadrature signal generator with a two-stage PPF and NMOS switch pairs.

can be improved by a preceding narrowband LNA to achieve over 50-dB IRR. An on-chip miniature single-to-differential 3:2 transformer is utilized at the output of the LNA to generate differential RF signals compatible to the following double-balanced Gilbert mixers with a common-gate input stage. As shown in Fig. 14, two-stage PPFs generate differentialquadrature LO signals, including first- and second-stage LO signals, from differential inputs. Moreover, four NMOS switch generator [10]. If pairs are employed at the outputs of the the control bit is high or low, a 2.2- or 4.8-GHz band is thus is set at the halfway point between selected, respectively.

Fig. 17. IRR for the first/second image signals in three samples of the dual-band 0.18-m CMOS single-quadrature–double-quadrature Weaver–Hartley low-IF downconverter.

two application bands (2.2 and 4.8 GHz) to reuse the first-stage is around 3.5 GHz, and thus, is around mixers (i.e.,

SYU et al.: LARGE IMPROVEMENT IN IMAGE REJECTION OF DOUBLE-QUADRATURE DUAL-CONVERSION LOW-IF ARCHITECTURES

1711

Fig. 18. Block diagram of a dual-band double-quadrature–double-quadrature dual-conversion low-IF downconverter.

1.27 GHz with covering from 20 to 40 MHz). The frequency relations were introduced in (20). The single-quadrature Hartley system, after the transformer, consists of two Gilbert mixers and a three-stage PPF, while the following double-quadrature Hartley system has four mixers and a four-stage PPF. A three-stage inter-stage PPF has the ratio bandwidth of 1.4938 for a 60-dB IRR. A higher quality in quadrature input signals guarantees the maximum achievable IRR. On the other PPF is designed for an 80-dB IRR hand, the four-stage . covering 20–40 MHz ratio bandwidth A die photograph of the dual-band low-IF downconverter 1.94 mm . is shown in Fig. 15, and the die size is 1.83 The total power consumption is 95 mW at a 1.8-V supply. The conversion gain and SSB-NF are shown in Fig. 16. The conversion gain is 14.2/12.7 dB with the in-band (20–40 MHz) SSB-NF of 6.2/7.2 dB at 2.2/4.8-GHz modes, respectively. Besides, the flicker noise corner of the demonstrated CMOS receiver for both modes is around 5 MHz, which is away from is 25 10 dBm at the 2.2-GHz our IF band. becomes 27 16 dBm at the mode, while GHz, GHz, 4.8-GHz mode when MHz, respectively. Fig. 17 shows the and and at 2.2/4.8-GHz modes from three samples. As shown in is on average about 56/52 dB at the 2.2/4.8-GHz Fig. 17, is about 77/74 dB. The -to-RF mode, while the isolation reaches 77/71 dB. The input return loss is better than 10 dB within 2–2.5 and 4.6–5.7 GHz for both modes. The overall measured performance is also summarized in Table I. V. CONCLUSION The characteristics of a PPF with different locations of transmission zeros for single-band or multiband applications has been intensively discussed. A double-quadrature system with the preceding PPF has much immunity of quadrature amplitude/phase mismatch, and thus is preferred at both the first- and second-stage mixers to achieve excellent IRR at the cost of power consumption. Thus, a dual-conversion double-quadrature–double-quadrature low-IF downconverter with an input RF PPF and a dual-band dual-conversion single-quadrature–double-quadrature low-IF downconverter with an inter-stage PPF have been demonstrated in this paper for a significant improvement in image rejection. A double-quadrature–double-quadrature downconverter with an RF PPF in our

. On the other hand, the of work achieves a 55-dB a single-quadrature–double-quadrature downconverter without an LNA is less than 45 dB, as summarized in Table I. The dual-band single-quadrature–double-quadrature downconverter with an inserted inter-stage PPF in our work achieves 77/74 dB at 2.2/4.8-GHz and demonstrates the state-of-the-art in the literature, as summarized in Table I. The proposed two architectures can almost reach the theoretical limit of the PPF. Further, a dual-band double-quadrature–double-quadrature architecture has been proposed here for further image rejection and ), as shown in Fig. 18. improvements (both As mentioned in Section III-A, the proposed dual-band architecture requires opposite quadrature RF polarities at the two operating frequencies; thus a conventional double-quadrature–double-quadrature topology cannot be realized as a dual-band variant straightforwardly. A dual-band quadrature RF signal generation with opposite polarities can be achieved two ways, which are: 1) a dual-band LR-CR topology [23] and 2) a multistage PPF with different transmission zeros switch and followed by switch pairs, similar to the pairs shown in Fig. 14. When operating at a high-frequency band, the polarity is positive, but the polarity is switched to be negative at a low-frequency operation mode. Moreover, a switched-band LNA is preferred since any interference (including the image signals) can be pre-filtered out by the LNA. Therefore, the proposed dual-band architecture maintains excellent image-rejection performance by the double-quadrature–double-quadrature topology and the inter-stage PPF. REFERENCES [1] S. J. Fang, A. Bellaouar, S. T. Lee, and D. J. Allstot, “An image-rejection downconverter for low-IF receivers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 478–487, Feb. 2005. [2] W. Kluge, F. Poegel, H. Roller, M. Lange, T. Ferchland, L. Dathe, and D. Eggert, “A fully integrated 2.4-GHz ieee 802.15.4-compliant transceiver for ZigBee™ applications,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2767–2775, Dec. 2006. [3] I. Nam, K. Choi, J. Lee, H.-K. Cha, B.-I. Seo, K. Kwon, and K. Lee, “A 2.4 GHz low-power low-IF receiver and direct-conversion transmitter in 0.18-m CMOS for IEEE 802.15.4 WPAN applications,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 4, pp. 682–689, Apr. 2007. [4] H. Darabi and A. A. Abidi, “Noise in RF-CMOS mixers: A simple physical model,” IEEE J. Solid-State Circuits, vol. 35, no. 1, pp. 15–25, Jan. 2000. [5] J. Yoon, H. Kim, C. Park, J. Yang, H. Song, S. Lee, and B. Kim, “A new RF CMOS Gilbert mixer with improved noise figure and linearity,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 3, pp. 626–631, Mar. 2008.

1712

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

[6] S. Zhou and M.-C. F. Chang, “A CMOS passive mixer with low flicker noise for low-power direct-conversion receiver,” IEEE J. Solid-State Circuits, vol. 40, pp. 1084–1093, May 2005. [7] J. C. Rudell, J.-J. Ou, T. B. Cho, G. Chien, F. Brianti, J. A. Weldon, and P. R. Gray, “A 1.9-GHz wide-band IF double conversion CMOS integrated receiver for cordless telephone applications,” IEEE J. SolidState Circuits, vol. 32, no. 12, pp. 2071–1088, Dec. 1997. [8] S. Wu and B. Razavi, “A 900-MHz/1.8-GHz CMOS receiver for dualband applications,” IEEE J. Solid-State Circuits, vol. 33, no. 12, pp. 2178–2185, Dec. 1998. [9] F. Behbahani, J. C. Leete, Y. Kishigami, A. Roithmeier, K. Hoshino, and A. A. Abidi, “A 2.4-GHz low-IF receiver for wideband WLAN in 0.6-m CMOS-architecture and front-end,” IEEE J. Solid-State Circuits, vol. 35, no. 12, pp. 1908–1908, Dec. 2000. [10] C. C. Meng, T.-H. Wu, J.-S. Syu, S.-W. Yu, K.-C. Tsung, and Y.-H. Teng, “2.4/5.7-GHz CMOS dual-band low-IF architecture using Weaver–Hartley image-rejection techniques,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 3, pp. 552–561, Mar. 2009. [11] D. Weaver, “A third method of generation and detection of single-sideband signals,” Proc. IRE, vol. 44, no. 12, pp. 1703–1705, Dec. 1956. [12] T.-H. Wu and C. C. Meng, “5.2/5.7-GHz 48-dB image rejection GaInP/ GaAs HBT Weaver downconverter using LO frequency quadrupler,” IEEE J. Solid-State Circuits, vol. 41, no. 11, pp. 2468–2480, Nov. 2006. [13] R. Hartley, “Modulation system,” U.S. Patent 1 666 206, Apr. 17, 1928. [14] H.-K. Chiou, W.-R. Lian, and T.-Y. Yang, “A miniature -band balanced sub-harmonically pumped image rejection mixer,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 6, pp. 463–465, Jun. 2007. [15] F. Behbahani, Y. Kishigami, J. Leete, and A. A. Abidi, “CMOS mixers and polyphase filters for large image rejection,” IEEE J. Solid-State Circuits, vol. 36, no. 6, pp. 873–887, Jun. 2001. [16] J. Crols and M. Steyaert, “A single-chip 900 MHz CMOS receiver front-end with a high-performance low-IF topology,” IEEE J. SolidState Circuits, vol. 30, no. 12, pp. 1483–1492, Dec. 1995. [17] S. Tadjpour, E. Cijvat, E. Hegazi, and A. A. Abidi, “A 900-MHz dualconversion low-IF GSM receiver in 0.35- m CMOS,” IEEE J. SolidState Circuits, vol. 36, no. 12, pp. 1992–2002, Dec. 2001. [18] M. J. Gingell, “Single-sideband modulation using sequence asymmetric polyphase networks,” Elect. Commun., vol. 48, no. 1–2, pp. 21–25, 1973. [19] B. Razavi, RF Microelectronics. Upper Saddle River, NJ: PrenticeHall, 1998, pp. 138–142. [20] A. W. Buchwald, K. W. Martin, A. K. Oki, and K. W. Kobayashi, “A 6-GHz integrated phase-locked loop using AlGaAs/GaAs heterojunction bipolar transistors,” IEEE J. Solid-State Circuits, vol. 27, no. 12, pp. 1752–1762, Dec. 1992. [21] H. Samavati, H. R. Rategh, and T. H. Lee, “A 5-GHz CMOS wireless lan receiver front end,” IEEE J. Solid-State Circuits, vol. 35, no. 5, pp. 765–772, May 2000. [22] T.-K. Nguyen, N.-J. Oh, C.-Y. Cha, Y.-H. Oh, G.-J. Ihm, and S.-G. Lee, “Image-rejection CMOS low-noise amplifier design optimization techniques,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 538–546, Feb. 2005. [23] S.-C. Tseng, C. C. Meng, and Y.-T. Lee, “Dual-band adjustable and reactive I/Q generator with constant resistance for down- and up-converters,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 8, pp. 1861–1868, Aug. 2008.

Q



Jin-Siang Syu (S’09) was born in Taoyuan, Taiwan, in 1984. He received the B.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, in 2006, and is currently working toward the Ph.D. degree in electrical engineering at National Chiao Tung University. His current research interests are in the areas of RF integrated circuits (RFICs). Mr. Syu is a member of Phi Tau Phi.

Chin-Chun Meng (M’02) received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1985, and the Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 1992. He is currently a Full Professor with the Department of Electrical Engineering, National Chiao Tung University (NCTU), Hsinchu, Taiwan. His current research interests are in the areas of RF integrated circuits (RFICs) and microwave and millimeter-wave integrated circuits.

Ya-Hui Teng was born in Pingtung, Taiwan, in 1983. She received the B.S. and M.S. degrees in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, in 2006 and 2008, respectively. Her M.S. research concerned CMOS dual-band image-rejection receiver and ultra-wideband downconverters.

Hua-Yu Liao was born in Taipei, Taiwan, in 1982. He received the B.S. and M.S. degrees in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, in 2004 and 2006, respectively. His M.S. research concerned SiGe HBT image-rejection down-converters and dual-band LNAs.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

1713

Harmonic Generation Using Nonlinear LC Lattices Georgios N. Lilis, Member, IEEE, Jihyuk Park, Wooram Lee, Student Member, IEEE, Guansheng Li, Harish S. Bhat, and Ehsan Afshari, Member, IEEE

Abstract—Nonlinear LC lattices have shown promise for highpower high-frequency signal generation. Here we offer the first detailed study of the frequency response of these lattices, as well as a method designed to find input excitation frequencies that result in intense harmonic generation. The crux of the method is to locate regions in frequency space where the spectral norm of the lattice response matrix is large. When the fundamental excitation frequency (or one of its multiples) is located in these regions, the lattice harmonic response is intensified. These findings are supported by extensive numerical simulations and experimental measurements. We deal chiefly with a first-order dependency of capacitance (C) on voltage (V); however, it is also shown that lattices with higher order C–V dependencies achieve proportionally higher harmonic generation. Simulations using a 0.13- m CMOS process indicate harmonic generation at 400 GHz (three times the cutoff frequency of the fastest active device in this process), suggesting potential applications of this lattice topology in terahertz range devices. Index Terms—Inductor–capacitor lattices, nonlinear transmission lines, solitons, terahertz frequency generation.

I. INTRODUCTION ATELY there has been increasing interest in implementing devices operating in the terahertz frequency band 100 GHz–10 THz [1] with exciting potential applications in a variety of areas such as spectroscopy [2], imaging [3], and communications [4]. However, it is quite challenging to generate powerful terahertz signals in today’s commercial CMOS processes, given that the maximum operating frequencies of most MOS transistors range between 200–300 GHz. To go beyond the frequency limit imposed by active devices, people resort to nonlinear passive structures, which are capable of generating high-order harmonics of the input signals [5]. An extensively studied example is the nonlinear transmission line [6], which (in the most popular version), consists of inductors and voltage-dependent capacitors (varactors [7], [8]) [9]–[17]

L

Manuscript received May 04, 2009; revised February 25, 2010; accepted April 15, 2010. Date of publication May 24, 2010; date of current version July 14, 2010. This work was supported by the C2S2 Focus Center, one of six research centers funded under the Focus Center Research Program (FCRP), a Semiconductor Research Corporation entity. The work of W. Lee was supported under a Samsung Fellowship. The work of H. S. Bhat was supported in part by the National Science Foundation (NSF) under NSF Grant DMS-0753983. The work of E. Afshari was supported by the NSF under NSF Grant DMS-0713732 and under CAREER Award 0954537. G. N. Lilis, J. Park, W. Lee, G. Li, and E. Afshari are with the Department of Electrical and Computer Engineering, Cornell University, Ithaca, NY 14850 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). H. S. Bhat is with the School of Natural Sciences, University of California, Merced, CA 95343 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049678

Fig. 1. Nonlinear LC lattice.

and has been implemented using Schottky barrier strip lines [18], micromachined waveguides [19], as well as using structures on GaAs [20]–[23], InP [24], and Si [25] substrates. However, due to loss and limited input power, the high-frequency components in the output are usually small. To achieve high power at high frequencies, we study a 2-D nonlinear LC lattice, as shown in Fig. 1. This lattice is a foursquare periodic structure [26], consisting of identical inductors and voltage-dependent capacitors. It is excited by sinusoidal voltage sources on two sides of its boundary and terminated with a matched load on the other two sides. Nonlinear wave propagation in similar 2-D topologies involving different types of nonlinearities has been studied in the past. Examples include: lattices with second-order voltage-dependent capacitive elements analyzed using the Kadomtsev–Petviashvili (KP) equation [27], Toda lattices exhibiting logarithmic nonlinearities [28], [29], and coupled nonlinear transmission lines with general polynomial nonlinearities [30]–[32]. Recent theoretical work in 2-D nonlinear lattices with first-order capacitance–voltage dependencies [33], [34] demonstrated how constructive interference enables in-phase waves to combine and produce outgoing waves with much larger peak-to-peak amplitudes than the ones measured in linear equivalents. Furthermore, experimental work verified that these lattices support Cerenkov radiation and soliton resonance phenomena [35], [36]. In previous work demonstrating the potential utility of nonlinear LC lattices for high-frequency signal generation, the frequency response of these lattices was not studied. Here we solve this problem; by studying in detail the frequency response, we develop the intense harmonic generation (IHG) method, which can be used to find input excitation frequencies that result in IHG. A feature of the IHG method is that it can be applied to study 2-D lattices with polynomial capacitance–voltage dependencies of arbitrary order, which are not well-understood in general.

0018-9480/$26.00 © 2010 IEEE

1714

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

This paper is organized as follows. Section II contains the modeling of the lattice behavior and the study of its frequency response. The section concludes with the description of the IHG method. Section III contains the verification of the IHG method using extensive MATLAB simulations and experimental measurements of a real lattice implemented on printed circuit board (PCB). This paper resumes in Section IV, where an implementation with a 0.13- m CMOS process is presented. It is shown by simulation using Cadence Spectre that this lattice topology supports IHG at terahertz frequencies.

where represents the frequency-domain variables and “ ” denotes element-wise convolution. Solving the above system perturbatively, we derive the frequency response (4) with

II. NONLINEAR LATTICE MODEL AND IHG METHOD (5) A. Preliminaries In this paper, an square lattice is studied, the cells of which are identical. Each cell consists of one nonlinear capacitor and two identical inductors, as displayed in Fig. 1. A first-order approximation is used to model the capacitance–voltage dependence of the nonlinear capacitors, i.e.,

B.

th-Order Capacitance–Voltage Dependencies

A similar approach can be used for the harmonic analysis of lattices with varactors satisfying an th-order capacitance–voltage relation (6)

(1) is the capacitance at zero voltage, is a constant, and where is the capacitance of the , cell at voltage . Although this approximation is adopted here for simplicity, higher order dependencies can be studied in a similar manner. By Kirchhoff’s Law, the time-domain behavior of this lattice can be described as follows:

contains In this case, the nonlinear part of the state equation multiconvolutions instead of the convolution pairs contained in (3)

(7)

(2) consists of the capacitor voltages and in which state vector contains the zero-biased capacthe inductor currents, matrix contains the zero-biased itances , and inductance, matrix capacitances only, matrix describes the interconnections of the lattice elements, as well as inductor’s ohmic losses, and contains the input signals. The “.” notation indicates vector element-wise multiplication. (For additional details, consult the Appendix.) By applying the Fourier transform on both sides of (2), one obtains the frequency-domain description of the lattice (3)

Using a multidimensional perturbative method, the solution of (7) can be expressed as a series of solutions indexed by a vector (8) Substituting (8) in (7) and equating with zero the coefficients of leads to the solution of (7), obtained in a the terms recursive manner, shown in (9) at the bottom of this page. C. Harmonic Generation The convolutions in the solutions (5) and (9) are responsible for harmonic generation appearing in the nonlinear parts of the

(9)

LILIS et al.: HARMONIC GENERATION USING NONLINEAR LC LATTICES

1715

defined as the sum of the second norms of the harmonic vectors , (12)

D. Spectral Norm Analysis

Fig. 2. Harmonic generation example.

state (voltage/current) vectors and , respectively. This phenomenon is called spectrum expansion and is illustrated in the plot of Fig. 2 for the case of first-order capacitance–voltage dependent varactors. More pre, the linear response cisely: for a sinusoidal voltage input is a sinusoidal signal at the fundamental frequency; by convolution, a dc component and a second harmonic are gen; then, again by convolution, the third harmonic erated in . The other high-order harmonics are generated appears in in a similar way. Similar conclusions can be drawn using (9) . for th-order capacitance–voltage dependencies with In these cases, as the order and the respective coefficients (with ) become larger, the achieved spectrum expansion increases proportionally, due to multistage convolutions in (9). As a simple example, the harmonics generated by when reach at most (see Fig. 2). This should be compared with the harmonics generated by an case where ex. pands the spectrum of the solution of (9) to Based on this harmonic generation mechanism, solution (5) ,a can be expressed as a summation of a dc component fundamental , and different harmonics (10) with (11a)

(11b) (11c) (11d)

for . The harmonic performance of the and so on for lattice, when excited by a fundamental frequency , can be evaluated by measuring the harmonic energy , which is

The harmonic expansion (10), as well as similar expansions of (9) for the case of th-order capacitance–voltage dependen, cies, suggest that the matrices play an important role in determining the energy of the th harexpressed by the square of its second norm monic vector in (12). The harmonic vectors are calculated by with the convothe multiplication of the matrix lution vectors , which also involve multiplica, with the contions of the matrices , according to (5). The frequencies stant input vector at which the multiplication of a vector with the matrices , results in a vector of higher energy expressed as the square of its (with the energy of a vector ) are the ones at which the spectral norm of second norm , is maximized.1 Consequently, since the harmonic vectors in (11a)–(11d) are obtained by multipli, higher values of the spectral norm of cations of at the fundamental or its harmonics lead to a more intense harmonic boost. Following these ideas, the IHG method (presented in Section II-E) uses a plot of the spectral with respect to in order to identify the funnorm of damentals , which result in intense harmonic boost. As an example, consider Fig. 3, which shows a plot of the for a lattice with parameters specispectral norm of fied by Table I. This plot indicates that there are two desirable frequency bands in which the spectral norm is locally maximized: Low: 40–42 MHz and High: 54–57 MHz. Two intertwined factors affecting the spectral norm values of are: 1) the ohmic loss of the lattice inductors and appearing in the matrix [a component 2) the lattice size according to (5)]. As the ohmic losses of the inductors of increase, or as the lattice size decreases, the regions of local maxima of the spectral norm—which correspond to frequency bands at which intense harmonic boost is observed—disappear. This can be seen in the spectral norm plots of Fig. 3. The lattice harmonic behavior described by the spectral norm also depends on the distribution of the inductor of and capacitor values across the lattice. The design of desired inductor and capacitor values, tailored to a specific harmonic amplification performance, is a separate topic, beyond the scope of this paper. However, nonuniformity due to inductor and capacitor imperfections can be studied. Such lattices can be modeled , by adding a random number, uniformly distributed in to the component values , (where denotes the respective tolerance). The spectral norm plots of Fig. 4 demonstrate the effect of component imperfections on the lattice harmonic behavior. Based on these plots, it is clear that for component 1The

M

Mk =

is defined as k

max eigenvalue of M M: The spectral norm of a square matrix M satisfies kM k = maxfkM xk : kxk = 1g: spectral norm for a square matrix

1716

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Mf

r :

Fig. 3. Spectral norm of [ ( )] as a function of excitation frequency. (a) Lattices with inductors of different ohmic losses. Dotted line = 0 461

(implemented example). Solid lines = 1 , 2 , and 5 (other test cases). = 20 (implemented example). (b) Lattices of different sizes. Dotted line Solid lines = 10 and 4 (other test cases).

N

r

N

TABLE I LATTICE PARAMETERS

Mf

Fig. 4. Spectral norm of [ ( )] as a function of excitation frequency for the implemented lattice with components of: (a) zero tolerance (ideal), (b) 10% tolerance, (c) 20% tolerance, and (d) 30% tolerance.

6

6

6

(s2) For a given “M-plet” , with , the associated promising frequency for IHG, , is given by (13) is a measure of where and the frequencies distance between the harmonics ’s found in (s1). Intuitively, the IHG method attempts to find a fundamental are close to the frequency , the harmonics of which ’s of the lattice. The efficiency of this method frequencies will be verified in Section III. III. IHG METHOD VERIFICATION

tolerances greater than 10%, the range of frequencies in which obtains a relatively large value the spectral norm of changes unpredictably [see Fig. 4(b) and (c)], making it hard to identify potential fundamental frequencies generating large harmonic boost.

The IHG method is applied to a large variety of nonlinear lattices to verify its efficiency. Both numerical simulation with MATLAB and experimental measurement on PCB show excellent agreement with the IHG method prediction. A. Simulated Tests

E. IHG Method The IHG method uses the spectral norm values of to identify excitation frequencies causing intense harmonic boost. The IHG method is divided into the following two steps. , which is a (s1) Calculate the spectral norm frequencies function of the input frequency . Identify , at which is maximized.

Numerical simulations are performed on a 20 20 lattice, and are characterized by four parameters (number of nodes), (zero-voltage capacitance), (inductance), and (nonlinear coefficient). The lattice is excited with 0.4 V sinusoidal voltage sources on the bottom and left sides, as in Fig. 1. To verify the generality of the IHG method, different lattices are examined by changing one of the four parameters while

LILIS et al.: HARMONIC GENERATION USING NONLINEAR LC LATTICES

1717

Fig. 5. Frequency estimation. (a) Variable inductance (C = 100 pF; N = 20; b = 0:28). (b) Variable capacitance (L = 300 nH; N = 20; b = 0:28).

keeping the other three constant. Specifically, , , , and are swept as follows. nH pF • . • pF nH . ; pF • nH . • ; pF nH . The steps of the numerical tests performed in each of the above scenarios are as follows. (s1) Calculation of: using (5) and (10) for (a) The harmonic vectors input fundamental frequency . (b) The harmonic energy given by the sum (12), up to for frequency . Higher order harmonics were omitted as their amplitudes are negligible. (s2) Repetition of (s1) with in a wide frequency band (0 up to the cutoff frequency) appropriately selected to cap. ture all variations of of IHG as the ones that (s3) Selection of frequencies locally maximize the harmonic energy, i.e.,

(14) The IHG method is carried out in the following way. and are identified. None in (s1), two lattice modes Following (s2), and according to (13), promising frequencies are estimated for several “2-Plets” as follows:

We compare these estimated frequencies given by the IHG method with the frequencies locally maximizing the lattice harmonic energy given by (14). These comparisons are displayed in Figs. 5 and 6. The efficiency and generality of the IHG method is clearly shown by the agreement between prediction and actual values in all cases.

Fig. 6. Frequency estimation. (a) Variable b (L = 300 nH; (b) Variable N (L = 300 nH; C = 300 pF).

C

= 300 pF).

Fig. 7. Photograph of the LC lattice.

B. Experiment on PCB A 20 20 nonlinear LC lattice is implemented on PCB (Fig. 7), characterized by the parameters listed in Table I. The promising input frequencies for this lattice are calculated based on the IHG method. These frequencies are compared with frequencies derived by experimental voltage measurements. is plotted For this lattice, the spectral norm of matrix as a function of the excitation frequency in Fig. 3. Two frequencies can be identified, at which the spectral norm is locally MHz and MHz. The dismaximized, i.e., are calculated according to the IHG tance measures method, for several pairs with . The results are plotted in Fig. 8. According to the plots of Fig. 8, the minima of the distance measures reveal estimates of promising MHz, , input frequencies MHz, , and MHz. The results of the IHG method are verified by performing the simulation steps described in Section III. Three candidate frequencies (close to the previous estimated frequencies) were MHz, MHz, and obtained this way: MHz. To verify this prediction, the lattice is excited at the bottom and left side (Fig. 7) by in-phase sinusoidal sources with amplitude 1 V frequency varying in the range 1–70 MHz. All camV, which gives the steepest pacitors are biased at . The node with the maximum capacitance descent response is selected as the output node, which, by symmetry,

1718

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

TABLE II FREQUENCIES OF INTENSE HARMONIC BOOST

Fig. 8. Distance measure functions as a function of the fundamental frequency.

Fig. 9. Experimental results: Magnitude of the second, third, and fourth har; ; ; MHz. monics as a function of the fundamental frequency f

= 1 2 . . . 52

Fig. 11. Schematic of a nonlinear LC lattice in a CMOS process.

In conclusion, extensive numerical simulations and experimental measurements show that the IHG method is an effective method for determining optimal excitation frequencies that result in IHG. IV. CMOS IMPLEMENTATION OF NONLINEAR LC LATTICE

Fig. 10. Optimal lattice responses for different excitation frequencies (grey bars) compared with lattice input (black bar). (a) Third and fourth harmonic boost of fundamental 13 MHz. (b) Second harmonic boost of fundamental 18 MHz.

turns out to be the central node . The amplitudes of the second, third, and fourth harmonics are plotted in Fig. 9, each curve depicting the amplitude of one harmonic at different input . It is shown that the nonlinear frequencies LC lattice generates large harmonics for a certain input freMHz quency range, i.e., 7–30 MHz. Specifically, at MHz and MHz, the third, second, and fourth harmonics are maximized, respectively. The spectra of MHz and MHz inputs are the output at displayed in Fig. 10, which shows rich harmonic generation at these frequencies. Table II summarizes the results of the simulation, estimation, and experiments, showing frequencies at which intense harmonic boost occurs.

In order to examine the performance of this lattice topology in the terahertz frequency range, a 20 20 lattice using a standard 0.13- m CMOS process is designed and simulated. Fig. 11 shows the schematic of this lattice. Multiple synchronous signal sources driving the left and bottom side of the lattice to generate two planar wavefronts. To make these signals sources, a tree-like distribution network along with matching networks at each junctions is designed. This network connects an external 50-GHz source to a series of small amplifiers at each node. The output node is at the center of the lattice and is matched to 50 . To make this node more accessible, the upper right corner of the lattice is removed. The entire top and left boundary nodes are terminated with a resistor matched to the local impedance at that node. This will eliminate any reflection from the boundaries that can interference with the incoming waves from the sources. All inductors are implemented using a 30- m coplanar waveguide with total inductance of 15 pH. Fig. 12 shows the simulated quality factor of this inductor using Ansoft Technologies’ High Frequency Structure Simulator (Ansoft HFSS). The varactors are designed using an accumulation mode nMOSVAR with average capacitance of 150 fF [37], [38]. Fig. 13(a) shows the characteristic of the accumulation-mode nMOSVAR used

LILIS et al.: HARMONIC GENERATION USING NONLINEAR LC LATTICES

Fig. 12. Simulated quality factor of each inductor.

1719

Fig. 14. Simulation results: Magnitude of the second, third, and fourth harmonics as a function of the fundamental frequency f . X -axis: excitation frequency. Y -axis: harmonic magnitude.

Fig. 13. Simulated characteristic of the nonlinear capacitor used to build the lattice in a 130-nm CMOS process. (a) Characteristic of MOSVAR used in the nonlinear lattice. (b) Quality factor of each nMOSVAR. Fig. 15. Simulated lattice response at input frequency 50-GHz: input (grey) and output (black). (a) Frequency-domain response. (b) Time-domain response.

in this design, and Fig. 13(b) shows its quality factor as a function of frequency. The ratio between minimum and maximum capacitances is around 3, which determines the nonlinearity of the lattice. Similar to [38], the varactors use a multifinger structure. The size and number of fingers is selected for a maximum nonlinearity and minimum series resistance. By carefully optimizing the geometry of these varactors an RC cutoff frequency of more than 150 GHz is achieved. To achieve the lowest pulsewidth at the output of the nonlinear lattice, it is necessary to carefully select the varactor bias point. In general, this may be an additional constraint in system design since it will require additional dc level shifting to adjust the input levels. Nonetheless, this level of signal conditioning is easily achieved in today’s integrated circuits. In our design, this optimal bias point is around 0 V since at this point the C/V curve shown in Fig. 13(a) has the highest slope. As a result, all MOSVARs are connected to a ground plane, which simplifies the layout and fabrication. Based on the inductance and average capacitance values, the cutoff frequency of the lattice is 300 GHz. The output is measured at the center of the lattice with a 50- termination. All circuit simulations are performed using Cadence Spectre. Fig. 14 shows the lattice response for different inputs characterized by variable frequency and fixed amplitude at 0.5 V. The generation of the second, third, and fourth harmonics is maximized for input frequencies in the range of 50–70 GHz. Due to the nonlinearity, the energy of the fundamental frequency is converted into high-order harmonic components. However, the frequency-dependent loss of the inductors due to skin effects and substrate couplings, as well as the limited C/V swing range

of the nMOS varactors, limit further amplification of the generated harmonics. Based on Fig. 14, the harmonic generation stops for input frequencies greater than half of the cutoff fre150 GHz . quency It appears that the total energy of the generated harmonic components is maximized for 50-GHz sinusoidal input. The magnitudes of the harmonics of the node with the maximum response for this input frequency are displayed in Fig. 15(a). The nonlinearity of the lattice generates large components at 400 GHz, which is around three times more than the cutoff frequency of the fastest active device in this process. Fig. 15(b) shows the time-domain input and output waveforms measured at the center of the lattice for 50-GHz sinusoidal inputs. Due to the nonlinear constructive interference, the output peak-to-peak amplitude is higher than 3 V, which is three times greater than the input amplitude. The half amplitude pulsewidth of the output waveform is as narrow as 1.67 ps. This is the largest reported amplitude of such narrow pulses generated on a silicon process. , and Lattices of different dimensions, capacitance inductance values excited at 50 GHz are also simulated. Fig. 16(a) displays the magnitude of the first, second, and third harmonics of the lattice response for different values of varying from 5 to 35. According to this figure, the harmonic boost is maximized when . For , the nonlinear wave combining is less intense, resulting in degraded , the harmonic generation. On the other hand, for harmonic magnitudes of the lattice response are attenuated

1720

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

APPENDIX In order to study nonlinear wave interactions in a general LC lattice, we have to express the coupled governing current/voltage equations at the LC element level. These are the Kirchhoff voltage and current laws. At node , these laws give the system

Fig. 16. Harmonic magnitude for 50-GHz input. (a) As a function of the lattice GHz). (b) As a function of the cutoff frequency size (cutoff frequency .

N (N = 25)

= 300

due to the accumulated loss by the increased number of lattice sections. Fig. 16(b) displays the magnitude of the first, second, and third harmonics of the lattice response for different capacitance and inductance values, or equivalently, different cutoff frequencies (the cutoff frequency is inversely proportional to the square root of the product of the inductance and capacitance values [25]). As the inductance and capacitance values increase (equivalently, as the cutoff frequency decreases), the input frequencies of intense harmonic response become smaller, a fact that is also indicated by the plots of Fig. 5. For lattices with cutoff frequencies different (bigger/smaller) than 300 GHz, IHG appears at frequencies different (bigger/smaller) than 50 GHz. Due to this effect, the harmonic response of such lattices at 50 GHz is degraded as displayed in Fig. 16(b).

(15) where , , and are, respectively, the capacitance and . horizontal/vertical inductances of the LC element at node The fact that the inductors are nonideal is modeled by a small ohmic resistance . The node voltage and the flowing currents in the horizontal and vertical inductor of the LC element are and . denoted by For small perturbations around a fixed voltage value, a firstorder dependence of capacitance on the observed voltage value can be assumed, i.e., at the node (16) Applying (16) to the element equations (15) leads to

V. CONCLUSIONS The behavior of 2-D nonlinear inductor–capacitor lattices is studied from a spectral point of view; perturbative solutions for the harmonic response of these lattices are derived for arbitrary-order polynomial nonlinearities. It is verified by simulations, as well as experimental measurements that intense harmonic amplification is observed when either the fundamental, or one of its harmonics, is close to frequencies, which maximize locally the spectral norm values of the lattice response matrix. As a result, the IHG method is introduced. This method seeks to minimize the total distance between two sets of frequencies, which are: 1) the frequencies at which the spectral norm of the lattice response matrix is locally maximized and 2) the excitation frequency and its harmonics. Nonuniform distributions of inductance and capacitance values, the lattice size, as well as the inductor ohmic losses all affect the spectral norm of the lattice response matrix, and, as a result, the lattice harmonic performance. Although designing nonuniform lattices to improve the lattice harmonic response appears to be a difficult task, reducing the inductor losses or developing highly nonlinear capacitive elements are simple first steps towards implementing these topologies for intense harmonic amplification. Simulations using a 0.13- m CMOS process demonstrated harmonic generation at frequencies three times the cutoff frequency of the fastest device in this process, indicating potential extensions to terahertz range applications.

(17) to a “global” A mapping from the “local” node coordinates system index and a corresponding state vector are defined as follows:

(18) (19) In order to derive a global system based on (17), we have to and boundary define the following source voltage vector currents according to Fig. 17:

(20)

LILIS et al.: HARMONIC GENERATION USING NONLINEAR LC LATTICES

1721

(24) Assuming matrix:

,

is the following capacitance

Fig. 17. Modeling of 2-D LC lattice.

(25) In order to solve perturbatively for the vector , we have to as a power series in the nonlinear coefficient express (26) Plugging (26) into (22) and isolating the coefficients of the powers of leads to (27) (21)

where

is an expression that depends on the vectors

where is the boundary termination resistance of the lattice and is the source resistance. The boundary vector contains the external voltage source values. Given the boundary conditions of (20) and (21), system (17) can be assembled in a global system (22)

(28)

where is a diagonal matrix containing the capacitance and inductance values , , and is a sparse matrix containing 1, 1, , , , and values depending on the lattice node connections (Fig. 17)

In order for (27) to be true for every value of , all the expres, must be equal to zero, i.e., sions

(23)

(29) Taking the Fourier transform of the last set of equations leads to the following system:

(30)

1722

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Here, the element-by-element multiplication in the time domain is replaced by convolution in the frequency domain. ACKNOWLEDGMENT The authors would like to thank O. Momeni, Y. Tousi, and M. Adnan, all with Cornell University, Ithaca, NY, for helpful discussions regarding various aspects of this work. The authors also acknowledge M. Azarmnia and H. Yu for their support. REFERENCES [1] P. H. Siegel, “Terahertz technology,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 910–928, Mar. 2002. [2] D. W. Van der Weide, J. Murakowski, and F. Keilmann, “Gas-absorption spectroscopy with electronic terahertz techniques,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 4, pp. 740–743, Apr. 2000. [3] Z. Jiang and X. Zhang, “Terahertz imaging via electrooptic effect,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2644–2650, Dec. 1999. [4] R. Piesiewicz, T. K. Ostmann, N. Krumbholz, D. Mittleman, M. Koch, J. Schoebel, and T. Kurner, “Short-range ultra-broadband terahertz communications: Concepts and perspectives,” IEEE Antennas Propag. Mag., vol. 49, no. 6, pp. 24–39, Dec. 2007. [5] C. F. Jou, W. W. Lam, H. Z. Chen, K. S. Stolt, N. C. Luhmann, and D. B. Rultedge, “Millimeter-wave diode-grid frequency doubler,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 11, pp. 1507–1514, Nov. 1988. [6] J. Mateu, C. Collado, N. Orloff, J. C. Booth, E. Rocas, A. Padilla, and J. M. O’Callaghan, “Third-order intermodulation distortion and harmonic generation in mismatched weakly nonlinear transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 10–18, Jan. 2009. [7] C. C. H. Tang, “An exact analysis of varactor frequency multipliers,” IEEE Trans. Microw. Theory Tech., vol. MTT-14, no. 4, pp. 210–212, Apr. 1966. [8] J. Stake, S. H. Jones, L. Dillner, S. Hollung, and E. L. Kollberg, “Heterostructure-barrier-varactor design,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 4, pp. 677–682, Apr. 2000. [9] X. Oriols and F. Martin, “Analytical solitons in nonlinear transmission lines loaded with heterostructura barrier varactors,” J. Appl. Phys., vol. 90, no. 5, pp. 2595–2600, Sep. 2001. [10] F. Martin and X. Oriols, “A simple model to study soliton wave propagation in periodically loaded nonlinear transmission line,” Appl. Phys. Lett., vol. 78, no. 18, pp. 2802–2804, Apr. 2001. [11] R. Landauer, “Shock waves in nonlinear transmission lines and their effect on parametric amplification,” IBM J. Res. Develop., no. 4, pp. 391–401, Oct. 1960. [12] R. Hirota and K. Suzuki, “Studies on lattice solitons by using electrical networks,” J. Phys. Soc. Jpn., vol. 28, pp. 1366–1367, 1970. [13] K. S. Champlin and D. R. Singh, “Small-signal second-harmonic generation by a nonlinear transmission line,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 3, pp. 351–353, Mar. 1986. [14] H. Ikezi, S. S. Wojtowicz, R. E. Waltz, and D. R. Baker, “High-power soliton generation at microwave frequencies,” J. Appl. Phys., vol. 64, no. 6, pp. 3277–3281, Sep. 1988. [15] M. Li, K. Krishnamurthi, and R. G. Harrison, “A fully distributed heterostructure-barrier varactor nonlinear transmission-line frequency multiplier and pulse sharpener,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2295–2301, Dec. 1998. [16] J. Duchamp, P. Ferrari, M. Fernandez, A. Jrad, X. Melique, J. Tao, S. Arscott, D. Lippens, and R. G. Harrison, “Comparison of fully distributed and periodically loaded nonlinear transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1105–1116, Apr. 2003. [17] E. Lheurette, M. Fernandez, X. Melique, P. Mounaix, O. Vanbesien, and D. Lippens, “Non linear transmission line quintupler loaded by heterostructure barrier varactors,” in Proc. Eur. Microw. Conf., Munich, Germany, Oct. 1999, pp. 217–220. [18] D. Jager, “Characteristics of travelling waves along the nonlinear transmission lines for monolithic integrated circuits: A review,” Int. J. Electron., vol. 58, no. 4, pp. 649–669, Apr. 1985. [19] J. R. Thorpe, P. Steenson, and R. Miles, “Non-linear transmission lines for millimiter-wave frequency multiplier applications,” in Proc. 6th IEEE Int. Terahertz Electron. Conf., New York, New York, 1998, pp. 54–57.

[20] E. Carman, M. Case, M. Kamegawa, R. Yu, K. S. Giboney, and M. J. W. Rodwell, “V -band and W -band broad-band, monolithic distributed frequency multipliers,” IEEE Microw. Guided Wave Lett., vol. 2, no. 6, pp. 253–254, Jun. 1992. [21] M. J. W. Rodwell, M. Kamegawa, R. Yu, M. Case, E. Carman, and K. S. Giboney, “GaAs nonlinear transmission lines for picosecond pulse generation and millimeter-wave sampling,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7, pp. 1194–1204, Jul. 1991. [22] M. Case, M. Kamegawa, R. Yu, M. J. W. Rodwell, and J. Franklin, “Impulse compression using soliton effects in a monolithic GaAs circuit,” Appl. Phys. Lett., vol. 58, no. 2, pp. 173–175, Jan. 1991. [23] M. Case, E. Carman, R. Yu, M. J. W. Rodwell, and M. Kamegawa, “Picosecond duration, large amplitude impulse generation using electrical soliton effects,” Appl. Phys. Lett., vol. 60, no. 24, pp. 3019–3021, Jun. 1992. [24] X. Melique, A. Maestrini, E. Lheurette, P. Mounaix, M. Favreau, O. Vanbesien, J. M. Goutoule, G. Beaudin, T. Nahri, and D. Lippens, “12% efficiency and 9.5 dBm output power from InP-based heterostructure barrier varactor triplers at 250 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, 1999, pp. 123–126. [25] E. Afshari and A. Hajimiri, “A non-linear transmission lines for pulse shaping in silicon,” IEEE J. Solid-State Circuits, vol. 40, no. 3, pp. 744–52, Mar. 2005. [26] L. Brillouin, Wave Propagation in Periodic Structrures: Electric Filters and Crystal Lattices. New York: Dover, 1953. [27] J. N. Dinkel, C. Setzer, S. Rawal, and K. E. Lonngren, “Soliton propagation and interaction on a two-dimensional nonlinear transmission line,” Chaos, Solitons, Fractals, vol. 12, no. 1, pp. 91–96, Jan. 2001. [28] H. Wang and X. H. Gegenhasi, “2D Toda lattice equation with self-consistent sources: Casoratian type solutions, bilinear Bäcklund transformation and Lax pair,” J. Comput. Appl. Math., vol. 202, no. 1, pp. 133–143, May 2007. [29] K. Maruno and G. Biondini, “Resonance and web structure in discrete soliton systems: The two-dimensional Toda lattice and its fully discrete and ultra-discrete analogues,” J. Phys. A, Math. Gen., vol. 37, pp. 11 819–11 839, 2004. [30] W. S. Duan, “Nonlinear waves propagating in the electrical transmission line,” Europhys. Lett., vol. 66, no. 2, pp. 192–197, 2004. [31] M. M. Lin and W. S. Duan, “Wave packet propagating in an electrical transmission line,” Chaos, Solitons, Fractals, no. 24, pp. 191–196, Apr. 2005. [32] E. Kengne, S. T. Chui, and W. M. Liu, “Modulational instability criteria for coupled nonlinear transmission lines with dispersive elements,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 74, 2006, Art. ID 036614. [33] E. Afshari, H. S. Bhat, A. Hajimiri, and J. E. Marsden, “Extremely wideband signal shaping using one- and two-dimensional nonuniform nonlinear transmission lines,” J. Appl. Phys., vol. 99, 2006, Art. ID 054901. [34] H. S. Bhat and E. Afshari, “Nonlinear constructive interference in electrical lattices,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 77, no. 6, pp. 066602-1–066602-13, Jun. 2008. [35] L. A. Ostroviskii, V. V. Papko, and Y. A. Stepanyants, “Solitons and nonlinear resonance in two-dimensional lattices,” Sov. Phys. JETP, vol. 51, no. 2, pp. 831–841, Feb. 1980. [36] Y. A. Stepanyants, “Experiemtnal study of ‘Cerenkov’ radiation form solitons in two dimensional LC-lattices,” Radiophys. Quantum Electron., vol. 26, no. 7, pp. 601–607, Jul. 1983. [37] E. Kameda, T. Matsuda, Y. Emura, and T. Ohzone, “Study of the current-voltage characteristics in MOS capacitors with Si-implanted gate oxide,” Solid State Electron., vol. 43, no. 3, pp. 555–63, Mar. 1999. [38] C.-C. Ho et al., “0.13-m RF CMOS and varactors performance optimization by multiple gate layouts,” IEEE Trans. Electron Devices, vol. 51, no. 12, pp. 2181–2185, Dec. 2004. Georgios N. Lilis (S’08–M’08) received the B.S. degree in electrical engineering from National Technical University of Athens (N.T.U.A), Athens, Greece, in 2002, and the M.S. and Ph.D. degrees in electrical engineering from Cornell University, Ithaca, NY, in 2006 and 2008, respectively. He was with the Antenna Laboratory, N.T.U.A, during which time he implemented RF switching on multielement antenna modules. In 2004, he joined the Cornell Communications Networks Research Group, Cornell University, and in 2007, he joined the Ultra High-Speed Nonlinear Integrated Circuits Laboratory, Cornell University. His research interests lie in the general area of wave propagation phenomena in various media with a focus on acoustic and electromagnetic implementations.

LILIS et al.: HARMONIC GENERATION USING NONLINEAR LC LATTICES

Jihyuk Park received the B.Sc. degree in electrical and computer engineering from Cornell University, Ithaca, NY, in 2007, and is currently working toward the M.Eng. and M.Sc. degrees at Cornell University. His research interest is RF/analog circuit design in general.

Wooram Lee (S’07) received the B.Sc. and M.S. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2001 and 2003, respectively, and is currently working toward the Ph.D degree at Cornell University, Ithaca, NY. From 2003 to 2007, he was a Research Engineer with the Electronics and Telecommunications Research Institute (ETRI), Daejeon, Korea, where he was involved with optical transceivers and links for wavelength division multiplexed passive optical network (WDM-PON). His research interests include high-performance RF integrated circuit (IC) design based on nonlinear electronics for low-noise parametric amplification, oscillation, and terahertz pulse generation. Mr. Lee was the recipient of the 2007 Samsung Graduate Fellowship and the Best Paper Award of the 2009 IEEE Radar Conference. He was also the Silver Medal recipient of the 1996 National Physics Competition.

Guansheng Li received the B.S. and M.S. degrees in electronics engineering from Tsinghua University, Beijing, China, in 2005 and 2007, respectively, and is currently working toward the Ph.D. degree in electrical and computer engineering at Cornell University, Ithaca, NY. In 2007, he was named a Jacobs Scholar at Cornell University. He is a Reviewer for Wireless Communications and Mobile Computing. His current research is mainly concerned RF IC design with a special interest in multiphase and multiband oscillator design

1723

and frequency synthesis. He has also conducted research on wireless communications and networking, studying cross-layer optimization of wireless networks and wireless network coding. Mr. Li is a reviewer for the IEEE TRANSACTIONS ON WIRELESS COMMUNICATIONS and IEEE SENSORS JOURNAL.

Harish S. Bhat received the A.B. degree in mathematics from Harvard University, Boston, MA, in 2000, and the Ph.D. degree in control and dynamical systems from the California Institute of Technology, Pasadena, in 2005. He is currently an Assistant Professor with the School of Natural Sciences, University of California, Merced. His broad research interests include applied mathematics with a focus on linear and nonlinear waves.

Ehsan Afshari (S’98–M’07) was born in 1979. He received the B.Sc. degree in electronics engineering from the Sharif University of Technology, Tehran, Iran, in 2001, and the M.S. and Ph.D. degrees in electrical engineering from the California Institute of Technology, Pasadena, in 2003, and 2006, respectively. In August 2006, he joined the Faculty of Electrical and Computer Engineering, Cornell University, Ithaca, NY. Prof. Afshari was the recipient of the 2010 National Science Foundation (NSF) CAREER Award, the 2008 Defense Advanced Research Projects Agency (DARPA) Young Faculty Award, and Iran’s 2001 Best Engineering Student award by the President of Iran. He was also the recipient of the Best Paper Award of the 2003 Custom Integrated Circuits Conference (CICC), First Place at the 2005 Stanford–Berkeley–California Institute of Technology Inventors Challenge, the Best Undergraduate Paper Award of the 1999 Iranian Conference on Electrical Engineering, the Silver Medal of the 1997 Physics Olympiad, and the 2004 Award of Excellence in Engineering Education of the Association of Professors and Scholars of Iranian Heritage (APSIH).

1724

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Design of a 60-GHz Substrate Integrated Waveguide Butler Matrix—A Systematic Approach Chih-Jung Chen, Member, IEEE, and Tah-Hsiung Chu, Member, IEEE Abstract—A 60-GHz substrate integrated waveguide Butler matrix designed based on a systematic approach is fabricated by a standard single-layer print circuit board process, which is more economical for mass production than are the advanced processes such as low-temperature co-fired ceramic, thick-film process, etc. The systematic approach involves design equations, simulations, and measurements. Starting with a set of explicit design equations for the short-slot couplers, one calculates the structure dimensions. The calculated dimensions are then optimized with full-wave simulation to finalize the design of the key components, including the couplers and phase shifters. With the use of a noncoaxial multiport measurement technique, the characteristics of the components are acquired through a probe station and a two-port vector network analyzer. Measurement technique plays a critical role in the systematic design approach. By measuring at the intrinsic ports or the wave ports defined in the full-wave simulations, the components are unambiguously verified and then integrated to complete the design of the Butler matrix. The resulting Butler matrix is also verified by the measured eight-port -matrix, which is shown in good agreement with the simulated one. As the measured results of the Butler matrix show, for the operating bandwidth from 58 to 62 GHz, the reflections and isolations are lower than 13.5 dB and the insertion losses are below 2.5 dB. Much like the measured results of the components, the measured eight-port -matrix not only verifies the design of the Butler matrix, but also will facilitate the follow-on design of a switched-beam antenna array. Index Terms—Butler matrix, millimeter wave, multiport measurement, substrate integrated waveguide (SIW).

I. INTRODUCTION HANKS TO the electrical similarity to a rectangular waveguide, substrate integrated waveguides (SIWs) have been used to develop various high-performance millimeter-wave components and subsystems [1]–[10]. Among these research works, those operating at 60 GHz or above are usually fabricated by advanced processes such as low-temperature co-fired ceramic (LTCC), thick-film process [7]–[10], etc. Compared with the advanced processes, a standard single-layer print circuit board (PCB) process is more economical for mass production. Motivated by the emerging commercial applications of the 60-GHz wireless channel [11],

T

Manuscript received June 12, 2009; revised February 11, 2010; accepted April 15, 2010. Date of publication June 03, 2010; date of current version July 14, 2010. This work was supported by the National Science Council (NSC) of Taiwan under Grant NSC 95-2221-E-002-086-MY3 and Grant NSC 97-2221-E-002-057-MY2. C.-J. Chen is with the Department of Communications, Navigation, and Control Engineering, National Taiwan Ocean University, Keelung 20224, Taiwan. T.-H. Chu is with the Department of Electrical Engineering, National Taiwan University, Taipei 106, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2050097

the use of a standard single-layer PCB process to design 60-GHz SIW short-slot couplers [12], [13], delay line phase shifters and then a 4 4 Butler matrix are studied in this paper. The 4 4 Butler matrix is designed based on a systematic approach involving design equations, simulations, and measurements, and is fabricated on a Rogers RT5880 laminate with a thickness of 0.254 mm (10 mil). Since its invention as a feeding structure for antenna arrays in the 1960s [14], the Butler matrix has been used extensively in radar, electronic warfare, and satellite systems for decades [15], [16]. More recently, with an increasing demand for broadband wireless access technologies, techniques for maximizing the capacity and improving the transmission quality and coverage of a base station or an access point are currently under intense research. Considerable attention is being paid to the implementation of smart antenna systems with the Butler matrices [17]–[20]. The Butler matrix is a multiple beam-forming network that performs the analog signal processing identical to the computational operations depicted by the fast Fourier transform (FFT) signal tree graph [21]–[23]. Therefore, it requires combinations to excite beams of an -element array from input ports. Those signal combinations can be accomplished by the cascade of 90 hybrids and crossovers associated with phase shifters [21]. Furthermore, by cascading 180 power dividers, -element array the Butler matrix can be modified to feed a input ports and achieve a lower sidelobe level (SLL) from [20], [24]. Due to the large electrical size, the Butler matrices operating below or around 10 GHz are generally developed with the consideration of its physical size. To make the transmission-line circuits more compact, a number of structures have been investigated [25]–[29]. Those in two-layer PCB processes are, respectively, implemented by suspended striplines [25], coplanar waveguides (CPWs) [26], and SIWs [27]. Others include artificial transmission lines in a single-layer PCB process [28], lumped transformers and phase shifters in a CMOS process [29], etc. By operating a transmission-line circuit at higher frequencies, the physical size is inherently diminished, whereas the propagation loss is inevitably increased. The power losses are, therefore, a major concern for millimeter-wave circuit design. Accordingly, the millimeter-wave Butler matrices are usually realized by simple, but effective structures fabricated on low-loss substrates to reduce the power losses. Some examples in the literature are implemented by microstrip branch line couplers [30]–[32] and SIW short-slot couplers [6]. The Butler matrix can be regarded as a module consisting of components, namely, 90 hybrid, crossover, and the associ-

0018-9480/$26.00 © 2010 IEEE

CHEN AND CHU: DESIGN OF 60-GHz SIW BUTLER MATRIX

Fig. 1. Block diagram of the 4

1725

2 4 Butler matrix.

ated phase shifters. From the viewpoint of accurate circuit design, this paper addresses a systematic or bottom-up approach by properly using design equations, simulations, and measurements. Such an approach has not yet been demonstrated in detail in the previous studies [6], [25]–[32]. In the systematic approach, we start from formulating a set of explicit design equations for calculating the structure dimensions of the short-slot couplers in Section II. In Section III, the calculated dimensions are optimized by performing the High Frequency Structure Simulator (HFSS) simulation to finalize the design of the couplers and phase shifters. With the use of noncoaxial measurement technique [33] developed for measuring the multiport devices at the intrinsic ports or the wave ports defined in the full-wave simulation, the characteristics of those components are acquired to verify the simulated results. The verified components are then directly integrated to complete the design of the 4 4 Butler matrix in Section IV. In contrast with the verification of the radiation pattern in [6], [25]–[32], the Butler matrix is verified with the measured eight-port scattering matrix ( -matrix). By measuring at its intrinsic ports or the wave ports defined in the HFSS simulation, the measured -matrix can be unambiguously compared to the simulated one. Good agreement between the measured and simulated results is observed.

Fig. 2. Electric field distributions of an SIW short-slot coupler with: (a) port 1, (b) odd-mode, and (c) even-mode excitations.

II. FORMULATION As the block diagram in Fig. 1 depicts, the 4 4 Butler matrix is composed of 90 hybrids, crossovers, and the associated phase shifters. The 90 hybrids and crossovers are realized with the SIW short-slot couplers. With the aid of the HFSS to visualize the field distributions, the theory of the short-slot coupler is reviewed in this section. A set of explicit design equations of the structure is then formulated for one to calculate the dimensions. The objective here is to, by taking advantage of the wave cancellation effect discussed in [6], improve the return loss and isolation of a short-slot coupler without using a central capacitive screw or dome [13]. The capacitive matching element is unattainable in a standard single-layer PCB process. A. Review of Short-Slot Couplers As shown in Fig. 2(a)–(c), the short-slot coupler can be realized by juxtaposing two SIWs and eliminating the common . narrow wall to form a slot region with the dimensions of By controlling the dimensions of the slot region to generate a

proper interference pattern of the propagating and modes, one can theoretically achieve an arbitrary power ratio between the transmission and coupled ports [12], [13]. The design equations for the short-slot couplers can be derived by performing even–odd mode analysis [13]. In Fig. 2(a)–(c), the incident waves at ports 1–4 are, reand spectively, . Ports 1–4 are given as the intrinsic ports later for the HFSS simulation and noncoaxial measurement. The subscript or indicates that the excitations are out-of-phase or in-phase, i.e., odd- or even-mode excitation. . As a These incident waves can be related as result, the circuit response in Fig. 2(a) can be decomposed into the superposition of those of odd- and even-mode excitations in Fig. 2(b) and (c). To discuss the coupling that takes place inside the slot region, ports 1 –4 are defined at the ends of the slot region in Fig. 2(a)–(c). The incident waves at ports 1 –4 , denoted as and , are a phase-shifted version of and . From Fig. 2(b) and (c), one can figure out that the odd- and even-mode

1726

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

excitations induce, respectively, the propagations of the and modes in the slot region. For the odd-mode excitation in Fig. 2(b), the boundary conditions at the symmetry plane are both electric walls inside and outside the slot region. Therefore, the reflected waves at ports 1 –4 are given by where is the first element of and is the phase constant of the mode. By contrast, the even-mode excitation in Fig. 2(c) results in a magnetic wall at the symmetry plane inside the slot region. The electric walls outside the slot region are discontinued at the ends of the slot region. Accordingly, a small portion of the incident waves are reflected at the discontinuities. As the reflections are ignored to simplify the formulation, one finds that the reflected waves at ports 1 –4 are given by where is the phase constant of the mode. As a result of the superposition, the reflected waves in Fig. 2(a) can be readily deduced as . Being fully symmetric, the short-slot coupler can be completely -parameters characterized by the and given by

Fig. 3. Simulated field distributions of an even-mode junction discontinuity.

acquired as

and , which are similar in value to the simu-

lated results in [34]. As can be seen in Fig. 2(c), the reflected waves at ports 1 and 4 can be approximately expressed in terms of the GSM as (2) The equation expressing the condition of reflection cancellation is then represented by (3)

and . In consequence, the design equation for the dimensions of the slot region can be represented by (1) where

is the wavenumber with .

and can be rounded to [6] (4) Consequently, the slot length to minimize the reflected waves is given by [6]

and

B. Explicit Design Equations Incorporating Reflection Cancellation There are two variables in (1), i.e., dimensions of and of the slot region. Thus, one can take extra design considerations into account by introducing one more equation. To resolve the lack of a capacitive matching element in a standard single-layer PCB process, the design equations incorporating the wave cancellation effect [6] are derived as follows. The even-mode junction discontinuity, shown in Fig. 3, can be characterized by the general scattering matrix (GSM) [34]. By performing HFSS simulation, the GSM of the propagating modes at the reference plane given in Fig. 3 is

(5) where is a nonnegative integer. From (1) and (5), the explicit design equations for the slot width and length are then given as (6) and (7), shown at the bottom of this page. III. DESIGN AND VERIFICATION OF COUPLERS AND PHASE SHIFTERS The Rogers RT5880 laminate used in this work has a thickness of 0.254 mm. There is electrodeposited copper of 35 m on both sides. The vias are formed by copper electroplating. The diameter and pitch of the vias are, respectively, 0.3 and 0.6 mm. The typical values of the complex permittivity given by the manand at 10 GHz. ufacturer are

(6) and (7)

CHEN AND CHU: DESIGN OF 60-GHz SIW BUTLER MATRIX

1727

TABLE I WIDTHS AND LENGTHS OF THE SLOT REGION OF THE CROSSOVER

insertion loss ease the calculation of the phase and attenuation constants, respectively. and The complex permittivity is evaluated as . As shown in Fig. 4(b), the simulated propagation constants are in agreement with the measured results around 60 GHz. It should be noted that the HFSS simulation computes the propagation constant of a wave port by assuming each port to be connected to a transmission structure that has the same cross section. The simulated propagation constant is, therefore, equivalent to the result of a rectangular waveguide with fixed width. However, the equivalent width of the SIW is observed to be frequency dependent [36]. In Fig. 4(b), due to the narrower equivalent width at the frequencies below 60 GHz, the measured phase and attenuation constants are, respectively, lower and higher than the simulated ones. By contrast, the situation is reversed at the frequencies above 60 GHz. Fig. 4. (a) Measurement setup for an SIW, the dotted lines denote the reference planes. (b) Measured and simulated propagation constants of the fundamental mode.

To enhance the accuracy of the HFSS simulation, the complex permittivity of the laminate is first evaluated around 60 GHz by fitting the simulated and measured propagation constants of two SIWs with different lengths. With the evaluated complex permittivity, the couplers and phase shifters are designed according to the explicit design equations and the HFSS simulation. These components are then verified with noncoaxial measurements [33] through the use of two ground–signal–ground (GSG) probes on a Cascade 9000 probe station then to an Agilent E8361A two-port vector network analyzer (VNA).

A. Complex Permittivity Evaluation With the use of thru-reflect-line (TRL) calibration, one can measure a two-port noncoaxial device at the desired reference planes [35]. As illustrated in Fig. 4(a), an SIW with the dimenis measured at the intrinsic ports where the wave sions of ports for the HFSS simulation are defined. Accordingly, the simulated propagation constants can be unambiguously fitted to the measured ones by adjusting the complex permittivity. In the data-fitting process, the measured propagation constants are calculated from the two-port -matrices of two SIWs. The lengths of the SIWs for acquiring phase and attenuation constants are, respectively, 1.2 and 14.4 mm, while the width is 2.57 mm. The short SIW having an electrical length of about a quarter-wavelength at 60 GHz and the long SIW having higher

B. Crossover and Phase Shifters Effectively, a 0-dB coupler having and can play the role of the crossover shown in Fig. 1. The design equations for a 0-dB coupler deduced from (6) and (7) are (8) and (9) The values of and for Moreover, the phase value of

– are tabulated in Table I. of the crossover is given by (10)

Since the phase delay of a straight SIW is , where is given by (9), the phase shifters associated with the crossover cannot be realized by straight SIWs with reasonable widths. The curved delay lines need to be used instead. Accordingly, a longer slot length can make the curved delay lines smooth and, therefore, eases the design of the phase shifters. are Consequently, the calculated dimensions of adopted for the HFSS simulation to begin with. After performing HFSS simulations to optimize the designs, the 0 phase shifter, crossover, and 45 phase shifter are illustrated, from left to right, in Fig. 5. It can be observed that the calculated mm and mm are close to the slot dimensions optimized ones, i.e., mm and mm. Figs. 6–8 show the comparisons of measured and simulated results according to the port enumeration in Fig. 5. The results of the crossover have been presented in [33].

1728

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 5. 0 phase shifter, crossover and 45 phase shifter, from left to right, and the simulated field distributions with ports1, 5, and 7 excitations. Fig. 7. Measured and simulated results of the 0 phase shifter.

Fig. 8. Measured and simulated results of the 45 phase shifter.

Fig. 6. Measured and simulated results of the crossover. (a) Magnitude. (b) Phase.

C. Hybrid By assigning

, (6) and (7) are simplified as

(11) and (12)

mm, mm for The calculated results are , and mm, mm for . The SIW , , and widths for the onset of the propagations of at 60 GHz are about 1.67, 3.33, and 5 mm, respectively. are invalid due to the calEvidently, the results for mode. culated widths violating the cutoff condition of the is adopted. The dimensions optimized by the HFSS Thus, simulation are illustrated in Fig. 9. For the hybrid having a relatively short slot length, the interaction effects of the evanescent mode become pronounced [34], [37]. Consequently, the mm differs from the calculated optimized slot length one, i.e., mm, to some extent. Fig. 10 shows the comparison of the measured and simulated results according to the port enumeration in Fig. 9. IV. VERIFICATION OF BUTLER MATRIX The simulated field distributions and the SIW structure of the 4 4 SIW Butler matrix are illustrated in Fig. 11. The Butler matrix is directly integrated with the verified components described in Section III. The final dimensions are shown 27.1 mm 17.8 mm. To verify the characteristics of the Butler matrix, the eight-port -matrix is measured at the intrinsic

CHEN AND CHU: DESIGN OF 60-GHz SIW BUTLER MATRIX

1729

Fig. 9. Hybrid and the simulated field distributions with port 1 excitation.

Fig. 11. Butler matrix and the simulated field distributions. (a) Port 1 excitation. (b) Port 2 excitation.

Fig. 12. Pictorial view of one of 16 measurement samples of the Butler matrix, the two extrinsic ports 1 and 4 being probed at the left side are circled, and the reference planes indicates the positions of intrinsic ports.

Fig. 10. Measured and simulated results of the hybrid. (a) Magnitude. (b) Phase.

ports, i.e., the wave ports defined in the HFSS simulation. The -matrix can, therefore, be unambigumeasured eight-port ously compared with the simulated one, described as follows. A. Measurement Procedure According to [38] or [39] and by virtue of symmetry, the eight-port -matrix of the Butler matrix can be re-

constructed from trices, which are ports terminated site combinations follows:

18 combinations of two-port -mameasured with the other six unused in auxiliary terminations. The requiof the two-port measurements are as

and . To acquire these combinations of two-port -matrices, the intrinsic ports of the Butler matrix are equipped with ground–signal–ground (GSG) probe pads to form the measurement samples. The probe pads are denoted as extrinsic ports – in the following discussion. A set of measurement samples consists of four units. Fig. 12 shows the unit for acquiring the combinations of

1730

Fig. 13. Reflection coefficients of the 4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

2 4 SIW Butler matrix.

Fig. 15. Transmission coefficients. (a) Magnitude and (b) relative phase of the 4 4 SIW Butler matrix with port 1 excitation.

2

In Fig. 12, the two extrinsic ports and are probed with two GSG probes, while the other extrinsic ports are being left unconnected instead of connecting to the 50- loads. Based on the concept of virtual auxiliary termination [40], the bent probe pads can serve as not only the probe pads for the measurements, but also the auxiliary terminations for the reconstruction of the eight-port -matrix [33]. By following the measurement procedure presented in [33] with four sets of measurement samples, the eight-port -matrix of the Butler matrix is reconstructed. B. Measurement Results

Fig. 14. Isolation coefficients of: (a) input ports and (b) output ports of the 4 4 SIW Butler matrix.

2

. The other units are different from the one shown in Fig. 12 only by the direction of probe pads at the second, fifth, and sixth ports. The probe pads are properly oriented to accommodate the two-port measurements with a pair of east and west probes.

By virtue of symmetry and reciprocity, the eight-port -matrix of the Butler matrix can be completely covered by 18 -parameters. These -parameters can be categorized into three operation coefficients as reflection, isolation, and transmission. Figs. 13–16 show the final results. Additionally, Fig. 17 depicts the insertion losses, which are defined as (13) or is the number of the excitation port. Since the where phases of reflection and isolation coefficients have no signifi-

CHEN AND CHU: DESIGN OF 60-GHz SIW BUTLER MATRIX

1731

TABLE II NORMALIZED OUTPUTS IN MAGNITUDE AND DEGREE WITH PORT 1 EXCITATION

TABLE III NORMALIZED OUTPUTS IN MAGNITUDE AND DEGREE WITH PORT 2 EXCITATION

Fig. 16. Transmission coefficients. (a) Magnitude and (b) relative phase of the 4 4 SIW Butler matrix with port 2 excitation.

2

Fig. 18. Calculated results of array factors with: (a) port 1 and (b) port 2 excitations. Fig. 17. Insertion losses of the Butler matrix with ports 1 and 2 excitations, respectively.

cance for the evaluation of the performance of the Butler matrix, they are not shown here. For the operating bandwidth of 58–62 GHz, it can be seen from Figs. 13, 14, and 17 that the values of the coefficients of reflection and isolation are lower than 13.5 dB and the insertion losses are below 2.5 dB. According to the transmission coefficients shown in Figs. 15 and 16, the normalized distributions

of magnitude and phase at the output ports are tabulated along with the ideal ones in Tables II and III. The resultant array factors are computed and compared with ideal patterns in Fig. 18. It can be seen that the main beams are retained largely intact, while the sidelobes and the pattern nulls are slightly disturbed. V. CONCLUSION A 60-GHz SIW Butler matrix is designed based on a systematic approach involving design equations, simulations, and

1732

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

measurements. The Butler matrix is fabricated using a standard single-layer PCB process, which is more economical for mass production than the advanced processes such as LTCC, thick-film process, etc. In contrast with the verification of the radiation pattern, the Butler matrix is measured through the use of two GSG probes on a Cascade 9000 probe station then to an Agilent E8361A two-port VNA. By measuring at the intrinsic ports, the measured results can, therefore, be unambiguously compared to the simulated results. The measured eight-port -matrix is shown in good agreement with the simulated one. For the operating bandwidth from 58 to 62 GHz, the reflections and isolations are lower than 13.5 dB and the insertion losses are below 2.5 dB. The array factors computed from the measured results are consistent with the ideal ones. The measured eight-port -matrix not only verifies the 4 4 SIW Butler matrix, but also will facilitate the integration with the SIW slot array antenna [5], [6] and [9]. The smart antenna systems implemented by the Butler matrices are expected to overcome the challenges caused by the directional nature and high atmospheric attenuation of the 60-GHz wireless channel [11], [41]. REFERENCES [1] W. D’Orazio and K. Wu, “Substrate-integrated-waveguide circulators suitable for millimeter-wave integration,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 10, pp. 3675–3680, Oct. 2006. [2] Z. Y. Zhang and K. Wu, “A broadband substrate integrated waveguide (SIW) planar balun,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 12, pp. 843–845, Dec. 2007. [3] T. Djerfi and K. Wu, “Super-compact substrate integrated waveguide cruciform directional coupler,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 11, pp. 757–759, Nov. 2007. [4] H. J. Tang, W. Hong, J. X. Chen, G. Q. Luo, and K. Wu, “Development of millimeter-wave planar diplexers based on complementary characters of dual-mode substrate integrated waveguide filters with circular and elliptic cavities,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 4, pp. 776–782, Apr. 2007. [5] B. Liu, W. Hong, Z. Kuai, X. Yin, G. Luo, J. Chen, H. Jang, and K. Wu, “Substrate integrated waveguide (SIW) monopulse slot antenna array,” IEEE Trans. Antenna Propag., vol. 57, no. 1, pp. 275–279, Jan. 2009. [6] S. Yamamoto, J. Hirokawa, and M. Ando, “A half-sized post-wall short-slot directional coupler with hollow rectangular holes in a dielectric substrate,” IEICE Trans. Electron., vol. 88, no. 7, pp. 1387–1394, Jul. 2005. [7] J. H. Lee, S. Pinel, J. Papapolymerou, J. Laskar, and M. M. Tentzeris, “Low-loss LTCC cavity filters using system-on-package technology at 60 GHz,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3817–3823, Dec. 2005. [8] M. Henry, C. E. Free, B. S. Izqueirdo, J. Batchelor, and P. Young, “Millimeter wave substrate integrated waveguide antennas: Design and fabrication analysis,” IEEE Trans. Adv. Packag., vol. 32, no. 1, pp. 93–100, Feb. 2009. [9] S. Cheng, H. Yousef, and H. Kratz, “79 GHz slot antennas based on substrate integrated waveguides (SIW) in a flexible printed circuit board,” IEEE Trans. Antenna Propag., vol. 57, no. 1, pp. 64–71, Jan. 2009. [10] E. Moldovan, R. G. Bosisio, and K. Wu, “ -band multiport substrateintegrated waveguide circuits,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 625–632, Feb. 2006. [11] R. C. Daniels and R. W. Heath, Jr., “60 GHz wireless communications: Emerging requirements and design recommendations,” IEEE Veh. Technol. Mag., vol. 2, no. 3, pp. 41–50, Sep. 2007. [12] H. J. Riblet, “The short-slot hybrid junction,” Proc. IRE, vol. 40, no. 2, pp. 180–184, Feb. 1954. [13] R. Levy, , L. Young, Ed., “Directional couplers,” in Advances of Microwaves. London, U.K.: Academic, 1966, vol. I. [14] J. Butler and R. Lowe, “Beam-forming matrix simplifies design of electrically scanned antennas,” Electron. Design, no. 9, pp. 170–173, Apr. 1961.

W

[15] B. Pattan, “The versatile Butler matrix,” Microw. J., vol. 47, no. 11, pp. 126–138, Nov. 2004. [16] D. Parker and C. Zimmermann, “Phased arrays—Part II: Implementations, applications, and future trends,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 688–698, Mar. 2002. [17] C. A. Balanis and P. I. Ioannides, Introduction to Smart Antennas. San Rafael, CA: Morgan & Claypool, 2007. [18] M. Barba, J. E. Page, J. A. Encinar, and J. R. Montejo-Garai, “A switchable multiple beam antenna for GSM-UMTS base stations in planar technology,” IEEE Trans. Antenna Propag., vol. 54, no. 11, pp. 3087–3094, Nov. 2006. [19] A. Grau, J. Romeu, S. Blanch, L. Jofre, and F. D. Flaviis, “Optimization of linear multielement antennas for selection combining by means of a Butler matrix in different MIMO environments,” IEEE Trans. Antenna Propag., vol. 54, no. 11, pp. 3251–3263, Nov. 2006. [20] P. Chen, W. Hong, Z. Kuai, J. Xu, H. Wang, J. Chen, H. Tang, J. Zhou, and K. Wu, “A multibeam antenna based on substrate integrated waveguide technology for MIMO wireless communications,” IEEE Trans. Antenna Propag., vol. 57, no. 6, pp. 1813–1821, Jun. 2009. [21] A. K. Bhattacharyya, Phased Array Antennas: Floquet Analysis, Synthesis, BFNs and Active Array Systems. New York: Wiley, 2006. [22] W. H. Nester, “The fast Fourier transform and the Butler matrix,” IEEE Trans. Antenna Propag., vol. 16, no. 3, p. 360, May 1968. [23] J. P. Shelton, “Fast Fourier transforms and Butler matrices,” Proc. IEEE, vol. 56, no. 3, p. 350, Mar. 1968. [24] W. R. Li, C. Y. Chu, K. H. Line, and S. F. Chang, “Switched-beam antenna based on modified Butler matrix with low sidelobe level,” Electron. Lett., vol. 40, no. 5, pp. 290–292, Mar. 2004. [25] M. Bona, L. Manholm, J. P. Starski, and B. Svensson, “Low-loss compact Butler matrix for a microstrip antenna,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 9, pp. 2069–2075, Sep. 2002. [26] M. Nedil, T. A. Denidni, and L. Talbi, “Novel Butler matrix using CPW multilayer,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 499–507, Jan. 2006. [27] A. Ali, N. Fonseca, F. Coccetti, and H. Aubert, “Novel two-layer broad-band applicaband 4 4 Butler matrix in SIW technology for tions,” in Asia–Pacific Microw. Conf., Dec. 2008, pp. 1–4. [28] C. W. Wang, T. G. Ma, and C. F. Yang, “A new planar artificial transmission line and its applications to a miniaturized Butler matrix,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2792–2801, Dec. 2007. [29] C. C. Chang, T. Y. Chin, J. C. Wu, and S. F. Chang, “Novel design of a 2.5-GHz fully integrated CMOS Butler matrix for smart-antenna systems,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 8, pp. 1757–1763, Aug. 2008. [30] C. Dall’Omo, T. Monediere, B. Jecko, F. Lamour, I. Wolk, and M. Elkael, “Design and realization of a 4 4 microstrip Butler matrix without any crossing in millimeter waves,” Microw. Opt. Technol. Lett., vol. 38, no. 6, pp. 462–465, Jul. 2003. [31] J. S. Neron and G. Y. Delisle, “Microstrip EHF Butler matrix design and realization,” ETRI J., vol. 27, no. 6, pp. 788–797, Dec. 2005. [32] C. H. Tseng, C. J. Chen, and T. H. Chu, “A low-cost 60-GHz switchedbeam patch antenna array with Butler matrix network,” IEEE Antennas Wireless Propag. Lett., vol. 7, pp. 432–435, Dec. 2008. [33] C. J. Chen and T. H. Chu, “Measurement of noncoaxial multiport devices up to the intrinsic ports,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1230–1236, May 2009. [34] R. Levy, “Determination of simple equivalent circuits of interacting discontinuities in waveguides or transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 10, pp. 1712–1716, Oct. 2000. [35] “Applying the 8510 TRL calibration for non-coaxial measurements,” Agilent Technol., Santa Clara, CA, Product Note 8510-8A, 2006. [36] C. H. Tseng and T. H. Chu, “Measurement of frequency-dependent equivalent width of substrate integrated waveguide,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1431–1437, Apr. 2006. [37] L. W. Hendrick and R. Levy, “Design of waveguide narrow-wall shortslot couplers,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 10, pp. 1771–1774, Oct. 2000. [38] S. Sercu and L. Martens, “Characterizing -port packages and interconnections with a 2-port network analyzer,” in IEEE 6th Elect. Perform. Electron. Packag. Top. Meeting, Oct. 1997, pp. 163–166. [39] J. C. Tippet and R. A. Speciale, “A rigorous technique for measuring the scattering matrix of a multiport device with a 2-port network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 5, pp. 661–666, May 1982.

Ku

2

2

n

CHEN AND CHU: DESIGN OF 60-GHz SIW BUTLER MATRIX

[40] C. J. Chen and T. H. Chu, “Virtual auxiliary termination for multiport scattering matrix measurement using two-port network analyzer,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 8, pp. 1801–1810, Aug. 2007. [41] J. C. Wiltse, “Corrections to published curves for atmospheric attenuation in the 10 to 1000 GHz region,” in IEEE Antenna Propag. Int. Symp., Jul. 1997, pp. 2580–2583. Chih-Jung Chen (M’10) was born in Taichung, Taiwan, in 1973. He received the B.S. degree in electronic engineering from National Yunlin University of Science and Technology, Yunlin, Taiwan, in 1996, and the M.S. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, in 2000 and 2007, respectively, all in communication engineering. In August 2009, he joined the faculty of the Department of Communications, Navigation and Control Engineering, National Taiwan Ocean University, Keelung, Taiwan, where he is currently an Assistant Professor. His research interests include microwave circuits and subsystems, and microwave measurements.

1733

Tah-Hsiung Chu (M’87) received the B.S. degree from National Taiwan University, Taipei, Taiwan, in 1976 and the M.S. and Ph.D. degrees from the University of Pennsylvania, Philadelphia, in 1980 and 1983, respectively, all in electrical engineering. From 1983 to 1986, he was a Member of Technical Staff with the Microwave Technology Center, RCA David Sarnoff Research Center, Princeton, NJ. Since 1986, he has been on the Faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor of electrical engineering. His research interests include microwave-imaging systems and techniques, microwave circuits and subsystems, microwave measurements, and calibration techniques.

1734

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Electromagnetic-Bandgap Waveguide for the Millimeter Range Iñigo Ederra, Irina Khromova, Ramón Gonzalo, Member, IEEE, Nicolas Delhote, Dominique Baillargeat, Axel Murk, Byron E. J. Alderman, and Peter de Maagt, Fellow, IEEE

Abstract—This paper presents the design, manufacturing, and characterization of a waveguide based on electromag-band. A modified netic-bandgap (EBG) technology working in silicon EBG woodpile structure was used in order to improve the matching performance of the EBG waveguide to a standard rectangular waveguide. The transition between the silicon EBG woodpile waveguide and the conventional WR10 waveguide was optimized and a 13.5% bandwidth around 90 GHz was achieved. The measured insertion losses remained better than 3 dB in the overall working bandwidth. Index Terms—Electromagnetic-bandgap (EBG) technology, millimeter-wave technology.

I. INTRODUCTION OMPOSITE periodic structures, also known as electromagnetic-bandgap (EBG) structures can, for a range of frequencies, be opaque to electromagnetic wave propagation, thus giving rise to bandgaps [1]. These bandgaps can be exploited in several ways to control the behavior of electromagnetic waves, e.g., EBG structures can be employed to suppress surface waves and reduce mutual coupling, backward radiation, and scan blindness occurring in antenna arrays [2]. Recently, the design, manufacturing, and testing of EBG components for a 500-GHz passive imaging array have been presented [3], [4]. In particular, in [3], different manufacturing methods for EBG structures in the submillimeter-wave range were studied. Some of these methods can also be applicable

C

Manuscript received October 31, 2009; revised February 18, 2010; accepted April 26, 2010. Date of publication June 01, 2010; date of current version July 14, 2010. This work was supported by the European Space Agency (ESA) under Project RFQ/3-11128/04/NL/JA and by the Spanish Ministry of Science and Innovation under Project TEC2009-11995 and Project CSD2008-00066. I. Ederra, I. Khromova, and R. Gonzalo are with the Electrical and Electronic Engineering Department, Universidad Pública de Navarra, E31006 Pamplona, Navarra, Spain (e-mail: [email protected]; [email protected]; [email protected]). N. Delhote and D. Baillargeat are with the XLIM, Unite Mixte de Recherche (UMR) 6172, Centre National de la Recherche Scientifique (CNRS), Université de Limoges, 87060 Limoges Cedex, France (e-mail: [email protected]; [email protected]). A. Murk is with the Institute of Applied Physics, University of Bern, 3012 Bern, Switzerland (e-mail: [email protected]). B. E. J. Alderman is with the Millimetre Wave Technology Group, Science and Technology Facilities Council (STFC) Rutherford Appleton Laboratory, Chilton, Didcot OX11 0QX, U.K. (e-mail: [email protected]). P. de Maagt is with the Electromagnetics Division, European Space Research and Technology Centre, European Space Research and Technology Centre (ESTEC), 2201 AG Noordwijk, The Netherlands (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2050098

at lower frequencies, e.g., the millimeter-wave range, where tolerances are lower. One of the possible applications of EBG technology, the design of imaging arrays, is described in [4]. However, in this paper, the EBG structure only served as a supporting structure for the imaging array, which improved the radiation pattern of the dipole antennas, which constituted the array. Nevertheless, the EBG may also act as a host in which all the necessary feeding waveguide components could be embedded. In particular, the existence of a bandgap opens up the possibility to design small size integrated waveguides, bends, and splitters by introducing defects within the EBG crystal structure [5]–[22]. This could be of great interest for heterodyne receivers, since the local oscillator signal could be injected through the substrate by means of these waveguide components. The possibility of waveguiding in different 3-D EBG structures has already been demonstrated [5]–[10]. In this study, we have focused on the woodpile structure [11], [12]. This EBG structure is of great interest for millimeter-wave and submillimeter-wave applications due to its good performance, robustness, and relatively simple manufacturing process. In particular, two ways to guide electromagnetic waves in this EBG structure have been proposed. The first one relies on the coupling between cavity defects [13], [14]. The second method consists of creating a linear defect, either altering the size of one of the bars [10] or removing a complete bar or part of several bars [6], [7], [9]. Based on these approaches, different components such as bends, power splitters [15]–[19], channel drop filters [20], [21], and phase shifters [22] have been designed. Once the EBG waveguide has been created, the feeding scheme must be properly designed in order to optimize its efficiency. One way to solve this problem was proposed in [23] and is based on creating a horn antenna to improve the coupling efficiency when fed by a plane wave. This configuration could be useful for quasi-optical feeding or for radiation purposes. Another solution for this problem consists of inserting a metal waveguide into the woodpile [18]. The fundamental mode of the rectangular waveguide is similar to the woodpile waveguide mode and an efficient transition can be achieved. By properly choosing the waveguide dimensions and its position with respect to the woodpile bars, return loss better than 10 dB over a 6% band around 12.6 GHz was achieved. The main drawback of the last presented approach is that the dimensions of the metal waveguide used are not standard. This fact generates a problem when the waveguide has to be connected to any other component working in the same frequency range, e.g., a source with a standard waveguide output. In this case, another transition between rectangular waveguides

0018-9480/$26.00 © 2010 IEEE

EDERRA et al.: EBG WAVEGUIDE FOR MILLIMETER RANGE

would be required. This additional transition would add losses and complexity to the system. In this paper, a novel configuration that overcomes this problem is presented. This configuration modifies the woodpile waveguide dimensions so that they are similar to the dimensions of a standard metal waveguide. This technique together with the use of a modified symmetric woodpile allows a wider bandwidth to be obtained. Although this concept was already presented in [24] and [25], this paper analyses the propagation characteristics of this type of waveguides and describes in detail the optimization of the transition from this waveguide to a standard rectangular waveguide and its manufacturing and test. This paper is organized as follows. Once the waveguide configuration is presented in Section II, the design of the transition is explained in Section III. Section IV describes the manufacturing process used and the results of the tests carried out are finally presented in Section V.

1735

Fig. 1. Proposed waveguide configuration.

II. WOODPILE WAVEGUIDE CONFIGURATION As mentioned above, there are different ways to create a waveguide based on the EBG woodpile structure. The simplest configuration consists of removing one of the woodpile bars parallel to the propagation direction [6], [18]. These EBG waveguides support two modes, which makes the waveguide multimode in the upper frequencies of the bandgap. The existence of the second mode can limit the overall performance since it has the same polarization and symmetry as the fundamental mode. As aforementioned, the approach described in [18] also presents the disadvantage that the feeding metal waveguides must be smaller than the standard rectangular waveguides since they have to be adapted to the size of the woodpile bars. This makes the metal waveguide be closer to cutoff (what reduces their bandwidth), and makes another transition between rectangular waveguides necessary. In order to simplify the transition between standard and woodpile waveguides and simultaneously improve its bandwidth, two modifications are proposed for the woodpile waveguide. On the one hand, the woodpile is made symmetric in the stacking direction, and on the other one, the waveguide is not limited to one bar, but several bars are removed, both perpendicular and parallel to the propagation direction, as depicted in Fig. 1. In particular, the waveguide is created by removing two bars parallel to the propagation direction and cutting the bars perpendicular to the propagation direction in the adjacent layers. In this way, the size of the waveguide can be made similar to the size of a conventional rectangular waveguide and can be matched directly to it. The aforementioned modifications were introduced in [24] and [25]. In this paper, the effect of these modifications on the waveguide performance will be systematically studied. In particular, the use of a symmetric woodpile modifies the band structure with respect to the conventional woodpile structure case. Since the dimensions of the waveguide are rather large, the resulting waveguide is multimode, as shown in Fig. 2, where the dispersion diagram of these modes is presented. This dispersion diagram was computed with Ansoft Technologies’ High Fre-

Fig. 2. Dispersion diagram of the proposed woodpile waveguide. The space between the grey areas represents the bandgap of the woodpile in the propagation direction.

quency Structure Simulator (HFSS) using the supercell method [26]. The analyzed size was 9 4.5 EBG woodpile periods. The field distribution of the EBG waveguide modes is represented in Fig. 3. As opposed to the waveguide based on removing one bar, only one of the modes (mode 1) presents polarization and symmetry compatible with the field distribution of the fundamental mode of a rectangular waveguide (TE10). The other modes have either different polarization, as in modes 2 and 3, or odd symmetry, as in mode 4. This means that only mode 1 will be excited when fed with the fundamental mode of the conventional rectangular waveguide, allowing for monomode propagation inside the woodpile waveguide. III. RECTANGULAR WAVEGUIDE TO EBG WAVEGUIDE TRANSITION DESIGN The silicon woodpile waveguide has been designed to work frequency band (75–110 GHz), and more precisely in the around 90 GHz. Therefore, as aforementioned, the chosen input and output metallic rectangular waveguides are standard WR10 (2.54 1.27 mm) waveguides. The transition between the EBG waveguide and the metal waveguide is created by inserting the metal waveguide so that its end is placed in a specific location, as shown in Fig. 4. Since the waveguide must be inserted inside the silicon woodpile, the woodpile dimensions must be related with the waveguide size. On the one hand, the waveguide aperture must be

1736

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 3. Electric field distribution of the woodpile waveguide modes. (a) Mode 1. (b) Mode 2. (c) Mode 3. (d) Mode 4.

similar to the waveguide inner dimensions. In this case, the height of the bars has been selected as 400 m in order to exhibit a bandgap around 90 GHz, so that three bars are approximately equivalent to the waveguide height. On the other hand, the outer dimensions must be in agreement with the woodpile stacking periodicity (what leads to the waveguide outer thickness being seven times the thickness of the woodpile bars, i.e., 2.8 mm). Based on these constraints, the woodpile dimensions were optimized. Having fixed the woodpile bar height to 400 m, the optimum value of the period, in terms of maximum frequency

bandwidth for the transition operation, was found to be 1.4 mm. In addition to this, the width of the bars determines the central frequency of the waveguide bandwidth, as shown in Fig. 5. For 90-GHz central frequency, the width of the bars must be 350 m. The evolution of the central frequency is linear with the bar width. This agrees with the fact that wider bars reduce the waveguide dimensions, and consequently, its working frequency shifts to higher frequencies. These results were obtained from full-wave 3-D simulations using a finite-element method (FEM) software developed by XLIM, Limoges, France [27].

EDERRA et al.: EBG WAVEGUIDE FOR MILLIMETER RANGE

1737

Fig. 6. Optimized woodpile dimensions for maximum frequency bandwidth operation.

Fig. 7. Theoretical S 21 and S 11 parameters of the back-to-back rectangular waveguide to EBG woodpile waveguide transition. Fig. 4. (a) Lateral and (b) top view of the proposed woodpile waveguide rectangular waveguide transition.

Fig. 8. Schematic of the EBG waveguide. Different shades represent different types of layers. Only half of the structure is shown, the hidden part being the mirror replica of the shown one. Fig. 5. Theoretical evolution of the EBG waveguide bandwidth central frequency versus the woodpile bar width.

The dimensions of the optimized woodpile are presented in Fig. 6. The optimum position of the end point of the metallic waveguide is that shown in Fig. 4. The back-to-back performance of the optimized transition is presented in Fig. 7. This configuration presents a 16.6% bandwidth around 90 GHz for which the insertion loss is smaller than 3 dB. Bearing in mind that the full bandgap of this EBG woodpile structure is 18.4%, this design is able to use, for waveguiding purposes, a significant fraction of it. IV. WOODPILE WAVEGUIDE MANUFACTURING The EBG waveguide can be realized with any dielectric material. In this case, our prototype was manufactured using highresistivity silicon, which in the millimeter and submillimeterwave range has a relatively high dielectric constant and low losses [28]. The double-side dicing procedure described in [29] was the selected manufacturing method. In this method, each

silicon wafer is used to create two layers of perpendicular bars, which constitute the woodpile tiles. These tiles are afterwards appropriately stacked so that the woodpile is created. In order to create the woodpile tiles, 800- m-thick Si wafers were used. These tiles were first diced so that one of the layers of bars was created. Due to the large space between bars, several dicing cuts are required to create them. By properly interleaving them the achieved surface roughness was smaller than 5 m. The obtained bars had a taper of approximately 10 m. The woodpile can be built just by stacking two different types of layers. However, in order to create the waveguide, defects have to be created, leading to four different layers being needed. These different types are shown in different shades in Fig. 8. The central tiles required further processing in order to create the waveguide itself and the space where the rectangular waveguides were inserted. Processing of these areas was critical since the presence of air gaps between the metal waveguide and the woodpile bars and between the bars can create resonances that reduce the operational bandwidth. In order to avoid these gaps,

1738

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 9. Photograph of the manufactured EBG waveguide and support structure.

some bars were deliberately left slightly larger than their nominal values. The dimensions of the manufactured tiles were characterized by using a Mitutoyo Hyper MF-U 176-402-43 measuring microscope. The periodicity, thickness, and width of the bars were measured in different points of the tiles. The average values obtained were within 10 m of their intended values. The woodpile consisted of 14 tiles, which were assembled using a V-block, to ensure good alignment between them. When the tiles were aligned, they were fixed in position using standard cyanoacrylate adhesive. After assembly, the alignment of the full structure was characterized. The layer alignment was measured at the left- and right-hand sides of each of the waveguide ports and along the two faces perpendicular to the waveguide. In addition to this, to confirm that the tiles were not tilted in either plane, the total height of the structure was measured at the far left and far right of the measurement face. The data obtained indicated that the alignment of these tiles was mostly within 10 m of the intended position in the lateral direction. An accumulative error approaching 0.12 mm was recorded for the total stack height. This error is attributed to the variation in silicon thickness rather than air gaps between the tiles. The input and output metal waveguide support structure was manufactured to fix the alignment of the center of the flange to the center of the waveguide aperture. The support was separated from the woodpile edge by a distance of 5 mm in order to avoid resonances caused by the air gaps between the metal walls and woodpile bars. The metallic waveguides and flanges were machined from a single piece of copper using electric discharge machining. The radius at the internal corners of this waveguide is 0.125 mm. The internal length of the EBG waveguide was measured as 13.650 mm (9.75 periods) and the metal waveguides and support structure were machined to give 0.010 mm of clearance at the end of each of the metal waveguides. The complete assembly is shown in Fig. 9. V. WAVEGUIDE CHARACTERIZATION The electrical performance of the EBG waveguide was characterized with an ABmm vector network analyzer (VNA). As a

Fig. 10. (a) Transmission and (b) reflection performance of the back-to-back rectangular waveguide to EBG waveguide transition.

detector and signal source, a -band multiplier and a similar harmonic mixer were used. For calibration and verification purmeasureposes, a WR10 calibration kit was available. The ments were made with a 10-dB directional coupler. The same and coupler and WR10 isolators were used for the measurements in order to reduce standing waves between source and detector. For the transmission amplitude and phase calibration, a simple through measurement was made in which the test ports were connected directly to each other. This complex reference measurement was then used to normalize the measurements with the EBG waveguide. For the reflection tests calibration, a short and an offset-short was attached to the coupler instead of the waveguide. Measurements of the load alone and of the load with the shim were used to determine the coupler directivity and load mismatch. For the amplitude and phase plots in the following figures, the raw VNA data are normalized to the reference measurement given by the through measurement without EBG waveguide for or a combination of the short and offset short measurements for . The measurements are compared to simulations, which are based on the nominal dimensions of the woodpile waveguide and the transitions (see Fig. 10). The models used for simulation include the actual length of the WR10 waveguides as well. Good agreement is found between both results. The measurement results reproduce most of the features present in the predictions, although there is a small frequency shift (below 2%), caused by the manufacturing tolerances. The reflection curve

EDERRA et al.: EBG WAVEGUIDE FOR MILLIMETER RANGE

1739

A prototype has been manufactured and tested at -band. The waveguide was manufactured in silicon and fed by conventional WR10 waveguides. Good agreement was found between the predicted and measured performance. The achieved operational bandwidth was 13.5% where the insertion losses were lower than 3 dB. These results show the possibility to efficiently use an EBG substrate as waveguide. The design of millimeter- and submillimeter-wave heterodyne receivers based on EBG technology could benefit from this type of configuration.

Fig. 11. S 21 phase after subtracting the waveguide lengths (measurements and model curves) and propagation phase obtained out of the dispersion diagram (Fig. 2) using a 9.75-period-long waveguide (dispersion diagram).

shows larger differences due to the higher impact of the errors on the reflection at both input and output transitions. The transmisis larger sion bandwidth, defined by the points at which the than 3 dB is 13.5% (83–95 GHz). This bandwidth is slightly smaller than the theoretical optimum performance (Fig. 7) due to the aforementioned modifications introduced in the optimum design in order to avoid resonances. The transmission losses are of the order of 1 dB within the transmission band. Since the reflection coefficient is lower than 20 dB and high-resistivity silicon has low losses in this frequency range [28], these losses should be mainly attributed to leakage in the transition and through the waveguide walls and scattering due to the manufacturing imperfections. Taking into account that the waveguide consists of 9.75 periods, the losses per period are approximately 0.1 dB. Bearing in mind that the waveguide walls are three woodpile periods thick, this value is larger than what was measured in [30], 0.028 dB/period. However, these results were obtained at a lower frequency (12 GHz), where manufacturing tolerances should be smaller, leading to smaller losses. phase. The Fig. 11 shows the measured and simulated phase change caused by the WR10 waveguide of the transitions has been subtracted for this figure using the actual lengths of these sections. A constant phase offset has been subtracted to obtain 0 phase at 90 GHz. Good agreement can be found within the waveguide bandwidth between the experimental results and the simulations results, obtained from the transmission results and the band diagram computations.

VI. CONCLUSIONS The design, manufacturing, and test of a waveguide based on a silicon EBG woodpile has been presented. The woodpile has been modified with respect to the standard configuration, creating a symmetry plane in the stacking direction. This way, single-mode propagation can be achieved in the waveguide. The fact that monomode propagation is allowed in the EBG waveguide allows to increase its dimensions and use standard waveguides in order to feed them. This facilitates their integration with conventional waveguide circuitry.

REFERENCES [1] J. D. Joannopoulus, R. D. Meade, and J. N. Winn, Photonic Crystals; Molding the Flow of Light. Princeton, NJ: Princeton Univ. Press, 1995. [2] P. de Maagt, R. Gonzalo, J. C. Vardaxoglou, and J. M. Baracco, “Photonic bandgap antennas and components for microwave and (sub)millimetre wave applications,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2667–2677, Oct. 2003. [3] B. Martínez, I. Ederra, R. Gonzalo, B. Alderman, L. Azcona, P. G. Huggard, B. de Hon, A. Hussain, S. R. Andrews, L. Marchand, and P. de Maagt, “Manufacturing tolerance analysis, fabrication, and characterization of 3-D submillimeter-wave electromagnetic-bandgap crystals,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 4, pp. 672–681, Apr. 2007. [4] I. Ederra, R. Gonzalo, B. E. J. Alderman, P. G. Huggard, B. P. de Hon, M. C. van Beurden, A. Murk, L. Marchand, and P. Maagt, “Electromagnetic bandgap based planar imaging array for 500 GHz,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2556–2565, Nov. 2008. [5] B. Temelkuran and E. Ozbay, “Experimental demonstration of photonic crystal based waveguides,” Appl. Phys. Lett, vol. 74, no. 4, pp. 486–488, Jan. 1999. [6] A. Chutinan and S. Noda, “Highly confined waveguides and waveguide bends in three-dimensional photonic crystal,” Appl. Phys. Lett., vol. 75, no. 24, pp. 3739–3741, Dec. 1999. [7] Z.-Y. Li and K.-M. Ho, “Waveguides in three-dimensional layer-bylayer photonic crystals,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 20, no. 5, pp. 801–809, May 2003. [8] E. Lidorikis, M. L. Povinelli, S. G. Johnson, and J. D. Joannopoulos, “Polarization-independent linear waveguides in 3D photonic crystals,” Phys. Rev. Lett., vol. 90, no. 2, Jul. 2003, Art. ID 023902. [9] A. Chutinan and S. John, “Light localization for broadband integrated optics in three dimensions,” Phys. Rev. B, Condens. Matter, vol. 72, no. 16, 2005, Art. ID 161316. [10] M. Imada, L. H. Lee, M. Okano, S. Kawashima, and S. Noda, “Development of three-dimensional photonic-crystal waveguides at opticalcommunication wavelengths,” Appl. Phys. Lett., vol. 88, no. 17, 2006, Art. ID 171107. [11] H. S. Sozuer and J. P. Dowling, “Photonic band calculations for woodpile structure,” J. Mod. Opt., vol. 43, pp. 231–234, 1994. [12] K. M. Ho, C. T. Chan, C. M. Soukoulis, R. Biswas, and M. Sigalas, “Photonic band gaps in three dimensions: New layer-by-layer periodic structures,” Solid State Commun, vol. 89, pp. 413–416, 1994. [13] M. Bayindir, B. Temelkuran, and E. Ozbay, “Propagation of photons by hopping: A waveguiding mechanism through localized coupled-cavities in three dimensional photonic crystals,” Phys. Rev. B, Condens Matter, vol. 61, 2000, Art. ID R11855. [14] M. Bayindir, B. Temelkuran, and E. Ozbay, “Tight-binding description of the coupled defect modes in three-dimensional photonic crystals,” Phys. Rev. Lett., vol. 84, no. 10, pp. 2140–2143, Mar. 2000. [15] M. Bayindir, E. Ozbay, B. Temelkuran, M. M. Sigalas, C. M. Soukoulis, R. Biswas, and K. M. Ho, “Guiding, bending, and splitting of electromagnetic waves in highly confined photonic crystal waveguides,” Phys. Rev. B, Condens. Matter, vol. 63, 2001, Art. ID 081107. [16] R.-J. Liu, M. Ruan, F. Zhou, Z.-Y. Li, B.-Y. Cheng, and D.-Z. Zhang, “Waveguide bend designs in three-dimensional woodpile photonic crystals,” J. Appl. Phys., vol. 103, no. 3, 2008, Art. ID 034502. [17] C. Sell, C. Christensen, J. Muehlmeier, G. Tuttle, Z. Y. Li, and K. M. Ho, “Waveguide networks in three-dimensional layer-by-layer photonic crystals,” Appl. Phys. Lett., vol. 84, no. 23, pp. 4605–4607, Jun. 2004.

1740

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

[18] A. R. Weily, K. P. Esselle, T. P. Bird, and B. C. Sanders, “Experimental woodpile EBG waveguides bends and power dividers at microwave frequencies,” Electron. Lett, vol. 42, no. 1, pp. 32–33, Sep. 2005. [19] R. Biswas, C. Christensen, J. Muehlmeier, G. Tuttle, and K.-M. Ho, “Waveguide circuits in three-dimensional photonic crystals,” Photon. Nanostruct.—Fundam. Appl., vol. 6, pp. 134–141, 2008. [20] M. Bayindir and E. Ozbay, “Dropping of electromagnetic waves through localized modes in three-dimensional photonic band gap structures,” Appl. Phys. Lett., vol. 81, no. 24, pp. 4514–4516, Dec. 2002. [21] P. Kohli, C. Christensen, J. Muehlmeier, R. Biswas, G. Tuttle, and K.-M. Ho, “Add–drop filters in three-dimensional layer-by-layer photonic crystals using waveguides and resonant cavities,” Appl. Phys. Lett., vol. 89, no. 23, 2006, Art. ID 231103. [22] A. R. Weily, T. S. Bird, K. P. Esselle, and B. C. Sanders, “Woodpile EBG phase shifter,” Electron. Lett., vol. 42, no. 25, pp. 1463–1464, Dec. 2006. [23] C. Sell, C. Christensen, J. Muehlmeier, G. Tutle, Z. Y. Li, and K. M. Ho, “Integrated horn for improved side coupling into in-plane threedimensional photonic crystal waveguides,” Appl. Phys. Lett., vol. 85, no. 5, pp. 707–709, Aug. 2004. [24] N. Delhote, D. Baillargeat, S. Verdeyme, M. Thévenot, C. Delage, and C. Chaput, “Large experimental bandpass waveguide in 3D EBG woodpile manufactured by layer-by-layer ceramic stereolithography,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 3–8, 2007, pp. 1431–1434. [25] N. Delhote, D. Baillargeat, I. Ederra, I. Khromova, R. Gonzalo, A. Murk, B. Alderman, and P. de Maagt, “Electromagnetic band gap waveguide for the millimetre range,” presented at the 5th ESA Millimetre Wave Technol. Appl. Workshop, May 18–20, 2009. [26] S. Johnson and J. Joannopoulos, “Block-iterative frequency-domain methods for Maxwell’s equations in a planewave basis,” Opt. Exp., vol. 8, pp. 173–190, 2001. [27] B. Thon, D. Bariant, D. Baillargeat, M. Aubourg, S. Verdeyme, P. Guillon, F. Thévenon, M. Rochette, J. Puech, L. Lapierre, and J. Sombrin, “Coupled Padé approximation-finite element method applied to microwave device design,” in IEEE MTT-S Int. Microw. Symp. Dig., 2002, vol. 3, pp. 1889–1892. [28] P. H. Bolivar, M. Brucherseifer, J. G. Rivas, R. Gonzalo, I. Ederra, A. L. Reynolds, M. Holker, and P. de Maagt, “Measurement of the dielectric constant and loss tangent of high dielectric-constant materials at terahertz frequencies,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1062–1066, Apr. 2003. [29] R. Gonzalo, B. Martinez, C. M. Mann, H. Pellemans, P. H. Bolivar, and P. de Maagt, “A low-cost fabrication technique for symmetrical and asymmetrical layer-by-layer photonic crystals at submillimeter-wave frequencies,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2384–2392, Oct. 2002. [30] C. Sell, C. Christensen, G. Tuttle, Z.-Y. Li, and K.-M. Ho, “Propagation loss in three-dimensional photonic crystal waveguides with imperfect confinement,” Phys. Rev. B, Condens. Matter, vol. 68, no. 11, 2003, Art. ID 113106.

Iñigo Ederra was born in Isaba, Navarra, Spain, in 1972. He received the Ingeniero de Telecomunicación and Ph.D. degrees from the Universidad Pública de Navarra, Pamplona, Spain, in 1996 and 2004, respectively. In 1997, he joined the Microwave and Millimetre Wave Group, Universidad Pública de Navarra. From 1999 to 2000, he was with the European Space Research and Technology Centre (ESTEC), European Space Agency (ESA), Noordwijk, The Netherlands, where he was involved with EBG materials and their applications in the field of antennas. Since 2001 he has been with the Antenna Group, Universidad Pública de Navarra. From June to October 2002, he was a Visiting Scientist with the Rutherford Appleton Laboratory, Chilton, Didcot, U.K., during which time he participated in the Startiger project. His research interests are in the field of EBG materials and metamaterials and their applications in microwave and millimeter-wave components and antennas. Dr. Ederra was corecipient of the LAPC 2006 and IWAT 2007 Best Paper Award.

Irina Khromova was born in Saratov, Russia. She received the M.Phys. degree in nonlinear processes from Saratov State University, Saratov, Russia, in 2005, the Master degree in communications technology from the Public University of Navarra, Pamplona, Spain, and in 2008, and the Ph.D. degree in physical and mathematical sciences (with a speciality in laser physics) from Saratov State University, Saratov, Russia, in 2008. In 2005, she joined the Department of Physics, Chair of Laser and Computer Physics, Saratov State University. From 2005 to 2007, she was an Engineer with the Scientific Investigation Department, and a Junior Research Assistant with the Scientific Investigation Department with Saratov State University. In 2006, she joined the Antenna Group, Public University of Navarra. She was a Visiting Researcher with Helhmoltz University, Berlin, Germany (2003), Macquarie University, Sydney (2009) and the Technical University of Eindhoven, Eindhoven, The Netherlands (2010). She is currently with the Public University of Navarra, where she is working on a second Ph.D. thesis in engineering. She has authored 37 publications. She has been a reviewer in several leading journals on optics and nonlinear dynamics. Her main fields of scientific interest are electromagnetics, waves and oscillations, optics, microwave physics, laser physics, nonlinear dynamics, and electrical engineering. Her research concerns electromagnetic waves propagation in different types of media (anisotropic, periodic, nonlinear media) and structures (periodic structures, EBGs, photonic crystals, periodic structures with defects), novel physical phenomena in optics and microwave physics, and numerical methods for solving various types of electromagnetic problems.

Ramón Gonzalo (S’95–M’00) was born on July 15, 1972 in Logroño, La Rioja, Spain. He received the M.Sc. (with honors) and Ph.D. degrees in telecommunication engineering from the Public University of Navarra (UPNA), Pamplona, Spain, in 1995 and 2000, respectively. Since October 1995, he has been with the Antenna Group, Electrical and Electronic Engineering Department, UPNA, where he is currently an Associate Professor. From September 1997 to December 1998, he was a Research Fellow with the Antenna Section, European Space Research and Technology Centre (ESTEC), European Space Agency (ESA), Noordwijk, The Netherlands, where he was involved in the modeling and design of electromagnetic crystal devices at microwave and millimeter-wave frequencies. He has been involved in over 20 research projects acting as coordinator of several of them. In particular, he has been coordinator of two electromagnetic crystal projects within the framework of ESTEC contracts. He has authored or coauthored over 20 journal publications and 80 conference papers related to electromagnetic crystal topics. His current area of research is in the field of electromagnetic crystal structures with emphasis on space antenna applications, design of waveguide transmission lines, and corrugated horn antennas.

Nicolas Delhote was born in Limoges, France, in 1981. He received the Ph.D. degree from the XLIM Laboratory, University of Limoges, Limoges, France, in 2007. He is currently an Associate Professor with the Micro et Nanotechnologies pour Composants Optoélectroniques et Microondes (MINACOM) Department, XLIM Laboratory, University of Limoges. His research activities are mainly dedicated to the packaging of millimeter-wave modules and to the design of original resonators and filters based on new topologies, concepts (EBG, etc.) and/or technologies (silicon, low-temperature co-fired ceramic (LTCC), 3-D ceramic stereolithography, etc.).

EDERRA et al.: EBG WAVEGUIDE FOR MILLIMETER RANGE

Dominique Baillargeat was born in Le Blanc, France, in 1967. He received the Ph.D. degree from the University of Limoges, Liomoges, France, in 1995. He is currently a Professor with the MINACOM Department, XLIM Research Institute, University of Limoges. His fields of research concern the development of advanced methods of design for microwave passive devices. These methods include computer-aided design (CAD) techniques based on hybrid approach coupling electromagnetics, circuits and thermal analysis, synthesis and electromagnetic optimization techniques. They are mainly dedicated to the packaging of RF modules, and to the design of innovative passive filters based on new topologies, concepts (EBG) and/or technologies (silicon micromachining, LTCC, ceramic stereolithography process). He has authored or coauthored over 150 technical papers in journals or conferences. He is as expert for the French Research Agency (ANR). Dr. Baillargeat has been a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, the IEEE MICROWAVE WIRELESS COMPONENT LETTERS, and European Microwave Week (EuMW).

Axel Murk received the M.S. degree in physics from the Technical University of Munich, Munich, Germany, in 1995, and the Ph.D. degree in physics from the University of Bern, Bern, Switzerland, in 1999. In 2003, he was an Invited Scientist with the Japanese space agency JAXA. Since 2006, he has been the Head of the Terahertz Optics Group, Institute of Applied Physics, University of Bern. His current research interests include electromagnetic simulations and measurements at frequencies in the millimeter- and submillimeter-wave range, mostly for remote sensing and radio astronomical applications.

1741

Byron E. J. Alderman was born in Bournemouth, U.K., in 1976. He received the M.Phys. degree in physics from the University of Warwick, Warwick, U.K., in 1998, and the Ph.D. degree from The University of Leeds, Leeds, U.K., in 2001. He is currently a member of the Millimetre Wave Technology Group, Science and Technology Facilities Council (STFC) Rutherford Appleton Laboratory, Chilton, Didcot, U.K. His research interests are in the fields of photonic-bandgap structures and heterodyne receiver technology for applications in remote sensing and astronomy at millimeter and sub-millimeter wavelengths.

Peter de Maagt (S’88–M’88–SM’02–F’08) was born in Pauluspolder, The Netherlands, in 1964. He received the M.Sc. and Ph.D. degrees from the Eindhoven University of Technology, Eindhoven, The Netherlands, in 1988 and 1992, respectively, both in electrical engineering. From 1992 to 1993, he was a Station Manager and Scientist for an INTELSAT propagation project in Surabaya, Indonesia. He is currently with the European Space Research and Technology Centre (ESTEC), European Space Agency (ESA), Noordwijk, The Netherlands. His research interests are in the are of millimeter- and submillimeter-wave reflector and planar integrated antennas, quasi-optics, EBG antennas, and millimeter- and submillimeter-wave components. Dr. de Maagt is an associate editor for the IEEE TRANSACTION ANTENNAS AND PROPAGATION. He is a member of the IEEE Antennas and Propagation Society (IEEE AP-S) Administrative Committee (AdCom). He was a two-time corecipient of the H. A. Wheeler Award of the IEEE AP-S for the best applications paper of 2001 and 2008. He was the recipient of a European Space Agency Award for innovation in 2002. He was a corecipient of the LAPC 2006 and IWAT 2007 Best Paper Award.

1742

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Amplitude Offset Estimation by Phase Comparison in Suppression Loops Paul A. Warr and Nirmal Bissonauth

Abstract—In this paper, a new architecture for an RF amplitude comparator circuit is introduced. The technique employs a trigonometric relationship enacted by passive RF components to map the amplitude ratio between two co-spectral RF signals into a phase difference. The theory of operation of the circuit is discussed in detail and the results of a practical investigation are presented, validating the approach. The results demonstrate an accuracy advantage over commercially available products. Over the frequency range of 1600–2100 MHz, amplitude offset measurements to within 0.08 dB of that of a calibrated vector signal analyzer are shown. Index Terms—Amplitude estimation, measurement errors, phase measurement.

I. INTRODUCTION

T

HE APPROACH to amplitude offset estimation presented in this paper will find application in circuits where two signals are brought together in antiphase in order to suppress the output. This function is common in analog linearization circuits such as feedforward [1] and inherent error signal cancellation [2]. The system is not suitable for use as a general amplitude measurement instrument or as an offset measurement device if the amplitudes are arbitrary. Conventionally in suppression applications, a pilot tone (or spread spectrum pilot) is injected into the network and the residual presence of this signal is detected to attain information on the amplitude and phase balance of the suppression function. There are a number of shortcomings of this approach including the following. • As suppression increases, the residual signal decays toward the noise floor and becomes difficult to detect. • The amplitude of the residual signal does not provide enough information to resolve the phase and amplitude balance in the circuit, and thus, iteration of the balance control elements is required in order to maintain the suppression function. • The phase variation of the residual signal becomes erratic as its amplitude approaches the system limit, and thus, cannot be used as a control metric. The technique presented here overcomes these issues by sampling the two signals as they enter the combination stage and making comparative amplitude and phase measurements of them. The accuracy of available amplitude measurement hardManuscript received July 13, 2009; revised January 25, 2010; accepted April 09, 2010. Date of publication May 24, 2010; date of current version July 14, 2010. P. A. Warr is with the Centre for Communications Research, The University of Bristol, Bristol BS8 1UB, U.K. (e-mail: [email protected]). N. Bissonauth is with Phyworks Ltd., Bristol BS1 6EA, U.K. Digital Object Identifier 10.1109/TMTT.2010.2049679

ware (e.g., 3%, if the absolute input power is selected carefully [3]) is insufficient for this purpose in a circuit where greater than 40 dB of suppression is desired, requiring an amplitude accuracy around 0.8% and phase accuracy around 0.5 . An absolute power measurement is not required for this application; only the difference in power between two signals is important. The solution presented here aims to measure this difference in an accurate manner by taking only phase measurements. As the two signals are in near-antiphase, available single-chip phase measurement hardware [3] is inaccurate. Thus, the technique includes stages to convert the signals to a near-quadrature relationship, at which point the phase measurement is at its most accurate. An increase in accuracy over standard power detection is attained by using phase measurement hardware at this optimum accuracy point. The technique has advantages over previous systems for aligning amplitude and phase in suppression loops [4], [5] in that a pilot tone is not required, indeed the information-bearing signal may be used as the driving signal for the measurement process. The estimation system merely samples the signals, and thus, its input amplitude may be controlled arbitrarily. High-impedance transistor gate/base nodes placed in parallel with the input port (e.g., 50 ) of the signal suppression entity is appropriate. The technique is suitable for realization on a RF integrated circuit (RFIC) for high-frequency broadband operation or, if only narrowband and/or low frequency operation is required, on a mixed signal application-specific integrated circuit (ASIC) (e.g., implemented in CMOS) where the addition of a closed-loop control system for amplitude and phase control may be envisaged on a single die. This paper begins with a mathematical analysis of the circuit in order to demonstrate how an estimate of amplitude offset may be derived from a phase measurement. The technique is then validated via a practical investigation and results are presented. The results are analyzed and compared to those produced with a calibrated vector network analyzer (VNA) over the frequency range of 1600–2100 MHz. II. THEORY OF OPERATION The operation of the system is best explained through an analysis of the block diagram shown in Fig. 1. and . These The inputs to the system are the signals signals are assumed to be co-spectral, in near-antiphase, and of near-equal power, as would be the case if one was used to suppress the other. Primarily, these inputs drive the suppresis a function of the amsion coupler, the output of which and plitude and antiphase offsets between the signals.

0018-9480/$26.00 © 2010 IEEE

WARR AND BISSONAUTH: AMPLITUDE OFFSET ESTIMATION BY PHASE COMPARISON IN SUPPRESSION LOOPS

1743

The output produced by the 180 hybrid circuit comprises a sum , and difference signals defined as follows:

(5) Fig. 1. Proposed circuit for phase and amplitude monitoring.

are sampled by resistive taps at the input to the suppression coupler. These taps have a resistance of at least an order of magnitude greater than the line impedance so that no degradation to the input power match of the circuit is observed. The sampled signals are passed to the amplitude and antiphase-offset measurement circuits; which ultimately output , the phase offset metric, and , the amplitude offset metric. The resistive taps may include shunt resistances in order to match the characteristic impedances of the hybrids; alternatively they be replaced with matched directional couplers or high input impedance active circuits.

(6) The phase relationship between and is shown below to be a function of the amplitude ratio between the input signals and . and are first expressed in their respective and . (not root mean square (rms) scaled) phasor forms From (5),

(7) A. Amplitude Comparator Taking a continuous wave signal as an illustration of circuit operation, the inputs to the system may be defined as

From (6),

(8) (1) (2) is the amplitude of the arbitrary reference signal, is where the scaling factor of the antiphase signal, and is the phase error of the antiphase relationship between the two signals [indicated by the minus sign present in (2)]. and are expected to be small (less than 0 4 and 1 0.02, respectively). The amplitude comparator comprises a pair of 90 hybrid circuits driving a 180 hybrid circuit; the output of which drives a mixer-based phase comparator. It is shown below that the phase and exiting the 180 difference between the two signals hybrid circuit, given by , provides the estimate for the amplitude ratio of the two input signals and . , The 90 hybrid phase shifts one of the signals to form and this is passed to the 180 hybrid. The second 90 hybrid . As ensures that equivalent group delay is also present on the delay is balanced, the analysis can be simplified by omitting the common mode phase response of the circuit. Thus, at the input to the 180 hybrid,

The dot product is used to determine the phase angle between the two signals. Thus,

(9)

(10)

(3)

(4)

(11)

1744

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 2. Phase difference  between V and V against amplitude ratio k and phase error . The dependency of  on  is at a minimum along the line A–A .

Fig. 3. Error in measuring the amplitude ratio k when phase error  is assumed to be zero for varying actual phase error and observed phase difference  . Amplitude estimate error reduces to zero on the lines A–A and B–B .

Fig. 4. Hardware demonstrator schematic: v is the phase comparison output (v ) and v is the amplitude comparison voltage, which relates to (v ) =v . output voltage which relates to v

j

(12) Equation (12) shows the relation between the phase difference , amplitude ratio , and phase error . A surface plot of against and is shown in Fig. 2. The figure shows that, as (0 dB), the dependency of on reaches a minimum (along the line – in Fig. 2). Thus, the two system aims of amplitude and antiphase balance may be simultaneously met. From this result, it may be assumed that phase error is not crit(0 dB), ical in determining the amplitude ratio . When the value of is also near 90 ; when the phase detector is operating with greatest accuracy. Of importance to this application is the relation between and in the region where and . In this region, the error in the measurement of is found to be very small. This error is shown in Fig. 3 for varying actual phase error and and varying observed phase difference in the region . This figure shows that, as , the error in the measurement of reduces to zero regardless of the phase error . On Fig. 4, the lines A–A and B–B indicate zero systematic error in the amplitude estimate. Thus, a system that aims to drive the amplitude difference between two near-antiphase signals to zero, based on this measurement technique, does not require knowledge of the finite phase error. Therefore, may be assumed to be zero for the amplitude measurement and (12) may be simplified and rearranged to give

0

jj

in the region where

j

. Thus,

(13) By the application of the chain and quotient rules for differentiation, it can be shown that the rate of change of with is given by (14)

which may be simplified to (15) Thus, for (0 dB), (15) shows that the rate of change of phase difference between the two signals entering the phase comparison process is 1 rad/unit- (2 rad/dB). Fig. 2 shows that this rate is at a maximum. Therefore, if a phase comparison could be made between these two signals with greater than half the accuracy in absolute radians than could be observed on the difference in power of the two, then a more accurate amplitude estimation would result. This is the basis of the technique reported here.

WARR AND BISSONAUTH: AMPLITUDE OFFSET ESTIMATION BY PHASE COMPARISON IN SUPPRESSION LOOPS

Best case commercial amplitude comparators offer accuracy of 1 dB ( 0.12 amplitude factor) for near-equal amplitude signals up to 2.2 GHz over the commercial temperature range [3]. Lower accuracy is attained if individual amplitude measurement components are used [6]. However, under the same conditions, commercial phase comparators offer accuracy of 2 if the signals entering the comparator are in near-quadrature [3]. This technique exploits the increased accuracy of phase comparison techniques operating on input signals in near-quadrature to provide an amplitude offset estimation of significantly greater accuracy than that available by direct measurement. Equation (15) shows that, as diverges from 0 dB (in either direction) the variation of with decreases. If the offset is 1 dB in either direction, the rate of change of falls to 1 rad/dB. If the offset is 2 dB, the rate of change of falls to 0.4 rad/dB. B. Phase Comparator The phase comparator is driven via a pair of 90 hybrid circuits, one input being taken from the 90 port of one hybrid and the other from the 0 port of the second hybrid. A phase comparator normally comprises a mixer circuit followed by a , phase discriminalow-pass filter. For small phase errors tion can be maximized by this introduction of a 90 phase shift to one of the inputs. In Fig. 1, , the input to the mixer element of the phase comparator, is phase-shifted. The other input is also passed through a 90 hybrid, but the nonphase-shifted . This ensures that the group delay introoutput is selected, duced by the 90 hybrid circuit is present on both inputs to the phase comparator. Assuming the same inputs to the system as given in (1) and (2), (16) (17) The output of the mixer can be written as the product of and and simplified into a sum of the two constituent sine functions to give (18) produces the signal Low-pass filtering the mixer output , which is a sine function of the phase difference (19) This signal has a rate of change

, which is given by (20)

which is at a maximum when Thus, as in this application, the accuracy of the phase measurement is maximized. III. RESULTS A hardware demonstrator was built based on Fig. 1 in order to validate this analysis and is shown in Fig. 4. The 90 hybrids are Mini-Circuits QBA-20 W [7] and the phase comparators are Analog Devices AD8302 [6]. The rat-

1745

race hybrids is realized in microstrip on a GIL Technologies MC5 high-frequency laminate (0.03 in). The bandwidth over which this demonstrator operates is defined by that of the 90 hybrids, i.e., 1600–2200 MHz. A signal generator provides the test signal, which is split into two antiphase signals, substantially of equal power. Elements are placed in the two signal paths in order to control their amplitude and phase relationship around the antiphase and equal-power point. The difference between the two channel elements produces the small error in amplitude and phase that the comparator circuits measure. The 0 output is connected to the Channel A element, while the 180 output is connected to the and Channel B element. These are, in turn, connected to the inputs of the amplitude and phase measurement circuit. In the case of this prototype circuit, the inputs directly drive the 90 hybrids, rather than driving the suppression combiner and being sampled by resistive taps, as depicted in Fig. 1. The differences between the pairs of channel elements were also measured by a through, open, short, and match (TOSM)calibrated VNA to give a “best practical case” by which the performance of the technique could be assessed. There is some residual unmeasured error between the VNA benchmark and that observed by the technique due to the re-mating transfer response accuracy of the connectors [8]. A reference measurement is required to remove gain and phase errors present between the two channels of the comparator circuits before any comparative tests can be performed. These errors arise from issues such as amplitude imbalance and phase errors in the 90 hybrid circuits and within the AD8302 phase comparators. For the reference measurement, elements were inserted into Channels A and B, and the output of the amplitude and phase measurement circuits were noted. All performance measurements were made by replacing one of the reference elements and the change in output of the circuit was noted. This may be considered as a calibration step to account for fixed systematic offsets. The assumption of consistency is valid for measurements near the operating point defined by and . The input frequency was varied from 1600 to 2100 MHz and the differences in amplitude and phase were recorded against frequency. The results from the tests demonstrate the accuracy of the phase and amplitude measurement circuits. A. Phase Measurement The results here benchmark the accuracy of the phase measurement, and therefore, the utilization of the AD8302 devices: a “best case” expectation of the amplitude accuracy is established. This device also had an amplitude measurement function that is used as a benchmark for the performance available from amplitude measurement devices based on logarithmic amplifiers. Four elements were measured with phase delays up to 8 (mid band). Fig. 5 shows the phase difference effected by the delay elements across the range of 1600–2100 MHz measured by the phase comparator circuit. Also shown is the result of the independent VNA phase measurement of the elements relative to the systematic offset calibration.

1746

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 5. Characteristic of delay elements using VNA and the phase comparator circuit. The hatching pattern of the traces identifies the pairs of VNA and measured data. Each pair comprises one dark trace (VNA data) and one light trace (measured data).

The required instrumentation to interpret the amplitude offset estimation output of the system is the same as that required for the phase offset, as both are provided by phase-voltage output of instances of the same device (in this case, AD8302). The phase-voltage output is within the range of 0–1.8 V and may be directly observed by an instrument drawing less than 8 mA or sampled for digital processing. The phase output from the AD8302 circuit limits the performance of both the phase and amplitude comparator circuits. From the plots of the phase difference against frequency in Fig. 5, it is seen that the observed phase difference can vary by as much as 1.5 from the VNA measurement. B. Amplitude Measurement The reference measurement for this test followed the same form as the phase measurements. The tests were performed by replacing the Channel B attenuator element with three test attenuator elements. Fig. 6 shows the amplitude difference effected by the test elements across the range of 1600–2100 MHz, measured by the amplitude comparator circuit. Also shown is the result of the independent VNA phase measurement of the elements relative to the systematic offset calibration. Fig. 6 shows that the practical application of this technique for measuring amplitude offsets offers a measurement tolerance of 0.08 dB over a broad band (with respect to a calibrated VNA measurement). This compares favorably with the amplitude measurement tolerance offered by commercial devices (e.g., AD8032), which typically quote 1-dB linearity. The increased accuracy of this technique may be attributed to the use of phase comparison at its most accurate operational point and the high rate of change of phase with respect to amplitude offset in this region. The cyclic nature of the phase and amplitude offset error in the frequency domain is indicative of mismatch between transmission line and source/load ports. It is likely that greater accuracy will result if these mismatches are removed, although this is not included in the current investigation.

Fig. 6. Comparison of attenuator elements using amplitude comparator circuit and VNA. The hatching pattern of the traces identifies the pairs of VNA and measured data. Each pair comprises one dark trace (VNA data) and one light trace (measured data).

The frequency-domain period of the cycles in the output accuracy correlates with the electrical length of the matched cables used in the prototype circuit. The proven system accuracy of gain and phase measurement approaches that of the re-mating transfer response accuracy of the subminiature A (SMA) connectors used in the test elements and throughout the prototype circuit [8]. Therefore, further attempts to increase the system performance with respect to the VNA-measurement benchmark would not be valid with this discrete implementation. IV. CONCLUSION The accuracy of the phase measurement circuit with respect to a VNA measurement was found to be 1.5 over the measured frequency range as expected from the quoted accuracy in the phase comparator data sheet. Tests performed with attenuator elements showed that the amplitude comparator circuit could measure differences with an accuracy of 0.08 dB with respect to a VNA measurement. The method is a valid means of measuring amplitude differences offering greater accuracy than that of commercial products. The derivation of an amplitude offset metric from a phase measurement made at the optimum relationship between inputs facilitates this additional accuracy. When used in a suppression loop system, this approach offers significant advantages over traditional methods as a pilot tone (or spread-spectrum equivalent) is not employed. Implementation in integrated form as either an RFIC or mixed signal ASIC is likely to yield increased accuracy by removing mismatch-based errors in the system. Design-time efficiency is inherent in this approach as sub-circuit reuse is prevalent across the architecture. REFERENCES [1] P. B. Kenington, R. J. Wilkinson, and J. D. Marvill, “The design of highly linear broadband power amplifiers,” IEE Solid-State Power Amplifiers Colloq., pp. 5/1–5/4, Dec. 16, 1991. [2] P. A. Warr, M. A. Beach, and J. P. McGeehan, “Amplifier linearisation by exploitation of backwards-travelling signals,” Electron. Lett., vol. 38, no. 6, pp. 260–261, Mar. 2002. [3] “Analog Devices AD8313,” Analog Devices, Norwood, MA, Data Sheet, Jun. 2004. [Online]. Available: http://www.analog.com/

WARR AND BISSONAUTH: AMPLITUDE OFFSET ESTIMATION BY PHASE COMPARISON IN SUPPRESSION LOOPS

[4] S. Kang, U. Park, K. Lee, and S. Hong, “Adaptive feedforward amplifier using pilot signal,” in 10th Int. Telecommun. Conf., Feb 23–Mar. 1, 2003, vol. 1, pp. 677–680. [5] G. T. Watkins and P. A. Warr, “Flexible linearity profile low noise feedforward amplifiers for improving channel capacity,” in 57th IEEE Semiannu. Veh. Technol. Conf., Apr. 22–25, 2003, vol. 3, pp. 1567–1570. [6] “Analog Devices AD8302,” Analog Devices, Norwood, MA, Data Sheet, Jul. 2002. [Online]. Available: http://www.analog.com/ [7] “QBA-20W, surface mount splitter/combiner,” Mini-Circuits, Brooklyn, NY. [Online]. Available: http://www.minicircuits.com/ QBA-20W.pdf, (last accessed August 21, 2005) [8] J. R. Juroshek, “A study of measurements of connector repeatability using highly reflecting loads,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 4, pp. 457–460, Apr. 1987.

Paul A. Warr received the B.Eng. degree in electronics and communications from The University of Bath, Bath, U.K., in 1994, and the M.Sc. degree in communications systems and signal processing and Ph.D. degree from The Uni-

1747

versity of Bristol, Bristol, U.K., in 1996 and 2001, respectively. His doctoral research concerned octave-band linear receiver amplifiers. He is currently a Senior Lecturer of electronics with The University of Bristol. His research concerns the front-end aspects of software (reconfigurable) radio and diversity-exploiting communication systems, responsive linear amplifiers, flexible filters, and linear frequency translation. His research has been funded by the U.K. Department of Trade and Industry (DTI)/Engineering and Physical Sciences Research Council (EPSRC) alongside European Framework programs and industrial collaborators.

Nirmal Bissonauth received the B.Sc. degree in electronics and computer science from Keele University, Stoke, U.K., in 1996, the M.Sc. degree in communications systems and signal processing from The University of Bristol, Bristol, U.K., in 2005, and is currently working toward the Ph.D. degree (part time) at The University of Bristol. He is currently an Analog Design Engineer with Phyworks Ltd., Bristol, U.K., where he is involved with cable and backplane equalizer integrated circuits. His research concerns the investigation of techniques for linearizing mixers.

1748

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Self-Calibrated Two-Point Delta–Sigma Modulation Technique for RF Transmitters Sungho Lee, Student Member, IEEE, Jaejun Lee, Student Member, IEEE, Hangue Park, Kang-Yoon Lee, Senior Member, IEEE, and Sangwook Nam, Member, IEEE

Abstract—A self-calibrated two-point delta–sigma modulation technique for CMOS RF transmitter is proposed. This calibration technique employs voltage-controlled oscillator (VCO) and delta–sigma modulator input ports in a frequency synthesizer. By monitoring the control voltage of a loop filter, the gain mismatch between two paths can be detected and completely calibrated by modifying the gain of the VCO path. The acceptable timing mismatch between the two paths is also investigated so that the timing can be controlled to ensure stable output performance. As a result, the phase modulation guarantees a robust performance against PVT variations without using any predistortion techniques. This technique is applied to a quad-band (850/900/1800/1900) GSM/EDGE transmitter for verification. For the amplitude modulation of the EDGE mode, a dc calibration is adopted to suppress unwanted carrier leakage tones. The measurement results show satisfactory GSM/EDGE spectrums and error vector magnitude performance at both low- and high-frequency bands. Index Terms—CMOS, delta–sigma modulation, EDGE, GSM, polar loop, transmitter, two-point modulation.

I. INTRODUCTION ITH the increasing use of multiband/multimode wireless equipment, cost/size-effective design becomes one of the major design goals for mobile devices. Previous work on RF transmitters have proposed several architectures; mainly, super-heterodyne, direct upconversion, and polar modulation have been employed [1]–[5]. Among them, the polar modulation type has been widely used in the RF transmitter for a nonconstant envelope-signal because the separate process of the amplitude and the phase signal could be effective.

W

Manuscript received August 28, 2009; revised February 27, 2010 and April 01, 2010; accepted April 05, 2010. Date of publication June 07, 2010; date of current version July 14, 2010. This work was supported by the Korea Science and Engineering Foundation through the National Research Laboratory Program funded by the Ministry of Science and Technology under Contract ROA-2007-000-20118-0(2007). S. Lee is with the Applied Electromagnetics Laboratory, School of Electrical Engineering, Institute of New Media and Communications (INMC), Seoul National University, Seoul 151-744, Korea, and also with the Korea Electronics Technology Institute (KETI), Kyunggo-do 463-816, Korea (e-mail: slee@ael. snu.ac.kr). J. Lee and S. Nam are with the Applied Electromagnetics Laboratory, School of Electrical Engineering, Institute of New Media and Communications (INMC), Seoul National University, Seoul 151-744, Korea (e-mail: [email protected]; [email protected]). H. Park is with the Telecommunication R&D Center, Samsung Electronics, Suwon 443–742, Korea (e-mail: [email protected]). K.-Y. Lee is with the Department of Electronics Engineering, Konkuk University, Hwayang-dong, Gwangjin-gu, Seoul 143-701, Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049693

In this polar modulation, the phase signal is upconverted with the RF carrier signal by several methods: offset phase-locked loop (OPLL), one-point delta–sigma modulation, and two-point modulation [5]–[16]. Among these structures, the two-point modulation technique circumvents the limited phase-locked loop (PLL) bandwidth problem by splitting the modulated signal into two portions and sending one portion to the voltage-controlled oscillator (VCO) input and the other to the delta–sigma modulator. Although one-point modulation can also be implemented with the calibrated VCO gain and the pole location, the two-point modulation technique is preferred to process multiband or wideband signals [16]. This two-point modulation technique results in constant modulation sensitivity regardless of the loop bandwidth. The strict relation between the PLL bandwidth and modulation bandwidth can be alleviated; thus, the loop bandwidth can be decided for other requirements, such as a narrow PLL bandwidth for low out-of-band noise. In addition, RF circuitry using two-point modulation can be implemented at low cost and with high integration. This method can easily provide multiband/multimode functionality. Therefore, this modulation technique provides a promising solution for various wireless applications that support a wide bandwidth and various frequency bands. However, in the two-point modulation, the timing and the gain matchings between two modulation paths are challenging issues to prevent signal distortions. In order to resolve these problems, accurate timing control and gain matching should be achieved under process and temperature variations conditions. In addition, the design of the VCO control port is crucial to performance, because the dynamic range and linearity at the VCO control port affect the modulated signal quality. Although the all-digital PLL showed full integration with a satisfying performance, it still suffers from the limited tracking range and its complicated design procedure. Moreover, both the time resolution of the time-to-digital converter and the digitalcontrolled oscillator gain are sensitive PVT parameters since they are related to the minimum inverter delay and affected by the nonlinear varactor gain, respectively [9]–[12]. There have been a few two-point modulation solutions using the widely used analog PLL. [13] and [14] showed the phasenoise monitoring method at the output of a VCO and manual calibration based on an open-loop design. The work in [15] showed the calibration technique of modulating path gain using a nonideal bias voltage during a limited time. This technique cannot completely calibrate nonlinear gain during a normal operation due to the disturbances to the bias voltage and nonlinear varactor gain. Therefore, those techniques do not guarantee robust

0018-9480/$26.00 © 2010 IEEE

LEE et al.: SELF-CALIBRATED TWO-POINT DELTA–SIGMA MODULATION TECHNIQUE FOR RF TRANSMITTERS

1749

Fig. 1. Block diagram of GSM/EDGE transmitter using the proposed two-point modulation.

design because the gain nonlinearity and timing mismatch can be largely dependent on the PVT variations. In this paper, we propose a two-point modulation technique using closed-loop self-calibration. This proposed technique achieves wideband phase modulation with robust performance. In addition, a dc calibration is used for amplitude modulation. This calibration technique is applied to a quad-band GSM/EDGE CMOS transmitter, thereby solving timing, gain, and dc problems. Section II gives a brief review of the transmitter architecture, focusing on the two-point modulation loop. Section III describes the proposed self-calibration techniques applied in the transmitter. Finally, Sections IV and V give the measured results and conclusion, respectively. II. SYSTEM ARCHITECTURE DESCRIPTION Fig. 1 presents the block diagram of an RF transmitter using the proposed two-point modulation. The transmitter utilizes a polar loop architecture that consists of phase modulation using two-point modulation and amplitude modulation using a mixer. The predriver is designed to generate a maximum output power of 3 dBm for driving an external conventional power amplifier (PA). The open-loop-type polar architecture has the advantage of a simple combination of phase modulation with supporting amplitude modulation. Therefore, we used the open-loop type of polar architecture using a gain amplifier. The amplitude modulation operates only in the polar loop mode. In the transmitter, one PLL with two VCOs is used to cover the two frequency bands. The input ports of both the delta–sigma modulator and the VCO in the fractional- PLL are utilized as the injection points for two-point modulation. A phase-modulated baseband signal is added to the 22-bit fractional data in the input of the delta–sigma modulator in digital form. For the input path of the VCO, an additional varactor is used for injecting the modulated signal that comes from a 10-bit current-steering digital-to-analog converter (DAC) and a low-pass filter. The analog low-pass filter eliminates unwanted spurious frequency tones from the DAC output signal. For phase modulation, gain and timing mismatches are eliminated by the proposed calibration techniques. By monitoring the variation patterns

of the control voltage of the loop filter in the PLL, the gain mismatch is detected and estimated. The measured voltage patterns are compared with the original signal, and then the gain mismatch is eliminated by the amplitude scaling included in the digital logic. The calibrated transmit data flow to the VCO via the 10-bit DAC. For amplitude modulation, the phase and magnitude mismatches of the local oscillator (LO) signal are measured by a feedback loop. As a result, the feedback loop minimizes carrier leakage by removing the dc tone. In Section III, the gain, timing, and dc calibration techniques will be explained in more detail. III. PROPOSED SELF-CALIBRATED TRANSMITTER A. Analysis of Two-Point Modulation Technique Fig. 2 shows a model of the transmitter using two-point modulation. Although jitter noises from the charge pump, VCO, and reference signal exist, they were assumed to be negligible in this analysis since the injected modulated signal is generally much , and represent, relarger than the jitter noises. , , spectively, the timing delays of the two signal paths and the frequency gains of the modulated signal paths. The PLL paand the comrameters include the VCO frequency gain of the phase-frequency detector and the charge bined gain pump. For simple analysis, although the third-order loop filter is actually used in the PLL, the transfer function of the loop was simply modeled as a the first-order loop filter filter , where and represent the resistive by and capacitive time constants, respectively. This assumption is valid because the loop filter order does not affect the interaction between the two-point modulated signal and the PLL characteristics. The loop filter order can just affect the magnitude of the effect of the modulated signal, which will be shown in the relation of loop filter voltage and modulation signal. The divider modulus is equal to . The transmit signal phase is represented . When it is assumed that the reference signal phase by is zero, the relation between output signal phase and is formulated as (1)

1750

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 2. PLL model with two-point modulation.

where (2) (3)

(4) where represents a nominal frequency gain of the modulated signal. In (4), as the frequency approaches 0, the final . In reverse, as the frequency value approaches approaches infinity, the value of the combined magnitude func. To achieve a uniform frequency tion becomes response, the condition can be manipulated as follows: (5) Therefore, to achieve a balance of the two-point modulation, the timing and gain matches at the two points should be related as follows:

Fig. 3. Mismatch effects on EVM performance. (a) Timing mismatch. (b) Gain mismatch.

(6) Equation (6) indicates that the timing and gain matching should be guaranteed at the same time. As for the behavioral simulation with the GMSK signal, the error vector magnitude (EVM) performances with timing and gain mismatches are shown in Fig. 3(a) and (b). According to the simulation, the allowable timing mismatch should be under one clock cycle to secure the spectrum mask and EVM performance. One clock cycle corresponds to 1/26 MHz. In addition, the required gain mismatch should be below 1%. In the simulation, the minimum EVM is limited to 0.7% under the conditions of perfect gain and timing matches because the DAC quantization noise and the nonlinearity of the variable gain amplifier (VGA)/mixer and the output preamplifier are considered. Although the rms EVM specification of EDGE is 9% at PA, the design target rms EVM was set to 3% at the preamplifier output. Fig. 4 shows the transmitter structure using two-point modulation. Because the data injection in the delta–sigma modulator gives us the freedom to accurately control timing with digital processing, the channel information and the modulating signal are summed at this modulator input port. For the high-pass filter characteristic, a varactor modulates the transmit signal at the VCO. To convert the digital signal to analog data, a low-pass filter eliminates the harmonics of the clocking frequency in

the output of the DAC. For the resolution of the DAC, a 10-bit DAC is adopted to guarantee a sufficient EVM performance margin. After the low-pass filtering, a differential-to-single (diff-to-single) buffer converts the differential signals of the low-pass filter using the output range that provides flat frequency gain at the varactor. Before gain matching, the timing delays over two modulating paths are investigated for the timing matching. The signal paths A1 and A2 are to measure gain mismatch. The signal paths B1 and B2 are the two injected modulating signal paths. Thus, the path delays of A1 and A2 should be the same. Also, the path delays of B1 and B2 should be the same. Some blocks, such as the ac coupler, low-pass filter, diff-to-single converter, and loop filter, have frequency-dependent characteristics, thus these blocks are simulated at PVT variations. Then, similar models . In addition, tunare developed using digital logics able digital delay blocks ( , , and ) are utilized to adjust the path delays. The timing analysis is conducted with both the dedicated analog delay models and digital blocks using a clocking interval. From Fig. 3(a), 2% rms EVM performance is guaranteed under the conditions of the worst 40-ns delay mismatch. According to the operating temperature, the digital delay block is designed to simply control the delay. The delay compensation can be conducted often using

LEE et al.: SELF-CALIBRATED TWO-POINT DELTA–SIGMA MODULATION TECHNIQUE FOR RF TRANSMITTERS

1751

Fig. 4. Two-point modulation transmitter structure.

a map-table with temperature settings during the power-on period and guard-time using internal temperature sensor. The map table consists of digital delay settings according to several temperatures. The digital output of the temperature sensor is proportional to the temperature in 10-degree steps. The digital delay is selected with predefined values of the map table. The optimum values of the map table can be chosen by EVM measurements to cover the temperature variation. With this delay compensation, a stable timing margin over the PVT corners can be achieved. B. Gain Mismatch Calibration Because the varactor gain for modulating at the VCO is nonlinear, the gain mismatch cannot be compensated for by the method used for the timing mismatch. Moreover, the gain characteristics vary considerably according to the operating frequency, temperature, and process variation. The gain also depends on the resolution of the DAC in front of the varactor. Therefore, gain calibration should be required to compensate for the variations. Since the modulating gain at the delta–sigma modulator path always shows the constant value in digital form, the basis of the calibration will be the gain at the path of the delta–sigma modulator. According to the modulating gain variation at the VCO injection path, Fig. 5(a) and (b) shows the transfer curves of the PLL output. Using superposition with the lowpass and high-pass transfer curves characteristic, frequency-independent data transmission can be achieved. When this gain is larger or smaller than that at the path of the delta–sigma modulator, the frequency response severely deteriorates the transmit data. The gain calibration starts with measuring the voltage change of the loop filter. The output voltage of the loop filter in the PLL should be constant after the PLL locking except for the effect of the quantization noise from the delta–sigma modulator. Generally, the quantization noise is much less than that of the injected signal. When the only existing gain mismatch is between the injection ports of the two-point modulation from (1)–(3), the relationship between the loop filter voltage and the injected signal

Fig. 5. Transfer curves at the output according to gain variations: (a) too-large gain in the VCO path and (b) too-small gain in the VCO path.

voltage can be expressed as follows: (7) where the timing delays are the same . This equation shows how we can detect gain mismatch. In (7), the magnitude of the denominator becomes constant when the PLL and frequency parameters are fixed. In addition, this equation shows that the loop-filter order only affects the magnitude of the denominator and does not change the relationship between the loop-filter voltage and the injected signal voltage. Hence, the output voltage of the loop filter will follow the pattern of the injected transmit signal depending on the degree of the mismatch. The loop-filter output will show an attenuated transmitted signal with a fixed ratio by (7). For example, when the VCO gain is positive polarity, if the loop-filter output voltage shows the same polarity as the transmit signal, this means that the modulating gain at the VCO port is small, compared with that at the point of the delta–sigma modulator. In other words, we should increase the gain at the VCO port. In contrast, when the polarity between these signals is in antiphase, we should reduce the gain at the VCO path. Fig. 6 and Table I show the summary of the polarity relations between the loop-filter output voltage and the original transmit signal. If the pattern of the voltage variation at the loop filter can be detected and compared with the input transmit signal, we can calibrate this gain and minimize the gain mismatch. Moreover, this technique can be applied in the background during the normal operation, which indicates that nonlinear gain and temperature variation are continuously calibrated and cannot deteriorate the performance. A similar implementation was used in [8] to suppress the quantization noise of the delta–sigma modulator while this work adopted the calibration to minimize the gain mismatch between two-point modulation paths. In addition, while a similar gain calibration was introduced using all-digital PLL, this work is the first transmitter

1752

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 6. Waveforms of modulation signal and control voltage in the loop filter when gain mismatch exists.

TABLE I GAIN OF VCO PATH ACCORDING TO THE MODULATION VOLTAGE AND THE CONTROL VOLTAGE OF THE LOOP FILTER

Fig. 8. (a) Transient waveform of gain error convergence. (b) Timing diagram of gain calibration.

Fig. 7. Schematic of the VCO gain calibration interface.

architecture using the widely used analog PLL with closed-loop calibration [10], [11]. The comparison between two signals is conducted in the gain calibration block in Fig. 4. The signals coming through two paths (A1 and A2) are compared with each other. The transition directions of the two signals are compared and the gain mismatch is detected. Then, the DAC input is modified by controlling to change the gain on the B2 path. Finally, the gain mismatch between the two signals through the B1 and B2 paths converge to zero. In addition, an extra gain tuning block is inserted on the B1 path. Fig. 7 shows the circuit configuration for both the detecting signal voltage at the loop filter and the injecting data at the VCO. According to Fig. 3(b), the simulation result shows that the gain mismatch under 1% satisfies the GSM/EDGE specifications. However, because the amount of the voltage variation at the loop filter is extremely small, detecting the variation caused by the mismatch is difficult. In this configuration,

the magnitude of the voltage will be of the order of tens of microvolts at the GSM/EDGE bandwidth. To detect such a small voltage change, a high-accuracy comparator is demanded. The at the comparator targeted minimum voltage was 5 input. Thus, we adopted a very low-noise preamplifier to amplify by 100 times the voltage variation at the loop filter [17]. Before and after the amplification, two ac couplers are utilized for unwanted dc elimination. The ac coupling pole frequency was set to 50 kHz, which was determined in consideration of the GSM signal bandwidth ( 200 kHz). After the amplification and the ac coupler, a two-stage comparator, employing an offset cancellation scheme, compares the incoming signals with time intervals consecutively. The comparison decision was conducted without averaging because the averaging time increases the overall calibration time. The comparison frequency was half of the reference frequency (13 MHz). The comparator compares the present incoming signal with the previous one, so that the signal transition direction can be detected. Fig. 8(a) shows the gain error convergences in the time domain in a behavioral model simulation, according to the degree of the error. Because the required calibration time linearly depends on the magnitude of the gain error, when the gain error exceeds 30%, the total calibration time takes more than 250 s. Therefore, using a GMSK test signal that is similar to a singletone signal, the gain calibration is performed with three steps, as shown in Fig. 8(b). First, a coarse calibration is performed for 300 s after initial PLL locking for process and supply variation. Through this coarse calibration, the initial gain is estimated. Second, the fine calibration for temperature variation is

LEE et al.: SELF-CALIBRATED TWO-POINT DELTA–SIGMA MODULATION TECHNIQUE FOR RF TRANSMITTERS

1753

Fig. 10. DC calibration structure.

Fig. 9. VGA for AM.

conducted during 100 s in the channel switching time. In addition, a background gain calibration is running to minimize subtle gain mismatches. This background calibration loop is performed during normal data communications. The resultant 30% for coarse tuning maximum calibrated gain ranges are 5% for fine tuning. The gain range can also be inand creased by increasing the calibration time. The varactor gain of the VCO for modulating the phase signal is set to 3.5 MHz/V, which is selected in considerations of the gain flatness of the varactor and the phase noise of the VCO. Considering the achievable phase noise and the lock time, the synthesizer loop bandwidth was set to 80 kHz. When the bandwidth is too large, the quantization noise of the delta–sigma modulator will affect the loop-filter output voltage and will limit the effective calibration accuracy. In contrast, if this bandwidth is too small, the calibration time will be too long. However, this relationship between the loop bandwidth and the calibration accuracy and time is not mandatory. In addition, the interaction between the PLL and the calibration loop should be considered. In order to minimize the effect between the two closed loops, ten is chosen as the bandwidth ratio between the two loops, so that the two loops are guaranteed to operate independently. The resolution of the gain calibration is set to 0.2%, which is limited by the detection accuracy of the output voltage at the loop filter. This resolution guarantees stable EVM performance without the use of any predistortion techniques. C. DC Calibration To support the EDGE mode, amplitude modulation is utilized with a VGA. Although the conventional supply modulation method, in which the supply voltage of a driving amplifier or PA is modulated, has a merit of driving a high power level, this technique can make AM–PM and AM–AM problems. Therefore, in this transmitter, an active mixer is used as a VGA for AM. Fig. 9 shows the schematic of the mixer for AM, which is similar to a conventional active mixer. By employing both the linear gain region of input transistors and the degeneration resistances, more linear gain characteristics are achieved. The gain amplification in the VGA is relatively insensitive to AM–PM and AM–AM interactions, because the gain can be controlled in the linear voltage range at the gates of the transistors. The

simulation results show that the strong linearity and 0.2 phase deviation over a 400-mV input range are achieved according to the control voltage. However, when the mixer is adopted as a VGA, the output of the mixer can show an unwanted dc tone as the result of a mismatch between the transistors or by unintended signal coupling from the DAC, the low-pass filter, and the mixer. The dc offset on the envelope path creates a leakage path for the phase-modulated carrier. For example, according to the simulation, when the dc offset mixes the phase-modulated signal, a dc offset of more than 4 mV does not satisfy the EVM specification of EDGE. Therefore, calibration for dc elimination is conducted. The effects of both the magnitude and the phase mismatches are analyzed. According to the behavioral simulation, both the magnitude and phase mismatches are critical for the output carrier leakage problem. The magnitude mismatch leads to the unwanted dc offset at the output of the mixer. The dc offset magnitude is measured by using a monitoring feedback loop, as shown in Fig. 10. The mixer output is monitored by a power detector. If the mixer output contains the carrier leakage, the peak detector will generate an appropriate output and the DAC in the closed loop compensates the dc offset by adding or subtracting digital input. By adjusting the DAC digital input, the minimum envelope signal is detected and the output dc offset of the mixer is minimized by adding the offset value to the DAC. However, because this method reduces the dc offset with an additional dc signal, the dc offset from the phase mismatch cannot be clearly compensated for. Thus, although the dc offset calibration for the magnitude mismatch is conducted, the output dc offset of the mixer remains more than 30 mV under the 3 phase mismatch between the LO signals. Therefore, the phase mismatch should be calibrated in another way. Fig. 11(a) shows the tuning blocks of the LO input path. By adjusting the RC time constant at the input biasing resistor network using switches, we can control the LO phase mismatch. If the LO biasing resistance on the one side is 20 K , then the resistance on the opposite side can be changed according to the phase mismatch, as shown in Fig. 11(b). It shows that the dc offset due to the LO phase mismatch can be compensated for by selecting the proper resistor at the input biasing circuit. Therefore, as shown in Fig. 12, the whole dc calibration is conducted in three steps. Because dc calibration is not strongly dependent on the PLL locking, this calibration is conducted during the guard time for switching between the two channels. The dc calibration procedure is performed as follows. First, after a short time margin, adding/subtracting the digital offset to the

1754

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 13. Chip microphotograph.

TABLE II TRANSMITTER PERFORMANCE SUMMARY

Fig. 11. Phase-mismatch calibration: (a) input biasing circuit using tunable resistors; (b) dc offset variation according to LO input bias resistance under various LO phase mismatches.

Fig. 12. DC calibration timing diagram.

DAC input finds the minimum output of the power detector. Second, to minimize the dc offset from the LO phase mismatch, the optimum resistor in the LO input biasing block is found by monitoring the output power of the power detector. Finally, the digital offset calibration using the DAC performed in the first calibration is conducted again, because the resistance imbalance resulting from the second calibration block can create an LO amplitude mismatch. The accuracy of this dc calibration is 1 mV, which is limited by the 10-bit DAC resolution. That corresponds to 52 dBc of carrier leakage. Through this calibration, we achieve robust AM against the magnitude and phase mismatch.

Fig. 14. Measured phase noise levels at GSM900 mode.

IV. MEASUREMENT RESULTS The proposed two-point modulation technique has been verified in the GSM/EDGE transmitter using 0.25- m one-poly five-metal CMOS technology. Fig. 13 shows a microphotograph of the transmitter, which occupies an area of 3.5 mm , including the bonding pads. A regulator for the digital control block and two DACs is put into place to suppress the unwanted coupling

LEE et al.: SELF-CALIBRATED TWO-POINT DELTA–SIGMA MODULATION TECHNIQUE FOR RF TRANSMITTERS

1755

Fig. 16. EVM performance of EDGE transmitter: (a) low band; (b) high ban. Fig. 15. Modulated spectrum at output of transmitter: (a) GMSK-modulated output at low band; (b) EDGE output at high band.

noise to the RF blocks. In addition, to improve the isolation between blocks, deep trenches and guard rings are added to the layout. We have also extensively used the two top metal layers of the process with the metal–insulator–metal (MIM) capacitor in order to provide a better decoupling characteristic. The two VCOs utilized bondwires for inductors with pad-to-pad connections. The transmitter performance is summarized in Table II. For the measurement of the transmitter, measured phase-noise levels at GSM900 mode are shown in Fig. 14. The spectrum measured in the output of the transmitter shows the 80-kHz bandwidth and the phase noise at each frequency specification. With the optimized sizing in the charge pump for low noise, low in-band phase noise of 98 dBc/Hz is achieved. The thirdorder loop filter and low-phase-noise VCO lowered the noise to 164 dBc/Hz at a 20-MHz frequency offset, which is the most stringent specification of the GSM low band. The measured transmitted signal spectrums of the GSM low band and the EDGE high band, when delivering 2 dBm into a 50- load, are shown in Fig. 15. The spectrum masks conform to the GSM and EDGE masks within a safe margin at the maximum output

Fig. 17. Spurious emission in TX output.

power. Fig. 16 shows the EVM measurement results of EDGE with both modes at maximum output power. There was no large sideband tone to exceed the TX EVM specification, so that the TX EVM was found to be less than 2% and 3% rms at the high and low bands, respectively. Spurious emissions in the TX output are 72 dB below the desired signal, as shown in Fig. 17.

1756

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

TABLE III COMPARISON WITH PREVIOUS GSM/EDGE CIRCUITS

Current consumption is not shown. PA is included.

A comparison with previous circuits is summarized in Table III. The previous transmitters used by OPLL and direct up-conversion draw larger current than the two-point modulation technique. Although this GSM/EDGE prototype is fabricated using a relatively low-cost process, 0.25- m, it showed very low EVM performance, which is comparable to the lowest EVM result. As a result, combining the widely used analog PLL, this proposed two-point modulation technique achieves solid performance.

[3] S. Tadjpour, E. Cijvat, E. Hegazi, and A. Abidi, “A 900-MHZ dual conversion low-IF GSM receiver in 0.35- m CMOS,” IEEE J. SolidState Circuits, vol. 36, no. 2, pp. 1992–2002, Dec. 2001. [4] J. L. Tham, M. A. Margarit, B. Pregardier, C. D. Hull, R. Magoon, and F. Carr, “A 2.7-V 900-MHz/1.9-GHz dual band transceiver IC for digital wireless communication,” IEEE J. Solid-State Circuits, vol. 34, no. 2, pp. 286–290, Mar. 1999. [5] M. R. Elliott, T. Montalvo, B. P. Jeffries, F. Murden, J. Strange, A. Hill, S. Nandipaku, and J. Harrebek, “A polar modulation transmitter for GSM/EDGE,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2190–2199, May 2004. [6] J. Hsu, “A 0.18- m CMOS offset-PLL upconversion moduation loop IC for DCS1800 transmitter,” IEEE J. Solid-State Circuits, vol. 38, no. 4, pp. 603–613, Apr. 2003. [7] E. Hegazi and A. A. Abidi, “A 17-mW transmitter and frequency synthesizer for 900-MHz GSM fully integrated in 0.35- m CMOS,” IEEE J. Solid-State Circuits, vol. 38, no. 5, pp. 782–792, May 2003. [8] M. Gupta and B.-S. Song, “A 1.8-GHz spur-cancelled fractionalfrequency synthesizer with LMS-based DAC gain calibration,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2842–2851, Dec. 2006. [9] I. L. Syllaios, P. T. Balsara, and R. B. Staszewski, “Envelope and phase path recombination in ADPLL-based wideband polar transmitters,” in Proc. IEEE Circuits Syst. Workshop: System-on-Chip—Design, Applic. Integration, and Software, Oct. 2008, pp. 1–4. [10] R. B. Staszewski, D. Leipold, and P. T. Balsara, “Just-in-time gain estimation of an RF digitally-controlled oscillator for digital direct frequency modulation,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 50, no. 11, pp. 887–892, Nov. 2003. [11] R. B. Staszewski, C.-M. Hung, G. Feygin, M. Entezari, and D. Leipold, “LMS-based calibration of an RF digitally controlled oscillator for mobile phones,” IEEE Trans. Circuits Syst. II: Exp. Brief, vol. 53, no. 3, pp. 225–229, Mar. 2006. [12] R. B. Staszewski, J. L. Wallberg, S. Rezeq, C.-M. Hung, O. E. Eliezer, S. K. Vemulapalli, C. Fernando, K. Maggio, R. Staszewski, N. Barton, M.-C. Lee, P. Cruise, M. Entezari, K. Muhammad, and D. Leipold, “All-digital PLL and transmitter for mobile phones,” IEEE J. SolidState Circuits, vol. 40, no. 12, pp. 2469–2482, Dec. 2005. [13] K. Peng, C. Huang, C. Li, and T. Horng, “High-performance frequency-hopping transmitters using two-point delta–sigma modulation,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2529–2535, Jun. 2005. [14] C. D˝urdodt, M. Friedrich, C. Grewing, M. Hammes, A. Hanke, S. Heinen, J. Oehm, D. Pham-Stabner, D. Seippel, D. Theil, S. Van -modulation TX Wassen, and E. Wagner, “A low-IF two-point CMOS single-chip bluetooth solution,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1531–1537, Sep. 200.





V. CONCLUSION We have demonstrated a new self-calibration two-point modulation technique for a CMOS transmitter. This technique minimizes the gain and timing mismatches between two modulation points, which can cause wideband phase modulation. In addition, dc calibration for amplitude modulation in polar loop provides a stable output spectrum with low carrier leakage. The GSM/EDGE transmitter adopting the proposed technique leads to a simple transmitter architecture, stable EVM performance with low cost, and the possibility of multiband functionality. This offers an advantage in transmitters by using two-point modulation: a low-cost, low-power transmitter solution. REFERENCES [1] R. Magoon, A. Molnar, J. Zachan, G. Hatcher, and W. Rhee, “A singlechip quad-band (850/900/1800/1900 MHz) direct conversion GSM/ GPRS RF transceiver with integrated VCOs and fractional- synthesizer,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1710–1720, Dec. 2002. [2] H. Darabi, A. Zolfaghari, H. Jensen, J. Leete, B. Mohammadi, J. Chiu, T. Li, Z. Zhou, P. Lettieri, Y. Chang, A. Hadji, P. Chang, M. Nariman, I. Bhatti, A. Medi, L. Serrano, J. Welz, K. Shoarinejad, S. Hasan, J. Castaneda, J. Kim, H. Tran, P. Kilcoyne, R. Chen, B. Lee, B. Zhao, B. Ibrahim, M. Rofourgaran, and A. Rofourgaran, “A fully integrated quad-band GPRS/EDGE radio in 0.13 m CMOS,” in IEEE ISSCC Dig. Tech. Papers, Feb. 2008, pp. 206–207.

N





N

16

LEE et al.: SELF-CALIBRATED TWO-POINT DELTA–SIGMA MODULATION TECHNIQUE FOR RF TRANSMITTERS

[15] H. K. Ahn, K. Lee, H. Yu, H. Oh, D. Keum, and B. Park, “VCO gain calibration technique for GSM/EDGE polar modulated transmitter,” in RFIC Symp. Dig. Papers, 2008, pp. 383–386. [16] C.-H. Wang, P.-Y. Wang, L.-W. Ke, D.-Y. Yu, B.-H. Ong, C.-H. Sun, H.-H. Chen, Y.-Y. Chen, C.-M. Kuo, J.-C. Lin, T.-P. Wang, and Y.-H. Chen, “A direct digital frequency modulation PLL with all digital on-line self calibration for quad-band GSM/GPRS transmitter,” in Proc. IEEE Symp. VLSI Circuits, Jun. 2009, pp. 190–191. [17] M. W. Baker and R. Sarpeshkar, “A low-power high-PSRR currentmode microphone preamplifier,” IEEE J. Solid-State Circuits, vol. 38, no. 10, pp. 1671–1678, Oct. 2003. [18] T. Sowlati, D. Rozentriy, R. Pullela, M. Damgaard, E. McCarthy, D. Koh, D. Ripley, F. Balteanu, and I. Gheorghe, “Quad-band GSM/GPRS/EDGE polar loop transmitter,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2179–2189, Dec. 2004. [19] “PM6272 Datasheet, Rev. A1.1,” Infineon, Munich, Germany, 2006 [Online]. Available: http://www.infineon.com [20] P. Chen, T.-Y. Lin, L.-W. Ke, R. Yu, M.-D. Tsai, C.-W. Yeh, Y.-B. Lee, B. Tzeng, Y.-H. Chen, S.-J. Huang, Y.-H. Lin, and G.-K. Dehng, “A 0.13-m CMOS quad-band GSM/GPRS/EDGE RF transceiver using a low-noise fractional-N frequency synthesizer and direct-conversion architecture,” IEEE J. Solid-State Circuits, vol. 44, no. 5, pp. 1454–1462, May 2009. Sungho Lee (S’09) received the B.S. and M.S. degrees in electrical engineering from Sogang University, Seoul, Korea, in 1998 and 2000, respectively. He is currently working toward the Ph.D. degree in electrical engineering and computer science at Seoul National University, Seoul, Korea. His undergraduate and graduate work focused on high-speed, high-resolution analog-to-digital converters. From 2000 to 2009, he was a Senior Engineer with GCT Semiconductor, San Jose, CA, where he was involved with various RF transceiver developments for wireless communication applications, including WCDMA, PHS, GSM, and S/T DMB. Since 2010, he has been a Senior Researcher with Korea Electronics Technology Institute (KETI), Kyunggi-do, Korea. His research interests include RF/analog circuits and RF transceivers in nanometer CMOS technology.

Jaejun Lee (S’09) received the B.S. and M.S. degrees in electrical engineering from Sogang University, Seoul, Korea, in 1997 and 1999, respectively. He is currently working toward the Ph.D. degree in electrical engineering and computer science at Seoul National University, Seoul, Korea. His undergraduate and graduate work focused high-speed off-chip signal intergrity. He joined Samsung Electronics, Hwasung, Korea, in 1999, where he is currently a Senior Engineer with the DRAM Design Team. His research interests include off-chip signal integrity, on-chip signal integrity, and high-speed I/O design.

1757

Hangue Park received the B.S. and M.S. degrees from Seoul National University, Seoul, Korea, in 2006 and 2008, respectively. He was with Bluebird Soft, Korea, from 2001 to 2004 as an early member. He was the Project Leader for BIP1100WL which is the industrial PDA sold to the three biggest department stores in Korea. He is currently with the Telecommunication R&D Center, Samsung Electronics, Suwon, Korea. His current research interests are in CMOS implementation of wireless communication transceivers.

Kang-Yoon Lee (S’96–M’03–SM’10) was born in Jeongup, Korea, in 1972. He received the B.S., M.S., and Ph.D. degrees from Seoul National University, Seoul, Korea, in 1996, 1998, and 2003, respectively, all in electrical engineering. From 2003 to 2005, he was with GCT Semiconductor Inc., San Jose, CA, where he was a Manager of the Analog Division and worked on the design of CMOS frequency synthesizers for CDMA/PCS/PDC and single-chip CMOS RF chip sets for W-CDMA, WLAN, and PHS. Since 2005, he has been with the Department of Electronics Engineering, Konkuk University, Seoul, Korea, where he is currently an Associate Professor. His research interests include implementation of CMOS RF transceivers, analog integrated circuits, and analog/digital mixed-mode VLSI system design.

Sangwook Nam (S’87–M’88) received the B.S. degree from Seoul National University, Seoul, Korea, in 1981, the M.S. degree from the Korea Advanced Institute of Science and Technology (KAIST), Seoul, Korea, in 1983, and the Ph.D. degree from The University of Texas at Austin, in 1989, all in electrical engineering. From 1983 to 1986, he was a Researcher with the Gold Star Central Research Laboratory, Seoul, Korea. Since 1990, he has been a Professor with the School of Electrical Engineering and Computer Science, Seoul National University. His research interests include analysis/design of electromagnetic structures, antennas, and microwave active/passive circuits.

1758

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Identifying RF Identification Cards From Measurements of Resonance and Carrier Harmonics Henry P. Romero, Kate A. Remley, Senior Member, IEEE, Dylan F. Williams, Fellow, IEEE, Chih-Ming Wang, and Timothy X. Brown Abstract—We show that careful measurements of the unloaded resonance frequency and quality factor of RF identification proximity cards allow identification of different card models and, for the set of cards we studied, identification with minimal error of individual cards of the same model. Furthermore, we show that card identification performance is improved by considering an electromagnetic signature that combines measurements of the energy at carrier harmonics during a reader/card transaction together with measurements of unloaded resonance frequency and quality factor. Index Terms—Authentication, electromagnetic signature, resonance frequency.

I. INTRODUCTION

W

E demonstrate a method for identifying individual RF identification (RFID) cards based on measurements of electrical resonance and of energy at the carrier harmonics during a reader/card transaction. This method depends on precise placement that can be achieved in practice with an appropriate fixture for the RFID card. We show that, for the test sample studied, measurements of electrical resonance allow us to identify individual cards that belong to the same or different card models with low error. This error is reduced further if we consider measurements of both electrical resonance and energy at the carrier harmonics. Our goal is to show that underlying differences that distinguish RFID cards, such as different circuit layouts, different circuit element dimensions, and variations withinmanufacturing tolerances of circuit components, can be measured through electromagnetic measurements and quantified to create an electromagnetic signature. This ability to identify electromagnetic signatures could benefit security and assurance [1] and could be paired with digital device identifiers to detect counterfeit cards [2]. Identification of electronic devices based on electromagnetic measurements is not new, but previous efforts have focused generally within the context of other technologies such as radar, cellular phones, wireless local area networks (WLANs), and Bluetooth. The military has tracked enemy radio transmitters,

Manuscript received December 28, 2009; revised April 02, 2010; accepted April 02, 2010. Date of publication May 27, 2010; date of current version July 14, 2010. This work was supported in part by the U.S. Government. H. P. Romero and T. X. Brown are with the Department of Applied Mathematics, University of Colorado at Boulder, Boulder, CO 80309 USA (e-mail: [email protected]; [email protected]). K. A. Remley, D. F. Williams, and C.-M. Wang are with the National Institute of Standards and Technology (NIST), Boulder, CO 80305 USA (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049773

while cellular carriers have combated cloning fraud with proprietary implementations of this idea [3]. For WLAN and Bluetooth technologies, Hall et al. [4] characterized the period immediately following power on with Fourier and wavelet transforms. Remley et al. [5] also studied the emitted RF waveform of WLAN devices, and used a cross-correlation metric to characterize these devices. Brik et al. [6] restricted attention to nuances of the modulation in transmission to characterize WLAN devices. Within the context of high-frequency RFID devices, Danev et al. [7] observed the response of an RFID card to the initial reader inquiry and characterized individual cards from the start of their response and by a high-dimensional principal component analysis of the frequency content of their response. Similarly, in Romero et al. [8], the measured energies at the third and fifth harmonics of the carrier frequency during the reader inquiry of an RFID transaction were shown to be an effective electromagnetic signature for reliably distinguishing between different card makes and models. We studied RFID proximity cards operating under the International Organization for Standardization (ISO) standard 14443 [9] at 13.56 MHz. Specifically, we studied only the Type A standard within ISO 14443. Our method here adapts and extends the results in [8] by considering electromagnetic signatures based on measurements of the unloaded resonance fre, and by identifying individual quency and quality factor cards both within and between card models rather than simply between card models. To measure the resonance frequency and , we use a network analyzer, which is a relatively economical and small measurement device for frequencies below 50 MHz. Cost and size considerations would ease implementation of a security system based on identification of RFID cards through the electromagnetic signature explored here. With this electromagnetic signature, we demonstrate reasonable identification of individual RFID cards. Inaddition,weconsideranelectromagneticsignaturethatcombines both measurements of electrical resonance and measurements of carrier harmonics, as in [8]. We consider not only measurements of the carrier harmonics at the nominal value of 13.56 MHz, but also measurements of the energy at the carrier harmonics when the frequency of the RF carrier differs from 13.56 MHz. With this larger electromagnetic signature, we demonstrate increased accuracy in identification of individual RFID cards relative to the resonance-only electromagnetic signature. II. RESONANCE IN AN RFID CARD A. Theory The RFID cards we consider are designed to operate in the unlicensed industrial, scientific, and medical (ISM) frequency

0018-9480/$26.00 © 2010 IEEE

ROMERO et al.: IDENTIFYING RFID CARDS

1759

of measured reflection coefficients near the frequency of resonance. B. Measurements

Fig. 1. Measurement system for measuring resonance in RFID cards. (a) Coupling with RFID card (b) Equivalent circuit.

band near a carrier frequency of 13.56 MHz. In fact, they are tuned to a slightly higher frequency so that operation within the ISM band is still assured in the presence of multiple cards. We consider two central quantities related to the tuning of these cards: the resonance frequency and the quality factor. can be defined as the The angular resonance frequency angular frequency at which the reactance of the resonator vanishes, as this indicates that the energies in the electric and magnetic fields are balanced. We define the quality factor [10] as (1) where and are the stored energy and the average dissipated power in a resonator at , respectively. To estimate the quality factor and resonance frequency of an RFID card, we represent our measurement system [see Fig. 1(a)] consisting of a test fixture, cables, and an RFID card with a one-port lumped element circuit model [see Fig. 1(b)]. We are then able to write the unand quality factor in loaded angular resonance frequency terms of the resistance , capacitance , and inductance of the parallel equivalent circuit of the RFID card as (2) Unfortunately, we cannot isolate the RFID resonator and must observe it through a coupling circuit consisting of a broadband antenna coil. The ensemble of circuit elements of the measurement setup resonates differently from how the RFID circuit would resonate alone, and thus the loaded and angular and ) differ from the unloaded resonance frequency ( and angular resonance frequency of the RFID card alone ( and ). For the measurement setup as a whole, the maximum energy stored is lower, and the average power dissipated is higher than it would be for the RFID card alone. The loaded and resonance frequency are dependent on the coupling circuit, cable, and specific vector network analyzer (VNA) used in the measurement. To obtain only the resonance parameters associated with the RFID card, we must treat our measurements as if they were produced by the circuit in Fig. 1(b). To do this, we apply a linear phase shift and use a procedure developed in [11] to estimate the unloaded quality factor and resonance frequency from a set

To excite resonance in a RFID proximity card, we coupled energy into the card via a coupling antenna and observed the reflection coefficient at various frequencies of a one-port network that connects to this coupling antenna (Fig. 1). We measured on a frequency grid spanning from 10 to 30 MHz that was wide enough to capture the resonance behavior for all the cards studied. We carefully controlled the placement of our coupling circuit with respect to the RFID card to ensure repeatability of our measurements. Furthermore, we found it necessary to calibrate the reference plane as close as possible to the coupling circuit and to take precautions that our connections between the VNA and coupling circuit were precise and stable. Our coupling antenna was a coil antenna of approximately the same dimensions as the RIFD card itself. We used a test fixture [see Fig. 1(a)] to ensure that the orientation and distance were consistent between measurements, as we found that the orientation and distance between the coupling coil and RFID card were important and affected the measurements significantly. Our test fixture ensured that the coupling coil and RFID card were in parallel planes of a fixed distance apart. Furthermore, we took care to control the relative placement of the RFID card and coupling coil in each of these planes to within a millimeter, as we found it important that the relative positions of the card and coupling coil in their respective planes were consistent. Choosing the distance between the coil and the card represented a tradeoff between competing goals. Placing the RFID card and coil far apart lowers the level of coupling between the RFID card and the coil, and leads to resonance frequency measurements more representative of the RFID card. However, lower coupling also leads to small signals and low signal-tonoise ratios. Conversely, placing the RFID card and coil close together improves the signal-to-noise ratio, but creates large coupling factors. With large coupling factors, it becomes a challenge to correctly extract the unloaded resonance characteristics from the measured loaded resonance characteristics. As a balance between the competing objectives of reducing noise and reducing coupling, we chose a distance of approximately 1.75 cm. At this distance, the coupling and noise were low enough to enable a consistent calculation of the unloaded resonance frequency and quality factor. We note that this measurement procedure had difficulty reliably exciting resonance of RFID cards that were contact as well as contactless; i.e., cards that could communicate via a direct metal-to-metal contact in addition to the magnetic coupling. C. Post-Processing To extract the unloaded resonance frequency and quality factor from the measured reflection coefficients, we take steps to compensate for the distortions introduced into the data by the intervening circuit elements between the calibrated reference plane and the RFID card. We model the intervening circuit elements as consisting of a short transmission line segment followed by a lossy coupling inductor [see Fig. 1(b)].

1760

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

where is the diameter of the resonance loop, is the rotation angle of the resonance loop (measured with respect to the line and the origin), is the angular frequency (i.e., connecting , where is frequency), and is the de-tuned reflection coefficient (the reflection coefficient that is obtained if the RFID card were not present), which is related to the circuit parameters in Fig. 1(b) by (4)

Q

Fig. 2. Determining unloaded resonance frequency and by first applying a linear phase shift and then fitting a circle. (a) Measured reflection coefficient data without the card present (0 ). (b) Linear phase shift e is chosen so that the frequency dependence in the measured data without the card present is negligible. (c) Measured reflection coefficient data with the card present form a loop in the complex plane. (d) Data with card present after applying the linear phase shift determined in (b). Here, the data can be modeled well by a narrowband circle approximation to estimate the unloaded frequency and factor.

Q

is the characteristic impedance of the transmission In (4), are, respectively, the loss and reactance of line, and is representative of the losses in the the coupling circuit; coupling circuit. If the losses in the coupling circuit are minimal is small), then lies close to the unit circle and the (if measured reflection coefficient data lie close to the boundary of the Smith chart. On the other hand, if the coupling losses are greater, then lies farther from the unit circle and the measured reflection coefficient data do not lie on the boundary of the Smith chart. , and with respect An illustration of the parameters to a measured reflection coefficient resonance loop is given in Fig. 2(d). The rational term in (3) describes a circle in the comsimply shifts that circle. Noting that (3) deplex plane, and scribes a circle in the complex plane leads to a method for estimating the parameters in(3): fitting a circle to reflection coefficient data near resonance. 3) Circle Fit: To fit a circle to (3), we define , and write (5) where

are complex numbers that can be related to and

1) Compensating for the Connections: To compensate for the connection between the cable and the coupling antenna, which consists of simply an adapter and two short parallel traces, we model the connection as a transmission line. To shift our reference plane to the end of this transmission line, we , where is the multiply the data by a linear phase shift elapsed time necessary for a radio signal to propagate from the calibrated reference plane to the coupling antenna. We choose the value of so that the measured reflection coefficient without the card present demonstrates negligible frequency dependence over the frequency range of interest. We obtain a first-order approximation of this value by manually choosing different values of and qualitatively assessing which option offers the best reduction in frequency dependence. We show the process of determining in Fig. 2(a) and (b). 2) Narrowband Approximation Near Resonance: After applying the linear phase shift, we use the method of Kajfez [11] to calculate the unloaded resonance frequency and factor. For a one-port resonant network, such as the circuit in Fig. 1(b), a good narrowband approximation of the reflection coefficient , as observed from the calibrated reference plane, is (3)

as (6)

As (5) represents a linear relationship between the , they can be estimated from a linear least squares fit from the scattering , provided that we know and . Unforparameter data tunately, we cannot know the unloaded angular resonance fredirectly from the data initially. The loaded angular quency resonance frequency is easily estimated by locating the frequency corresponding to the minimum magnitude of the reflection coefficient during resonance, as this is the resonance frequency of the entire measurement system. To estimate , we take and use an iterative process with a least squares fit at each iteration. Once the iterations have converged, we choose as the unloaded angular resonance frequency. the refined are given in the The details of the iterative refinements on Appendix. The relationship between the unloaded and loaded can be defined in terms of a coupling factor , which is, in turn, defined as the ratio of the power dissipated in the external coupling circuit to the power dissipated in the resonator. This relationship is (7)

ROMERO et al.: IDENTIFYING RFID CARDS

1761

resonance measurements and measurements of energy at carrier harmonics, we found that the following two electromagnetic signatures can identify individual cards well:

(9)

Fig. 3. (a) Measurement system for measuring the energy at carrier harmonics in RFID cards. (b) Illustration of near-field nature of an ISO 14443 transaction. We use two symmetrically placed sense coils on both sides of the reader coil to detect changes in the electromagnetic field at the same distance that the RFID card is located. We subtract the measured signal from one sense coil from the other so that we may cancel to first order the reader field in the absence of an RFID card.

The coupling factor can be deduced from the diameter of the measured circle with

where and are the measured unloaded resonance freand quency and unloaded quality factor, and are the measured energies in dBm of the third harmonic of the carrier frequency when the carrier frequency is operating at 13.56 and 12.56 MHz, respectively. can In Fig. 4, repeat measurements of the first signature be observed directly for several different cards. We see that this signature separates different card models very well and is sufficient to distinguish with relatively low error among different cards within the same model. Fig. 4 illustrates a measurement set of 20 cards with 18 repeat measurements per card. The 20 cards consist of four different card manufacturers each represented by five different individual cards from a specific batch. Between each repeat measurement, the card was removed from the measurement fixture, then reinserted. Measurements were taken within a time span of a couple of weeks. Between measurements on different days, we disconnected cables, reconnected cables, and then recalibrated the network analyzer.

(8) B. Card Classification where is a factor dependent on the losses present in the are given in system [11]. The details of the calculation of the Appendix. III. ENERGY AT CARRIER HARMONICS To obtain the energy at the carrier harmonics of the RFID card, we performed the same measurements as in [8]. The measurement system (Fig. 3) involved a test fixture to measure the electromagnetic field passing through the RFID card during an ISO 14443 Type A transaction. We sampled the measured electromagnetic signal at 1.25 GHz and recorded 150 s of the signal to capture the entire reader inquiry. We then calculated the energy present in the third carrier harmonic in this portion of the signal. The restriction to the reader inquiry and the third harmonic are choices that were found to be adept for forming a rudimentary electromagnetic signature in [8]. We constructed a signature consisting of the energy at the third carrier harmonic when the carrier frequency is operating at 13.56 MHz and when the carrier is operating at 12.56 MHz. We found this combination to provide better discrimination than the electromagnetic signature consisting of the third and fifth carrier harmonic at 13.56 MHz. IV. IDENTIFYING CARDS A. Electromagnetic Signatures Our electromagnetic signatures consist of low-dimensional vectors of a few representative measured quantities. From our

To associate a given electromagnetic signature with the corresponding card that produced that electromagnetic signature, we use a statistical model to select the most probable card to have produced the given signature. We model each measurement of the signature of card as a sample drawn from a multivariate Gaussian probability distribution . We consider both the case that the distribution of each card’s measurements will vary in a different manner around their respective means and the case that the distribution of each card’s measurements will vary in the same manner around their respective means. The first case requires that for each card , a sepais estimated, while the second case rate covariance matrix requires that a pooled covariance is estimated. The separate covariance matrix estimates have smaller degrees of freedom and are hence less stable than the pooled covariance estimate. The use of the pooled covariance estimate, however, requires the restrictive assumption that each card’s measurements must vary in the same manner around their respective means. As a balance between stable estimates and generality of our statistical model, we choose to regularize the separate covariance estimates for each card with the pooled estimate and by defining by defining a parameter such that a new covariance estimate as (10) With the parameter , we introduce the ability to fine tune an algorithm so that it may perform better on a given classification task. This method is known as regularized discriminant analysis [12].

1762

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 4. Unloaded angular resonance frequency (! ) and unloaded quality factor (Q ) measurements from four different card models. For each card model, five different cards were measured and for each card, 18 repeat measurements were taken. (a) All measurements collectively illustrated. Measurements from within card models formed clusters and are encircled; each of the four clusters were distinct and nonoverlapping, allowing for perfect identification of the card model from these measurements. (b)–(e) Within card models, where Cx refers to the xth card of each manufacturer. Measurements from individual cards also formed distinct clusters from which we can identify individual cards.

Estimating the probability that a specific card produced a given signature involves two steps. First, the parameters of the Gaussian distribution must be estimated, and second, the probability of the measurement belonging to each of the cards must be computed. To estimate the parameters, we collect several . In this measurements of the electromagnetic signature indexes the repeat measurements notation, for each card . From these training samples, standard normal theory concludes that maximum-likelihood estimates of the Gaussian distribution parameters are (11)

(12)

(13) where is the total number of measurements and equals the number of cards. With the estimated Gaussian parameters, we can evaluate the beprobability of a measured electromagnetic signature longing to card under our statistical model. We then say that the most probable card to have produced that measurement is the card that corresponds to the highest of those computed probabilities. Choosing the card with the highest probability of , is equivagenerating the measurement observed, i.e., lent to choosing the card that minimizes the value of , where is defined as

(14)

Hence, an electromagnetic signature is identified with a card according to the rule . C. Estimation of Future Error To estimate the future error of a given classifier, we randomly split measured data into a training set consisting of 75% of the data and a testing set consisting of the remaining 25% of the data. With the training set, the parameters of the statistical model in regularized discriminant analysis are estimated. With the testing set, comparing the actual card that produced a given electromagnetic signature and the prediction from regularized discriminant analysis of the most likely card to have produced that measurement affords a statistical estimate of future error. Repeating this estimate several times, we can obtain an estimate of the expected future error on identifying cards from their electromagnetic signature [12]. To choose the optimal for regularized discriminant analysis, we use a future error estimate on card identification for several values of . We then choose the corresponding to the minimal predicted future error. D. Results With Measurements To demonstrate the results of card identification, we estimate the future error as described above by repeating the random split into training and testing data 500 times. We report in Tables I and II the fraction of times that the electromagnetic signature corresponding to card is predicted as belonging to card when card was measured. 1) Resonance Data Only: In Table I, we see the results of applying regularized discriminant analysis to measurements of the electromagnetic signature consisting only of the unloaded . We found that resonance frequency and unloaded , i.e., produced the best results. For each card, 18 repeat measurements of the electromagnetic signature were taken.

ROMERO et al.: IDENTIFYING RFID CARDS

1763

TABLE I CONFUSION MATRIX FOR IDENTIFICATION OF CARDS FROM MEASUREMENTS OF RESONANCE FREQUENCY AND

TABLE II CONFUSION MATRIX FOR IDENTIFICATION OF CARDS FROM MEASUREMENTS OF RESONANCE FREQUENCY,

Some manufacturers offer clear distinction between individual cards, while others are difficult to tell apart in the space of all . With this signature possible electromagnetic signatures and algorithm, our average estimated overall accuracy for identifying individual cards was 90%. 2) Resonance Data Combined With Harmonic Data: If we , which consists consider the electromagnetic signature of the measured unloaded resonance parameters combined with the measurements of the energy at the carrier harmonics during an ISO 14443 transaction, we can identify individual cards within different card models with greater accuracy. In Table II, we report the accuracy of identifying cards from . For each card, 12 repeat measurements measurements of of the electromagnetic signature were taken. We see that the confusion among cards for the second model (M2) studied, reduced substantially, and any increase in confusion among individual cards in other models was minimal. Here, regular-

Q FACTOR

Q FACTOR, AND THIRD HARMONIC ENERGY

achieved an estimated ized discriminant analysis with overall accuracy of 96% for identifying individual cards. E. Threat Model To provide a context for the use of an electromagnetic signature in strengthening RFID security, we consider an RFID counterfeiting attempt as belonging to one of the following four categories of attacks. 1) The counterfeit RFID card does not correctly spoof a digital transaction. For this attack, we assume that any standard reader would reject the counterfeit card for transmitting an incorrect unique identification number or failing to sidestep cryptographic security measures. 2) The attacker correctly spoofs a digital transaction, but either manufactures his own card or purchases a reprogrammable card from another manufacturer. In this study, we have illustrated that electromagnetic signatures corre-

1764

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

sponding to different makes and models differ significantly and cluster tightly, and as such, we can defend well against this attack. 3) The attacker correctly spoofs a digital transaction and uses a counterfeit card of the same make and model as the card to be counterfeited; and only a small number of cards is in the pool of allowed cards. Under this model, we have shown that we can still defend well against this attack. 4) The attacker correctly spoofs a digital transaction, uses a counterfeit card of the same make and model as the card to be counterfeited, and the pool of allowed cards is large. Under this model, we will run into problems. Our analysis has shown that cards of the same make and model cluster tightly together; by considering more and more cards of the same make and model, the ability to distinguish cards from each other will steadily diminish. To address the last and most general threat model, we could prevent the identification error from decreasing to zero by framing the card identification task as a hypothesis test. Any hypothesis test would use the same statistical models estimated previously in Section IV-B. Given a specific error tolerance, the test would reduce to finding an appropriate threshold on the Manahalobis distance measure

rate or achieve the same error rate with fewer restrictions on the position of the RFID card. APPENDIX To calculate the unloaded angular resonance frequency, we to approximate the true unloaded angular iteratively refine frequency. For our description below, we denote the quantities and take associated with the th iteration with a superscript our initial guess for the unloaded angular resonance frequency . Each iteration to be the loaded resonance frequency, and fitting a proceeds by defining circle with least squares to the measured data to get the . We then calculate the quantities in circle fit parameters in place of , as well as the following quantities, (6) with where the superscript refers to complex conjugation, and for rather than clarity we use (16) (17) (18) The iterative update to

is as used in [11] with

(15) such that a new measurement will be identified as belonging to a counterfeit card if the threshold is exceeded. This threshold can be chosen so that two possible errors are controlled: that of misclassifying the correct card as a counterfeit card (i.e., a false negative) or that of classifying a counterfeit card as the correct card (i.e., a false positive), where lowering one error comes at the expense of raising the other. We can choose an optimal threshold such that an appropriate risk-loss function, such as a weighted sum of the square of the two errors, is minimized.

(19) Calculating the unloaded factor from the loaded estimate at each iteration involves simply correcting by a multiplicative , where is the coupling factor that can be calcufactor lated from (8). The factor in (8) can be calculated, as in [11], with (20) (21)

V. CONCLUSION We have shown that RFID proximity cards of different makes and models can be identified through precise measurement of the small-signal linear frequency response of cards combined with measurements of the energy at carrier harmonics. Furthermore, we have demonstrated the possibility of extending this result to differentiation between RFID cards of the same make and model. Identifying individual RFID proximity cards from our sample set with an accuracy as high as 96% indicates that the underlying differences between RFID proximity cards can be quantified through electromagnetic measurements. Good performance involving only the resonance measurements implies that perhaps an economical anticounterfeiting device consisting of a network analyzer can be implemented. As resonance measurements are independent of the underlying standard, the results could potentially be applicable to other standards at 13.56 MHz (such as ISO 14443 Type B). Our results here require precise positioning of the RFID cards to within a millimeter in a fixed plane, a requirement that can be achieved in practice with a fixture that necessitates the RFID card to be placed in a slot. With more varied electromagnetic measurements, perhaps we can decrease the identification error

, , and change After two iterations, the estimates little. As the second iteration estimates varied less than 0.01% from the previous iteration estimates, we chose the unloaded angular resonance frequency and quality factor to be those values from the second iteration

ACKNOWLEDGMENT The authors thank B. Riddle, Electromagnetics Division, National Institute of Standards and Technology (NIST), Boulder, CO, for help with calculations, D. Novotny, NIST, for technical advice, and N. Orloff, NIST, for insightful suggestions. REFERENCES [1] T. Daniels, M. Mina, and S. F. Russell, “Short paper: A signal fingerprinting paradigm for general physical layer and sensor network securityand assurance,” in 1st Int. Security and Privacy for Emerging Areas in Commun. Netw. Conf., Athens, Greece, Sep. 2005, pp. 219–221. [2] D. A. Knox and T. Kunz, “Secure authentication in wireless sensor networks using RF fingerprints,” in IEEE/IFIP Int. Embedded and Ubiquitous Comput. Conf., Dec. 2008, vol. 1, pp. 230–237.

ROMERO et al.: IDENTIFYING RFID CARDS

[3] M. J. Riezenman, “Cellular security: Better, but foes still lurk,” IEEE Spectr., vol. 36, no. 6, pp. 39–42, Jun. 2000. [4] J. Hall, M. Barbeau, and E. Kranakis, “Detection of rogue devices in Bluetooth networks using radio frequency fingerprinting,” in Proc. 3rd IASTED Int. Commun. Comput. Netw. Conf., Lima, Peru, Oct. 2006, pp. 108–113. [5] K. A. Remley, C. A. Grosvenor, R. T. Johnk, D. R. Novotny, P. D. Hale, M. D. Mckinley, A. Karygiannis, and E. Antonakakis, “Electromagnetic signatures of WLAN cards and network security,” in Proc. IEEE Signal Process. Inform. Technol. Symp., Athens, Greece, Dec. 2005, pp. 484–488. [6] V. Brik, S. Banerjee, M. Gruteser, and O. Sanghoo, “Wireless device identification with radiometric signatures,” in Proc. ACM Mobile Comput. Netw. Conf., San Francisco, CA, Sep. 2008, pp. 116–127. [7] B. Danev, T. S. Heydt-Benjamin, and S. Capkun, “Physical-layer identification of RFID devices,” in Proc. USENIX Security Symp., Montreal, QC, Canada, Aug. 2009, pp. 199–214. [8] H. P. Romero, K. A. Remley, D. F. Williams, and C. M. Wang, “Electromagnetic measurements for counterfeit detection of radio frequency identification cards,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1383–1387, May 2009. [9] Identification Cards—Contactless Integrated Circuit(s) Cards—Proximity Cards, ISO/IEC FCD Standard 14443, 2000. [10] S. Ramo, J. R. Whinnery, and T. V. Duzer, Fields and Waves in Communications Electronics. Hoboken, NJ: Wiley, 1994. [11] D. Kajfez, Q Factor. Oxford, MS: Vector Fields, 1994. [12] T. Hastie, R. Tibshirani, and J. Friedman, The Elements of Statistical Learning. New York: Springer, 2009.

Henry P. Romero received the B.S. degree in electrical engineering and applied mathematics from the University of Colorado at Boulder, in 2007, and is currently working toward the Ph.D. degree in applied mathematics at the University of Colorado at Boulder. In 2007, he became a Research Assistant with the National Institute of Standards and Technology (NIST), Boulder, CO, where he studies methods of characterizing RFID cards from measurements of the electromagnetic fields. Mr. Romero is a member of Eta Kappa Nu (HKN) and the Society of Industrial Applied Mathematicians (SIAM).

Kate A. Remley (S’92–M’99–SM’06) was born in Ann Arbor, MI. She received the Ph.D. degree in electrical and computer engineering from Oregon State University, Corvallis, in 1999. From 1983 to 1992, she was a Broadcast Engineer in Eugene, OR. From 1989 to 1991, she was Chief Engineer of an AM/FM broadcast station. In 1999, she joined the Radio-Frequency Technology Division (now the Electromagnetics Division), National Institute of Standards and Technology (NIST), Boulder, CO, as an Electronics Engineer. Her research activities include metrology for wireless systems, characterizing the link between

1765

nonlinear circuits and system performance, and developing methods for improved radio communications for the public safety community. Dr. Remley is the Editor-in-Chief of IEEE Microwave Magazine. She was the recipient of the Department of Commerce Bronze and Silver Medals and the Automatic RF Techniques Group (ARFTG) Best Paper Award.

Dylan F. Williams (M’80–SM’90–F’02) received the Ph.D. degree in electrical engineering from the University of California at Berkeley in 1986. In 1989, he joined the Electromagnetic Fields Division, National Institute of Standards and Technology (NIST), Boulder, CO, where he develops metrology for the characterization of monolithic microwave integrated circuits and electronic interconnects. He has authored or coauthored over 80 technical papers. Dr. Williams is editor-in-chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the Department of Commerce Bronze and Silver Medals, the Electrical Engineering Laboratory’s Outstanding Paper Award, two ARFTG Best Paper Awards, the ARFTG Automated Measurements Technology Award, and the IEEE Morris E. Leeds Award.

Chih-Ming Wang received the Ph.D. degree in statistics from Colorado State University, Fort Collins, in 1978. In 1988, he joined the Statistical Engineering Division, National Institute of Standards and Technology (NIST), Boulder, CO. He has authored or coauthored over 80 journal papers. His research interests include statistical metrology and the application of statistical methods to physical sciences. Dr. Wang is a Fellow of the American Statistical Association (ASA). He was the recipient of the Department of Commerce Bronze Medals and several awards from the ASA.

Timothy X. Brown received the B.S. degree in physics from Pennsylvania State University, University Park, and the Ph.D. degree in electrical engineering from the California Institute of Technology, Pasadena, in 1990. He is currently a Professor of electrical, computer, and energy engineering and Director of the Interdisciplinary Telecommunications Program with the University of Colorado at Boulder. His research interests include adaptive network control, machine learning, and wireless communication systems. His research projects include the role of mobility in network control, denial of service vulnerabilities in current wireless protocols, spectrum policy frameworks for cognitive radios, and indoor wireless network performance. Dr. Brown was a recipient of the National Science Foundation (NSF) CAREER Award, and the Global Wind Energy Council (GWEC) Wireless Educator of the Year Award.

1766

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Anisotropic 3-D Composite Right/Left-Handed Metamaterial Structures Using Dielectric Resonators and Conductive Mesh Plates Tetsuya Ueda, Senior Member, IEEE, Naobumi Michishita, Member, IEEE, Masahiro Akiyama, and Tatsuo Itoh, Life Fellow, IEEE

Abstract—New configuration of anisotropic 3-D composite right/ left-handed (CLRH) metamaterial structures is proposed and designed. It is composed of stacked layers using conductive mesh plates and dielectric layers including 2-D array of dielectric resonators. Balanced CLRH transmission structures are designed not only for both polarization and propagation directions in the layer plane, but also for the normal propagation. The structure is essentially anisotropic and has significant polarization dependence for the wave propagation. Still, for the wave propagation with the polarization parallel to the mesh plates, it can provide relatively small anisotropic propagation characteristics in a certain frequency region if the configuration parameters are appropriately designed. Additionally, it is numerically confirmed that the designed structure shows the left-handedness for the in-plane propagation with the normal polarization, but not right-handedness. The negativerefractive-index flat lens is experimentally demonstrated for validation. Index Terms—Dielectric resonators, lens, parallel-plate waveguides, periodic structures.

I. INTRODUCTION ETAMATERIAL structures have been investigated for applications to microwave circuits, components, and antennas [1]–[3], as well as optical devices and components such as planar super lens, near-field imaging with sub-wavelength resolution, cloaking techniques [4], and so forth. Left-handed metamaterials have negative effective permittivity and permeability simultaneously, and support backward wave propagation [5]. Most of microwave circuit and antenna applications have been based on 1-D or 2-D left-handed metamaterial structures. Recently, the anisotropic and isotropic 3-D left-handed structures have also been proposed with the help of combination of split ring resonators (SRRs) and thin wires [6], transmis-

M

Manuscript received April 15, 2009; accepted February 26, 2010. Date of publication June 01, 2010; date of current version July 14, 2010. T. Ueda and M. Akiyama are with the Department of Electronics, Kyoto Institute of Technology, Kyoto 606-8585, Japan (e-mail: [email protected]; [email protected]). N. Michishita is with the Department of Electrical and Electronic Engineering, National Defense Academy, Yokosuka, Kanagawa 239-8686, Japan (e-mail: [email protected]). T. Itoh is with the Electrical Engineering Department, University of California at Los Angeles, Los Angeles, CA 90095 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049922

sion line networks [7]–[9], and dielectric spheres [10]. The resonant-type left-handed metamaterials based on metals are lossy due to the conducting loss under the antiresonance, whereas the transmission-line-based left-handed metamaterials have a relatively small material loss. However, for the latter 3-D case, series capacitance and shunt inductance need to be inserted into each branch of the 3-D networks along all three orthogonal directions, which results in a very complicated configuration for fabrication. On the other hand, left-handed metamaterials based on dielectric resonators with the very simple configuration can simultaneously provide negative permeability for different orthogonal directions of polarization and propagation. For the purpose of conductor loss reduction at higher frequencies, left-handed metamaterials using dielectric resonators have been proposed in various configurations such as a two dielectric-resonator scheme [10]–[12] and a one dielectric-resonator scheme using their mutual coupling [13], [14]. However, these dielectric resonator schemes are very sensitive to configuration parameters. Alternatively, the authors proposed another configuration of a one dielectric-resonator scheme in the negative epsilon background. It is composed of 1-D or 2-D array of fundamental TE-resonant dielectric resonators inserted in the TE cutoff parallel-plate waveguide [15], [16]. Therefore, it is true that the configuration still suffers from conducting loss; however, not so significantly because metals are not employed in antiresonant sections. Recently, the authors designed 1-D, 2-D, and volumetric 2.5-D balanced composite right/lefthanded (CRLH) metamaterial structures based on the same onedielectric-resonator scheme along with mesh plates to provide opportunities for new applications for radiation and coupling systems [17]–[19]. However, even the 2.5-D stacked structures supported CRLH transmission only for the propagation direction in the plane parallel to the layers, but not in the normal direction. For the propagation normal to metallic mesh plates, left-handed metamaterial structures based on the stacked plates have been proposed in optical regions [20], [21], as well as at microwave frequencies [22]. In these configurations, to realize negative effective permeability along the normal to the plates, the dielectric gaps between plates are employed as series capacitive elements in the equivalent-circuit model for the unit cell. Recently, anisotropic 3-D CRLH metamaterial structure composed of mesh plates and dielectric-resonators was proposed and designed [23] for practical use and advantage of its very

0018-9480/$26.00 © 2010 IEEE

UEDA et al.: ANISOTROPIC 3-D CRLH METAMATERIAL STRUCTURES

1767

at the center of the unit cell and the axis is normal to the mesh plates. The center of the open circle window in the mesh plates with the radius of coincides with that of dielectric resonators. The cross section of the unit cell in the mesh plate is assumed to be a square and the length of the edge line is . The holes within the mesh plates are filled with air. III. BASIC OPERATIONS AND EQUIVALENT-CIRCUIT MODELS

Fig. 1. Geometry of the proposed 3-D CRLH metamaterial structure (after [23]). (a) Perspective view. (b) Geometry of the unit cell.

simple configuration for fabrication. Basic configuration of the structures is the same as the volumetric 2.5-D stacked type, and was appropriately designed so that the CRLH transmission was achieved even for the propagation direction normal to the stacked layers. In [23], dispersion diagrams and the equivalent-circuit models for two specific cases were shown where the polarization is restricted to the directions parallel to the stacked layers and the propagation direction is either parallel or normal to the mesh plates. In addition, the negative-refractive-index lens was demonstrated. However, the validation of the equivalent-circuit models was not clearly shown for describing the propagation characteristics, and the measurement of the lens provided a few data only in the plane parallel to the axis of an input magnetic dipole source excited by a small loop antenna. In this paper, an anisotropic 3-D CRLH metamaterial structure composed of mesh plates and dielectric layers with a 2-D array of dielectric resonators is investigated in detail. In addition to two specific cases reported in [23], the in-plane propagation with the polarization normal to the stacked layers is included for investigation in this paper. Circuit parameters for each case are extracted from the scattering parameters and compared with the equivalent-circuit models, from a physical point of view, along with the comparison with the eigenmode solution. In addition, the beam focusing through the negative-refractive-index lens is also experimentally investigated not only in the plane parallel to the axis of input magnetic dipole source [23], but also in the normal plane. II. GEOMETRY UNDER CONSIDERATION The geometry of the proposed CRLH metamaterial structure and the coordinate of the system are shown in Fig. 1. The structure is basically the same as the stacked type that were already proposed [19], and is a multilayered structure composed of conductive mesh plates and dielectric layers including 2-D array of disc-type dielectric resonators, as shown in Fig. 1(a). The unit cell shown in Fig. 1(b) consists of two parallel conductive mesh plates with the thickness of , a dielectric layer with the thick, and a dielectric resness of and relative permittivity of onator disc with the dielectric constant of , the diameter of , and the height of . The dielectric resonator disc is placed

The fundamental concept of the present circuit design is based on the CRLH transmission lines. This idea focuses on the design of the effective permittivity and permeability of the structures of interest, which will lead to the appropriate configuration for the desired phase characteristics of the propagated waves. In this paper, since a 3-D structure is treated, we need to take into account the dependence of polarizations and propagation directions of the incident waves on the propagation characteristics. As easily predicted from the proposed geometry in Fig. 1, the wave propagation in the structure has essentially anisotropic characteristics. In the previous work [19], it was shown that the almost isotropic characteristics can be achieved in a certain frequency region when the polarization and direction of the propagation are restricted to be in the 2-D regions parallel to the mesh plate. Therefore, in order to achieve less anisotropic characteristics for the 3-D CRLH metamaterial structures, the following two cases to be solved exist: 1) the difference of refractive indices for the in-plane propagations with the different polarizations parallel and normal to the mesh plates and 2) the anisotropy between propagation directions parallel and normal to the mesh plates. In this paper, we treat mainly the latter case, i.e., we attempt to make the anisotropy as small as possible for the propagation directions parallel and normal to the mesh plates, but the polarizations of the electric fields are limited to be in the mesh plates. The former problem related to the polarization difference for the in-plane propagation is also discussed. To examine these problems, the following three typical cases are discussed: • Case I: the propagation normal to the stacked layers; • Case II: both polarization and propagation directions in the layer plane; • Case III: in-plane propagation with the polarization normal to the stacked layers, as shown in Fig. 2. Each equivalent-circuit model for three cases is also given on the right-hand side of Fig. 2. A. Both Polarization and Propagation Directions in the Layer Plane (Case II) [23] We start with the discussion for Case II, where both polarization and propagation directions are parallel to the mesh plates, because the fundamental mechanism is quite the same as that in the previous work [19]. The equivalent-circuit model is shown on the right-hand side of Fig. 2(b). The effective permeability of the structure is governed by the resonance of the dielectric disc. The typical magnetic field profile at the frequency near the resonance is shown on the left-hand side of Fig. 2(b). The frequency regions with negative and positive permeability can be controlled by the density of the dielectric resonators [24]. On the other hand, the effective permittivity can be changed by appropriately adjusting the configuration parameters of the TE

1768

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

C. In-Plane Propagation With the Polarization Normal to Layers (Case III) In this section, we consider the in-plane propagation of the waves with the polarization normal to the stacked layers, as shown in Fig. 2(c). For such incident waves, the dielectric resresonant mode around the freonators behave like an quency region of interest. On the other hand, there are no mechanisms related to TE cutoff waveguides for the propagation. Finally, the mutual coupling between dielectric resonators under -like resonance contributes to the wave propagation [13], [14]. The equivalent-circuit models for the unit cell are shown on the right-hand side of Fig. 2(c). It is found that the mutual coupling supports the left-handed mode, but not right-handed modes. It can be explained by existence of only inductive element in the shunt branch, which will be confirmed later from the numerical simulation results. IV. DISPERSION DIAGRAM AND EXTRACTED CIRCUIT PARAMETERS

Fig. 2. Equivalent-circuit models of the unit cell in the proposed CRLH structure for three different propagation cases. (a) Propagation normal to the stacked layers (after [23]). (b) In-plane propagation of the y -polarized waves (after [23]). (c) In-plane polarization of the z -polarized waves.

cutoff parallel-plate waveguide [25] such as the design of the distance of plates and the aperture size of the conducting mesh, which can lead to the design of the balanced CRLH structures. B. Propagation Normal to Stacked Layers (Case I) [23] For the wave propagation normal to the stacked layers, the resonant mode of the dielectric disc is employed for the design of the positive and negative effective permeability, as shown in Fig. 2(a). In order for the above-mentioned and modes of the dielectric resonator to be degenerate at the same operational frequency, the height and the diameter of the dielectric resonators are set to be about the same. On the other hand, when designing the effective permittivity of the structure, the unit cell can be separated into two sections; a dielectric layer section including dielectric resonators and conducting mesh plate sections. The effective permittivity of the former section is always positive, whereas the propagation through the aperture hole is under the cutoff region. Therefore, the net shunt admittance in the equivalent-circuit model for the unit cell provides the positive and negative permittivity of the structure. By adjusting configuration parameters in order for both the frequencies at zero permittivity and zero permeability to coincide with each other, balanced CRLH structures for the propagation normal to the stacked layers can be designed.

In this section, we show some examples of dispersion diagrams that are numerically obtained for the proposed 3-D CRLH structure. The configuration parameters used in the numerical simulation are as follows: the diameter, height, and dielectric mm, mm, constant of dielectric resonators are , respectively. The dielectric constant of the host and medium in the dielectric layers is 2.2. In Fig. 3, dispersion dimm, and agrams for the distance between mesh plates mm are shown as a the thickness of the mesh plates function of the period . It is found from Fig. 3 that the balanced CRLH structure can be constructed not only for the case of both polarization and propagation directions in the layer plane ( of the -polarized waves), but also for the normal propagation . In addition, the CRLH band for propagation along the -axis becomes narrower with a smaller size of the period. On the other hand, the CRLH band for the propagation of -polarized waves along the -axis becomes larger with a smaller period. For the present configuration parameters, the propagation characteristics for both normal and parallel propagation directions are about the same in the small phase-constant region for mm, as shown in Fig. 3(b). In this case, almost isotropic characteristics are achieved. Only when focusing on these dispersion diagrams, the result in Fig. 3(b) seems isotropic around point. However, it is found still significantly anisotropic, from the impedance point of view. Before showing anisotropic characteristic impedances, extracted circuit parameters obtained from simulated scattering parameters are given in Fig. 4 for three different propagation and are an effective inductance cases. The quantities in the series branch and a capacitance in the shunt branch for the -type equivalent circuit of the unit cell, as shown in Fig. 4(a). These parameters correspond to the effective permeability and permittivity, respectively. The parameter extraction method is the same as in [18]. In Fig. 4(b) and (c), antiresonance is found , as predicted by the at 10.3 GHz in the series inductance equivalent-circuit models in Fig. 2(a) and (b). For Case III, it is found from Fig. 4(d) that the structure cannot have a right-

UEDA et al.: ANISOTROPIC 3-D CRLH METAMATERIAL STRUCTURES

1769

=6

Fig. 3. Dispersion diagram for the balanced CRLH structures with d mm, t : mm (data of Z and X with y -pol is taken from [23]). mm, r : mm. (b) For p mm, r : mm. (c) For (a) For p p mm, r : mm.

= 05 00 =8 =21 = 11 = 3 15

00

=9

=26

handed mode in the frequency region of interest because the efis always negative. Another infective shunt capacitance teresting fact obtained from Fig. 4(d) is that the antiresonance is not found below 10.5 GHz in the effective series inductance , though magnetic resonance of dielectric resonators contributes to effective permeability. The result can be explained by the equivalent-circuit model for the mutual coupling between dielectric resonators in Fig. 2(c). In Fig. 5, propagation characteristics extracted by the simulated -parameters for a one-cell CRLH structure are shown. In Fig. 5(a), the dispersion diagrams obtained by the parameter extraction are compared with the eigenmode solution. The eigenmode solutions in Fig. 5(a) were obtained in the same manner as in Fig. 3. In Fig. 5(b), Bloch impedances are shown. It is found propagation is less from Fig. 5(b) that the impedance for than one-third of the impedance for propagation of the

Fig. 4. Series and shunt elements of the unit cell for the balanced CRLH structure with p : mm, and r : mm. (a) Symmm, d mm, t metric  -type equivalent-circuit model. (b) Propagation normal to the layers. (c) In-plane propagation of the y -polarized waves. (d) In-plane propagation of the z -polarized waves.

=9

=6

=05

=26

-polarized waves. In addition, the impedance for propagation of the -polarized wave is much smaller than that for the others. From the further numerical simulation, it is found that the ratio of conducting mesh’s thickness to the dielectric layer should get larger in order to decrease the anisotropy of the impedance. In Fig. 6, we obtained less anisotropy of the impedances between Cases I and II for the same configuration paramemm, mm. ters as shown in Fig. 5, except with In this case, the impedance for propagation is about a

1770

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

= 37:5, 00Z

Fig. 7. Dispersion diagram of the balanced CRLH structure for " p mm, d mm, t : mm, and r : mm (data of and X with y -pol is taken from [23]).

=9 00

=6

=05

=26

Fig. 6. Bloch impedance of the balanced CRLH structure for p : mm, and r : mm. mm, t

6

=10

half of the impedance for waves.

= 2 95

=10

= 2 75

=

Fig. 5. Propagation characteristics of the balanced CRLH structure for p mm, d mm, t : mm, and r : mm. (a) Dispersion diagram. (b) Bloch impedance.

9

=6

= 9 mm, d =

propagation of the -polarized

V. DEMONSTRATION OF LEFT-HANDED TRANSMISSION NORMAL TO STACKED LAYERS AND BEAM FOCUSING In order to experimentally verify the operation of left-handed transmission not only for in-plane propagation, but also for the propagation normal to the stacked layers, a negative-refractiveindex flat lens [26] was designed and fabricated [23]. The configuration parameters for the fabricated unit cell in the CRLH structure are as follows: the diameter, height, and dielectric conmm, mm, and stant of dielectric resonators are , respectively. The dielectric constant of the host

Fig. 8. Each element of fabricated lens for the 3-D CRLH structure.

medium in the dielectric layers is 2.2. The period mm, mm, and the metallic distance of neighboring plates mm, mm. The modified plate thickness dispersion diagram is shown in Fig. 7. The fabricated lens was composed of the stacked layers including a dielectric layer with dimension of 45 mm 180 mm 6 mm, and a copper plate, as shown in Fig. 8. As a host medium holding dielectric resonators, a Rogers RT/Duroid 5880 substrate with the dielectric constant of 2.2, and loss tangent of 0.0009 was employed. The thickness of the host medium was adjusted in order for the total thickness to be 6.0 mm and for the center of dielectric resonators to be placed at the center of the height of the host medium by using two layers. The experimental setup for the measurement of the field distribution around the lens and the coordinate of the system are shown in Fig. 9. The normal to the lens is set along the -axis. The height and width of the lens are five cells (45 mm) and 20 cells (180 mm), respectively, and the length is four layers (32 mm). Since the mismatch of the designed structure to the air remains, i.e., since the impedance of the lens is much smaller than that of the air, the dielectric films as the matching circuit were inserted between the air and lens on both input and output sides of the lens. In order to avoid the thickness effect of the lens along the -direction, film-type absorbers operating at -band were inserted at the boundaries between the structure and air region. Both the transmitting antenna and receiving probe for the measurement are composed of a small loop antenna. The directions of these antenna axes were set to the same. We measured

UEDA et al.: ANISOTROPIC 3-D CRLH METAMATERIAL STRUCTURES

1771

Fig. 9. Measurement system for the field patterns through the proposed lens. (a) Top view. (b) Side view.

Fig. 11. Measured field distribution at the output region through the proposed lens in the y –z -plane. (a), (c), and (e) Magnitude. (b), (d), and (f) Phase. (a) and (b) At 10.46 GHz. (c) and (d) At 10.48 GHz. (e) and (f) At 10.50 GHz.

Fig. 10. Measured magnitude distributions of the magnetic field at the output region from the proposed 3-D flat negative-refractive-index lens in the x–z -plane. (a) At 10.46 GHz. (b) At 10.48 GHz. (c) At 10.50 GHz.

two cases; the axes of these antennas are directed along the or -axis. The distance of the transmitting antenna from the fabricated lens was set to 16 mm, which corresponds to half of the length of the lens. The magnitude and phase profiles at the output region through the fabricated flat lens were measured in the frequency region from 10.0 to 11.5 GHz. Below the frequency of 10.35 GHz, the fabricated structure rarely propagated the waves. The same situation was found above 11 GHz. Between these frequencies and above the frequency of 10.6 GHz, in which there exists righthanded modes in Fig. 7, the measured profiles just show the

ordinary diffraction from conventional positive refractive-index lenses. In the frequency region from 10.4 to 10.5 GHz, the beam focusing was clearly observed. In Fig. 10, the measured magnitude profiles at 10.46–10.50 GHz are shown in the – -plane with the axes of antennas along the -direction. The origin of the coordinate system was taken at the center of the transmitting loop antenna. The maximum magnitude of the field was mm at 10.50 GHz, which corresponds to observed at the distance of 16 mm from the lens. The operational frequency of the fabricated lens is in good agreement with that predicted from Fig. 7. Finally, the magnitude and phase distribution in the – -plane were illustrated in Fig. 11 at 10.46–10.50 GHz. From Fig. 11, the beam focusing was clearly confirmed at 10.50 GHz in both magnitude and phase distribution with small numbers of cells along the height of the lens. The motion of the beam spot going away from the lens at higher operational frequencies in Fig. 11 verifies the left-handedness of the fabricated lens, i.e., the decrease in the magnitude of effective refractive index with the frequency. The similar field profiles were also obtained for the measurement with the antenna axes along the -direction. VI. CONCLUSIONS A new configuration of 3-D anisotropic CRLH metamaterial structures has been proposed and designed. It was composed of stacked layers using conducting mesh plates and dielectric layers including a 2-D array of dielectric resonators. Balanced CRLH transmission structures were designed not only for the

1772

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

propagation parallel to the layers, but also for the normal direction. The structure was essentially anisotropic and had polarization dependence for the wave propagation. For the incident wave with the polarization parallel to the mesh plates, relatively small anisotropic propagation characteristics were achieved in a certain frequency region when the configuration parameters were appropriately designed. The flat lens was fabricated based on the designed CRLH structures. The negative-refractive-index lens was demonstrated to verify the CRLH transmission of the proposed anisotropic 3-D structure, not only in the in-plane propagation, but also along in the normal direction. ACKNOWLEDGMENT The authors would like to thank Dr. A. Tojo, Murata Manufacturing Company Ltd., Yasu, Japan, for providing dielectric resonators and Y. Tsubouchi, Kyoto Institute of Technology, Kyoto, Japan, for their support of RF field measurement. REFERENCES [1] C. Caloz and T. Itoh, Electromagnetic Metamaterials—Transmission Line Theory and Microwave Applications. New York: Wiley, 2006. [2] N. Engheta and R. W. Ziolkowski, Metamaterials—Physics and Engineering Explorations. Piscataway, NJ: IEEE Press, 2006. [3] G. V. Eleftheriades and K. G. Balmain, Negative Refraction Metamaterials: Fundamental Principles and Applications. Piscataway, New Jersey: IEEE Press, 2005. [4] J. B. Pendry, D. Schurig, and D. R. Smith, “Controlling electromagnetic fields,” Science, vol. 312, pp. 1780–1782, Jun. 2006. [5] V. G. Veselago, “The electrodynamics of substances with simultaneously negative value of " and ,” Sov. Phys.—Usp., vol. 10, no. 4, pp. 509–514, Jan. 1968. [6] T. Koschny, L. Zhang, and C. Soukoulis, “Isotropic three-dimensional left-handed metamaterials,” Phys. Rev. B, Condens. Matter, vol. 71, pp. 121103-1–121103-4, Mar. 2005. [7] A. Grbic and G. V. Eleftheriades, “An isotropic three-dimensional negative-refractive-index transmission line metamaterial,” J. Appl. Phys., vol. 98, pp. 043106-1–043106-5, Aug. 2005. [8] P. Alitalo, S. Maslovski, and . Tretyakov, “Three-dimensional isotropic perfect lens based on LC-loaded transmission lines,” J. Appl. Phys., vol. 99, pp. 064912-1–064912-8, Mar. 2006. [9] M. Zedler, C. Caloz, and P. Russer, “A 3-D isotropic left-handed metamaterial based on the rotated transmission-line matrix (TLM) scheme,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2930–2941, Dec. 2007. [10] C. L. Holloway, E. Kuester, J. Baker-Javis, and P. Kabos, “A double negative (DNG) composite medium composed of magnetodielectric spherical particles embedded in a matrix,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2596–2601, Oct. 2003. [11] O. G. Vendik and M. S. Gashinova, “Artificial double negative (DNG) media composed by two different dielectric sphere lattices embedded in a dielectric matrix,” in Proc. Eur. Microw. Conf., Oct. 2004, pp. 1209–1212. [12] L. Jylhä, I. Kolmakov, S. Maslovski, and S. Tretyakov, “Modeling of isotropic backward-wave materials composed of resonant spheres,” J. Appl. Phys., vol. 99, Feb. 2006, Art. ID 043102. [13] E. A. Semouchkina, G. B. Semouchkin, M. Lanagan, and C. A. Randall, “FDTD study of resonance processes in metamaterials,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1477–1486, Apr. 2005. [14] T. Ueda and T. Itoh, “Three-dimensional negative-refractive-index metamaterials composed of spherical dielectric resonators,” in URSI Nat. Radio Sci. Meeting, Jan. 2006, p. 51. [15] T. Ueda, A. Lai, and T. Itoh, “Negative refraction in a cut-off parallel-plate waveguide loaded with two-dimensional lattice of dielectric resonators,” in Proc. Eur. Microw. Conf., Manchester, U.K., Sep. 2006, pp. 435–438. [16] T. Ueda, A. Lai, and T. Itoh, “Demonstration of negative refraction in a cutoff parallel-plate waveguide loaded with two-dimensional lattice of dielectric resonators,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1280–1287, Jun. 2007.

[17] T. Ueda, N. Michishita, and T. Itoh, “Composite right/left handed metamaterial structures composed of dielectric resonators and parallel mesh plates,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1823–1826. [18] T. Ueda, N. Michishita, M. Akiyama, and T. Itoh, “Dielectric-resonator-based composite right/left-handed transmission lines and their application to leaky wave antenna,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 10, pp. 2259–2269, Oct. 2008. [19] T. Ueda, N. Michishita, A. Lai, M. Akiyama, and T. Itoh, “2.5-D stacked composite right/left handed metamaterial structures using dielectric resonators and parallel mesh plates,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 335–338. [20] S. Zhang, W. Fan, N. C. Panoiu, K. J. Malloy, R. M. Osgood, and S. R. J. Brueck, “Experimental demonstration of near-infrared negative-index metamaterials,” Phys. Rev. Lett., vol. 94, pp. 137404-1–137404-4, Jun. 2005. [21] S. Zhang, W. Fan, K. J. Malloy, S. R. J. Brueck, N. C. Panoiu, and R. M. Osgood, “Near-infrared double negative metamaterials,” Opt. Exp., vol. 13, no. 13, pp. 4922–4930, Jun. 2005. [22] M. Beruete, M. Sorolla, and I. Campillo, “Left-handed extraordinary optical transmission through a photonic crystal of subwavelength hole,” Opt. Exp., vol. 14, no. 12, pp. 5445–5455, Jun. 2006. [23] T. Ueda, N. Michishita, M. Akiyama, and T. Itoh, “Anisotropic 3-D composite right/left handed metamaterial structures composed of stacked layers using dielectric resonators and mesh plates,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 59–62. [24] L. Lewin, “The electrical constants of a material loaded with spherical particles,” Proc. Inst. Elect. Eng., vol. 94, pt. III, pp. 65–68, 1947. [25] R. Marques, J. Martel, F. Mesa, and F. Medina, “Left handed media simulation and transmission of EM waves in sub-wavelength SRRloaded metallic waveguides,” Phys. Rev. Lett., vol. 89, Oct. 2002, Art. ID 183901. [26] J. B. Pendry, “Negative refraction makes a perfect lens,” Phys. Rev. Lett., vol. 85, no. 18, pp. 3966–3969, Oct. 2000.

Tetsuya Ueda (M’97–SM’10) received the B.E., M.E., and Ph.D. degrees in communication engineering from Osaka University, Osaka, Japan, in 1992, 1994, and 1997, respectively. Since 1997, he has been with the Department of Electronics, Kyoto Institute of Technology, Kyoto, Japan, where he is currently an Assistant Professor. From 2005 to 2006, he was a Visiting Scholar with the Department of Electrical Engineering, University of California at Los Angeles (UCLA). His current research interests include metamaterials and their applications. Dr. Ueda is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 1999 IEICE Young Engineer Award and the 2008 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Japan Chapter Young Engineer Award.

Naobumi Michishita (S’01–M’04) received the B.E., M.E., and D.E. degrees in electrical and computer engineering from Yokohama National University, Yokohama, Japan, in 1999, 2001, and 2004, respectively. In 2004, he joined the Department of Electrical and Electronic Engineering, National Defense Academy, Kanagawa, Japan, as a Research Associate. From 2006 to 2007, he was a Visiting Scholar with the University of California at Los Angeles (UCLA). His current research interests include metamaterial antenna and electromagnetic analysis. Dr. Michishita is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the Young Engineer Award presented by the IEEE Antennas and Propagation Society (AP-S) Japan Chapter and the IEICE (2004 and 2005).

UEDA et al.: ANISOTROPIC 3-D CRLH METAMATERIAL STRUCTURES

Masahiro Akiyama received the B.S. degree in science and Ph.D. degree in electrical engineering from Kyoto University, Kyoto, Japan, in 1970 and 1991, respectively. In 1971, he joined the Oki Electric Industry Company, Ltd., Tokyo, Japan, where he was involved in research and development on MOCVD crystal growth of III–V materials, GaAs integrated circuits (ICs), and microwave circuits. Since 2001, he has been a Professor with the Department of Electronics, Kyoto Institute of Technology, Kyoto, Japan, where he is involved in the study of microwave functional circuits.

Tatsuo Itoh (S’69–M’69-SM’74–F’82–LF’06) received the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign, in 1969. From September 1966 to April 1976, he was with the Electrical Engineering Department, University of Illinois at Urbana-Champaign. From April 1976 to August 1977, he was a Senior Research Engineer with the Radio Physics Laboratory, SRI International, Menlo Park, CA. From August 1977 to June 1978, he was an Associate Professor with the University of Kentucky, Lexington. In July 1978, he joined the faculty of The University of Texas at Austin, where he became a Professor of electrical engineering in 1981 and Director of the Electrical Engineering Research Laboratory in 1984. During Summer 1979, he was a Guest Researcher with AEG-Telefunken, Ulm, Germany. In September 1983, he was selected to hold the Hayden Head Centennial Professorship of Engineering at The University

1773

of Texas at Austin. In September 1984, he became Associate Chairman for Research and Planning of the Electrical and Computer Engineering Department, The University of Texas at Austin. In January 1991, he joined the University of California at Los Angeles (UCLA) as Professor of electrical engineering and Holder of the TRW Endowed Chair in Microwave and Millimeter Wave Electronics (currently the Northrop Grumman Endowed Chair). He was an Honorary Visiting Professor with the Nanjing Institute of Technology, Nanjing, China, and the Japan Defense Academy. In April 1994, he became an Adjunct Research Officer for the Communications Research Laboratory, Ministry of Post and Telecommunication, Tokyo, Japan. He was Visiting Professor with The University of Leeds, Leeds, U.K. He has authored or coauthored 375 journal publications and 775 refereed conference presentations. He has authored 43 books/book chapters in the area of microwaves, millimeter waves, antennas and numerical electromagnetics. He has generated 70 Ph.D. students. Dr. Itoh is a member of the Institute of Electronics and Communication Engineers of Japan and Commissions B and D of USNC/URSI. He was elected a member of the National Academy of Engineering in 2003. He was editor-in-chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (1983–1985). He serves on the Administrative Committee of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He was vice president of the IEEE MTT-S in 1989 and president in 1990. He was the editor-in-chief of IEEE MICROWAVE AND GUIDED WAVE LETTERS (1991–1994). He was elected as an Honorary Life Member of the IEEE MTT-S in 1994. He was the chairman of USNC/URSI Commission D (1988–1990) and chairman of Commission D of the International URSI (1993–1996). He was chair of the Long Range Planning Committee, URSI. He serves on advisory boards and committees of numerous organizations. He was a Distinguished Microwave Lecturer on Microwave Applications of Metamaterial Structures for the IEEE MTT-S (2004–2006). He was the recipient of numerous awards including the 1998 Shida Award of the Japanese Ministry of Post and Telecommunications 1998 Japan Microwave Prize, 2000 IEEE Third Millennium Medal, and 2000 IEEE MTT Distinguished Educator Award.

1774

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

High-Frequency Pulse Distortion on a Lossy Microstrip Line With a Top Cover Joaquín Bernal, Member, IEEE, Francisco Mesa, Member, IEEE, David R. Jackson, Fellow, IEEE, William L. Langston, Member, IEEE, and Jeffery T. Williams, Senior Member, IEEE

Abstract—This paper studies the time-domain propagation and dispersion of a pulse propagating on a microstrip line with a metallic top cover. A gap voltage source is used to model a practical excitation on the line. High-frequency distortion effects are observed that cannot be accounted for by conventional transmission-line theory, since they are due to the simultaneous excitation of the bound mode and a strong leaky mode. The bound-mode and leaky-mode components of the pulse are identified and separately studied to aid in the physical interpretation of the pulse distortion. The excitation of a dominant leaky mode gives rise to an interesting pulse-splitting phenomenon, due to the different velocities of the bound mode and the leaky mode. The influence of dielectric and conductor losses on the pulse shape is also studied. Index Terms—Conductor losses, continuous spectrum, dielectric losses, dispersive effects, leaky mode, microstrip, packaging effects, pulse propagation, spurious effects.

I. INTRODUCTION

T

HE CURRENT trends in millimeter and microwave integrated circuits are toward the use of higher frequencies and narrower pulses with faster rise times. Moreover, the increasing demand for high-speed applications has caused highspeed interconnect problems, such as distortion and crosstalk, to become a major concern for digital circuits in very large-scale integration technology [1]. Since a narrow pulse with fast rise time has a broad frequency spectrum, high-frequency effects are expected to have a significant impact in the pulse distortion as the pulse travels along a transmission line. These high-frequency effects may include dispersion and power loss associated with the excitation of a leaky mode as well as other constitutive components of the continuous spectrum [2], [3]. In this paper, we present an in-depth analysis of the propagation of a narrow pulse in the time domain, which allows for a detailed study of these high-frequency effects. When a leaky Manuscript received July 15, 2009; accepted February 08, 2010. Date of publication June 14, 2010; date of current version July 14, 2010. This work was supported in part by the Spanish Ministerio de Educación y Ciencia and European Union FEDER under Project TEC2007-65376, by Junta de Andalucía under Project TIC-253, and under the State of Texas Advanced Technology Program. J. Bernal is with the Department of Applied Physics 3, University of Seville, 41092 Seville, Spain (e-mail: [email protected]). F. Mesa is with the Department of Applied Physics 1, University of Seville, 41012 Seville, Spain (e-mail: [email protected]). D. R. Jackson and J. T. Williams are with the Department of Electrical and Computer Engineering, University of Houston, Houston, TX 77204-4005 USA (e-mail: [email protected]). W. L. Langston is with Sandia National Laboratories, Albuquerque, NM 87185-1152 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049920

mode is not present or is only excited at high frequencies, the distortion of the pulse is expected to be mainly associated with the frequency dispersion and attenuation of the usual bound mode, and this can be accounted for by conventional transmission-line theory along with computer-aided design (CAD) formulas. However, the presence of a strong leaky mode that gets excited at low and intermediate frequencies is expected to cause a significant distortion in the signal that cannot be predicted by transmission-line theory. Since this distortion is mainly related to radiative effects, it can only be accounted for by a full-wave analysis such as that provided here. A typical structure that can support a strong leaky mode is a microstrip line with a metallic top cover. The influence of the top cover of the package on the excitation of leaky modes has been previously studied in the frequency domain in [4] and [5]. One of the most relevant effects of the top cover is to raise the surface wave (which bephase constant of the dominant comes a parallel-plate inhomogeneous waveguide mode) and, consequently, to lower the frequency at which physical leakage begins. In fact, leakage at all frequencies is possible for a sufficiently small cover height [5], [6]. This lowering of the leakage frequency clearly makes the spurious effects associated with leaky-mode excitation more severe. Our analysis makes use of a mixed-potential integral equation (MPIE) formulation [7]–[9] to compute the current excited by a gap voltage source on the line in the frequency domain. An inverse fast Fourier transform (IFFT) technique is then employed to obtain the pulse in the time domain at different distances from the source. In recent years, great effort have been made to characterize the time domain response of very large-scale integration technology interconnects [1]. In fact, although the problem of timedomain propagation of a pulse on a planar line has been previously studied in the literature [10]–[14], the case where a strong leaky mode is present has only been previously investigated in [15]–[17]. The first two of these latter references use a finite-difference time-domain (FDTD) method to study the unexpected distortion due to the leaky mode and the packaging effects in a coplanar waveguide. Since they did not calculate the leaky-mode or continuous spectrum components of the pulse, it was not apparent what the role of the leaky mode was in the dispersive behavior of the pulse. A decomposition of the current on a microstrip line into its discrete and continuous components was recently carried out for a covered microstrip in [17] and an uncovered microstrip in [18]. In [17], it was shown that dispersion effects associated with the existence of a strong leaky mode can be more severe than those expected from the analysis of the bound mode only.

0018-9480/$26.00 © 2010 IEEE

BERNAL et al.: HIGH-FREQUENCY PULSE DISTORTION ON LOSSY MICROSTRIP LINE WITH TOP COVER

Fig. 1. Geometry of an infinite covered microstrip transmission line fed by a 1-V gap voltage source.

However, the calculation of the pulse response far away from the source poses several numerical difficulties, and hence only pulses near the source were calculated in [17]. Here, we extend that analysis to larger distances from the source, where the effects on the overall pulse distortion of the bound-mode and continuous-spectrum components and the power loss associated with the leaky mode can be observed more clearly. In this paper, we also study the influence of the pulse width by analyzing the propagation of both a 20- and 100-ps Gaussian pulse. Our analysis will also include dielectric and conductor losses in order to study the importance of material losses in causing signal power loss and distortion relative to the effects caused by the presence of a dominant leaky mode. Dielectric losses are modeled by allowing the dielectric permittivity to have complex values, whereas conductor losses are accounted for by means of a conductor surface impedance [19]. The inclusion of the realistic gap voltage source makes it possible to obtain quantitative information about the extent to which radiation effects are present in the current pulse excited on the microstrip line [18]. Our technique allows for a decomposition of the time-domain pulse into its bound-mode and continuous-spectrum components, and, from this, a detailed study of radiation effects on the pulse shape is performed. The comparison of our present results with those obtained via a pure parameters) [20] quasi-TEM analysis (using , , , and will allow us to investigate when transmission-line theory fails to predict the behavior of the pulse. In this paper, we restrict the investigation to a single conductive line in order to investigate the impact of the excitation of a strong leaky mode in the distortion of the pulse traveling along the line. Future work will focus on the impact of leaky-mode excitation and radiation on the crosstalk between two adjacent microstrip lines carrying a pulse.

II. ANALYSIS Fig. 1 shows an infinite covered microstrip line excited by in the frequency a 1-V gap voltage source of length domain. In the frequency domain, the current on the conducting , is calculated by using the efficient MPIE scheme strip, reported in [9]. In that work, the frequency-domain current due to the gap voltage source on the transmission line is obtained from the following spatial inverse Fourier transform: (1)

1775

The spatial transform of the current appearing in the integrand may be calculated very efficiently using the Green’s function for an infinite phased line current, as explained in [9]. The total current on the strip consists of the current of the bound mode along with the current of the “continuous-spectrum” current [2], [3]. For a covered microstrip, this decomposition can be accomplished by deforming the path of in the plane to a path around the branch cut integration , where emanating from the branch point at is the wavenumber of the surface wave on the grounded substrate. The bound-mode current is determined by the residue plane, captured of the bound-mode pole in the complex during the path deformation, while the continuous-spectrum current corresponds to the contribution from integrating around the branch cut in the complex plane. The bound mode is the mode that is predicted by transmission-line theory, while the continuous-spectrum current corresponds to a radiating type of current that is not predicted by transmission-line theory. Although the bound mode is predicted by transmission-line theory, the amplitude of the bound mode that is excited by the gap voltage source is not in general accurately predicted by transmission-line theory at high frequency. The residue of the bound-mode pole always provides the exact amplitude of the mode at any frequency, however. The continuous-spectrum current in turn consists of any “physical” leaky-mode currents, together with the “residual-wave” current [2], [3]. This decomposition can be accomplished by deforming the path of integration in plane from the one surrounding the branch cut to the the steepest-descent path of integration. The steepest-descent path takes the form of a pair of vertical paths that descend from . One path is on the top sheet the branch point at and one is on the bottom sheet, with the two paths joined after encircling the branch point. The physical leaky modes are those corresponding to the leaky-wave poles in the plane that are captured during the path deformation to the steepest-descent path. The part of the continuous-spectrum current that is left over from the leaky-mode currents is referred to as the “residual-wave current,” and this corresponds to the contribution from integrating along the steepest-descent path. The reader is referred to [2] and [3] for more details about this current decomposition. Additionally, deforming the path of integration also allows for an efficient computation of the current on the strip at points far away from the source. This is because the exponential term in (1) decays exponentially along the steepest-descent path as the distance from the source increases. The current in (1) may be interpreted as the Fourier transform of the current on the line due to a unit impulse voltage source in the time domain. Hence, given a voltage source pulse , whose Fourier transform is , the spectrum of function the current excited by the source pulse function is given by

(2) The time-domain current corresponding to the pulse on the line can be calculated by performing the inverse Fourier

1776

transform of

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

. As must be real, , and we can thus write

satisfies

(3) (The spatial Fourier transform is defined here with an term, while the time Fourier transform is defined with an term.) An IFFT algorithm is then employed to obtain the pulse in the time domain at different distances from the source. This requires calculation of an array of equally spaced frequency samples of response for each distance from the source. Our the method takes advantage of the high efficiency of the frequencydomain MPIE technique that allows for an efficient calculation in (1). of the function For very low frequencies (less than several hundreds of megacan usually be calculated in a accuhertz), the current per-unitrate way by using the quasi-TEM , , , and length (p.u.l.) parameters of the line along with transmissionline theory. For this purpose, we have used the efficient algorithm described in [20]. It should be pointed out that the parameter provided by the method assumes that we are working in the strong skin effect limit, i.e., is calculated by using the well-known Wheeler’s incremental inductance rule. In the weak skin effect region, is taken as the dc resistance of the strip. Between the two limit cases, an interpolation scheme is used to obtain the values of . The source pulse used in this work is a square pulse centered that is filtered with a Gaussian filter, which has the at following frequency-domain expression: (4) is the damping factor where is the width of the pulse and of the Gaussian filter. The time-domain counterpart of (4) can be written as (5) denotes the error function. where Fig. 2 shows the above pulse and its frequency spectrum for the two different pulse widths used in this work: 100 and 20 ps. Due to the Gaussian filtering, the pulses are rounded and broadened, so that, for instance, the 20-ps pulse shows a full width at half maximum of about 25 ps. A damping factor rad/s has been chosen to enforce that the frequency spectrum of both pulses is almost entirely contained in the range below 50 GHz. III. RESULTS A. Validation The computer code for calculating the current on the strip both for lossless and lossy structures was already validated in the frequency domain in [21]. Now we have performed an additional validation of our results in the time domain by comparing the

Fig. 2. Normalized source pulse and frequency spectrum for 20- and 100-ps pulses.

time-domain response calculated by using our computer code with that provided by the commercial electromagnetic solver CST Microwave Studio. The CST software uses a finite-integral time-domain approach to analyze the structure and can provide the transverse component of the magnetic field on the surface of the strip. After normalizing these field data, the time evolution of the current pulse can be obtained at different points on the line. This time evolution is plotted in Fig. 3(a) and (b) along with our results for two particular cases. In Fig. 3(a), we analyze a structure where a leaky mode is moderately excited, whereas the structure in Fig. 3(b) has a strongly excited leaky mode that gives rise to a significant pulse distortion, as a consequence of the two different velocities of propagation of the bound mode and the leaky mode currents (this feature will be discussed later). In these and all later results, the gap width was 1.0 mm. In general, Fig. 3(a) and (b) shows a good agreement between our results and those of CST. Both methods predict the same broadening effect in the pulse and the overall dispersion. However, our method allows us to separately compute the bound-mode and continuous-spectrum contributions to the overall current, and, therefore, it will provide a physical explanation for this anomalous dispersion, as discussed later. For the case analyzed in Fig. 3(b), it is seen that, for larger distances, our method predicts the gradual formation of two peaks, which are associated with the bound-mode and the leaky-mode

BERNAL et al.: HIGH-FREQUENCY PULSE DISTORTION ON LOSSY MICROSTRIP LINE WITH TOP COVER

1777

Fig. 4. Time-domain responses at various times for a microstrip structure with w h 1 mm and " 2.2, for a 20-ps Gaussian pulse. Shown are the numerically exact total current (TC), the bound-mode (BM) current, the current obtained by using transmission-line theory along with CAD formulas to account for the dispersion (CAD), and the current obtained by using transmission-line theory that neglects dispersion (TLT).

= =

Fig. 3. Time-domain response at different points on the line for a covered mih 1 mm, and " 2.2. The excitation at the crostrip structure with w voltage source is a 20-ps Gaussian pulse. Our results are compared with those obtained from CST Microwave Studio. (a) Covered microstrip with h 0.7 mm. 0.455 mm. (b) Covered microstrip with h

= = =

=

=

current components of the current (this is discussed in more detail later). In contrast, a more rounded response is predicted by the numerical simulator (CST Microwave Studio) for the larger distances. One speculation for this discrepancy is the possible reflection of the leaky mode from the edge of the solution domain in the numerical simulation, since the absorbing boundary conditions used in commercial full-wave simulators are not in general optimized to absorb leaky waves. Since our method of analysis neglects the conductor thickness, we have to be sure that this practical parameter does not substantially affect the results obtained here (as long as the conductor thickness is not very large). For this purpose, we have performed additional simulations with CST Microwave Studio (not shown) for the previous cases treated in Fig. 3(a) and (b), but considering now metallic strips with practical thicknesses up to 0.04 mm. In all of the considered cases, we have not found any significant difference in the anomalous pulse distortion that appears in this structure. B. Open Microstrip Line Now that the validation is complete, we first study the case of an open microstrip line (no cover). In a typical microstrip line, a

=

physical leaky mode is not expected to be excited at frequencies below 25 or 30 GHz [18]. Since the spectrum of the Gaussian pulses under study is mainly concentrated below 30 GHz, the distortion of such Gaussian pulses on a microstrip line is expected to be mainly associated with the dispersion characteristics of the dominant bound mode. Fig. 4 shows the numerically exact total current in the pulse along with its bound-mode component (obtained from the residue calculation mentioned earlier). Also shown is the current pulse calculated using transmisand sion-line theory with the corresponding quasi-TEM parameters of the line. For the transmission-line theory calculation, the and parameters are assumed to be constants, calculated at low frequency. Hence, this method neglects dispersion. A similar calculation called “CAD” is also reported that uses CAD formulas [22], [23] for an open microstrip line, which include the effects of dispersion for the bound mode. This particular structure exhibits a physical leaky mode above 33 GHz [18]. Fig. 4 shows that the bound-mode response accurately models the time-domain behavior of the total current. In fact, it can be observed that the results based on the CAD formulas provide a very good approximation to the total current of the pulse propagating along the line. It is observed that dispersion of the bound mode is important (comparing the CAD and transmission-line theory results) but the effects of the continuous-spectrum are negligible, since the total current and CAD results agree very well. Similar results were obtained for other open microstrip structures with different substrate permittivities and different ratios. C. Covered Microstrip Line However, the situation changes considerably when dealing with a covered microstrip. As mentioned earlier, the effect of the top cover is to lower the frequency at which leakage begins [5]. 1 mm For example, for a covered microstrip line with 2.2, a physical leaky mode appears at 15 GHz and if 1 mm, whereas a physical leaky mode appears at

1778

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

4 GHz if 0.7 mm [5]. In order to study the impact of this effect on the time-domain pulse propagation on the line, two 1 mm and 0.7 mm covered microstrip lines with are analyzed in Fig. 5(a) and (b) for two different widths of the 100 ps; (b) 20 ps]. The above pulse, respectively [(a) 110 ps along with its figures show the total current pulse at bound-mode and continuous-spectrum components, in addition to the dispersionless transmission-line theory result. It can be clearly observed that the continuous-spectrum component indecreases. It is also noted that, due to the larger creases as high-frequency content of the 20-ps pulse, the relative importance of the continuous-spectrum component is higher for this pulse than for the 100-ps pulse. The excitation of a nonnegligible continuous-spectrum current component on the line causes an additional interesting type of distortion in the pulse, caused by the different velocities of the continuous-spectrum and bound-mode components. Because of the different phase velocities, the pulse is expected to eventually split into its two components as it propagates away from 0.7 mm the source. In Fig. 6, the pulse is shown for the case for different time steps. This figure shows that far away from the source the continuous-spectrum component is leading the pulse whereas the bound-mode component accounts for the pulse shape on the trailing edge. The largest time (part (c) of the figure) shows a second peak beginning to form at the distance where the continuous-spectrum current peaks. This ”splitting” effect cannot be accounted for by a transmission-line theory analysis, which neglects the continuous-spectrum current. However, in the example shown in Fig. 6 most of the energy of the pulse is still carried by the bound-mode component and therefore the dispersion of the bound-mode component of the pulse is the main mechanism responsible for the overall distortion of the pulse signal. D. Covered Microstrip Line With Small Top-Cover Height As reported in [5], the cover height can be reduced to a point where leakage occurs at all frequencies. As an example, the dispersion diagram for a covered microstrip with 0.455 mm, already reported in [5, Fig. 5(c)], is reproduced in Fig. 7 for convenience. This plot shows that a physical leaky mode exists for all frequencies. Moreover, the low-frequency phase constant for this structure, given by the quasi-TEM p.u.l. and parameters, is found to be , which is quite near to the phase constant of the leaky mode at low frequencies. That means that, at low frequencies, the leaky mode behaves as a quasi-TEM mode. On the other hand, the bound mode at low frequencies has a propagation constant very close to that of the in). homogeneous parallel-plate waveguide (namely That suggests that the field of the bound mode resembles more a parallel-plate-like mode for low frequencies. This accounts for the fact that the characteristic impedance of this mode becomes large (the strip current becomes small) when the frequency approaches zero. Consequently, most of the power from the source is channeled into the leaky mode for low frequencies. The above facts are consistent with the low-frequency field plots of the leaky mode as well as the bound mode reported in [6, Fig. 5]. (The results in [6, Fig. 5] are for a different but similar structure, namely stripline with an air gap.)

Fig. 5. Dispersionless pulse propagation from transmission-line theory (transmission-line theory) and the numerically exact total current (TC). The components of the total current are also shown, including the bound-mode (BM) and the continuous-spectrum (CS) components. Results are shown at t 110 ps for a Gaussian pulse on a covered microstrip with w h 1 mm and " 2.2. 100 ps. (b) Gaussian pulse with  20 ps. (a) Gaussian pulse with 

=

= =

= =

=

The fact that the leaky mode (and not the bound mode) has a field that resembles a quasi-TEM mode, for small cover heights and low frequencies, has interesting and important consequences. In particular, the leaky mode will be well excited by practical feeds that are designed to launch the quasi-TEM mode.

BERNAL et al.: HIGH-FREQUENCY PULSE DISTORTION ON LOSSY MICROSTRIP LINE WITH TOP COVER

1779

Fig. 7. Dispersion diagram for a covered microstrip transmission line with w 0.455 mm, and " 2.2. Data from [5, Fig. 5(c)]. 1 mm, h

h=

Fig. 6. Dispersionless pulse propagation obtained from transmission-line theory (TLT) and the numerically exact total current (TC, along with its bound-mode (BM) and continuous-spectrum (CS) components), for a 100-ps Gaussian pulse on a covered microstrip with w h 1 mm, " 2.2, and h 0.7 mm for different times: (a) 410 ps; (b) 1010 ps; and (c) 1800 ps.

=

= =

=

Furthermore, the leaky mode will couple well into any device that is normally used to transmit or receive the quasi-TEM mode. The leaky mode, and not the bound mode, will also be the one that is predicted moderately well by using conventional transmission-line theory based on p.u.l. parameters and ,

=

=

=

obtained from a quasi-static analysis. The transmission-line prediction will never be exact however, even for small cover heights, since the leaky mode is always part of the continuous spectrum and is not a proper mode (decaying in the transverse directions) as the bound mode is. Although the bound mode is less well excited for small cover heights and low frequencies, it has no attenuation (assuming no conductor or dielectric losses) and, hence, at sufficiently large distances will become dominant. At moderate to large distances, the two modes may have comparable amplitudes, causing significant interference effects to occur in the propagating pulse, as will be demonstrated shortly. At higher frequencies, or larger cover heights, the bound mode increases in importance relative to the leaky mode. In the limiting case of an uncovered microstrip, the leaky mode has little influence, as shown in Fig. 4. Although a covered microstrip with a cover height of 0.455 mm is one specific structure, the conclusions obtained from an investigation of this structure are expected to be applicable to a much wider class of structures for which a dominant leaky mode exists at low frequency, and hence this structure is investigated more extensively below. Fig. 8 shows the time-domain response of the covered mi0.455 mm at a time step of 110 ps. Since the crostrip with frequency content of the pulses is concentrated in the low-frequency region, which is dominated by the continuous spectrum for this structure, most of the signal energy is now transported by the continuous spectrum (which is dominated by the leaky mode) rather than by the bound mode. In Fig. 8 the leaky-mode component of the pulse is also presented to show that most of the continuous spectrum is in fact made up of the leaky mode. At this fairly early time, the continuous-spectrum and bound-mode contributions add up to give the exact total current for the pulse that is well approximated by the dispersionless transmissionline theory pulse for the 100-ps case. The continuous-spectrum current is much more important for the 20-ps case [Fig. 8(b)]. Fig. 9 shows the time-domain response of the covered mi0.455 mm for a 100-ps pulse at different crostrip with time steps, extending out to much larger times than in the previous figure. To make this figure more readable, we have omitted

1780

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 9. Dispersionless pulse propagation obtained from transmission-line theory (TLT) and the numerically exact total current (TC), along with its bound-mode (BM) and continuous-spectrum (CS) components, for a h 1 mm, 100-ps Gaussian pulse on a covered microstrip with w 2.2 for four different time steps. Vertical arrows 0.455 mm, and " h indicate the positions of the leaky-mode component (continuous line) and the bound-mode component (dotted line) predicted by the low frequency group velocities of these currents at the four time steps.

=

Fig. 8. Dispersionless pulse propagation obtained from transmission-line theory (TLT) and the numerically exact total current (TC), along with its bound-mode (BM), leaky-mode (LM), and continuous-spectrum (CS) components, at t 110 ps for a: (a) 100-ps and (b) 20-ps Gaussian pulse on a 1 mm, h 0.455 mm, and " 2.2. covered microstrip with w h

=

= =

=

=

the leaky-mode curves because, for this pulse width, the continuous-spectrum component of the pulse and the leaky-mode component are essentially identical. Fig. 9 shows that the highly dispersive leaky-mode component is the dominant component of the pulse. The dispersive effects associated with the bound mode are also present however, and this explains the ringing that appears at the tail of the pulse. Note that due to the different velocities of the leaky-mode and bound-mode components, the signal tends to split into its two components as the pulse travels away from the source. This breakup of the pulse causes a significant degradation of the signal. To highlight the importance of this effect, we have calculated the group velocity at low frequency (around 1 GHz) of the bound-mode and the leaky-mode components of the current for this structure. These values have been included in Fig. 9 as a set of vertical arrows that indicate the position of the leaky-mode (continuous line) and the bound-mode (dotted line) components given by these group velocities at the four time steps. It can be observed that the peak of the continuous-spectrum pulse coincides very well with the position given by the low-frequency

=

=

=

group velocity of the leaky-mode component. The agreement is not so good for the bound-mode component because, for this structure, the bound-mode contribution is essentially a high frequency contribution and therefore the low-frequency group velocity cannot account for the velocity of propagation of all the frequency components of the bound-mode pulse. Nevertheless, these vertical lines clearly show the trend for the bound-mode contribution to lag behind the leaky-mode component as the pulse travels away from the source. It is also worth noting that, in this structure, the velocity of the pulse predicted by the dispersionless transmission-line theory method agrees very well with the velocity of the leaky mode (although the shape does not match well for larger times). This can be explained by the fact that in this structure, the and quasi-TEM parameters actually correspond to the fields of the leaky mode rather than to the fields of the bound mode. A useful tool to qualitatively examine signal integrity in a digital system is the eye diagram, as recently calculated in [25] in connection with lossy transmission lines. In Fig. 10, we present an eye diagram for different distances from the source for the transmission line in Fig. 9. We have constructed these diagrams out of a single pulse response to a 100-ps Gaussian pulse. The response to any possible bit sequence can be constructed from superposition by adding single pulse responses conveniently shifted in time. The eye diagram is then plotted by superposing all of the possible combinations of pulse trains at a given point on the transmission line. In the eye diagrams of Fig. 10, we observe that, at about 30 cm from the source, the eye is substantially closed. A closed eye means that the line would be unusable for this pulse. The splitting of the time-domain pulse into its bound-mode and leaky-mode contributions is more noticeable for a shorter pulse, since in this case the energies carried by the bound-mode and leaky-mode currents are more nearly equal. To demonstrate

BERNAL et al.: HIGH-FREQUENCY PULSE DISTORTION ON LOSSY MICROSTRIP LINE WITH TOP COVER

1781

Fig. 11. Dispersionless pulse propagation obtained from transmission-line theory (TLT) and the numerically exact total current (TC), along with its bound-mode (BM), leaky-mode (LM), and continuous-spectrum (CS) components for a 20-ps Gaussian pulse on a covered microstrip with w h 1 mm, 0.455 mm, and " 2.2 at different time steps: (a) 110, 350, and 600 h ps and (b) 1200 and 1800 ps.

=

Fig. 10. Eye diagrams for a 100-ps Gaussian pulse on the structure of Fig. 9 for different distances from the source: (a) 10.8 cm; (b) 21.6 cm; and (c) 32.4 cm.

this, Fig. 11 plots the time-domain response of the covered mi0.455 mm to a 20-ps pulse. In this case, due crostrip with to the splitting effect, the numerically exact total current is much wider than the dispersionless transmission-line theory pulse, even for short times. In fact, for 350- and 600-ps times, two distinct peaks with approximately the same amplitude, corresponding to the bound-mode and leaky-mode components, can be clearly distinguished. Farther away from the source (1200

=

= =

and 1800 ps), the leaky-mode component is significantly broadened and the dispersion of the bound-mode component yields a ringing trailing edge. For these times, the pulse breakup is quite evident, and a significant amount of energy is lost due to the radiative nature of the leaky mode. This energy loss and the splitting effect cause the exact pulse current to be completely different, both in amplitude and width, from the dispersionless transmission-line theory pulse. Fig. 11 shows that the transmission-line-theory dispersionless pulse is traveling with the velocity of the leaky-mode pulse, as already mentioned in connection with Fig. 9. Consequently, for this structure, the transmission-line-theory pulse does not approximate the actual bound-mode pulse. The following question then arises: can we approximately predict the bound-mode pulse component for this structure? One possibility is to use simple transmission-line theory, along with the exact frequency-depenof the bound mode and the dent propagation wavenumber of the exact frequency-dependent characteristic impedance bound mode. In this approach, transmission-line theory is used

1782

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 12. Exact and approximated bound-mode (BM) component for a 20-ps Gaussian pulse on the covered microstrip of Fig. 11 at 1800 ps. The bound-mode pulse is approximated by using the PI method [24] and an approximated frequency-dependent impedance where the dispersive behavior of the propagation constant is considered (BM approx.) or not (BM constant =k ).

to determine the bound-mode current in the frequency domain, using (6) From this frequency-domain current, the bound-mode current pulse in the time domain is constructed. Interestingly, when this approach is implemented using the power–current definition of characteristic impedance, the results predicted by this simple transmission-line method become exact. This is because of a result established in [24], which shows that the bound-mode current predicted by transmission line theory is exact at any arbitrary frequency when the power–current definition of characteristic impedance is used. This method of calculating the bound-mode pulse will be referred to as the PI method. The power–current definition of the characteristic impedance needed in this method is easily calculated from the same type of spectral-domain calculation that is normally used to obtain the propagation wavenumber, and the bound-mode component of the pulse propagating on the structure of Fig. 11 is shown far away from the source (at a time of 1800 ps) in Fig. 12. In particular, the exact bound-mode response is plotted along with the bound-mode pulse calculated by means of three different approximated methods. The results in Fig. 12 verifies that the PI method provides an exact prediction of the bound-mode pulse. It should be pointed out that the characteristic impedance of the bound mode exhibits a strong dependence with frequency (in fact, it gets very large as the frequency tends to zero), and thus it cannot be approximated by a low-frequency constant impedance. Instead, we could try to obtain an alternative approximation by taking a first-order Taylor expansion in frequency for the inverse of the impedance. This is equivalent to assuming a linear behavior with the frequency for the residue of the bound-mode pole. Although the actual dependence with the frequency of the impedance is much more complicated, this gives us a simple rough approximation for its low-frequency

=

Fig. 13. Numerically exact total current for a covered microstrip with w 0.455 mm, and " 2.2, calculated far away from the 1 mm, h source (a time of 1800 ps) for different values of the dielectric loss tangent, for a Gaussian pulse with: (a)  100 ps and (b)  20 ps. The metallic strip is assumed to be lossless.

h

=

=

=

=

=

behavior. Of course, this method does not provide an accurate approximation for the high-frequency impedance, but this is compensated by the fact that the frequency content of the Gaussian pulse is concentrated in the low-frequency region. The bound-mode pulse obtained with this simple approximation (BM approx) is not exact, as shown in Fig. 12, but it accounts for the ringing and delay of the actual bound-mode pulse. Finally, we have tried an even simpler approximation where we incorporate the same approximation for the dependence of the impedance with the frequency, but we assume a dispersionless propagation constant (namely, that of the inhomogeneous parallel-plate waveguide). Although this method partially accounts for the delay of the bound-mode pulse with respect to the leaky-mode pulse, Fig. 12 shows that it fails to provide the correct shape of the bound-mode pulse. Therefore, we conclude that the dispersive nature of the propagation constant should also be taken into account to accurately predict the distortion of the bound-mode pulse far away from the source. E. Material Losses The above results show that dispersive effects and power loss associated with the existence of a dominant leaky mode can

BERNAL et al.: HIGH-FREQUENCY PULSE DISTORTION ON LOSSY MICROSTRIP LINE WITH TOP COVER

1783

on the different components of the propagating pulse becomes apparent. From these plots it is concluded that, for covered microstrip structures supporting a dominant leaky mode, the power leakage from the leaky mode is the dominant loss mechanism for practical values of material loss. The pulse splitting and power loss are mainly due to the influence of the strong leaky mode, and these effects are not substantially altered by the presence of the lossy media unless impractical values of the loss parameters are used. Certainly, a better prediction of the actual pulse shapes for the lossy dielectric case could have been obtained by using a more realistic model for the loss tangent of the dielectric that includes its frequency dependence. However, the results shown in Fig. 13 suggest that this would hardly alter our main conclusions regarding the effects of the dominant leaky mode as long as the loss tangent remains within practical values . over the considered range of frequencies IV. CONCLUSION

=

Fig. 14. Numerically exact total current for a covered microstrip with w 1 mm, h 0.455 mm, and " 2.2, calculated far away from the source (1800 ps) for different values of the conductivity of the conducting strip, for a Gaussian pulse with: (a)  100 ps and (b)  20 ps. The dielectric substrate is assumed to be lossless.

h=

=

=

=

=

greatly compromise the signal integrity on the transmission line. It is well known that material losses are also a source of signal degradation [25]. In a previous work [21], it has been shown that material losses can affect differently the components of the current on the line, which can lead to certain alterations of the pulse shape compared with a lossless case. In order to determine the impact of dielectric and conductor losses on the covered microstrip line, we have studied separately the effects of dielectric and conductor losses on a pulse that is calculated far away from the source (where the effects of loss are most significant). The results of our analysis are shown in Figs. 13 and 14, where results for a 20- and 100-ps pulse are depicted for a time of 1800 ps (where the bulk of the pulse is between 40–50 cm away from the source). In Fig. 13, we show the results for the lossless case together with three more results corresponding to increasing values of the loss tangent of the dielectric (assuming perfect conductors). In Fig. 14, the lossless case is compared with two additional cases having finite values of the metal conductivity (assuming a lossless dielectric). The level of the losses in these two figures have been gradually increased from common practical values to some impractically high values so that the influence of losses

We have analyzed the time-domain propagation of a narrow Gaussian pulse on a covered microstrip line. This structure has been selected because of the fact that for small cover heights a dominant (quasi-TEM) leaky mode exists at all frequencies. Although one particular structure was analyzed in detail, the conclusions are representative of the effects that are expected in more general circumstances, whenever a dominant leaky mode arises due to packaging effects such as covers that have been placed over the structure. The bound-mode and continuous-spectrum components of the signal have been isolated to determine their contributions to the overall distortion of the propagating pulse. Even though a careful analysis (including a frequency-dependent phase constant and characteristic impedance for the line) can account for the distortion of the bound-mode part of the pulse, the contribution of the continuous-spectrum component (which includes the leaky mode) can only be accounted for by using a rigorous full-wave analysis such as that presented here. We have found that, for a structure supporting a leaky mode only at high frequencies, such as the case of an uncovered microstrip line, the continuous spectrum has little effect on the propagating pulse, that is, the pulse shape is determined almost entirely by the bound mode, which has the usual quasi-TEM field shape. In this case, CAD formulas based on the bound mode can provide an accurate prediction of the pulse distortion. However, a covered microstrip can support a dominant leaky mode, and the leaky mode can constitute a significant portion of the overall signal. This is especially true for small cover heights, where a physical leaky mode exists at all frequencies. Furthermore, for small cover heights and low frequencies, it is the leaky mode, not the bound mode, which has a field shape that is quasi-TEM. This means that for small cover heights the pulse excitation will excite the leaky mode more strongly than the bound mode, and furthermore, the leaky mode will couple quite well to practical devices that are designed to transmit or receive a quasi-TEM mode. Therefore, signal distortion associated with the leaky mode (including power loss and a broadening of the pulse), can become severe for packages that have a small cover height.

1784

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Moreover, when the energy carried by the continuous-spectrum component (which is dominated by the leaky mode) is significant compared with the energy carried by the bound mode, an interesting pulse splitting effect is observed. This is due to the difference in the average group velocities of the bound mode and continuous-spectrum parts of the pulse. Due to this difference in velocities, the dispersive effects near the leading edge of the pulse are mainly attributed to the continuous-spectrum component while the ringing seen at the tail of the pulse is mainly due to the bound-mode component. Results were presented for low-permittivity substrates because the effects of the cover height on the lowering of the leakage frequency is more severe for the low permittivity case [5]. However, for any permittivity there will exist a critical cover height, below which a leaky mode can exist at all frequencies. Material losses (dielectric and metallic) have also been included in our study to compare the effects with those due to the radiative effects of the leaky mode. We have separately studied the effects of conductor and dielectric losses. For structures with a strong physical leaky mode, as for the covered microstrip line studied here, the leaky-mode loss is the dominant mechanism in determining the pulse distortion, unless impractically high values of material loss are introduced.

ACKNOWLEDGMENT The authors would like to thank their colleague L. Jelinek, Departmentt of Electromagnetic Field, Czech Technical University, Prague, Czech Republic, for his help in computing the data for the validation of our results using the CST software. Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the U.S. Department of Energy’s National Nuclear Security Administration under Contract DE-AC04-94AL85000.

REFERENCES [1] R. Achar and M. S. Nakhla, “Simulation of high-speed interconnects,” Proc. IEEE, vol. 89, no. 5, pp. 693–728, May 2001. [2] C. Di Nallo, F. Mesa, and D. R. Jackson, “Excitation of leaky modes on multilayer stripline structures,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 8, pp. 1062–1071, Aug. 1998. [3] D. R. Jackson, F. Mesa, M. J. Freire, D. P. Nyquist, and C. Di Nallo, “An excitation theory for bound modes, leaky modes, and residualwave currents on stripline structures,” Radio Sci., vol. 35, no. 2, pp. 495–510, Mar.-Apr. 2000. [4] A. A. Oliner, “Package effects caused by leaky modes at higher frequencies in microwave integrated circuits,” in Proc. 29th Eur. Microw. Conf., Munich, Germany, Oct. 4–8, 1999, pp. 122–125. [5] F. Mesa, A. A. Oliner, D. R. Jackson, and M. J. Freire, “The influence of a top cover on the leakage from microstrip line,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2240–2248, Dec. 2000. [6] D. Nghiem, J. T. Williams, D. R. Jackson, and A. A. Oliner, “Leakage of the dominant mode on stripline with a small air gap,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 11, pp. 2549–2556, Nov. 1995. [7] K. A. Michalski and D. Zheng, “Electromagnetic scattering and radiation by surfaces of arbitrary shape in layered media—Part I: Theory,” IEEE Trans. Antennas Propag., vol. 38, no. 3, pp. 335–344, Mar. 1990.

[8] K. A. Michalski and J. R. Mosig, “Multilayered media Green’s functions in integral equation formulations,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 508–519, Mar. 1997. [9] J. Bernal, F. Mesa, and D. R. Jackson, “Crosstalk between two microstrip lines excited by a gap voltage source,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 1770–1780, Aug. 2004. [10] G. Hasnain, A. Dienes, and J. R. Whinnery, “Dispersion of picosecond pulses in coplanar transmission lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 6, pp. 738–741, Jun. 1986. [11] K. W. Goossen and R. B. Hammond, “Modeling of picosecond pulse propagation in microstrip interconnections of integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 3, pp. 469–478, Mar. 1989. [12] S. Gupta, J. F. Whitaker, and G. A. Mourou, “Subpicosecond pulse propagation on coplanar waveguides: Experiment and simulation,” IEEE Microw. Guided Wave Lett., vol. 1, no. 7, pp. 161–163, Jul. 1991. [13] O. R. Baiocchi, K. S. Kong, and T. Itoh, “Pulse propagation in superconducting coplanar striplines,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 3, pp. 509–514, Mar. 1992. [14] J.-H. Son, H.-H. Wang, J. F. Whitakerand, and G. A. Mourou, “Picosecond pulse propagation on coplanar striplines fabricated on lossy semiconductor substrates: Modeling and experiments,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 9, pp. 1574–1580, Sep. 1993. [15] M. Tsuji, M. Taniguchi, and H. Shigesawa, “The feature of the narrowpulse transmission on conventional coplanar waveguides when power leakage is present,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 6, pp. 1017–1023, Jun./Jul. 1993. [16] M. Tsuji and H. Shigesawa, “Packaging of printed circuit lines: A dangerous cause for narrow pulse distortion,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 9, pp. 1784–1790, Sep. 1994. [17] W. L. Langston, J. T. Williams, D. R. Jackson, and F. Mesa, “Timedomain pulse propagation on a microstrip transmission line excited by a gap voltage source,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 2006, pp. 1311–1314. [18] F. Mesa, D. R. Jackson, and M. J. Freire, “High-frequency leaky-mode excitation on a microstrip line,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2206–2215, Dec. 2001. [19] J. Aguilera, R. Marques, and M. Horno, “Quasi-TEM surface impedance approaches for the analysis of MIC and MMIC transmission lines including both conductor and substrate losses,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1553–1558, Jul. 1995. [20] J. Bernal, F. Medina, and M. Horno, “Quick quasi-TEM analysis of multiconductor transmission lines with rectangular cross section,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 9, pp. 1619–1626, Sep. 1997. [21] J. Bernal, F. Mesa, and D. R. Jackson, “Effect of losses on the current spectrum of a printed-circuit line,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 7, pp. 1511–1519, Jul. 2007. [22] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [23] I. Bahl and P. Bhartia, Microwave Solid State Circuit Design, 2nd ed. New York: Wiley, 2003. [24] F. Mesa and D. R. Jackson, “A novel approach for calculating the characteristic impedance of printed-circuit lines,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 4, pp. 283–285, Apr. 2005. [25] W.-D. Guo, J.-H. Lin, C.-M. Lin, and T.-W. Huang, “Fast methodology for determining eye diagram characteristics of lossy transmission lines,” IEEE Trans. Adv. Packag., vol. 32, no. 1, pp. 175–183, Feb. 2009.

Joaquín Bernal (M’06) was born in Seville, Spain, in 1971. He received the Licenciado and Ph.D. degrees from the University of Seville, Seville, Spain, in 1994 and 2000, respectively, both in physics. In 1995, he joined the Department of Electronics and Electromagnetism, University of Seville. In 1998, he joined the Department of Applied Physics 3, University of Seville, where he became an Associate Professor in 2004. His research interests focus on the analysis of planar structures for integrated microwave circuits and high-speed very large-scale integration (VLSI) interconnects.

BERNAL et al.: HIGH-FREQUENCY PULSE DISTORTION ON LOSSY MICROSTRIP LINE WITH TOP COVER

Francisco Mesa (M’93) was born in Cádiz, Spain, in April 1965. He received the Licenciado and Ph.D. degrees from the University of Seville, Seville, Spain, in 1989 and 1991, respectively, both in physics. He is currently an Associate Professor with the Department of Applied Physics 1, University of Seville. His research interests focus on electromagnetic propagation/radiation in planar lines with general anisotropic and/or artificial materials.

David R. Jackson (F’99) was born in St. Louis, MO, on March 28, 1957. He received the B.S.E.E. and M.S.E.E. degrees from the University of Missouri, Columbia, in 1979 and 1981, respectively, and the Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA) in 1985. From 1985 to 1991 he was an Assistant Professor with the Department of Electrical and Computer Engineering, University of Houston, Houston, TX. From 1991 to 1998, he was an Associate Professor with the same department, and, since 1998, he has been a Professor in this department. He has served as an Associate Editor for Radio Science and the International Journal of RF and Microwave Computer-Aided Engineering. His present research interests include microstrip antennas and circuits, leaky-wave antennas, leakage and radiation effects in microwave integrated circuits, periodic structures, and EMC. Dr. Jackson has served as the chair of URSI U.S. Commission B and as the chair of the Transnational Committee of the IEEE Antennas and Propagation Society (AP-S). He is presently serving as the chair of the IEEE AP-S Distinguished Lecturer Committee and as the chair of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Technical Committee 15. He is on the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. Previously, he has been the chapter activities coordinator for the IEEE AP-S, an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and a member of the Administrative Committee (AdCom) for the IEEE AP-S.

1785

William L. Langston (M’04) received the B.S., M.S., and Ph.D. degrees from the University of Houston, Houston, TX, in 1999, 2001, and 2005, respectively, all in electrical engineering. From 1999 to 2005, he was a Research Assistant with the Applied Electromagnetics Laboratory, University of Houston. In 2004 and 2005, he worked as a summer Intern with the Electromagnetics and Plasma Physics Analysis Group, Sandia National Laboratories, performing numerical electromagnetic and pulsed power simulations. In 2006, he returned to Sandia National Laboratories as a Postdoctoral Appointee in the same group. Since 2007, he has been a Member of the Technical Staff at Sandia National Laboratories.. His current research interests include microstrip antennas, periodic structures, metamaterials, leaky-wave antennas, and computational electromagnetics. Dr. Langston is a member of Eta Kappa Nu and Tau Beta Pi.

Jeffery T. Williams (SM’09) was born in Maui, HI, on July 24, 1959. He received the B.S., M.S., and Ph.D. degrees in electrical engineering from the University of Arizona, Tucson, in 1981, 1984, and 1987, respectively. He joined the Department of Electrical and Computer Engineering, University of Houston, Houston, TX, in 1987, as an Assistant Professor and was subsequently promoted to Associate Professor and then Professor. Prior to that, he was a Schlumberger-Doll Research Fellow with the University of Arizona. He spent four summers (1983–1986) at the Schlumberger-Doll Research Center, Ridgefield, CT, as a Research Scientist. From 1981 to 1982, he was a Design Engineer with Zonge Engineering and Research Organization, Tucson, AZ, and as a Summer Engineer with the Lawrence Livermore National Laboratory, Livermore, CA. In 2010, he became a Principal Member of the Technical Staff at Sandia National Laboratories, Albuquerque, NM. His research interests include the design and analysis of high-frequency antennas and circuits, high-frequency measurements, computational electromagnetics, and leaky-wave propagation and radiation. He has served as associate editor for Radio Science. Dr. Williams has served as an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He is a member of URSI Commission B.

1786

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Formulas for the Number of Surface Waves on Layered Structures Guido Valerio, Member, IEEE, David R. Jackson, Fellow, IEEE, and Alessandro Galli, Member, IEEE

Abstract—Closed-form expressions are derived for the number of surface waves propagating along a general multilayered structure with media having positive material parameters. The expressions shown here are also useful for the efficient numerical determination and ordering of the cutoff frequencies of surface waves on multilayered structures. The presented formulas are simple but exact. Validation is provided with full-wave dispersive analyses of several types of layered structures. Index Terms—Dispersion analysis, layered media, surface waves.

I. INTRODUCTION

L

AYERED media are the constitutive elements of the most common structures in microwave and optical technology, from circuit [1] to antenna [2] applications, due to the availability of well-established manufacturing processes and analysis methods. The large variety of devices with layered media has further increased recently in the frame of the development of artificial media, often realized through periodic inclusions or perturbation in layered configurations [3], [4]. The design of all of these devices relies on effective analyses of the background structures, since their properties (e.g., the propagation of surface waves) heavily influence the behavior of the final components. Spurious excitation of surface waves can deteriorate performance because of coupling, edge diffraction from truncated substrates, and leakage of energy in the substrate [5], [6]. On the other hand, the correct analysis and design of many devices requires a careful study of the dispersive properties of the surface waves on the layers involved. Very often, numerical analyses also require knowledge of the dispersive properties of arbitrary stratified structures. For example, in the formulation of integral equations and the computation of Green’s functions [7], [8], the numerical efficiency can be enhanced by various kind of extractions [9]. In particular, the extraction of surface-wave contributions usually leads to fast-converging expressions and smoother results, thus significantly enhancing the effectiveness of the Sommerfeld integrations [10]. Furthermore, the regularized quantities are more Manuscript received November 08, 2009; revised February 16, 2010; accepted March 04, 2010. Date of publication June 21, 2010; date of current version July 14, 2010. G. Valerio and A. Galli are with the Department of Electronic Engineering, Sapienza University of Rome, 00184 Rome, Italy (e-mail: [email protected]; [email protected]). D. R. Jackson is with the Department of Electrical and Computer Engineering, University of Houston, Houston, TX 77204-4005 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2010.2050028

easily interpolated through various techniques, e.g., polynomial approximations [11] or the complex image method [12]. Nevertheless, the numerical determination of surface-wave wavenumbers in general layered structures can be a difficult and time-consuming task, as the transcendental characteristic equation to be solved has both poles and zeros (the latter corresponding to the modal wavenumbers), possibly very near one to each other. The guided waves on a lossless layered structure with positive material parameters (i.e., for double-positive media) fall into three categories, which are: 1) proper surface waves (simply “surface waves” in the following), which have a real wavenumber and a proper field (exponentially decaying away from the structure); 2) improper surface waves, which have a real wavenumber and an improper field (exponentially increasing away from the structure); and 3) leaky waves, which have a complex wavenumber and an improper field. Various numerical approaches have been proposed for finding the complex wavenumbers (thus being applicable for leaky waves) [13], [14]. Some general properties of the surface waves have been proven in [15] for double-positive media, and these properties are useful for restricting the domain where the zero-searching is performed. In particular, it is proven there through a rigorous mathematical approach that the number of surface waves above cutoff is equal to the number of cutoff frequencies encountered while increasing the frequency from zero to the working frequency (some details that are relevant to the present paper are summarized in Section II). In this paper, simple but rigorous closed-form expressions are found for the number of surface waves on a general lossless layered structure with double-positive media, in terms of the physical quantities involved (i.e., the thicknesses of the layers and their constituent parameters). This can be extremely important in developing effective zero searching algorithms of the relevant characteristic equation. The expressions discussed in this paper are also shown to be very useful for an effective numerical determination of the cutoff frequencies of any surface wave, thus providing information about the mode number ordering. A simple characterization is then possible, e.g., for the single-mode frequency range of the structure. Some results of these analyses have been briefly summarized in [16] without aim of completeness; the general formulation of the problem is explained and proven here in detail. The method to obtain the required closed-form expressions is based on a modification of the well-known transverse-resonance-method approach [17]–[19]. A detailed description is given in the following sections. At first, general layered structures are considered; the presence of layers filled with media less dense than the denser unbounded medium requires a sep-

0018-9480/$26.00 © 2010 IEEE

VALERIO et al.: FORMULAS FOR THE NUMBER OF SURFACE WAVES ON LAYERED STRUCTURES

1787

Fig. 1. (a) Diagram of a general layered structure analyzed in this work and relevant symbols. (b) Transmission line associated with the layered structure according to the transverse resonant technique, with constants as in (2) and (3). (c) Cutoff transmission line, with constants as in (4) and (5).

arate discussion and is treated later. Numerical results are presented to fully validate the new formulas and to show both the effectiveness and the generality of the method. The Appendix extends the formulas to the presence of uniaxially anisotropic media. II. METHOD A. General Discussion A general lossless layered structure is considered as shown in Fig. 1(a) that is independent of the variables and ([20], [21]). An implicit time dependence is assumed. The struchomogeneous, isotropic, and nondispersive ture consists of lossless layers; it is terminated at the bottom either with a perfect electric conductor (PEC), or with a perfect magnetic conductor ; (PMC), or an unbounded medium with parameters at the top, it is terminated with an unbounded medium with . Each layer has a refractive index parameters . In grounded (PEC/PMC) structures, the parameter is undefined. The well-known transverse resonance technique can be applied to perform a modal analysis of the structure (see [17]–[19]). With this aim, a transverse equivalent transmission line can be introduced to describe the field dependence in the direction (i.e., along the stratification), whose parameters are given in Fig. 1(b). This formalism allows one to perform modal analyses by solving the transcendental characteristic equation (1) and are the impedances seen looking downwards where and upwards, respectively, at an arbitrary reference plane. Equation (1) is solved for the modal wavenumber describing the

propagation of a mode along any horizontal radial direction (which may be assumed to be the -direction without loss of generality) as a function of the angular frequency . plane, the functions in (1) have branch In the complex points corresponding to the wavenumbers of the unbounded media on either side of the structure, so that its zeros can lie on different Riemann sheets. Proper zeros lie on the proper (top) sheet, where the radiation condition at infinity is satisfied in both the unbounded media and correspond to surface-wave modes of the structure. Improper zeros lie on the improper (bottom) sheet, where the fields exponentially increase in one or both of the unbounded regions and, hence, violate the boundary condition at infinity. These correspond to the leaky waves or to the improper surface-wave modes that evolve into leaky modes [22]. A surface wave is a mode whose wavenumber is a proper real zero of (1), denoted in the following as . The “standard” cutoff of a surface-wave mode corresponds to the crossing of an improper zero with a branch point; the zero crosses to the proper sheet and becomes a proper surface-wave mode of the structure as the frequency increases. If two unbounded media are present, the branch point of the denser medium defines the cutoff; the , is then , mathematical cutoff condition, at and . In a PEC or where PMC grounded structure, with one unbounded upper medium, . the same condition holds with As a preliminary basic consideration, it should be stressed that, at a given frequency, the number of surface waves above cutoff does not depend on the temporal dispersive behavior of the media; it depends only on the values of the media parameters at that frequency. To determine this number, a temporal-nondispersive structure can then be studied. In the following sections, the method will therefore be presented for temporal-nondispersive structures with no loss of generality.

1788

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

All structures studied here are unbounded on at least one side and have lossless double-positive media, in order to apply some of the results from [15]. They can be summarized in three stateis always an increasing bounded ments, which are: 1) function of ; 2) no complex proper modes are solutions of (1); and 3) at low frequencies, the layered structure has the same dispersive properties as an effective single slab. These properties imply that the number of surface waves at a given frequency is then equal to the number of cutoffs that have occurred from zero frequency up to . This would not be true if slabs with negative material parameters are considered (see, e.g., [23]). For instance, two surface waves could merge into a complex mode or plasmonic waves could exist that do not have a standard cutoff. In this paper, the media is assumed to be lossless, and some comments regarding losses are therefore appropriate. If losses are present, then the wavenumber of a mode is always complex at any frequency. The trajectories of the relevant zeros of (1) in the complex plane also change when loss is present; an improper (complex) zero becomes proper by crossing the Sommerfeld branch cut, rather than the branch point as in the lossless case [24]. The theoretical tools used in the following analysis (e.g., Foster’s theorem and the fundamental properties outlined in [15]) rigorously hold for lossless structures only. Therefore, the formulas developed here (for the number of modes above cutoff) hold only for lossless structures. If small losses are present, the method presented here to derive the number of modes above cutoff is expected to remain valid, when cutoff is defined as the frequency for which the zero crosses the Sommerfeld branch cut, changing from an improper to a proper mode. For large losses, the method will not, in general, be valid. In this case the complex zeros of (1) must be studied for all of the modes in order to determine which modes are above cutoff. Based on these statements, in the following subsections, closed-form expressions for the number of surface waves will be presented and discussed for different multilayered configurations. The presence of layers with media less dense than the denser of the two unbounded media is treated in a separate subsection, since some further considerations are necessary. B. Closed-Form Expressions Here, the closed-form expressions for the number of modes above cutoff are derived; the condition , for all , will be assumed for the moment and relaxed later in Section II-C. If two unbounded media are present, is assumed with no loss of generality, thus . In grounded structures, this assumption is considered trivially veralways holds. As noted in Section II-A, a ified since transverse equivalent network can be used to model each surface wave of the structure [see Fig. 1(b)]. The parameters depend on , i.e., , or , i.e., ) as the type of mode ( (2) (3) where

is the free-space wavenumber.

and the TE adAt cutoff, the TM impedance mittance at the uppermost interface. From the characteristic equation (1), at cutoff, also the TM impedance and the TE admittance . An auxiliary transmission line, referred to in the following as the “cutoff transmission line,” can now be defined; the parameters (indicated with the superscript ) are obtained by replacing in (2) and (3) [see Fig. 1(c)] so that (4) (5) being the free-space characteristic impedance. , and in PMC grounded In PEC grounded structures ; in both of these cases, (4) and (5) hold structures . The parameters (4) and (5) are known in closed for form since the wavenumber of the surface wave is no longer present. The normalized impedances seen downwards at the interface in the th layer in the cutoff transmission line are indicated as . The bar denotes normalization with respect to the characsign means that the teristic impedance of the th layer; the impedance is measured at the top (bottom) of the th layer, as shown in Fig. 1(a). The superscript “ ” is a reminder that we are using the cutoff transmission-line parameters (4) and (5). Also, associated with will be dethe reflection coefficient fined as (6) Since , all of the parameters in (4) and (5) are purely real and the cutoff transmission line models the propagation of a TEM wave along the -axis; this corresponds sections of multiconductor lines (e.g., parto a cascade of allel-plate waveguides or coaxial cables) filled with lossless double-positive media. The cutoff-transmission-line normalized impedance, looking down from the top interface, is then . Moreover, from Foster’s purely reactive, i.e., theorem [18], the reactance is a monotonically increasing function of frequency [15]. Since all of the cutoff-transmission-line characteristic impedances are frequency-independent, is monotonically increasing the normalized reactance also. and Note also that, at a surface-wave cutoff, where , the parameters of the cutoff transmission line (4) and (5) are the same as the parameters of the transverse equivalent network (2) and (3). Accordingly, at cutoff, all of the impedances along the two lines assume the same values, and hence the cutoff conditions stated after (3) are valid also for the cutoff transmission line (7) occurs, Each time the condition a new TM (TE) surface wave is at cutoff. Since, as explained in

VALERIO et al.: FORMULAS FOR THE NUMBER OF SURFACE WAVES ON LAYERED STRUCTURES

1789

where (11) takes into account the phase shift along the th layer and (12)

(13)

Fig. 2. Angles '

;

, and  on the Smith chart.

Section II-A, the number of TM (TE) surface waves propagating at the frequency is equal to the number of cutoff frequencies in the range from 0 to , this number is given by the number is zero ( is infinity) over this frequency of times range in the cutoff transmission line. A closed-form expression for this number will now be determined explicitly. can be iteratively calculated in closed The value of form using simple transmission-line theory. The starting point of the algorithm depends on the medium at the bottom of the , and the starting structure. If a PEC plane is present, point is the short-circuit point ( , 0) on a Smith chart. If a , and the starting point is PMC plane is present, the open-circuit point (1, 0). If an unbounded lower medium is present, the starting point depends on the polarization analyzed as follows:

take into account different impedance normalizations in different layers. The iterative algorithm used to calculate can easily be visualized on the unit circle of the Smith chart (see again Fig. 2). , while Each layer corresponds to a clockwise rotation of each crossing of an interface corresponds to a rotation of , where (14) and is the phase of the complex number in . Since all values are positive, and share the same sign. The determination of is then chosen so point to the point on the unit that the path from the circle of the Smith chart does not cross the points (1, 0) and ( , 0) (this removes ambiguity in the calculation of phases). monotonically increases with frequency acSince cording to Foster’s theorem, the number of times crosses the cutoff point on the Smith chart is also the number of loops , starting from the point described above, and ending of either at point ( 1, 0) for TM waves or at point (1, 0) for TE waves. This number of loops can be easily expressed through . the above-defined angles Therefore, the number of TM surface waves above cutoff is

(8) (15) The starting point can thus be easily placed in general on the Smith chart as shown in Fig. 2: it is determined by the angles , where is defined in (6). Note that and . The PEC termination can be and , and the PMC included by letting (see termination can be included by letting Fig. 2 to visualize all the relevant angles). After the starting impedance is computed, the recursive algorithm consists of the simple transmission-line formulas

where stands for the greatest integer less than , and is the total angle swept by , expressed as (16)

(17) (9)

(10)

in (16) takes into account the starting point where the angle of the TM impedance at the bottom interface. In fact, when the , the first TM surface wave is angle at cutoff, since the point on the Smith chart crosses for the first time the point ( 1, 0).

1790

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Analogously, the number of TE surface waves above cutoff is equal to the number of times crosses the point (1, 0), starting from the above-defined point, so that (18) where

is defined similarly as in (16), but (19)

In fact, when the angle , the first TE surface wave is at cutoff, since the point on the Smith chart crosses for the first time the point (1, 0). C. Case of Layers With If layers less dense than the densest unbounded medium are holds for some , the relepresent, i.e., the condition , and are imaginary. Some of the normalized vant are now real, and the corresponding points on impedances the Smith chart lie on the real axis rather than on the unit circle. , for . The condition In the following, is assumed with no loss of generality, for the reason explained at the end of this section. Since an attenuation occurs in these layers in the cutoff-transmission-line equivalent circuit, they are denoted in the following as “attenuated layers.” In the attenuated layers, the are imaginary and do not correspond to rotations on the Smith chart. The and relative to the attenuated layers must then be set to zero in the expressions and . Once the impedance comes given above for back on the unit circle of the Smith chart, a angle is determined according to the rule discussed in this section, between (the last reflection coefficient on the unit circle) and (the first reflection coefficient again on the unit circle). is assumed in the attenuated The condition ). This (arbitrary) choice grants layers (i.e., if an exponential decay of the reflection coefficient looking downwards when shifting along each layer in the upward direction. From (12) and (13), it then follows that (20) (21) if

(22) (23) (24)

Since every real in (22) is positive, the sign of the resistance along the line can change only because of the exponential damping of the reflection coefficient due to the shifts along the attenuated layers. It should be noted here that negative values of the normalized resistance are placed on the real axis on the Smith diagram, outside the unit circle. The possible trajectories of the TM and TE impedances are shown in Fig. 3. For the sake of brevity, only the TM cases will explicitly be discussed in the following.

is treated. From (20), . The exponential damping, therefore, cannot change the sign of , and the impedance, and thus . follows, as shown in the figure. The angle must be calculated between and . If only one attenuated layer is the points and present, as its thickness tends to zero, the points tend to coincide. Since, in this limit, we expect to get the same results obtained in the absence of the attenuated layer, and in such the angle must be taken between a way that the path from and does not cross the ( 1, 0) and (1, 0) points. is treated. From (20), In Fig. 3(b), the case (this normalized input resistance can have a magnitude either less than or greater than unity; Fig. 3(b) shows the latter case, where the corresponding point lies to the right of the (1,0) point). In this case, the exponential damping can change the sign of the impedance, by crossing the ( 1, 0) or the (1, 0) points. If it does not, a similar case to the one shown in Fig. 3(a) is found; must be taken and in such a way that the path from between to does not cross either the ( 1, 0) or the (1, 0) points, as shown in Fig. 3(b). In Fig. 3(c), the change in the sign of the normalized resistance due to the damping of the reflection coefficient is analyzed. If the thickness of the attenuated layer was sufficiently small, the case in Fig. 3(b) would occur and would be chosen to does not cross (1, 0). If so that the path from the thickness is slightly increased, will coincide with the (1, 0) point, and thus . would then be the angle from to (1, 0), without crossing (1, 0). If the thickness is still increased, a change of the resistance sign occurs; by continuity is the angle from to , crossing the point (1, 0), as shown in the figure. A similar result would hold if the crossing occurs at the ( 1, 0) point. The same analysis can be performed with reference to the TE polarization. It is omitted here for brevity, but it is described graphically in Fig. 3(d)–(f). As a final result, the general rule can be deduced. The angle is determined so that the points (1, 0) and ( 1, 0) are never crossed, unless a change of the sign of the resistance occurs; if a change occurs, the angle must cross the same point crossed by the resistance when it changed sign. has It is now possible to explain why the condition , the been assumed at the beginning of this subsection. If final normalized impedance would be real; it would not be possible to calculate an angle corresponding to the layers . In this case, a fictitious auxiliary layer can be , added between the layer and the unbounded medium with zero thickness and arbitrary refractive index . is set to Since the thickness of this layer is null, the angle zero in the recursive calculation (9) and (10). A final issue still needs to be discussed. In some sections of the cutoff transmission line, attenuation now occurs. The wavenumber is imaginary and linear with , and the characteristic impedance is imaginary and constant. Since this transIn Fig. 3(a), the case

VALERIO et al.: FORMULAS FOR THE NUMBER OF SURFACE WAVES ON LAYERED STRUCTURES

1791

+ 1 ... 0 1 

Fig. 3. Possible trajectories of the impedance on the Smith chart, with the correct choice of the angle shown. The layers i ; ; s ; s are less dense than the denser unbounded medium. (a) TM impedance, X < . (b) TM impedance, X > . In (a) and (b), the normalized resistance does not change sign. (c) TM impedance, X > , where the normalized resistance changes sign. (d) TE impedance, X > . (e) TE impedance, X < . In (d) and (e), the normalized resistance does not change sign; (f) TE impedance, X < , where the normalized resistance changes sign.





0



0



mission line does not necessarily satisfy Foster’s theorem [15], can now be nonmonotonic with respect to . However, in [15], some weaker requirements for a monotonic behavior of the input impedance of such a line are proven. With reference to TM waves, we have if

(25)

0

0



0

0

This inequality grants that the TM cutoff point at ( 1, 0) on the Smith chart can be crossed only from negative to positive values is monotonically increasing at the of the reactance, i.e., cutoff point. A similar result holds for TE waves

if

(26)

1792

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

(

)

Fig. 5. Case of multilayer structure between two unbounded media n > n . A two-layer structure is terminated with air on the bottom, and with a medium : ; at the top. Physical parameters of the two layers: " 7.5 mm (0.025  at f h ;" : ; 1 GHz), h h ;" : ; : . (a) Number of TM (black line) and TE (gray line) surface waves according to (15) and (18), respectively. (b) Dispersion diagram of TM (black lines) and TE (gray lines) modes.

( = 15 = 2 =22

Fig. 4. Case of multilayer structure on a PEC. The structure has five layers with air on top with the following physical parameters: h 15 mm (0.05  at f 1 GHz, where  is the wavelength in vacuum), h h h = ;h

= = = = 2 = h ; h = 1:5h ; " = 2:2;  = 1:5; " = 10:2;  = 2; " = 2:2;  = 3; " = 3;  = 1:4; " = 9;  = 1. (a) Number of

TM (black line) and TE (gray line) surface waves according to (15) and (18), respectively. (b) Dispersion diagram of TM modes (black solid lines and dotted lines). (c) Dispersion diagram of TE modes (gray solid lines and dotted lines). In the dispersion plots, the line style used is different for adjacent modes to more clearly distinguish the curves.

granting that the TE cutoff point at (1, 0) on the Smith chart can be crossed only from positive to negative values of the reactance, is monotonically increasing at the cutoff point. This i.e., discussion ensures that the expressions found in the previous subsections hold in this case as well.

= 1) =15

=

=2

= 10 2

=

ordered with increasing cutoff frequencies and numbered with mode is the th TM an integer index. For example, the mode of the structure when ordered with increasing cutoff frequencies. of the or surface If the cutoff frequency wave needs to be found, the following simple equation can be solved numerically with respect to the frequency, namely: (27) in terms of angles on the Smith From the interpretation of , which can be easily calculated chart, it is manifest that in closed form for any stratified structure, has no poles and that (27) has only one zero. Furthermore, in the cases treated in has a monotonic behavior (by Foster’s theSection II-B, orem). These features make the numerical solution of (27) much more efficient with respect to the solution of the characteristic , namely, equation (1) at the cutoff condition (28)

III. NUMERICAL CALCULATION OF CUTOFF FREQUENCIES The closed-form expressions (15) and (18) for ( and ) also allow for a simple evaluation of the cutoff frequencies of surface waves. Surface waves of the structure will be

In fact, the latter equation has also poles and cannot give information about the order of the mode found. In Section IV, some numerical results will show the effectiveness of solving (27) rather than the usual equation (28).

VALERIO et al.: FORMULAS FOR THE NUMBER OF SURFACE WAVES ON LAYERED STRUCTURES

Fig. 6. Case of multilayer structure with an internal layer less dense than the densest unbounded medium. Three-layer structure terminated with air on the top, and with a different medium (" = 4;  = 1) at the bottom. Physical parameters of the three layers: h = h = 7.5 mm, (0:05 at f = 1 GHz) h = 2h ; " = 5;  = 1; " = 1;  = 1; " = 10;  = 1:5. (a) Number of TM (black line) and TE (gray line) surface waves according to (15) and (18), respectively. (b) Dispersion diagram of TM (black lines) and TE (gray lines) modes.

IV. NUMERICAL RESULTS AND VALIDATION Here, some numerical results will be presented to show the validity and usefulness of the method proposed in both determining the numbers of propagating surface waves and calculating cutoff frequencies. A. Comparison With Known Closed Forms If (15) and (18) are specialized in the simple case of a single grounded slab, the well-known expressions for the cutoff frequencies of the surface waves are found [18]. In fact, if then

(29) and analogously for the TE modes. Known closed-form solutions can be obtained also with a PMC ground plane or a single slab bounded by air on both sides. B. Comparison With Numerical Results for the Number of Surface Waves As a first example, let us consider the case of a multilayered structure placed on a PEC ground plane (see Section II-B) with five internal slabs (Fig. 4). The number of TM and TE surface

1793

Fig. 7. Behavior of A (f )=2 for two of the structures previously analyzed, for the computation and ordering of cutoff frequencies. TM polarization (black line) and TE polarization (gray line). (a) Structure described in the caption of Fig. 4. (b) Structure described in the caption of Fig. 6.

waves has been easily found through the closed-forms expressions (15) and (18) and is shown in Fig. 4(a). They agree with the dispersion analysis shown in 4(b) and (c) performed by numerically solving the characteristic equation (1) for both of the is plotted with respect to the frequency). It is polarizations ( seen that the number of modes shown in Fig. 4(a) (predicted by the closed-form expressions) jumps by one at exactly the correct frequencies where a new mode emerges in the dispersion plots. For the case of two different unbounded media with (see again Section II-B), a two-layer structure is analyzed (Fig. 5). Results from the closed-form expressions shown in Fig. 5(a) are compared with a complete dispersion analysis of both TM and TE surface waves in Fig. 5(b). Again, full agreement was found. (see Section II-C) are shown in Results for the case Fig. 6: three layers are surrounded by two different unbounded media and the middle layer is air, which is less dense than the unbounded medium at the bottom of the structure. All the rules given in Section II-C are validated, since all of the possible cases (as described in Fig. 3) are present, depending on the frequency. It is also interesting to note that, in the previous dispersion diagrams, some of the curves do not seem to be as smooth as might be expected, due to mode-coupling phenomena (see also [15]). The formulas derived here remain valid regardless of the level of mode coupling. C. Numerical Calculation of Cutoff Frequencies is plotted In Fig. 7(a), the left-hand side of (27) with reference to the same structure and frequency range

1794

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

analyzed in Fig. 4. is increasing with the frequency, as commented previously, according to Foster’s theorem. Its smooth and regular behavior makes the numerical solution of (27) much easier with respect to the solution of (28), thus allowing a straightforward computation of the number of surface waves present at any frequency and their relevant cutoffs (see the squares in Fig. 7). In Fig. 7(b), the left-hand side of (27) is plotted for the same structure and frequency range analyzed in Fig. 6. Despite the fact that Foster’s theorem does not apply to such a structure (as noted in Section II-C), in the case shown is still monotonic; the solution of (27), which in the figure is still unique for a given , is very simple when compared to the solution of (28). V. CONCLUSION Useful simple closed-form expressions for calculating the number of surface waves propagating along a general double-positive multilayered structure have been derived and discussed according to the different kind of parameters involved. All results are fully validated either with known closed-form expressions already available (for very simple geometries) or with rigorous dispersion diagrams obtained by numerically searching for the zeros of the relevant characteristic equations. An extension of the method to the numerical determination of the cutoff frequency of any surface wave is also illustrated, providing several advantages with respect to the usual method based on the solution of the characteristic equation at cutoff. APPENDIX The closed-form expressions derived here, in the case of isotropic layers, can be generalized to a layered structure composed of uniaxially anisotropic media with the optic axis along the stratification direction , where

(30) The transmission-line formulation in [19] can be used. The refractive indices are now (31) and the cutoff condition depends on the polarization of the mode since (32) According to the formulation in [19], an appropriate definition of the cutoff-transmission-line parameters (4)–(5) should be chosen as (33)

(34)

with

and

.

REFERENCES [1] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2004. [2] D. R. Jackson, “Microstrip Antennas,” in Antenna Engineering Handbook, J. L. Volakis, Ed. New York: McGraw-Hill, 2007. [3] P. S. Kildal, “Definition of artificially soft and hard surfaces for electromagnetic waves,” Electron. Lett., vol. 24, no. 3, pp. 168–1713, Feb. 1988. [4] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications: The Engineering Approach. Hoboken, NJ: Wiley, 2006. [5] T. Tamir, “Inhomogeneous wave types at planar structures: I. The lateral wave,” Optik, vol. 61, no. 5, pp. 209–232, Feb. 1972. [6] F. Mesa and D. R. Jackson, “The danger of high-frequency spurious effects on wide microstrip line,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2679–2689, Dec. 2002. [7] K. A. Michalski and D. Zheng, “Electromagnetic scattering by sources of arbitrary shape in layered media. Part I: Theory,” IEEE Trans. Antennas Propag., vol. 38, no. 3, pp. 335–344, Mar. 1990. [8] K. A. Michalski and D. Zheng, “Electromagnetic scattering by sources of arbitrary shape in layered media. Part II: Implementation and results for contiguous half-spaces,” IEEE Trans. Antennas Propag., vol. 38, no. 3, pp. 345–352, Mar. 1990. [9] G. Valerio, P. Baccarelli, S. Paulotto, F. Frezza, and A. Galli, “Regularization of mixed-potential layered-media Green’s functions for efficient interpolation procedures in planar periodic structures,” IEEE Trans. Antennas Propag., vol. 57, no. 1, pp. 122–134, Jan. 2009. [10] A. L. Fructos, R. R. Boix, R. Rodríguez-Berral, and F. Mesa, “Efficient determination of the poles and residues of spectral domain multilayered Green’s functions that are relevant in far-field calculations,” IEEE Trans. Antennas Propag., vol. 58, no. 1, pp. 218–222, Jan. 2010. [11] F. J. Demuynck, G. A. E. Vandenbosch, and A. R. Van de Capelle, “The expansion wave concept—Part I: Efficient calculation of spatial Green’s functions in a stratified dielectric medium,” IEEE Trans. Antennas Propag., vol. 46, no. 3, pp. 397–406, Mar. 1998. [12] M. I. Aksun, “A robust approach for the derivation of closed-form Green’s functions,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 5, pp. 651–658, May 1996. [13] R. Rodriguez-Berral, F. Mesa, and F. Medina, “Systematic and efficient root finder for computing the modal spectrum of planar layered waveguides,” Int. J. RF. Microw. Comput.-Aided Eng., vol. 14, no. 1, pp. 73–83, May 2004. [14] C.-I. G. Hsu, R. F. Harrington, J. R. Mautz, and T. K. Sarkar, “On the location of leaky wave poles for a grounded dielectric slab,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 2, pp. 346–349, Feb. 1991. [15] G. Valerio, D. R. Jackson, and A. Galli, “Fundamental properties of surface waves in lossless stratified structures,” Proc. R. Soc. A, 2010, 24 pp. [16] G. Valerio, A. Galli, and D. R. Jackson, “Properties of surface waves in general stratifed structures,” in Proc. URSI Nat. Radio Sci. Meeting, Charleston, SC, Jun. 1–5, 2009. [17] “Transverse Resonance Technique,” in Numerical Techniques for Microwave and Millimeter-Wave Passive Structures, R. Sorrentino and T. Itoh, Eds. New York: Wiley, 1989. [18] R. E. Collin, Field Theory of Guided Waves, 2nd ed. New York: IEEE, 1991. [19] L. Felsen and N. Marcuvitz, Radiation and Scattering of Waves. New York: IEEE, 1991. [20] G. W. Hanson and A. B. Yakovlev, Operator Theory for Electromagnetics. New York: Springer, 2001. [21] W. C. Chew, Waves and Fields in Inhomogeneous Media. New York: Wiley, 1999. [22] T. Tamir and A. A. Oliner, “The spectrum of electromagnetic waves guided by a plasma layer,” Proc. IEEE, vol. 51, no. 2, pp. 317–332, Feb. 1963. [23] P. Baccarelli, P. Burghignoli, F. Frezza, A. Galli, P. Lampariello, G. Lovat, and S. Paulotto, “Fundamental modal properties of surface waves on metamaterial grounded slabs,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1431–1442, Apr. 2005.

VALERIO et al.: FORMULAS FOR THE NUMBER OF SURFACE WAVES ON LAYERED STRUCTURES

[24] R. Rodríguez-Berral, F. Mesa, A. L. Fructos, and R. R. Boix, “On the physical meaning of the spectral decomposition,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 8, pp. 488–490, Aug. 2009.

Guido Valerio (S’06–M’10) was born on May 29, 1982. He received the Master’s degree (cum laude and honorable mention) in electronic engineering and Ph.D. degree in applied electromagnetics from Sapienza University of Rome, Rome, Italy, in 2005 and 2009, respectively. In 2005, he joined the Electronic Engineering Department, Sapienza University of Rome, Rome, Italy, where he is currently an Associate Researcher. From February to August 2008 he was a Visiting Scholar with The University of Houston, Houston, TX. His scientific interests involve numerical methods for wave propagation and scattering in complex structures, such as periodic and multilayered media. Among other topics, he studies efficient computation and interpolation schemes for Green’s functions pertaining to different kinds of periodic structures, the rigorous modeling of the interaction of nonperiodic sources with periodic media, and modal properties of both conventional and metamaterial multilayered structures. He is currently involved in the GPR characterization of Martian soil through both experimental and numerical approaches. He also works in the design of ultra-wideband antennas and harmonic-tunable active-antennas. Dr. Valerio was the recipient of the Leopold B. Felsen Award for Excellence in Electrodynamics in 2008. In 2009, he was a finalist for the Young Engineers Prize at the European Microwave Conference.

1795

David R. Jackson (F’99) was born in St. Louis, MO, on March 28, 1957. He received the the B.S.E.E. and M.S.E.E. degrees from the University of Missouri, Columbia, in 1979 and 1981, respectively, and the Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 1985. From 1985 to 1991, he was an Assistant Professor with the Department of Electrical and Computer Engineering, University of Houston, Houston, TX, where, from 1991 to 1998, he was an Associate Professor and in 1998 became a Full Professor. He has served as an associate editor for Radio Science and the International Journal of RF and Microwave Computer-Aided Engineering. His present research interests include microstrip antennas and circuits, leaky-wave antennas, leakage and radiation effects in microwave integrated circuits, periodic structures, and electromagnetic compatibility and interference. Dr. Jackson is presently serving as the chair of the Transnational Committee and the chair of the Distinguished Lecturer Committee of the IEEE Antennas and Propagation Society (AP-S) and as a Member-at-Large for U.S. Commission B of the International Union of Radio Science (URSI). He also serves as the chair of the MTT-15 (Microwave Field Theory) Technical Committee and is on the Editorial Board for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. Previously, he was the Chapter activities coordinator for the IEEE AP-S, a Distinguished Lecturer for the IEEE AP-S, an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and a member of the Administrative Comittee (AdCom) for the IEEE AP-S. He has also served as the chair of U.S. Commission B of URSI.

Alessandro Galli (M’08) received the Laurea degree in electronic engineering and Ph.D. degree in applied electromagnetics from the Sapienza University of Rome, Rome, Italy. In 1990, he joined the Electronic Engineering Department, Sapienza University of Rome, for research activities. In 2000 he became Assistant Professor and, in 2002, Associate Professor of Electromagnetic Fields. In his educational activities at Sapienza University of Rome, he is currently teaching the courses of “Electromagnetic Fields” and of “Applied Electromagnetics” for communications engineering. He is the author or coauthor of more than 200 scientific publications and of a patent for an invention concerning a new type of microwave antenna. He has worked on several projects and contracts involving universities, agencies, and industries, and has served in various capacities for institutional and professional activities. His scientific interests are focused on electromagnetic theory and applications in the main following research topics: microwave and millimeter-wave passive devices; scanning antennas and arrays; electromagnetic theory for waveguiding and radiating components, periodic structures, and metamaterials. He is also active in geophysical and in biological applications of electromagnetic fields. Prof. Galli was elected as an Italian delegate on the Board of Directors of the European Microwave Association (EuMA) in 2010. He was the recipient of the Giorgio Barzilai Prize in 1994 for the best scientific work of under-35 researchers at the 10th National Meeting of Electromagnetics. He was also the recipient of the Quality Presentation Recognition Award by the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) at the International Microwave Symposium in 1994 and in 1995.

1796

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Input Impedance of Nonperiodic Sources Exciting 1-D Periodic Shielded Microstrip Structures Guido Valerio, Member, IEEE, Paolo Burghignoli, Senior Member, IEEE, Paolo Baccarelli, Member, IEEE, and Alessandro Galli, Member, IEEE

Abstract—The input impedance of nonperiodic sources that excite a periodically loaded shielded microstrip line is studied numerically with a rigorous method-of-moments approach based on the array scanning method. Both a delta-gap voltage and an impressed vertical current sheet are considered to be idealized sources exhibiting different symmetry properties. Their input impedances, calculated according to either a power–voltage or a power–current definition, are compared with the Bloch impedance of the dominant mode excited along the structure in a wide frequency range encompassing different propagation regimes of the dominant and of the first higher order mode. Numerical results, validated also through independent full-wave codes, provide physical insight and quantitative information on the mode excitation efficiency and inputimpedance features of finite sources in a periodic microstrip environment. Index Terms—Array scanning method, input impedance, periodic structures, nonperiodic sources, numerical methods.

I. INTRODUCTION LECTROMAGNETIC-WAVE propagation and radiation, in the presence of periodic structures, is a classical subject. This has received renewed attention with the advent of electromagnetic bandgap structures, the development of novel artificial materials, and the synthesis of periodic surfaces exhibiting unconventional boundary conditions [1]–[4]. The canonical problems addressed in the largest part of the relevant literature are the interaction between periodic structures and plane waves (e.g., for the analysis of frequency-selective surfaces or for the calculation of antenna radiation patterns via reciprocity) [5], [6] and the determination of dispersion features of Bloch modes supported by periodic structures in the absence of sources [7]–[9]. In both cases, the problem can be reduced to the study of a single spatial period (unit cell) of the structure, thanks to the Floquet periodicity of the field [10], [11]. The study of nonperiodic excitation of periodic structures is a much more involved task that cannot be reduced to the analysis of a single unit cell. In this case, the incident field excited by the aperiodic source can be represented in terms of a suitable superposition of Floquet-periodic fields. Among the possible approaches, the so-called array scanning method proved to be especially advantageous in terms of numerical efficiency [12]. The array scanning method has been applied, thus far, to the calculation of the field in different configurations, such as a line source

E

Manuscript received November 12, 2009; revised March 12, 2010; accepted March 12, 2010. Date of publication June 14, 2010; date of current version July 14, 2010. The authors are with the Department of Electronic Engineering, Sapienza University of Rome, 00184, Rome, Italy (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2010.2049919

in a 1-D periodic artificial structure (2-D problem with 1-D periodicity) [13]–[15], a single dipole source in the presence of an infinite 3-D artificial material, which is periodic in two dimensions and finite in the third (3-D problem with 2-D periodicity) [12], [16], and a delta-gap or a vertical-current source in a periodic microstrip line (3-D problem with 1-D periodicity) [17]–[19]. In this last class of structures, particularly important for microwave printed frequency-selective devices, the possible occurrence of mismatch problems that may adversely affect performance can be ascertained through the study of the excitation of the involved 1-D periodic microstrip lines. Moreover, the behavior of the Bloch impedance [11], [20] in a periodic environment can be compared with that of the input impedance of a given source, thus obtaining crucial information on the modal excitation in these structures. The excitation by sources of infinite uniform microstrip lines and the study of the behavior of the input impedance have been considered in a number of papers [21]–[23]. A similar analysis in connection with 1-D periodic structures has, however, received very little attention to date in scientific literature. To the best of the authors’ knowledge, only in [24] was the electric-type dyadic Green’s function of corrugated rectangular metaguides derived and the input impedance of a probe extending from a coaxial line into the infinite waveguide computed; however, asymptotic boundary conditions have been adopted assuming a large wavelength regime. It should also be mentioned that the purely 1-D Green’s function for the voltage on a periodically loaded transmission line has recently been obtained in a closed form in [25]. In the case of nonhomogenizable 1-D periodic structures, the full-wave numerical study of the input impedance is of high complexity and is a time-consuming process; this requires the use of effective acceleration procedures. A microstrip line periodically perturbed by gaps [Fig. 1(a)] has been studied in [17] and [18], for a shielded and an unshielded configuration respectively. An effective implementation of the array scanning method has been proposed, and the currents excited by a delta-gap voltage source [Fig. 1(b)] have been calculated for various propagation regimes of the excited modes. In [19], the currents excited on the same shielded structure by an impressed vertical current source [Fig. 1(c)] have been calculated. In this paper, a rigorous analysis of the input impedance of these two different nonperiodic excitations is performed. Such kinds of excitations exhibit different electromagnetic symme. The Bloch tries with respect to the transverse plane impedance of the fundamental mode of the periodic structure, which can be seen as a perturbation of the quasi-TEM mode of the nonperiodic microstrip line, is derived and validated

0018-9480/$26.00 © 2010 IEEE

VALERIO et al.: INPUT IMPEDANCE OF NONPERIODIC SOURCES EXCITING 1-D PERIODIC SHIELDED MICROSTRIP STRUCTURES

=

=05

1797

=

Fig. 1. (a) Periodic microstrip line analyzed in this paper. Reference parameters for the simulations: " 10.2, w : mm, h 0.767 mm, L 4 mm, s 5 mm, t 4 mm. (b) Nonperiodic delta-gap voltage source ( 0.01 mm). (c) Nonperiodic vertical impressed current sheet.

=

=

=

with that obtained by an independent approach. The input impedances of the adopted sources are numerically evaluated and then compared with the Bloch impedance of the perturbed quasi-TEM mode. Their agreement in different frequency regions and propagation regimes is discussed and related to the electromagnetic symmetries of the excitations. Useful information is gathered when practical excitations with the same characteristics are used in this kind of 1-D periodic structure.

=3.8 mm, p =

edge-singular entire-domain basis functions [11], [26], thus obtaining a good accuracy with a reduced number of unknowns, as

(3)

II. FORMULATION OF THE PROBLEM if where patches are assumed

A. Implementation of the Array Scanning Method The excitation of the periodic waveguide in Fig. 1 is numerically addressed through the array scanning method; this allows for representing the current excited by the nonperiodic source as

(1) where is the spatial period of the structure and is the solution of an auxiliary Floquet-periodic problem, in which the original source is replaced by an array of its replicas with phase shift [12]. Each Floquet-periodic problem can be formulated, as explained in [17], through an electric field integral equation, namely

and zero otherwise. Narrow , and

(4) with Chebyshev polynomials of the second kind of order [27]. A Galerkin testing of the integral equation (2) then produces the algebraic system of equations (5) are the unknown coeffiwhere the elements of the vector . The incident field in (2) and, cients hence, the right-hand side of (5) depends on the kind of excitaare given in [17] for the tion considered. Expressions for delta-gap source of Fig. 1(b), where (6)

(2) is an appropriate periodic Green’s function. The where ). equation holds on the strip (for The integral equation (2) is solved here through a method-ofmoments approach. The unknown current is discretized with

, and in [19] for the vertical current-sheet in is the source of Fig. 1(c), where the incident electric field field radiated in the absence of the metallic patches by a phased array of impressed currents of the kind

(7)

1798

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

mode (solid lines) is the perturbation of the nonperiodic microstrip-line fundamental mode due to the periodic gaps. In the range 12.5–14.25 GHz, this mode is in a passband regime (its attenuation constant is zero). At lower frequencies, it is below cutoff. In the range 14.25–23 GHz, the mode is in a stopband regime, since its attenuation is nonzero and its phase constant is . At higher frequencies, the mode is again in a passband. The other Bloch mode (dotted lines) is the perturbation of a mode of the inhomogeneously filled rectangular waveguide due to the periodic patches. Its cutoff frequency is 24.5 GHz. In Fig. 2(b), the dispersion features of the two considered Bloch modes are displayed in a Brillouin diagram, along with the unand the light line perturbed microstrip-line mode curve . B. Bloch Impedances

Fig. 2. Dispersive analysis of the structure shown in Fig. 1 (data from [17]). (a) Normalized phase constants =k (black lines) and attenuation constants =k (gray lines) of the microstrip-line mode (solid lines) and a rectangular-waveguide mode (dotted lines) versus frequency f . (b) Relevant Brillouin diagram. Normalized phase constants of the microstrip-line mode (black solid line) and the rectangular-waveguide mode (black dotted line); the unperturbed quasi-TEM mode (gray dashed line) and the light line k (gray dashed–dotted line) are also shown.

=

The Bloch impedances of the two modes previously analyzed have been computed with the full-wave array-scanning-method method-of-moments code. Two definitions have been adopted for these impedances to perform comparisons with the different source impedances, as described later. These two definitions are given in terms of power and current and of power and voltage, carried by the respectively. The complex power across the waveguide mode is the flux of the Poynting vector . The integration of cross section over the rectangular cross section has been performed in closed form, thus resulting in the lengthy expression given in Appendix I. The power is calculated at the middle of a patch, . i.e., at The Bloch impedance defined through an equivalent current is given as (10) where the current

is defined as (11)

Finally, the integral in (1) allows for recovering the excited nonperiodic current (or fields). In particular, in the unit cell we see

The Bloch impedance defined through an equivalent voltage is given as

(8)

(12) where the voltage

is defined as

where (13) (9) C. Input Impedances The integration in (1) and (9) should be performed along appropriate paths, taking into account the -singularities of the integrand (again, see [17]). These singularities are the wavenumbers of the modes supported by the periodic structure, which can then . be computed by solving the integral equation (2) with A detailed dispersive study of the structure has been performed in [17] and is summarized here in Fig. 2 for further discussions. The wavenumbers of two Bloch modes are shown [28], and various regimes can be recognized for both. One Bloch

is i) The input impedance of the delta-gap voltage source delivered from the impressed defined in terms of the power field to the structure and the net current flowing on the strip at . This is calculated according to (11), but with reference to rather than the Floquet-periodic current the excited current . Another natural definition for the delta-gap input impedance would be a power–voltage one as in (12), by letting [see (6)]; it can be shown analytically that this definition reduces to the power–current definition in the limit of vanishing gap

VALERIO et al.: INPUT IMPEDANCE OF NONPERIODIC SOURCES EXCITING 1-D PERIODIC SHIELDED MICROSTRIP STRUCTURES

length (i.e., ). The choice of the use of , rather than of in (12) and (13), is due to the symmetry of the source: the is equivalent to a perfect electric conductor, hence plane and the voltage cannot easily be defined. is computed as The delivered power

(18) are computed through a Mixed-Potential formulation, whose results are reported in Appendix II-A). In the scattering problem, the power is a linear func. The array scanning tional of the scattered electric field method can then be applied on the auxiliary scattered power , due to the current solution of (2) as

(19)

(14) where the spatial integrations are performed over the support of the integrand functions inside the unit cell and

1799

The voltage

is also computed as

(20) (15) even . The integrals in (14) are elementary with and have been solved as in [17], where they are computed in connection with the right-hand side of (5). The final expression is then for

(16) ii) The input impedance of the vertical current-sheet source is defined in terms of and . is the power delivered from the impressed field to the structure, and is the between the voltage in the middle of the cross section and the ground plane , strip calculated as in (13) but with reference to the excited field rather than the Floquet-periodic field . The choice of using rather than in (10) and (11) is due to the symmetry of the is equivalent to a perfect magnetic source: the plane , thus resulting in . conductor, hence In this case, the total electric field is decomposed into an in, radiated by the current equation (7) in the abcident field sence of metallic patches and a scattered field , due to the and the voltage induced currents . Both the power are linear functionals of the electric field; the problem can and then be decomposed in the sum of incident quantities: and scattered , respectively. The incident problem consists in a single source, exciting a uniform structure; its solution does not require the array scanand , defined as ning method. Both the quantities

(17)

where and are calculated as in Appendix II-B). The final expression of the impedance of the vertical current sheet is then (21)

III. MODAL EXCITATION AND SYMMETRIES Important qualitative information on the excitation of Bloch modes by the considered sources can be achieved through a network analysis of the unit cell. Since the source, either a delta gap or a vertical-impressed sheet current, is placed in the middle of a metal patch, a symmetric unit cell can be adopted, as shown in Fig. 3(a). Considering, e.g., the Bloch mode which derives from the perturbation of the quasi-TEM microstrip mode, the equivalent network of the unit cell is reported in Fig. 3(b). The lengths of the transmission line model the propagation of the and charunperturbed microstrip mode, with phase constant , whereas the gap discontinuity is modacteristic impedance eled through a general symmetric network with longitudinal and parallel shunt admittances . series impedance The frequencies corresponding to the band edges can be obtained through a simple analysis of the unit-cell network [29]. or At the band edges, it is either , corresponding to voltages and currents at the , or unit-cell ports related by , respectively. On the other hand, due to the symmetry of the unit cell, the voltage configurations at the band edges are necessarily either symmetric (S) or antisymmetric (AS) with respect to the center of the unit cell. Taking into account the above considerations, the frequencies of the band edges can be found as the resonant frequencies of the half-cell circuits reported in Fig. 4(a). When the shunt adcan be neglected and the series impedance is mittance

1800

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 4. (a) Resonant networks for the calculation of the band-edge frequencies of a periodic structure with a symmetric unit cell modeled as in Fig. 3(b). (b) Sketch of the Brillouin diagram for the case of a unit cell with a purely series capacitive discontinuity. Fig. 3. (a) Reference spatial period (unit cell) of the periodic structure considered here. (b) Equivalent network representation of the unit cell.

capacitive, as in the case of a narrow gap between metal patches [30], the frequencies of the S band edges lie on the unperturbed Brillouin curve. The AS band edges lie above the unperturbed curve, as shown in Fig. 4(b) [see also the full-wave results in Fig. 2(b)]. On the basis of the above considerations, the -band edges are characterized by short circuits at the with unit-cell ports. This implies that the transverse electric field of the Bloch mode is zero at the reference planes; hence, the reaction between the modal field and a vertical current source (even ) is equal to zero, and symmetry with respect to the plane this implies, by reciprocity, that any source with the same symmetry does not excite the mode at these band-edge frequencies. , the transConversely, at AS band edges with verse magnetic field of a Bloch mode is zero at the reference planes. The reaction between the modal field and a horizontal magnetic source, such as a delta-gap source (odd symmetry with ), is, therefore, equal to zero. Again, by respect to the plane reciprocity, it can be concluded that a nonperiodic source with the same symmetry does not excite the mode at these band-edge frequencies. Dual considerations apply for the band edges with . We note that the above analysis can be generalized to 1-D periodic structures with different kinds of perturbations within the unit cell. This occurs when the adopted excitation is placed

at one edge of a symmetric unit cell and satisfies either even or odd symmetry in the middle of the infinite periodic structure. IV. NUMERICAL RESULTS and Here, numerical results for the input impedances of the two considered sources will be discussed and comand of the fundamental pared with the Bloch impedance mode of the periodic microstrip line. If the considered source efficiently excites the fundamental mode, a good agreement between the source and Bloch impedances is expected; on the other hand, different behaviors of the two impedances reveal that higher order attenuated modes, responsible for stored energy near the source, are not negligible or even dominant. In Fig. 5, the case of a delta-gap source as in (6) is considered. In the equivalent transmission-line model associated with the microstrip-line mode, the delta-gap source can be represented by a series voltage generator. This launches the mode along two semi-infinite transmission lines, each having input impedance . The impedance seen by the generator is then the series of . In Fig. 5, then, these input impedances, i.e., it is equal to and are reported for comparison the input impedance purposes. Concerning the Bloch impedance [11], full-wave results obtained by developing a hybrid method [7] are also reported. The -parameters of a single unit cell, viewed as a two-port network, are extracted in this case using a commercial finite-element software (Ansoft HFSS™). They are then plugged into a

VALERIO et al.: INPUT IMPEDANCE OF NONPERIODIC SOURCES EXCITING 1-D PERIODIC SHIELDED MICROSTRIP STRUCTURES

Fig. 5. Comparison between real (black lines) and imaginary (gray lines) parts of the input impedance of the delta-gap voltage source Z (solid lines), com17 unknowns, and twice the Bloch impedance 2Z of the puted with N microstrip-line mode. Z is computed through the full-wave periodic method of moments (dashed lines) and through a Bloch analysis based on HFSS (circles). (a) Entire range of frequency. (b) Detail of the first passband.

=

Bloch-wave analysis [11]; this method is approximate since it accounts only for a finite number of unit cells in the extraction of the -parameters. From Fig. 5, a good agreement can be observed between HFSS and our method-of-moments results for both the . As expected, below cutoff real and imaginary parts of 12.5 GHz) and inside the stopband (14.25–23 GHz) is ( purely imaginary, whereas it is purely real inside the passband (12.5–14.25 GHz). At the lower edge of the stopband, the Bloch impedance is equal to zero; at the upper edge, it tends to infinity, thus in agreement with the equivalent circuits reported , where a short-circuit (or in Fig. 4(a) for open-circuit) condition can be seen to occur at the unit-cell ports for the symmetric (or antisymmetric) field configuration. A perfect agreement is found between the input impedance of the delta-gap voltage source and in the lower frequency regime; this includes the first passband [a detail is shown in Fig. 5(b)]. In fact, according to the discussion in Section III, a delta-gap source excites the perturbed quasi-TEM mode with maximum amplitude close to the lower edge of the stopband, . The observed agreement also indicates that where

1801

Fig. 6. Comparison between real (black lines) and imaginary (gray lines) parts (solid lines), comof the input impedance of the vertical current sheet Z puted with N = 17 unknowns, and half the Bloch impedance Z =2 of the microstrip-line mode. Z is computed through the full-wave periodic method of moments (dashed lines) and a Bloch analysis based on HFSS (circles). (a) Entire range of frequency. (b) Detail of the first passband.

the amplitude of higher order modes excited by the source is negligible at these frequencies. On the other hand, at the higher edge of the same stopband, the behavior of the two impedances is very different, thus confirming the complete uncoupling between the delta-gap source and the modal field as discussed in Section III. In Fig. 6, the case of the vertical current-sheet source, as in (7), is considered. In the equivalent transmission-line model associated with the fundamental mode of the microstrip line, the source can be represented by a shunt current generator that launches the mode along two semi-infinite transmission lines. ; the impedance seen by the Each has an input impedance generator is then the parallel of these input impedances, i.e., it is . Therefore, in Fig. 6, the input impedance equal to and are reported for comparison purposes. A good agreement can be observed also in this case between HFSS and our method-of-moments results for the Bloch impedance. Since the vertical current has an even symmetry , in contrast to the odd symmetry with respect to the plane of the delta-gap source, a dual behavior of the source impedance occurs at the two stop-band edges, with respect to the delta-gap and impedance. A good agreement is now found between near the higher edge of the stopband, where , and in the second passband, where a higher order mode with a

1802

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 7. Field comparisons. Real part of: (a) the transverse magnetic field excited by the delta gap at 13 GHz on the transverse plane z 0 (the imaginary part is negligible); (b) the transverse magnetic field of the microstrip-line mode; (c) detail of (a); and (d) detail of (b).

Fig. 8. Field comparisons. Imaginary part of: (a) the transverse magnetic field excited by the delta gap at 23 GHz on the transverse plane z = 0 (the real part is negligible); (b) transverse magnetic field of the microstrip-line mode; (c) detail of (a); and (d) detail of (b).

very low amplitude is excited by the source. A poor agreement is found near the lower edge of the stopband and in the first passband, again in agreement with the discussion in Section III. Note also that the matching between the symmetries of the mode and the source does not necessarily imply a good excitation of the considered mode (see, e.g., the asymptotes of the source impedances inside the stop band). In the present discussion, the role of the symmetries of the sources and of the Bloch modes has been investigated, while a more realistic modeling of the feeding structure is necessary to analyze different kinds of resonant or higher mode effects.

As a last result, an analysis of the computed field configuration can also be useful. In Figs. 7 and 8, the magnetic field excited by the delta-gap voltage source is compared with the magnetic field of the microstrip-line mode on the excitation plane at two different frequencies inside the passbands. This further validates the discussion in Section III and the comments about Fig. 5. At 13 GHz (i.e., close to the lower stopband edge), an excellent agreement between the excited [see Fig. 7(a) and a detail in Fig. 7(c)] and the modal field [see Fig. 7(b) and a detail in Fig. 7(d)] confirms the good excitation of the mode. On the contrary, at 23 GHz (i.e., close to the upper stopband edge), the

=

VALERIO et al.: INPUT IMPEDANCE OF NONPERIODIC SOURCES EXCITING 1-D PERIODIC SHIELDED MICROSTRIP STRUCTURES

strong difference between the excited [see Fig. 8(a) and a detail in Fig. 8(c)] and the modal field [see Fig. 8(b) and a detail in Fig. 8(d)] confirms the poor excitation of the mode. V. CONCLUSION The nonperiodic excitation of a periodic shielded microstrip line has been studied in detail, with the aim of gaining physical insight and quantitative information on the modal excitation efficiency and on the input-impedance features of finite sources. Two different excitations have been considered: a delta-gap voltage source and a vertical impressed current sheet, as idealized models of realistic feeding schemes based on, e.g., a coupled on-plane microstrip line or a vertical coaxial probe. for the two Dual symmetries hold on the source plane excitations, which thus excite the fundamental mode efficiently in different frequency ranges. This is due to the dual symmetry properties of the mode at the edges of its stopbands. The source input impedance and the modal Bloch impedances, defined in terms of either power and current or power and voltage, have explicitly been derived and calculated with the method of moments adopting the array scanning method. They have been compared in a wide frequency range encompassing passband and stopband regimes of the fundamental mode up to the first passband of the first higher order mode. Further independent full-wave results for the Bloch impedances obtained with an approach using Ansoft HFSS validate the accuracy of the results obtained with our formulation. In general, the possibility of rigorously evaluating the complex impedance of a local source in a periodic environment as a function of the physical parameters involved, makes it immediately clear what kind of propagation and reactive effects can be expected in such types of frequency-selective devices. The analysis confirms the possibility to excite very efficiently the fundamental mode of a 1-D periodic structure with a symmetric unit cell. In particular, when the operating frequency is near the stopband edges, a source with the correct symmetry has to be employed. Under this condition, the Bloch impedance represents a very good approximation of the source impedance.

1803

is the Fourier transform of the function truncated where to a single cell. The products between the field components are performed and integrated over the entire waveguide cross section. Closedform results of these integrations can be obtained, and lead, after a lengthy calculation, to the resulting expression

(23) The following quantities have been used to express

(24)

APPENDIX I BLOCH-MODE POWER

(25)

carried by a Bloch mode is computed Here, the power of the as the flux through the waveguide cross section . Poynting vector be an eigencurrent of a given mode: its coefficients Let in (3) are found by solving (2) with a zero right-hand side . Each component of both the electric and magnetic fields can then be expressed through a spectral series involving , where the appropriate spectral Green’s functions and , given as

(22)

where

(26)

1804

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

and the functions

have been defined as

where

(32) with

and

(33)

(27)

(34) with

and

where

(28)

with

and

(29) (35) APPENDIX II VERTICAL CURRENT-SHEET IMPEDANCE Here, the expressions of in (21), are given. for the calculation of

, necessary

A. Incident Problem Both the voltage and the power require the , i.e., at the source computation of the electric field at point. This results in very slowly converging integrals and series. To improve the efficiency of the calculations, the MixedPotential formulation C in [31] is adopted, thus providing a reduced spatial singularity and faster converging behaviors in the is decomposed into three spectral domain. The electric field contributions

to ; all of All of the -integrals are performed from to 0. The -intethe - and -integrals are performed from grals involve only elementary trigonometric functions and can be performed in closed form. According to the extractions proposed in [32], the -integrals are accelerated and the integrals of the extracted terms are performed in closed form. These details are not given here for the sake of brevity. B. Scattering Problem are computed by integrating the The quantities , according to auxiliary Floquet-periodic quantities (19) and (20), which are expressed as

(30) deriving from the magnetic vector potential , the scalar potential , and the corrective scalar potential . Accordingly, also the incident voltage and power are also decomposed into these three contributions. The final expressions are

(31)

(36)

VALERIO et al.: INPUT IMPEDANCE OF NONPERIODIC SOURCES EXCITING 1-D PERIODIC SHIELDED MICROSTRIP STRUCTURES

(37) where

is defined in (26).

REFERENCES [1] J. D. Joannopoulos, R. D. Meade, and J. N. Winn, Photonic Crystals. Molding the Flow of Light. Princeton, NJ: Princeton Univ. Press, 1995. [2] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications. Hoboken, NJ: Wiley, 2006. [3] Negative-Refraction Metamaterials: Fundamental Principles and Applications, G. V. Eleftheriades and K. G. Balmain, Eds. Hoboken, NJ: Wiley, 2005. [4] P.-S. Kildal, A. A. Kishk, and S. Maci, “Special issue on artificial magnetic conductors, soft/hard surfaces, and other complex surfaces,” IEEE Trans. Antennas Propag., vol. 53, no. 1, pp. 2–7, Jan. 2005. [5] B. A. Munk, Frequency Selective Surfaces: Theory and Design. New York: Wiley, 2000. [6] T. Zhao, D. R. Jackson, J. T. Williams, H.-Y. D. Yang, and A. A. Oliner, “2-D periodic leaky-wave antennas—Part I: Metal patch design,” IEEE Trans. Antennas Propag., vol. 53, no. 5, pp. 3505–3514, May 2005. [7] S.-G. Mao and M.-Y. Chen, “Propagation characteristics of finite-width conductor-backed coplanar waveguides with periodic electromagnetic bandgap cells,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2624–2628, Nov. 2002. [8] P. Baccarelli, C. Di Nallo, S. Paulotto, and D. R. Jackson, “A full-wave numerical approach for modal analysis of 1-D periodic microstrip structures,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1350–1362, Apr. 2006. [9] T. Kokkinos, C. D. Sarris, and G. V. Eleftheriades, “Periodic FDTD analysis of leaky-wave structures and applications to the analysis of negative-refractive-index leaky-wave antennas,” IEEE Trans. Microw. Theory Tech., vol. 54, no. , pp. 1619–1630, Apr. 2006. [10] Antenna Theory, R. E. Collin and F. J. Zucker, Eds. New York: McGraw-Hill, 1969, ch. 19 and 20. [11] R. E. Collin, Field Theory of Guided Waves, 2nd ed. New York: IEEE, 1991. [12] F. Capolino, D. R. Jackson, D. R. Wilton, and L. B. Felsen, “Comparison of methods for calculating the field excited by a dipole near a 2-D periodic material,” IEEE Trans. Antennas Propag., vol. 55, no. 6, pp. 1644–1655, Jun. 2007. [13] F. Capolino, D. R. Jackson, and D. R. Wilton, “Fundamental properties of the field at the interface between air and a periodic artificial material excited by a line source,” IEEE Trans. Antennas Propag., vol. 53, no. 1, pp. 91–99, Jan. 2005. [14] R. Qiang, J. Chen, F. Capolino, D. R. Jackson, and D. R. Wilton, “ASM-FDTD: A technique for calculating the field of a finite source in the presence of an infinite periodic artificial material,” IEEE Microw. Wireless Comp. Lett., vol. 17, no. 4, pp. 271–273, Apr. 2007. [15] I. Thomson and C. M. Linton, “On the excitation of a closely spaced array by a line source,” IMA J. Appl. Math., vol. 72, no. 4, pp. 476–497, Apr. 2007. [16] N. A. Ozdemir and C. Craeye, “Efficient analysis of periodic structures involving finite dielectric material based on the array scanning method,” in Proc. 11th ICEAA, Sep. 14–18, 2007, pp. 735–738. [17] G. Valerio, P. Baccarelli, P. Burghignoli, A. Galli, R. Rodríguez-Berral, and F. Mesa, “Analysis of periodic shielded microstrip lines excited by nonperiodic sources through the array scanning method,” Radio Sci., vol. 43, 2008, Art. ID 10.1029/2007RS003697.

1805

[18] R. Rodríguez-Berral, F. Mesa, P. Baccarelli, and P. Burghignoli, “Excitation of a periodic microstrip line by an aperiodic delta-gap source,” IEEE Antennas Wireless Propag. Lett., vol. 8, pp. 641–644, 2009. [19] G. Valerio, P. Baccarelli, P. Burghignoli, and A. Galli, “Excitation of periodic microstrip line through a nonperiodic vertical current,” in Proc. 39th Eur. Microw. Conf., Rome, Italy, 29 Sept.–1 Oct. 2009, pp. 806–809. [20] A. Foroozesh and L. Shafai, “Wave propagation in 1-D EBGs: Periodic multilayer films consisting of two different materials,” IEEE Antennas Propag. Mag., vol. 50, no. 2, pp. 175–182, Apr. 2008. [21] R. W. Jackson and D. M. Pozar, “Full-wave analysis of microstrip open-end and gap discontinuities,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 10, pp. 1036–1042, Oct. 1985. [22] C. Di Nallo, F. Mesa, and D. R. Jackson, “Excitation of leaky modes on multilayer stripline structures,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 8, pp. 1062–1071, Aug. 1998. [23] R. Rodríguez-Berral, F. Mesa, and D. R. Jackson, “Excitation of an infinite microstrip line with a vertical coaxial feed,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1638–1648, Jul. 2008. [24] I. A. Eshrah and A. A. Kishk, “Electric-type dyadic Green’s functions for a corrugated rectangular metaguide based on asymptotic boundary conditions,” IEEE Trans. Antennas Propag., vol. 55, no. 2, pp. 355–363, Feb. 2007. [25] I. A. Eshrah and A. A. Kishk, “Periodically loaded transmission line excited by an aperiodic source: A Green’s function approach,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1118–1123, Jun. 2007. [26] C. M. Butler, “General solutions of the narrow strip (and slot) integral equations,” IEEE Trans. Antennas Propag., vol. AP-33, no. 10, pp. 1085–1090, 1985. [27] Handbook of Mathematical Functions, M. Abramowitz and I. Stegun, Eds., 9th ed. New York: Dover, 1970. [28] E. Yamashita and K. Atsuki, “Analysis of microstrip-like transmission lines by nonuniform discretization of integral equations,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 4, pp. 195–200, Apr. 1976. [29] A. A. Oliner, “Equivalent circuits: How to obtain them and use them,” in Proc. Workshop WSC, Int. Microwave Symp., Boston, MA, Jun. 11–16, 2000. [30] K. C. Gupta, R. Garg, I. Bahl, and P. Barthia, Microstrip Lines and Slotlines, 2nd ed. Boston, MA: Artech House, 1996, ch. 3. [31] K. A. Michalski and D. Zheng, “Electromagnetic scattering by sources of arbitrary shape in layered media—Part I: Theory,” IEEE Trans. Antennas Propag., vol. 38, no. 3, pp. 335–344, Mar. 1990. [32] G. Valerio, P. Baccarelli, S. Paulotto, F. Frezza, and A. Galli, “Regularization of mixed-potential layered-media Green’s functions for efficient interpolation procedures in planar periodic structures,” IEEE Trans. Antennas Propag., vol. 57, no. 1, pp. 122–134, Jan. 2009.

Guido Valerio (S’06–M’10) was born on May 29, 1982. He received the M.S. degree (cum laude and honorable mention) in electronic engineering and the Ph.D. degree in applied electromagnetics from Sapienza University of Rome, Rome, Italy, in 2005 and 2009, respectively. In 2005, he joined the Electronic Engineering Department, Sapienza, University of Rome, Rome, Italy, where he is currently an Associate Researcher. From February to August 2008, he was a Visiting Scholar with the University of Houston, Houston, TX. His scientific interests involve numerical methods for wave propagation and scattering in complex structures, such as periodic and multilayered media. Among other topics, he studies efficient computation and interpolation schemes for Green’s functions in different kinds of periodic structures, the rigorous modeling of the interaction of nonperiodic sources with periodic media, modal properties of both conventional and metamaterial multilayered structures. He is currently involved in the GPR characterization of Martian soil through both experimental and numerical approaches. He also works in the design of UWB antennas and harmonic-tunable active-antennas. Dr. Valerio was the recipient of the Leopold B. Felsen Award for Excellence in Electrodynamics in 2008. In 2009 he was a finalist for the Young Engineers Prize at the European Microwave Conference.

1806

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Paolo Burghignoli (S’97–M’01–SM’08) was born in Rome, Italy, on February 18, 1973. He received the Laurea degree (cum laude) in electronic engineering and Ph.D. degree in applied electromagnetics from Sapienza University of Rome, Rome, Italy, in 1997 and 2001, respectively. In 1997, he joined the Electronic Engineering Department, Sapienza University of Rome, where he is currently an Associate Researcher. From January 2004 to July 2004, he was a Visiting Research Assistant Professor with the University of Houston, Houston, TX. In March 2010 he won a national competition for a position of Assistant Professor at the Sapienza University of Rome. His scientific interests include analysis and design of planar leaky-wave antennas, numerical methods for the analysis of passive guiding and radiating microwave structures, periodic structures, and propagation and radiation in metamaterials. Dr. Burghignoli was the recipient of a 2003 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Graduate Fellowship, the 2005 Raj Mittra Travel Grant for Junior Researchers presented at the IEEE Antennas and Propagation Society Symposium, Washington, DC, and the 2007 Giorgio Barzilai Laurea Prize presented by the former IEEE Central and South Italy Section.

Paolo Baccarelli (S’96–M’01) received the Laurea degree in electronic engineering and Ph.D. degree in applied electromagnetics from Sapienza University of Rome, Rome, Italy, in 1996 and 2000, respectively. In 1996, he joined the Department of Electronic Engineering, Sapienza University of Rome, where he has been an Associate Researcher since 2000 and a temporary Professor of Electromagnetics since 2005. From April 1999 to October 1999, he was a Visiting Researcher with the University of Houston, Houston, TX, where he performed research activity on leakywave radiation in periodic printed structures. In March 2010, he won a national competition for a position of Assistant Professor at Sapienza University of Rome. His scientific interests include guided-wave theory with an emphasis on surface waves and leaky waves in anisotropic media, metamaterials, and electromagnetic bandgap structures, numerical methods for the analysis of uniform and periodic passive printed microwave structures, analysis and design of uniform and periodic traveling wave antennas, and active integrated antennas. Dr. Baccarelli received the Giorgio Barzilai Laurea Prize (1994–1995) from the former IEEE Central and South Italy Section.

Alessandro Galli (M’08) received the Laurea degree in electronic engineering and Ph.D. degree in applied electromagnetics from Sapienza University of Rome, Rome, Italy. In 1990, he joined the Electronic Engineering Department, Sapienza University of Rome, for research activities. In 2000, he became an Assistant Professor and, in 2002, an Associate Professor of Electromagnetic Fields. In his educational activities at the Sapienza University of Rome, he is currently teaching the courses of “Electromagnetic Fields” and of “Applied Electromagnetics” for communications engineering. He is the author or coauthor of more than 200 scientific publications and of a patent for an invention concerning a new type of microwave antenna. He has worked in several projects and contracts involving universities, agencies, and industries, and got various charges for institutional and professional activities. His scientific interests are focused on electromagnetic theory and applications in the main following research topics: microwave and millimeter-wave passive devices; scanning antennas and arrays; electromagnetic theory for waveguiding and radiating components, periodic structures, and metamaterials. He is also active in geophysical and in biological applications of electromagnetic fields. Prof. Galli was elected as an Italian delegate in the Board of Directors of the European Microwave Association (EuMA) in 2010. He was the recipient of the Giorgio Barzilai Prize in 1994 for the best scientific work of under-35 researchers at the 10th National Meeting of Electromagnetics. He was also the recipient of the Quality Presentation Recognition Award by the the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) at the International Microwave Symposium in 1994 and in 1995.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

1807

Homogenized Green’s Functions for an Aperiodic Line Source Over Planar Densely Periodic Artificial Impedance Surfaces Simone Paulotto, Member, IEEE, Paolo Baccarelli, Member, IEEE, Paolo Burghignoli, Senior Member, IEEE, Giampiero Lovat, Member, IEEE, George W. Hanson, Fellow, IEEE, and Alexander B. Yakovlev, Senior Member, IEEE

Abstract—The accurate electromagnetic analysis of artificial periodic surfaces formed as planar layers with complicated periodic metallization patterns, having a grid period much smaller than the effective wavelength (densely periodic), is important for the design and analysis of a variety of electromagnetic structures. However, full-wave modeling can be extremely time-consuming and computationally expensive, especially for aperiodic sources in close proximity to periodic surfaces. In this paper, we describe approximate homogenized models for a Green’s function that treats planar patterned screens (grids) as quasi-dynamic homogenized impedance surfaces and dielectric layers in a fully dynamic manner. The resulting Green’s functions are only slightly more complicated than those for dielectric layers without metallization and can be numerically computed using standard methods for layered media. We restrict attention to line sources and compare numerical results from this method with those from a full-wave array scanning method, which is more complex analytically and much more demanding to evaluate numerically. Very good agreement is found between the two methods except for source and/or field points extremely close to the metallization layer, confirming the accuracy of the homogenized representations of periodic surfaces for near-field sources. Index Terms—Array scanning method (ASM), electromagnetic analysis, Green’s functions, high-impedance surfaces, nonhomogenous media, periodic structures.

I. INTRODUCTION

I

N RECENT years, there has being a growing interest in the analysis and development of densely periodic artificial impedance surfaces (with the grid period much smaller than the effective wavelength) due to their broad applications in the emerging areas of metamaterials. In particular, high-impedance surfaces (HISs), originally proposed by Sievenpiper as mushroom-type periodic structures formed by a 2-D square lattice of Manuscript received November 28, 2009; revised March 01, 2010; accepted April 13, 2010. Date of publication June 14, 2010; date of current version July 14, 2010. S. Paulotto is with the Department of Electrical and Computer Engineering, University of Houston, Houston, TX 77004 USA (e-mail: [email protected]). P. Baccarelli and P. Burghignoli are with the Department of Electronic Engineering, “La Sapienza” University of Rome, 00184 Rome, Italy (e-mail: [email protected]; [email protected]; [email protected]). G. Lovat is with the Department of Electrical Engineering, “La Sapienza” University of Rome, 00184 Rome, Italy (e-mail: [email protected]). G. W. Hanson is with the Department of Electrical Engineering and Computer Science, University of Wisconsin–Milwaukee, Milwaukee, WI 53211 USA (e-mail: [email protected]). A. B. Yakovlev is with the Department of Electrical Engineering, The University of Mississippi, University, MS 38677-1848 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2010.2049917

nonresonant patches with grounding vias [1], have been used as artificial high-impedance textured substrates for low-profile antennas [2]. Other designs of HIS structures utilize subwavelength periodic arrays of frequency-selective surface (FSS) elements of different shapes without vias printed on a thin grounded dielectric slab. This includes patch arrays [3]–[5], printed dipole/slot arrays [6], [7], dipole/slot arrays of different resonant length of FSS elements in the unit cell [8], [9], and complicated configurations of FSS elements [10], among others. Other applications of HIS structures and, in general, artificial periodic impedance surfaces include thin absorbers [11]–[13], planar tunable reflect-arrays [14], [15], TEM waveguides [16], [17], partially reflecting surfaces (PRSs) for high-directivity/gain antennas [18]–[22], and leaky-wave antennas with broadside radiation [23], [24]. An approach for the accurate and rapid analysis of plane-wave interaction with densely periodic planar metallization patterns used in HIS structures has been proposed in [25]–[28], and the analytical model for the analysis of surface-wave and leaky-wave propagation has been presented in [29]. The dynamic model is based on the full-wave solution of a plane-wave scattering problem incorporating an averaged impedance boundary condition and enables one to accurately capture the physics of plane-wave interaction with complicated metallization patterns by modeling a single unit cell of a periodic grid and considering a single Floquet propagating mode. It is based on the homogenization of grid impedance in terms of effective circuit parameters (inductance and capacitance). It should be noted that the analytical expressions for the grid impedance take into account frequency dispersion and spatial dispersion (the latter corresponding to the dependence of the grid parameters on the incidence angle), and they have been obtained by considering the main contribution of all elements of the infinite grid to the local field [26]. However, the exact analysis of the interaction of an aperiodic fundamental near-field source (a line or point source) with periodic metallization patterns requires considerable effort. The array-scanning method (ASM) is an efficient technique for the full-wave analysis of the planar periodic artificial impedance surfaces described above [30], [31], although the formulation is somewhat complex and numerically demanding. In the special case when the metallization is densely periodic, one can hope to use a homogenization theory to simplify the formulation. In fact, this is a regime that is particularly demanding for full-wave methods due to the required dense discretization of the struc-

0018-9480/$26.00 © 2010 IEEE

1808

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

and are the electric and magnetic where Hertzian potentials associated with electric and magnetic cur, respectively. Each can be written as [36] rents

(3) Fig. 1. Line source over a layered medium, with each material interface characterized by a grid impedance Z , representing a periodic metallization at the interface.

ture. In this connection, investigations on the limits of validity of classical homogeneous models for the field description in 2-D problems involving a line source and structures periodic in one direction have been previously carried out in [32]–[35]. In this study, we investigate the accuracy of homogenized representations of planar periodic screens, initially proposed and validated for plane-wave incidence (see, for example, [28]) for the calculation of the field excited by an aperiodic line source. In particular, quasi-analytical homogenized Green’s function models are presented for the analysis of planar metallization patterns densely periodic in two directions, printed on dielectric substrates. The homogenization analysis makes use of either a single impedance surface composed of the parallel combination of grid and dielectric slab impedances [26] or a twosided impedance boundary condition for the metallization in the boundary-value problem for the grounded dielectric slab [29]. These two methods lead to the same result, which is compared with results obtained using a full-wave ASM. Very good agreement is found for the simple quasi-analytic approach presented here, except for source and field points approaching extremely closely to the metallization. We restrict the source to be a line source, which decouples the problem into transverse magnetic (TM) and transverse electric (TE) parts. Since a general point source excites both TE and TM potentials, one requires a spectrally anisotropic dyadic grid impedance (represented by TE and TM parts along with cross-coupling terms); the extension to a point source will be considered in future work. In the following, the suppressed time . dependence is

where , , and , are the direct and scattered dyadic Green’s functions for the Hertzian potentials. is due to the primary wave, incident from the The potential , and source in a homogeneous medium characterized by is the scattered potential (in this case, reflected potential) that accounts for the layered medium. For source and field points in arbitrary layers, the above formulation is easily generalized. For a 2-D infinite electric line source (4) we have

(5) , , and the spectral where coefficient is associated with potential (a -polarized electric line source creates a field). Here, the first term is associated with the 2-D principal scalar Green’s function

(6) and the second term is the scattered potential. The latter is obtained by enforcing the appropriate boundary conditions at each interface

II. LINE-SOURCE GREEN’S FUNCTIONS: HOMOGENIZED MODELS (7) A. General Formulation Consider the geometry depicted in Fig. 1, showing a line source over a layered medium. Each material interface may have a grid impedance for the th interface. Following the method of obtaining the Green’s dyadics in [36], adapted here to the incorporation of impedance surfaces, have the forms [37], [38] the fields in the region of space (1) (2)

where the superscripts indicate a position infinitesimally above (A/m) is an electric surand below the interface, and where face current on the boundary (8) The units of the grid impedance For a magnetic line source

are ohms.

(9)

PAULOTTO et al.: HOMOGENIZED GREEN’S FUNCTIONS FOR APERIODIC LINE SOURCE OVER ARTIFICAL IMPEDANCE SURFACES

Fig. 2. Original problem (for the special case of an array of metal patches) and the homogenized problem represented as a single one-sided impedance surface Z (with no fields beyond the surface).

and, considering the magnetic Hertzian potential, we obtain

1809

Fig. 3. Original problem (for the special case of an array of metal patches) and homogenized problem where the periodic metallization is replaced by an homogenized grid with impedance Z , which now lies on top of a grounded slab.

is the wavenumber transverse to the line source in the where plane of the grid. For a grounded slab having thickness and characterized by , the dielectric impedances for and waves are [26] (12)

(10)

(13)

The spectral coefficient in (10) is associated with potential (a -polarized magnetic line source creates a field). The coefficients depend on the layered medium and will be presented in Section II-B.

. Grid impedances for various metallizawhere tions (strips, patches, and Jerusalem crosses) are provided in the appendix (assuming for simplicity nonmagnetic media). The scattered potential is obtained by enforcing the boundary as condition at

B. Green’s Function Coefficients Here, two analytical methods for developing Green’s function for the analysis of densely periodic metallizacoefficients tions in layered media are described. In both cases, we assume a periodic metallization layer on a grounded dielectric slab, but the method is easily generalized to multiple layers. In the first model, a single impedance surface is obtained in the spectral domain as parallel connection of grid impedance of homogenized metallization and an input impedance for the grounded dielectric slab. The second model is based on the implementation of a two-sided impedance boundary condition for the homogenized metallization in the boundary-value problem for a grounded dielectric slab. Mathematically, the two methods are equivalent. The first method has the advantage that it provides the simplest formulation, however, it is somewhat cumbersome to find the fields in the dielectric (since the grounded dielectric has been subsumed into an input impedance). On the other hand, using the second method it is very easy to directly determine the fields in the dielectric using equations similar to (5) and (10) (but omitted due to space limitations). 1) Method I: Single Impedance Interface: Fig. 2 shows the original problem (for the special case of an array of metal patches), and the homogenized problem represented as a single one-sided surface impedance sheet . The surface impedance in the spectral domain is obtained as that represents a hoparallel combination of grid impedance mogenized planar periodic metallization pattern (grid) and the of the grounded dielectric slab, input impedance

(11)

(14) where

is given by (11), leading to the coefficients

(15) 2) Method II: Two-Sided Impedance Surface in Layered Medium: In this method, we again assume that the periodic metallization is replaced by an homogenized grid with (the same as in Method I), which now lies on impedance top of a grounded slab, as shown in Fig. 3. In this case, the boundary conditions are

(16) at , and at (at the ground plane). , we solve the Thus, rather than using the slab impedance boundary-value problem of an impedance surface in a layered medium. This leads to the spectral coefficients

(17)

(18)

1810

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

For observation points in the half-space . above,

as considered

III. HOMOGENIZED MODEL AND ASM Some general considerations on the accuracy of the above-described homogenized model and a short description of the rigorous full-wave approach (based on the ASM) adopted here for comparison and validation purposes are provided in this section. A. Accuracy of the Homogenized Model for Aperiodic Line Sources Qualitative insight into the validity of homogenized representations for the description of the field excited by an aperiodic line source can be gained by simple considerations of adimensional ratios between the characteristic lengths involved in the problem, i.e., the spatial periods , , the free-space waveand the length , and the distances of the source plane from the periodic grid. observation plane For a homogenized representation of a periodic grid in terms of a surface impedance to be valid it is required that: 1) the incident field produced by the line source, which is independent of the -coordinate, varies little over one spatial period in the -direction and 2) the evanescent field scattered by the screen is negligible at the observation point. Considering the plane-wave spectrum representation (6) of the incident field, evaluated on the plane of a densely periodic , condition 1) above is certainly satisfied by the plane grid , since waves in the visible part of the spectrum implies . On the other hand, from the term in (6), we see that the spectrum in the evanescent region decays (at least) exponentially as a function , where is a of ; hence, it can be neglected for . By suitable constant, i.e., for and taking into account that , requiring a lower bound for is obtained in the form . From our numerical experiments, we have found that a sound is , resulting in the lower bound . choice for Assuming that the latter condition is satisfied, for each plane wave in the spectrum of the incident field, the field scattered by the grid admits a Floquet representation in which all the higherorder space harmonics are evanescent. Condition 2) above can then be enforced by requiring that the first higher order space harmonic (i.e., the one with the smallest attenuation constant in the -direction) has a negligible amplitude at the observation point. For a densely periodic screen and for each plane wave in the spectrum of the incident field, the scattered field has only one propagating space harmonic; furthermore, the attenuation constant of the first higher order evanescent space harmonic , where . can be approximated as , where again is a Condition 2) requires that suitable constant; this sets a lower bound for in the form . The constant depends on the specific geometry of the periodic screen inside the unit cell, since this affects the excitation amplitude of the higher order space harmonics. It is very difficult to determine analytically such a dependence; however, from our numerical experiments, it turns out that, by , the homogenized model gives good results; letting this results in the lower bound . It is to be noted that,

while generally valid, this criterion may result in an unduly restrictive condition for specific grid geometries; the case of metal strips parallel to the line source is one example, as illustrated in Section IV. In conclusion, we stress that the above-discussed lower and provide only sufficient conditions for the bounds for accuracy of homogenized models in representing the exact, microscopic field. They have been obtained empirically from the analysis of a number of specific grids and their validity will be illustrated in Section IV on selected structures. As a final remark, we note that the average of the microscopic field over one period (i.e., by definition, the macroscopic field) is usually very well represented by the homogenized models considered here, hence the agreement between homogenized and averaged exact fields can be very good also when the above-discussed lower bounds are not satisfied. B. Full-Wave ASM Method A full-wave ASM method has been used to verify the presented homogenized Green’s function models. The ASM method is based on the representation of the aperiodic line source as an integral superposition of auxiliary Floquet-periodic sources, obtained by periodically replicating (see Fig. 2) the aperiodic source along the -direction with phase shift , where is the spatial period along the -direction. By linearity, once the electric field excited by the auxiliary sources has been determined, the electric field solution of the original problem can be obtained through a similar spectral integral superposition. The determination of the auxiliary Floquet-periodic fields has been performed here by discretizing the relevant electric-field integral equation (EFIE) with the method of moments (MoM) in the spatial domain. A fully general code for the analysis of planar structures periodic along two directions (2-D periodic) with arbitrary metallizations within the unit cell has been used, employing Rao–Wilton–Glisson basis functions and adopting a Galerkin testing scheme [39]. A simpler MoM code for the analysis of a metal strip grating (1-D periodic) with strips parallel to the source has also been employed, with entire-domain basis functions defined on the strip cross section [35]. In both codes, a crucial aspect is the use of accelerated periodic Green’s functions [40] to reduce the computation time and, hence, allowing for a calculation of the involved spectral integrals in a reasonable time. More details on the ASM approach for the analysis of a line source in the presence of a 2-D periodic structure can be found in [41]. IV. NUMERICAL RESULTS Here, we compare results obtained using the homogenized Green’s functions and the ASM for a variety of grid geometries. We remark that the computation times required by the ASM are typically from two to three orders of magnitude larger than those required by the homogenized models. The involved physical and geometrical parameters are varied in order to illustrate their effect on the accuracy of the relevant homogenized representations. Figs. 4–8 show results for a line source over metal strips oriented parallel to the source, as depicted in the inserts of the fig-

PAULOTTO et al.: HOMOGENIZED GREEN’S FUNCTIONS FOR APERIODIC LINE SOURCE OVER ARTIFICAL IMPEDANCE SURFACES

Fig. 4. Near electric field (in magnitude) excited by a line source above a grounded dielectric slab covered with a metal strip grating as a function of frequency at x 10 mm: comparison between the homogenized model (solid gray line), ASM-MoM results with 1-D periodicity (black circles), and ASM-MoM 10.2; 1 mm; " results with 2-D periodicity (black crosses). Parameters: h p 2 mm; w 0.5 mm. 1.8 mm; z

Fig. 6. Same as in Fig. 5, except for w

=

1811

1 mm.

=

=

=

=

=

=

Fig. 7. Same as in Fig. 6 at z

Fig. 5. Near electric field (in magnitude) excited by a line source above a grounded dielectric slab covered with a metal strip grating as a function of 15 GHz: comparison between the homogenized model the abscissa x at f (solid gray line), ASM-MoM results with 1-D periodicity (black circles), and ASM-MoM results with 2-D periodicity (black crosses). Parameters: as in 3 mm. Fig. 4, except for z

=

=

ures (see also Fig. 19). In all of the shown cases, the dielec1 mm, and the dielectric permittivity is tric thickness is . Results obtained with ASM-MoM methods with both 2-D and 1-D periodicity (see Section III-B) are reported in order to validate the 2-D approach for the cases when the homogenized model of the periodic structure is accurate and when it fails to reproduce the exact field. 2 mm ( 0.1 at 15 In Fig. 4, the period is GHz), the line source is located at mm 0.025 at 15 GHz), and the observation points are lo( mm, where results for 1 mm, 0.8 mm, cated at and 0.1 mm correspond to 0.05, 0.04, 0.005 at 15 GHz, respectively. Note that the line and source is located right above the center of a metal strip. This figure shows that the homogenized model is very accurate in a wide frequency range and also for observation points very and smaller close to the periodic structure, i.e., even for (see Section III-A). This can be expected taking into than

=

0.1 mm.

account that the metal strips are relatively wide, so that the effect of the gaps is small, and the grating is acting effectively as a homogeneous good conductor. This in turn implies that higher order evanescent space harmonics are only weakly excited, thus producing a very good agreement between homogenized and full-wave results. In order to explore the limits of validity of the homogenized representation, we consider now the behavior of the field as a function of the horizontal abscissa when the source is located 3 mm, i.e., above the lower bound given in at , for the same distances between Section III-A grid and observation point as in Fig. 4. In Fig. 5, a very good agreement between homogenized and full-wave results can still , the excitation of the be observed. By reducing the ratio higher order space harmonics is expected to increase, thus reducing the accuracy of the homogenized representation. To in, in Figs. 6 and 7, a geometry vestigate the effect of varying 1 mm, thus reducing as in Fig. 5 is considered except that from 0.9 to 0.5. The observation point in Fig. 6 is mm and 0.8 mm , whereas 0.1 mm . As expected, while in Fig. 7 it is 1 mm and 0.8 mm, excellent agreement is found for , a strong extremely close to the metallization disagreement is observed. In this case, the evanescent field scattered by the grid is not negligible and is responsible for the oscillation of the field. As observed in Section III-A, the average

1812

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 8. Same as in Fig. 5, except for p

=

5 mm and w

=

2.5 mm.

Fig. 9. Near electric field (in magnitude) excited by a line source above a grounded dielectric slab covered with a metal strip grating orthogonal to the line source, as a function of the absicssa x at f 15 GHz: comparison between the homogenized model (solid gray line) and ASM-MoM results with 1 mm; " 10.2; 2-D periodicity (black crosses or diamonds). Parameters: h p 2 mm; w 1.8 mm; z 3 mm.

Fig. 10. Same as in Fig. 9, except for w

=

1 mm.

Fig. 11. Same as in Fig. 9, except for p

=

0.2 mm and w

=

0.1 mm.

=

=

=

=

=

=

value of the field over one spatial period is anyway well represented by the homogenized model; this is also clearly visible in Fig. 7, taking into account that the field is independent of the -coordinate and the structure is periodic along the -axis. Finally, Fig. 8 illustrates the effect of increasing the normalby keeping and the other parameters ized period fixed; in particular, now 5 mm, so that 0.25 2.5 mm, so that again . For at 15 GHz, and larger values of the normalized period, the accuracy of the homogenized model decreases; in this case, a discrepancy with respect to the full-wave results can be appreciated already at 0.8 mm. The results shown so far for a line source parallel to the strip grating have illustrated the validity and limits of the homogenized model and have validated the accuracy of the ASM-MoM code with 2-D periodicity against an independent ASM-MoM approach with 1-D periodicity. In the following, periodic structures will be considered for which a 1-D periodic analysis as in [35] is not possible. In Figs. 9 and 10, the strips are oriented perpendicular to the 2 mm, line source (see also Fig. 20). As in Figs. 5–7, 1 mm, and 10.2, 3 mm, and 15 GHz. In Fig. 9 the strip width is 1.8 mm (as in Fig. 5), whereas

in Fig. 10 1 mm (as in Figs. 6 and 7). For source or field , the points sufficiently far above the metallization, i.e., homogenized Greens’s functions are accurate. However, when , their accuracy breaks down, as can be observed both in and 10 when 0.1 mm Figs. 9 . Furthermore, by reducing the spatial period to 0.2 mm (i.e., 0.01 at 15 GHz) by keeping and the other parameters fixed, a good agreement can be restored between homogenized and full-wave results, as can be observed in Fig. 11. In Figs. 12–15, a square array of metal patches is considered, 2 mm, 1 mm, 10.2, and with 3 mm (see also Fig. 21). In Figs. 12 and 13, 0.2 mm 15 GHz. In Fig. 12, the cases 8 mm and and 2 mm, for which , show a good agreement between homogenized and full-wave results. In Fig. 13, a disagreement is 0.5 mm. By plotting the field at 10 mm as observed at a function of frequency for the values of considered in Fig. 12, we see in Fig. 14 that a good agreement is maintained over the entire shown frequency range. Incidentally, a typical resonant behavior can be observed, with the field amplitude exhibiting a maximum close to the frequency at which the periodic interface behaves as a perfect magnetic conductor for normally incident plane waves. 1 mm Fig. 15 shows results for a patch array with 8 mm at 15 GHz, for observation points ranging from to 0.5 mm . For 1 mm

PAULOTTO et al.: HOMOGENIZED GREEN’S FUNCTIONS FOR APERIODIC LINE SOURCE OVER ARTIFICAL IMPEDANCE SURFACES

Fig. 12. Near electric field (in magnitude) excited by a line source above a grounded dielectric slab covered with an array of square metal patches, as a 15 GHz: comparison between the homogefunction of the absicssa x at f nized model (solid gray line) and ASM-MoM results with 2-D periodicity (black 10.2; p p p 2 mm; 1 mm; " crosses). Parameters: h g 3 mm. 0.2 mm; z

=

=

=

=

=

=

=

1813

Fig. 15. Same as in Figs. 12 and 13, except for g = 1 mm.

=

Fig. 16. Same as in Fig. 13, except for p = 0.5 mm and g = 0.25 mm.

=

=

Fig. 13. Same as in Fig. 12 at z 1 mm and z 0.5 mm. ASM-MoM results with 2-D periodicity are represented with black crosses (z = 1 mm) or diamonds (z = 0.5 mm).

Fig. 17. Near electric field (in magnitude) excited by a line source above a grounded dielectric slab covered with an array of metal Jerusalem crosses, as a function of the abscissa x at f = 10 GHz: comparison between the homogenized model (solid gray line) and ASM-MoM results with 2-D periodicity (black crosses). Parameters: g = 0.1 mm; d = 2:8 mm; t = w = 0.2 mm; p = p = p = 4 mm; h = 6 mm; " = 2:7; z = 10 mm. Fig. 14. Near electric field (in magnitude) excited by a line source above a grounded dielectric slab covered with an array of square metal patches, as a function of frequency at x = 10 mm: comparison between the homogenized model (solid gray line) and ASM-MoM results with 2-D periodicity (black crosses). Parameters: the same as in Fig. 12.

, the agreement between the homogenized approach and the ASM begins to deteriorate. Again, by reducing the normalized period, the agreement improves, as illustrated

in Fig. 16 for the case 0.5 mm and 0.25 mm. Finally, Figs. 17 and 18 show results for a Jerusalem-cross 0.1 mm, 2.8 mm, 0.2 mm, structure with 4 mm, 6 mm, and (see also 10 GHz and 10 mm: agreement Fig. 22). In Fig. 17, between the two methods is excellent for the shown values of

1814

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 19. Strips parallel to the line source.

Fig. 18. Same as in Fig. 17, except for z different frequencies.

= 3 mm and z = 2 mm, at two

. In Fig. 18, the source distance from the screen is reduced to 3 mm; the case 2 mm is considered for 10 GHz and 3 GHz. At the former frequency, comparison with Fig. 17 shows that, by reducing , the accuracy of the homogenized model decreases. As expected, by reducing frequency to , the agreement with full-wave re3 GHz, hence reducing sults is restored. Fig. 20. Strips perpendicular to the line source.

V. CONCLUSION A homogenized line-source Green’s function model that treats planar, densely periodic metallization patterns as quasi-dynamic homogenized impedance surfaces has been described. Two methods were presented to obtain the homogenized Green’s functions in layered media. In the first method, the entire structure is modeled as a one-sided impedance surface, and, in the second method, the metallization is treated as a homogenized impedance surface embedded in a layered medium. In both cases, the dielectric layers are treated in a fully dynamic manner. The resulting Green’s functions are relatively simple in form and very efficient to evaluate compared with full-wave methods. Extensive numerical results have been shown to demonstrate the accuracy of the presented homogenized analysis. Although the accuracy of the homogenized Green’s functions varies depending on grid parameters and frequency (e.g., and ), for a range of parameters likely to be encountered in applications, the homogenized Green’s functions are very accurate, even for source and observation points very close to the . grid, and only begin to lose accuracy for

assume that the grid is in a nonmagnetic environment ( with and . For strips as in Fig. 19 (line source parallel to the strips) [26] (19) (20) where (21) and

, , and where approximately accounts for the strips not being in

free space. For strips as in Fig. 20 (line source perpendicular to the strips) [26], [28]

APPENDIX

(22)

The grid impedance is a uniform surface impedance that arises from a planar homogenization of the grid metallization [25]–[29] and obviously varies with the type of grid. Several metallization patterns are considered in the following: parallel strips, square patches, and the Jerusalem cross. In each case, the line source is assumed to lie parallel to the -axis, and we

(23) where (24)

PAULOTTO et al.: HOMOGENIZED GREEN’S FUNCTIONS FOR APERIODIC LINE SOURCE OVER ARTIFICAL IMPEDANCE SURFACES

1815

Fig. 21. Square-patch array. Fig. 22. Jerusalem-cross array.

For an array of patches as depicted in Fig. 21 with [28]

REFERENCES

(25) (26) where the grid parameter is (27) (This is the same expression as for the perpendicular strip array, where is the spacing between strips, except that the factor of 1/2 in the patch formula is absent for the strip case.) For a Jerusalem cross as shown in Fig. 22 with [27], [29] (28) (29) where (30) (31) (32) with

given by (21) and

(33) (34)

[1] D. Sievenpiper, L. Zhang, R. F. J. Broas, N. G. Alexópolous, and E. Yablonovitch, “High-impedance electromagnetic surfaces with a forbidden frequency band,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2059–2074, Nov. 1999. [2] F. Yang and Y. Rahmat-Samii, “Reflection phase characterization of the EBG ground plane for low profile wire antenna applications,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2691–2703, Oct. 2003. [3] Y. Zhang, J. von Hagen, M. Younis, C. Fischer, and W. Weisbeck, “Planar artificial magnetic conductors and patch antennas,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2704–2712, Oct. 2003. [4] A. P. Feresidis, G. Goussetis, S. Wang, and J. C. Vardaxoglou, “Artificial magnetic conductor surfaces and their application to low-profile high-gain planar antennas,” IEEE Trans. Antennas Propag., vol. 53, no. 1, pp. 209–215, Jan. 2005. [5] G. Goussetis, A. P. Feresidis, and J. C. Vardaxoglou, “Tailoring the AMC and EBG characteristics of periodic metallic arrays printed on grounded dielectric substrate,” IEEE Trans. Antennas Propag., vol. 54, no. 1, pp. 82–89, Jan. 2006. [6] S. Maci and P.-S. Kildal, “Hard and soft gangbuster surfaces,” in Proc. URSI Electromagn. Theory Int. Symp., Pisa, Italy, May 2004, pp. 290–292. [7] S. Maci, M. Caiazzo, A. Cucini, and M. Casaletti, “A pole-zero matching method for EBG surfaces composed of a dipole FSS printed on a grounded dielectric slab,” IEEE Trans. Antennas Propag., vol. 53, no. 1, pp. 70–81, Jan. 2005. [8] G. Goussetis, Y. Guo, A. P. Feresidis, and J. C. Vardaxoglou, “Miniaturised and multi-band artificial magnetic conductors and electromagnetic bandgap surfaces,” in Proc. IEEE AP-S Int. Symp. Antennas Propag., Monterey, CA, Jun. 20–25, 2004, vol. 1, pp. 293–296. [9] M. Hiranandani, A. B. Yakovlev, and A. A. Kishk, “Artificial magnetic conductors realised by frequency selective surfaces on a grounded dielectric SLAB for antenna applications,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 153, no. 5, pp. 487–493, Oct. 2006. [10] D. J. Kern, D. H. Werner, A. Monorchio, L. Lanuzza, and M. J. Wilhelm, “The design synthesis of multiband artificial magnetic conductors using high impedance frequency selective surfaces,” IEEE Trans. Antennas Propag., vol. 53, no. 1, pp. 8–17, Jan. 2005. [11] N. Engheta, “Thin absorbing screens using metamaterial surfaces,” in Proc. IEEE AP-S Int. Symp. Antennas Propag., San Antonio, TX, Jun. 2002, vol. 2, pp. 392–395. [12] S. A. Tretyakov and S. I. Maslovski, “Thin absorbing structure for all incident angles based on the use of a high-impedance surface,” Microw. Opt. Technol. Lett., vol. 38, no. 3, pp. 175–178, 2003. [13] Q. Gao, Y. Yin, D.-B. Yan, and N.-C. Yuan, “A novel radar-absorbingmaterial based on EBG structure,” Microw. Opt. Technol. Lett., vol. 47, no. 3, pp. 228–230, 2005. [14] D. F. Sievenpiper, J. H. Schaffner, H. J. Song, R. Y. Loo, and G. Tangonan, “Two-dimensional beam steering using an electrically tunable impedance surface,” IEEE Trans. Antennas Propag., vol. 53, no. 10, pp. 2713–2722, Oct. 2003.

1816

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

[15] O. Luukkonen, C. R. Simovski, A. V. Räisänen, and S. A. Tretyakov, “An efficient and simple analytical model for analysis of propagation properties in impedance waveguides,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1624–1632, Jul. 2008. [16] F.-R. Yang, K.-P. Ma, Y. Qian, and T. Itoh, “A novel TEM waveguide using uniplanar compact photonic-bandgap (UC-PBG) structure,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2092–2098, Nov. 1999. [17] W. Huang, A. B. Yakovlev, A. A. Kishk, A. W. Glisson, and I. A. Eshrah, “Green’s function analysis of an ideal hard surface rectangular waveguide,” Radio Sci., vol. 40, no. RS5006, Sep. 2005. [18] A. P. Feresidis and J. C. Vardaxoglou, “High gain planar antenna using optimised partially reflective surfaces,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 148, no. 6, pp. 345–350, Dec. 2001. [19] G. K. Palikaras, A. P. Feresidis, and J. C. Vardaxoglou, “Cylindrical electromagnetic bandgap structures for directive base station antennas,” IEEE Antennas Wireless Propag. Lett., vol. 3, pp. 87–89, 2004. [20] G. Lovat, P. Burghignoli, F. Capolino, and D. R. Jackson, “Bandwidth analysis of highly-directive planar radiators based on partially-reflecting surfaces,” in Proc. EuCAP, Nice, France, Oct. 2006, pp. 1–6. [21] H. Boutayeb, K. Mahdjoubi, A.-C. Tarot, and T. A. Denidni, “Directivity of an antenna embedded inside a Fabry–Perot cavity: Analysis and design,” Microw. Opt. Technol. Lett., vol. 48, no. 1, pp. 12–17, Jan. 2006. [22] A. R. Weily, T. S. Bird, and Y. J. Guo, “A reconfigurable high-gain partially reflecting surface antenna,” IEEE Trans. Antennas Propag., vol. 56, no. 11, pp. 3382–3390, Nov. 2008. [23] G. Lovat, P. Burghignoli, and D. R. Jackson, “Fundamental properties and optimization of broadside radiation from uniform leaky-wave antennas,” IEEE Trans. Antennas Propag., vol. 54, no. 5, pp. 1442–1452, May 2006. [24] S. K. Podilchak, A. P. Freundorfer, and Y. M. M. Antar, “Planar leaky-wave antenna designs offering conical-sector beam scanning and broadside radiation using surface-wave launchers,” IEEE Antennas Wireless Propag. Lett., vol. 7, pp. 155–158, 2008. [25] S. A. Tretyakov and C. R. Simovski, “Dynamic model of artificial impedance surfaces,” J. Electromagn. Waves Appl., vol. 17, no. 1, pp. 131–145, 2003. [26] S. A. Tretyakov, Analytical Modeling in Applied Electromagnetics. Boston, MA: Artech House, 2003. [27] C. R. Simovski, P. de Maagt, and I. V. Melchakova, “High-impedance surfaces having stable resonance with respect to polarization and incidence angle,” IEEE Trans. Antennas Propag., vol. 53, no. 3, pp. 908–914, Mar. 2005. [28] O. Luukkonen, C. R. Simovski, G. Granet, G. Goussetis, D. Lioubtchenko, A. Räisänen, and S. A. Tretyakov, “Simple and accurate analytical model of planar grids and high-impedance surfaces comprising metal strips or patches,” IEEE Trans. Antennas Propag., vol. 56, no. 6, pp. 1624–1632, Jun. 2008. [29] A. B. Yakovlev, O. Luukkonen, C. R. Simovski, S. A. Tretyakov, S. Paulotto, P. Baccarelli, and G. W. Hanson, “Analytical modeling of surface waves on high impedance surfaces,” in Metamaterials and Plasmonics: Fundamentals, Modeling, Applications, ser. NATO Science for Peace and Security B, Physics and Biophysics, S. Zouhdi, A. Sihvola, and A. P. Vinogradov, Eds. Dordrecht, The Netherlands: Springer, 2009, pp. 239–254. [30] F. Capolino, D. R. Jackson, and D. R. Wilton, “Fundamental properties of the field at the interface between air and a periodic atificial material excited by a line source,” IEEE Trans. Antennas Propag., vol. 53, no. 1, pp. 91–99, Jan. 2005. [31] F. Capolino, D. R. Jackson, D. R. Wilton, and L. B. Felsen, “Comparison of methods for calculating the field excited by a dipole near a 2-D periodic material,” IEEE Trans. Antennas Propag., vol. 55, no. 8, pp. 1644–1655, Jun. 2007. [32] G. Lovat, “On the use of homogeneous models for the calculation of the near field excited by 2-D sources in 1-D periodic structures,” in Proc. IEEE AP-S Int. Symp. Antennas Propag., Charleston, SC, Jun. 1–5, 2009, pp. 1–4. [33] G. Lovat, “Shielding effectiveness of one-dimensional periodic composite screens in the presence of electric line sources: Full-wave analysis and limits of homogenization,” in Proc. IEEE EMC Eur. Workshop, Athens, Greece, Jun. 11–12, 2009, pp. 1–6. [34] G. Lovat and P. Burghignoli, “Propagation and field excitation in metal-strip grating waveguides: Homogeneous model and full-wave analysis,” IEEE Antennas Wireless Propag. Lett., vol. 8, pp. 708–711, 2009.

[35] G. Lovat, “Near-field shielding effectiveness of one-dimensional periodic planar screens with two-dimensional near-field sources,” IEEE Trans. Electromagn. Compat., vol. 51, no. 8, pp. 708–719, Aug. 2009. [36] J. S. Bagby and D. P. Nyquist, “Dyadic Green’s functions for integrated electronic and optical circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 2, pp. 207–210, Feb. 1987. [37] W. C. Chew, Waves and Fields in Inhomogeneous Media. Piscataway, NJ: IEEE, 1999. [38] A. Ishimaru, Electromagnetic Wave Propagation, Radiation, and Scattering. Englewood Cliffs, NJ: Prentice-Hall, 1991. [39] P. Baccarelli, S. Paulotto, and C. Di Nallo, “Full-wave analysis of bound and leaky modes propagating along 2-D periodic printed structures with arbitrary metallisation in the unit cell,” IET Microw. Antennas Propag., vol. 1, no. 1, pp. 217–225, Jan. 2007. [40] G. Valerio, P. Baccarelli, S. Paulotto, F. Frezza, and A. Galli, “Regularization of mixed-potential layered-media Green’s functions for efficient interpolation procedures in planar periodic structures,” IEEE Trans. Antennas Propag., vol. 57, no. 1, pp. 122–134, Jan. 2009. [41] S. Paulotto, G. Lovat, P. Baccarelli, and P. Burghignoli, “Accurate Green’s function calculation for a line source exciting a 2-D periodic printed structure,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 4, pp. 181–183, Apr. 2010.

Simone Paulotto (S’97–M’07) received the Laurea degree (cum laude and honorable mention) in electronic engineering and Ph.D. degree in applied electromagnetics from “La Sapienza” University of Rome, Rome, Italy, in 2002 and 2006, respectively. In 2002, he joined the Department of Electronic Engineering, “La Sapienza” University of Rome, where he worked first as a doctoral student and then as a Postdoctoral Researcher until 2009. In 2009, he joined the Department of Electrical and Computer Engineering, University of Houston, Houston, TX, where he is currently a Research Associate. From November 2004 to April 2005, he was a Visiting Scholar with the University of Houston. His scientific interests include analysis and design of planar leaky-wave antennas, guidance and radiation phenomena in metamaterial structures, periodic structures, scattering theory, and electromagnetic characterization of materials. Dr. Paulotto was the recipient of the M. Sannino Prize for the Best Paper at the National Italian Congress of Electromagnetism (XVIth RiNEm) in 2006 and a Young Scientist Award presented at the XXIXth URSI General Assembly, Chicago, IL, in 2008.

Paolo Baccarelli (S’96–M’01) received the Laurea degree in electronic engineering and Ph.D. degree in applied electromagnetics from “La Sapienza” University of Rome, Rome, Italy, in 1996 and 2000, respectively. In 1996, he joined the Department of Electronic Engineering, “La Sapienza” University of Rome, where he has been an Associate Researcher since 2000 and a temporary Professor of electromagnetics since 2005. From April 1999 to October 1999, he was a Visiting Researcher with the University of Houston, Houston, TX. In March 2010, he won a national competition for the position of Assistant Professor at “La Sapienza” University of Rome. His scientific interests include guided-wave theory with stress on surface waves and leaky waves in anisotropic media, metamaterials, and electromagnetic bandgap structures, numerical methods for the analysis of uniform and periodic passive printed microwave structures, and analysis and design of uniform and periodic traveling wave antennas. Dr. Baccarelli was the recipient of the Giorgio Barzilai Laurea Prize (1994–1995) presented by the former IEEE Central and South Italy Section.

PAULOTTO et al.: HOMOGENIZED GREEN’S FUNCTIONS FOR APERIODIC LINE SOURCE OVER ARTIFICAL IMPEDANCE SURFACES

Paolo Burghignoli (S’97–M’01–SM’08) was born in Rome, Italy, on February 18, 1973. He received the Laurea degree (cum laude) in electronic engineering and Ph.D. degree in applied electromagnetics from “La Sapienza” University of Rome, Rome, Italy, in 1997 and 2001, respectively. In 1997, he joined the Electronic Engineering Department, “La Sapienza” University of Rome, where he is currently an Associate Researcher. From January 2004 to July 2004, he was a Visiting Research Assistant Professor with the University of Houston, Houston, TX. In March 2010, he won a national competition for the position of Assistant Professor at “La Sapienza” University of Rome. His scientific interests include analysis and design of planar leaky-wave antennas, numerical methods for the analysis of passive guiding and radiating microwave structures, periodic structures, and propagation and radiation in metamaterials. Dr. Burghignoli was the recipient of the 2003 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Graduate Fellowship, the 2005 Raj Mittra Travel Grant for Junior Researchers presented at the IEEE Antennas and Propagation Society Symposium, Washington, DC, and the 2007 Giorgio Barzilai Laurea Prize presented by the former IEEE Central and South Italy Section.

Giampiero Lovat (S’02–M’06) was born in Rome, Italy, on May 31, 1975. He received the Laurea degree (cum laude) in electronic engineering and Ph.D. degree in applied electromagnetics from “La Sapienza” University of Rome, Rome, Italy, in 2001 and 2005, respectively. In 2005, he joined the Electrical Engineering Department, “La Sapienza” University of Rome, where he is currently an Associate Researcher. From January 2004 to July 2004, he was a Visiting Scholar with the University of Houston, Houston, TX. He coauthored the book Electromagnetic Shielding (IEEE–Wiley, 2008). His present research interests include leaky-wave antennas, general theory and numerical methods for the analysis of periodic structures, complex media, and electromagnetic shielding. Dr. Lovat was the recipient of a Young Scientist Award from the 2005 URSI General Assembly, New Delhi, India.

1817

George W. Hanson (S’85–M’91–SM’98–F’09) was born in Glen Ridge, NJ, in 1963. He received the B.S.E.E. degree from Lehigh University, Bethlehem, PA, in 1986, the M.S.E.E. degree from Southern Methodist University, Dallas, TX, in 1988, and the Ph.D. degree from Michigan State University, East Lansing, in 1991. From 1986 to 1988, he was a Development Engineer with General Dynamics, Fort Worth, TX, where he worked on radar simulators. From 1988 to 1991 he was a Research and Teaching Assistant with the Department of Electrical Engineering, Michigan State University. He is currently a Professor of electrical engineering and computer science with the University of Wisconsin–Milwaukee. He coauthored Operator Theory for Electromagnetics: An Introduction (Springer, 2002) and authored Fundamentals of Nanoelectronics (Prentice-Hall, 2007). His research interests include nanoelectromagnetics, mathematical methods in electromagnetics, electromagnetic wave phenomena in layered media, integrated transmission lines, waveguides, and antennas, and leaky-wave phenomena. Dr. Hanson is a member of the URSI Commission B, Sigma Xi, and Eta Kappa Nu. He was an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION from 2002 to 2007. In 2006, he was the recipient of the S. A. Schelkunoff Best Paper Award from the IEEE Antennas and Propagation Society.

Alexander B. Yakovlev (S’94–M’97–SM’01) received the Ph.D. degree in radiophysics from the Institute of Radiophysics and Electronics, National Academy of Sciences, Kharkov, Ukraine, in 1992, and the Ph.D. degree in electrical engineering from the University of Wisconsin–Milwaukee, Milwaukee, in 1997. In 2000, he joined the Department of Electrical Engineering, The University of Mississippi, University, as an Assistant Professor and became an Associate Professor in 2004. He coauthored Operator Theory for Electromagnetics: An Introduction (Springer, 2002). From 2003 to 2006, he was an associate editor-in-chief of ACES Journal. His research interests include mathematical methods in applied electromagnetics, homogenization models for metamaterials, artificial impedance surfaces, electromagnetic bandgap structures, theory of leaky waves, and catastrophe and bifurcation theories. Dr. Yakovlev is a member of URSI Commission B. From 2005 to 2008, he was an associate editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the Young Scientist Award at the 1992 URSI International Symposium on Electromagnetic Theory, Sydney, Australia, and the Young Scientist Award at the 1996 International Symposium on Antennas and Propagation, Chiba, Japan.

1818

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

A General Procedure for Introducing Structured Nonorthogonal Discretization Grids Into High-Order Finite-Difference Time-Domain Methods Roberto B. Armenta, Member, IEEE, and Costas D. Sarris, Senior Member, IEEE Abstract—This paper describes a general procedure for introducing structured nonorthogonal discretization grids into any high-order finite-difference time-domain method originally formulated on a uniform rectangular grid. The proposed procedure employs a coordinate transformation to map a conformal grid—a grid where all cells conform to the material boundaries of the given problem—onto a uniform rectangular grid where any high-order finite-difference scheme can be easily applied. Index Terms—Finite-difference time-domain (FDTD) methods, waveguide components.

I. INTRODUCTION

T

HE MOST appealing trait of high-order finite-difference time-domain (FDTD) methods [1]–[5] is that they can deliver accurate results using discretization grids that are much coarser than those required by the traditional second-order scheme proposed by Yee [6]. However, with only a few exceptions [7], [8], most high-order FDTD methods have been formulated on a uniform rectangular (or Cartesian) grid. As a result, their applicability has been unnecessarily restricted to problems where material boundaries are rectangular. This paper demonstrates that there is a general methodology for introducing a broader class of grid topologies—structured nonorthogonal grids—into any high-order FDTD method originally formulated on a uniform rectangular grid. To obtain a procedure that is independent of any particular discretization scheme, a coordinate transformation is used to map an arbitrary nonorthogonal structured grid onto a rectangular grid with uniformly spaced cells where any high-order FDTD method can be applied. The use of coordinate transformations is a defining characteristic of structured grid generation, and the reader not familiar with how grid topologies are defined and classified is referred to [9]–[11]. The proposed approach, which exploits differential geometry in a manner akin to transformation optics [12], is flexible enough to handle any type of curved material boundary. Structured grid generation was first applied in a rigorous manner to Yee’s second-order FDTD scheme in [13]. This approach was built on earlier proposals [14]–[16], and it has since been applied to a number of waveguide problems [17]–[19]. Manuscript received September 11, 2009; revised February 03, 2010 and April 05, 2010; accepted April 06, 2010. Date of publication June 14, 2010; date of current version July 14, 2010. This work was supported by the Natural Sciences and Engineering Research Council of Canada. The authors are with the Edward S. Rogers Sr. Department of Electrical and Computer Engineering, University of Toronto, Toronto, ON, Canada M2N 3T8 (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2010.2049921

One of the key advantages of using coordinate transformations is that they allow us to introduce the geometrical features of curved material boundaries prior to the discretization of Maxwell’s equations. As a result, this approach can be applied to any existing FDTD formulation. To implement the proposed mapping, two different coordinate systems—denoted hereby and for all —must be used. The first set by is simply the Cartesian coordinate system (i.e., , and ). The second one, , is a completely arbitrary set of curvilinear coordinates. An invertible coordinate transformation for all

(1)

is created so that the coordinate surfaces—which are drawn by keeping each of the three coordinates constant one at a time—follow all of the material boundaries of the given problem in coordinates. In this way, a uniform discretization of Maxwell’s equations in the coordinate system will automatically map onto a conformal discretization in the coordinate system. The coordinate system will be sometimes referred to as the computational coordinate system since, under this approach, Maxwell’s equations are discretized and solved there. Having provided a basic sketch of the proposed idea, let us begin by expressing Maxwell’s equations in terms of the computational coordinate system. These equations are subsequently discretized using standard high-order finite differences. II. MAXWELL’S EQUATIONS EXPRESSED IN A GENERAL CURVILINEAR VECTOR BASIS To express Maxwell’s equations in computational coordinates, it is first necessary to define a set of basis vectors and a suitable metric tensor. Thus, as a first step, let us define two sets of basis vectors directly from (1). A. Definition of the Basis Vectors and the Metric Tensor The first set of basis vectors is defined by , and (or ) stands for the -th where Cartesian unit vector. The vector is known as the -th covariant basis vector and its Cartesian components are . The second set, denoted by , is known as the contravariant set, and the Cartesian components of the -th contravariant vector are . The geometrical role of the covariant and contravariant basis vectors is illustrated in Fig. 1. The -th covariant basis vector is tangential to the coordinate line—that is, the line traced by varying while keeping and constant—while the -th contravariant basis vector is normal to the coordinate surface. From the basis

0018-9480/$26.00 © 2010 IEEE

ARMENTA AND SARRIS: PROCEDURE FOR INTRODUCING STRUCTURED NONORTHOGONAL DISCRETIZATION GRIDS INTO HIGH-ORDER FDTD METHODS 1819

. The set of indices must be cycled where through the set in order to obtain each of the three components of the two curl equations. All quantities are assumed to be explicit functions of . As a second step, let us carry out the projection of the constitutive relations. Multiplying (6) and (7) yields with Fig. 1. Diagram of the covariant (g ) and contravariant (g ) basis vectors in 3-D space. indices fi; j; k g must be cycled through the set f1; 2; 3g in order to visualize all the possible covariant-contravariant combinations.

vectors, a metric tensor can be defined. Such tensor can be defined in either a covariant or a contravariant form. In covariant form, the metric tensor components are (2) whereas, in contravariant form, the metric components are (3) Observe that the metric tensor is symmetric ( and ), so it only contains six independent components. To avoid any potential confusion, a summary of the tensorial notational conventions used throughout this manuscript is given in Appendix A. With the necessary basis vector and metric definitions in place, let us carry on and project Maxwell’s equations using the contravariant and covariant basis vectors. To facilitate the introduction of material properties, a mixed covariant–contravariant representation was chosen. B. Maxwell’s Equations Projected Onto the Basis Vectors For our purposes, Maxwell’s equations are given by (4) (5) (6) (7) where , and introduce electric losses, , and introduce magnetic losses, and and represent the permeability and permittivity tensors of the material. Multiple loss tensors were included so that a perfectly matched layered (PML) absorber [20] can be introduced. By convention, Greek letters (all in italics) are used to represent second-rank material tensors whereas Latin letters are used to represent electric and magnetic field vectors. As a first step, let us carry out the projection of the curl equations. Multiplying (4) and (5) by leads to the component equations

(10)

(11) where, as before, all quantities are assumed to be explicit functions of . So far, the material in question has been assumed to be linear and nondispersive, but it can still be lossy, inhomogenous, and anisotropic. Under the proposed numerical procedure, (8)–(11) must be discretized using high-order finite differences; nevertheless, before their discretization is performed, let us elaborate on two important issues regarding the material tensors. C. Material Tensors for a Simple Material First, to avoid ending up with results that are difficult to check and interpret from a physical point of view, a lossless isotropic material with inhomogeneous and is henceforth assumed. While this simplification facilitates the demonstration of the proposed procedure, keep in mind that lossy and anisotropic materials can be handled without any additional complications. Introducing anisotropic materials is simply a matter of choosing appropriate definitions of and while electric and magnetic losses can be added by making and nonzero outside of the absorber regions. Since only lossless materials are thus considered, the six loss tensors in (8)–(11) are used only for the purposes of introducing absorber losses. In such case, the electric loss tensors take the form (12) (13) (14) where the electric conductivity function , which is nonzero only in the regions of the absorber, introduces attenuation along the coordinate direction. Similarly, the magnetic loss tensors are of the form (15) (16) (17) where the magnetic conductivity introduces attenuation along the coordinate direction. For the absorber to be matched, the conductivities must satisfy (18)

(8) (9)

Guidelines for the selection of suitable conductivity profiles can be found in [20]. Second, the vocabulary used to describe the physical properties of materials is often inadvertently referenced to a Cartesian

1820

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

coordinate system. For this reason, care must be exercised when physical interpretations are assigned to material properties. (19) where is the metric tensor. In a covariant vector basis, the components of these two tensors are

(28)

(20) If the mapping in (1) is to remain arbitrary, the entries of can have nonzero off-diagonal components. This does not imply that the material in question is anisotropic. The expressions in (20) simply state that, in a vector basis that is not Cartesian, the material tensors may have nonzero off-diagonal entries. Having introduced material tensor definitions for the assumed material properties, the next step is to discretize (8)–(11) using standard high-order finite differences.

(29)

III. DISCRETIZATION OF MAXWELL’S EQUATIONS To facilitate the visualization of coordinate transformations and computed results, only 2-D structures are analyzed here. This does not represent any inherent limitation on the proposed procedure and all the concepts discussed hereby also apply to 3-D. Thus, let us start the discretization process by carrying out the 2-D reduction of (8)–(11). Assuming that , and that nothing varies along the axis direction, the field components split into two independent sets. The first one is known as the set and it contains , and . The second one is known as the set and it contains the remaining field components. For conciseness, only the set is used here. For this set, (8)–(11) become (30) (21) (22) (31) (23)

(24) (25)

(26) Discretizing (21)–(26) using second-order accurate finite differences in time and standard high-order finite differences in space leads to the following time-stepping equations:

(32) (27)

ARMENTA AND SARRIS: PROCEDURE FOR INTRODUCING STRUCTURED NONORTHOGONAL DISCRETIZATION GRIDS INTO HIGH-ORDER FDTD METHODS 1821

Fig. 2. Diagram of a 2-D waveguide with a circular dielectric placed inside. This type of configuration is often used in waveguide filters.

TABLE I STANDARD FINITE-DIFFERENCE COEFFICIENTS

A. Mapping for Gridding a Circular Dielectric To avoid lengthy equations, let us split the coordinate transformation into three separate mappings: , and . The first of these coordinate transformations is given by for

(33)

where represents the position of the center of the circular axis (see Fig. 2) and represents the dielectric along the side length of a square containing a circular grid deformation. The first equation of the second mapping is given by

An overbar over and indicates the normalizations and , whereas an overbar over and indicates the normalizations and . The weighting coefficients and that appear in the finite-difference sums are given in Table I for the first four values of the stencil length . These coefficients were obtained by the method of undetermined coefficients described in [21]. The order of accuracy of the local truncation error of the finite-difference sums is ; these sums are said . With a discretization of the to be of high-order only if set now available, the 2-D waveguide in Fig. 2 will be used to illustrate how (27)–(32) can be used—together with a suitable coordinate transformation—to incorporate a conformal nonorthognal structured grid. The structure in Fig. 2 is a 2-D reduction of a rectangular waveguide loaded with a cylindrical dielectric. This type of structure is often used as a unit cell in waveguide filters and it was previously analyzed numerically in [22]. To gain a better understanding of the properties of the proposed method, a slight variation of this problem where the circular dielectric is replaced by a deformed square will also be considered. In both cases, the structure is excited by injecting an 80-GHz Gaussian pulse with a uniform wavefront at the left end of the structure.

(34a)

(34b) (34c)

(34d)

(34e) where is given in Fig. 2. The second equation of the second mapping is given by

IV. INTRODUCING COORDINATE TRANSFORMATIONS As stated earlier, a coordinate transformation must be constructed so that the coordinate surfaces—or, in the 2-D case, the coordinate lines—trace all the material boundaries of interest in coordinates. Coordinate mappings that satisfy this requirement for the two problems under consideration are presented next. First, let us consider a mapping for the case of the circular dielectric inclusion depicted in Fig. 2.

(35)

1822

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

B. Mapping for Gridding a Deformed Square With (33)–(36) now in place, the next step is to consider a coordinate transformation for the case where a dielectric with a deformed square shape is placed inside the waveguide. Such a shape could be introduced by using (37a)

(37b) (38) Fig. 3. Coordinate line plots (u lines in black and u lines in gray) for the 0.8 cm. The lines are plotted coordinate transformation in (33)–(36) with ` using the: (a) computational and (b) Cartesian coordinate systems.

=

where and were defined earlier in Fig. 2 and is a parameter that determines the deformation of the dielectric object. The coordinate transformation presented earlier in (33)–(36) maps a square of side length in computational coordinates to a circle of diameter in Cartesian coordinates. The coordinate transformation given in (37) and (38) maps a square of side length in computational coordinates to a deformed square in Cartesian , no deforcoordinates as illustrated in Fig. 4. Note that, if mation is introduced at all; however, as becomes greater than zero, the dielectric material inside the waveguide gets increasingly deformed and the coordinate transformation becomes increasingly nonorthogonal. The two coordinate transformations defined in (33)–(34) are nonorthogonal, and, for completeness, a precise definition of orthogonality is given in Appendix B. Having defined suitable coordinate transformations, (27)–(32) can now be solved assuming that the problem’s geometry is defined by the two coordinate transformations in (33)–(38). But, before any results are presented, let us briefly discuss the issue of how coordinate transformations are generated for more complex waveguide geometries. C. Mappings for Gridding Other Geometries

Fig. 4. Coordinate line plots for the coordinate transformation in (37) and (38) : . The lines are plotted using the: (a) computational and (b) Cartewith sian coordinate systems.

= 0 16

Equations (34) and (35) are based on the coordinate transformation put forward in [23] with improvements to and . Lastly, the third mapping is given by

for

(36)

Coordinate line plots for the overall transformation are given in Fig. 3. The area covered by the circular dielectric has been shaded in both plots of Fig. 3. Observe that the boundaries of the circular dielectric are indeed traced by the coordinate lines. Furthermore, the area of the dielectric gets mapped from a circle in Cartesian coordinates to a square in computational coordinates. Thanks to this property of the mapping, the boundary conditions of the dielectric can be enforced in computational coordinates [24].

For the two relatively simple problem geometries considered here, it is easy to generate suitable coordinate transformations analytically. Examples of other 2-D coordinate transformations that can be used to model a waveguide bend and a joint between two waveguides of different widths are given in Figs. 5 and 6, respectively. The equations that define these mappings are given in Appendix B. When dealing with complex designs, one has to resort to general purpose structured grid generation algorithms such as those described in [9]–[11]. To show that these techniques are not limited to simple geometries, consider the more complex 2-D waveguide structure shown in Fig. 7. This structure is a 2-D simplification of a substrate integrated waveguide joint that connects two waveguide sections of different widths. Note that, in substrate integrated waveguide technology, closely spaced cylindrical holes filled with solder are used to create the sidewalls of the waveguides. A coordinate transformation to grid this structure was generated numerically using a commercial structured grid generation software package [25]. Coordinate line plots of the transformation are given in Figs. 8 and 9. When (1) is defined by a discrete set of points, keep in mind that

ARMENTA AND SARRIS: PROCEDURE FOR INTRODUCING STRUCTURED NONORTHOGONAL DISCRETIZATION GRIDS INTO HIGH-ORDER FDTD METHODS 1823

As a result, established techniques, such as those used in [25], can be exploited to solve the geometrical part of the problem. V. SOLVING THE DISCRETE EQUATIONS

Fig. 5. Coordinate line plots for the coordinate transformation in (61) and (62) 1 cm, r 1 cm, ' 112.5 , and ` 3 cm. The same lines are with w plotted using the: (a) computational and (b) Cartesian coordinate systems.

=

=

=

=

high-order finite differences must be used to interpolate the positions of the grid points for different grid densities and to evaluate the partial derivatives in (3) and (4) at the required points. One of the advantages of introducing grids through coordinate

Now, going back to the issue of solving (27)–(32) for the two waveguide geometries under consideration, four different grids were generated using each of the two coordinate transformations in (33)–(38). The parameters that define each gridding are given in Table II. For all four grids, results were obtained using second-order , fourth-order , as well as sixth-order accurate finite differences. The corresponding execution times are given in Table III. The execution times are the same for both coordinate transformations since the number of grid cells is the same in both cases. Snapshots of the computed results are given in Figs. 10 and 11. The coordinate transformation parameters were selected as follows: and for the mapping in (37) and (38), were used, and, for the mapping in (33)–(36), 0.8 cm was used. Note that, prior to the time stepping, the excitation must be mapped from Cartesian coordinates to computational coordinates. Similarly, once the time stepping is completed, the obtained results must be mapped from computational coordinates to Cartesian coordinates. These mappings can be accomplished using the formulas described in Appendix A. The impact of using second-, fourth-, or sixth-order finite differences will be discussed shortly, but, before doing so, let us briefly outline how errors were defined and measured. A. Local Truncation Error and the Global Error In the FDTD literature, two types of errors are usually mentioned: the local truncation error and the global error. The local truncation error refers to the error introduced by interpolating a function or estimating its derivative based on a discrete sample of its values. For instance, one of the two approximations applied to (25) was derived from (39) The local truncation error refers to the error introduced by dropping the error term on the right hand side, and its order of accuracy is . Observe that, as becomes larger, the approximation converges faster to the true value of the derivative as becomes smaller. The global error, on the other hand, refers to the difference between the obtained numerical solution and its exact value . As a measure of the global error, an estimate of the global error norm (40)

Fig. 6. Coordinate line plots for the coordinate transformation in (63) and (64) with w 1 cm, 2/5, 3, and ` 4 cm. The same lines are plotted using the: (a) computational and (b) Cartesian coordinate systems.

=

=

=

=

transformations is that it decouples the geometrical portion of the problem—that is, generating (1) for a particular device geometry—from the problem of discretizing Maxwell’s equations.

is usually provided. The above -norm can be estimated from a given numerical solution by carrying out the integrals numerically. Estimates of the 2-norm of the global error for all the simulations outlined in Tables II were obtained and are given in Figs. 12–14. To compute these error results, the dielectric materials had to be removed (i.e., was set to unity everywhere) while keeping the coordinate transformations in place. In this

1824

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 7. Diagram of a 2-D waveguide joint used to connect two waveguide sections of different widths. The sidewalls of the waveguide are created by closely spaced circles of a perfectly conducting material. This structure is a 2-D simplification of a substrate integrated waveguide joint where closely spaced cylindrical holes filled with solder are used to create the sidewalls of the waveguides.

Fig. 8. Coordinate line plots in Cartesian coordinates of a 2-D block structured coordinate transformation constructed to model the waveguide joint described in Fig. 7. The mapping was generated using a general-purpose block-structured grid generation software [25].

B. Convergence Properties of the Proposed Method

Fig. 9. Detailed view of the coordinate transformation in Fig. 8 for the area surrounding one of the circular conductors that make up the walls.

way, the effects of the grid deformations can be captured in a situation where the exact solution is known.

When finite differences with a local truncation error of order are applied to a uniform rectangular grid, it is expected that , where and . If nonorthogonal grids are used, the order of accuracy of the global error norm , can be considerably lower than ; nevertheless, it is still possible to make computational efficiency gains by exploiting the convergence properties of high-order finite differences. The grid parameters given in Table II were chosen so that this point could be illustrated. The slope of the lines given in the plots on Figs. 12–14 provide a measure of for a given value of . Let us begin by looking at the results for the mapping in (37) and (38) with , which are given in Fig. 12. These correspond to the scenario depicted in Fig. 4. A linear fit to the data shows that the slopes are 1.41 for the second-order case and 3.40 for the fourth-order case . For the sixth-order case , a linear fit of the slope was not made because the two leftmost data points lie on the threshold at which making the grid finer will no longer produce a drop

ARMENTA AND SARRIS: PROCEDURE FOR INTRODUCING STRUCTURED NONORTHOGONAL DISCRETIZATION GRIDS INTO HIGH-ORDER FDTD METHODS 1825

TABLE II GRID PARAMETERS

TABLE III EXECUTION TIMES

Fig. 10. Results for the 2-D waveguide with a circular dielectric inside: snapfor Grid #4 in Table II with 0.8 cm and 1 taken at: (a) shots of = 51.99 ps; (b) = 90.99 ps; and (c) = 129.99 ps.

t

H

` = t

t

L=

Fig. 12. Error results for the coordinate transformation in (37) and (38) obtained using the grid parameters in Table II with = 0 16.



Fig. 11. Results for the 2-D waveguide with a deformed square dielectric inside: snapshots of for Grid #4 in Table II with = 0.5 cm, = 0.16, and = 2 taken at: (a) = 51.99 ps; (b) = 90.99 ps; and (c) = 129.99 ps.

L

H t

t

d

t



in the global error norm. If needed, this threshold can be lowered by reducing . Observe that the coarsest grid (Grid #1 in Table II) with sixth-order accurate finite differences produces more accurate results than the finest grid (Grid #4 in Table II) with second-order accurate finite differences, yet the coarsest grid with sixth-order finite differences has a lower execution time than the finest grid with second-order finite differences.

:

This demonstrates that the computational efficiency gains normally associated with the use of high-order finite differences on uniform grids can also be obtained on nonorthogonal grids. Unfortunately, the use of high-order finite differences does not always lead to an improved global error behavior. The results for the mapping in (33)–(36), which are given in Fig. 13, illustrate this point. For this particular coordinate transformation, increasing does not help at all. So, under what conditions can the convergence properties of the global error be improved by using high-order finite differences? In general, to obtain a global error with an order of accuracy that increases by increasing the local truncation error of the finite differences employed, two conditions must be met. The coordinate transformation must be constructed so that: 1) the metric tensor components are piecewise continuous; 2) any existing discontinuities must not cut through the interior of the grid cells. The metric components produced by (37) and (38) are continuous everywhere, but the metric components produced by (33)–(36) contain a discontinuity that cuts diagonally through the grid cells at the points where the coordinate lines have a sudden change in direction (see Fig. 3). For this reason, the results shown in Fig. 13 do not show an improved global error

1826

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 13. Error results for the coordinate transformation in (33)–(36) obtained 0.8 cm. using the grid parameters in Table II with `

=

Fig. 14. Error results for the coordinate transformation in (37) and (38) obtained using the grid parameters in Table II with 0:25.

=

behaviour as is increased. To obtain a global error norm that decreases as is increased, it would be necessary to introduce significant modifications to the presented methodology. C. Role of Grid Orthogonality To observe the effect that grid orthogonality plays in the convergence properties of the global error, let us now turn our attention to the results for the mapping in (37) and (38) with , which are given in Fig. 14. As stated earlier, as is increased, the coordinate transformation in (37) and (38) becomes increasingly nonorthogonal. A linear fit to the data shows that the slopes are 0.98 for the second-order case , 2.84 for the fourth-order case , and 4.01 for the sixth-order case . If these results are compared to those in Fig. 12, it is easy to see that by making the coordinate transformation increasingly nonorthogonal the order of accuracy of the global error decreases for a given value of . This effect suggests that the use of high-order finite differences should be strongly considered when highly nonorthogonal grids are employed since can be very low for the second order algorithm in such cases. VI. STABILITY CONSIDERATIONS As a final consideration, let us briefly discuss the stability properties of the proposed nonorthogonal gridding method. When (27)–(32) are leapfrogged in time, the time step must be small enough for the algorithm to be stable. A sufficient condition for stability is given by

(41) This upper bound was derived assuming that the computational domain is infinite; however, as long as the chosen grid is not awkwardly deformed in the absorber regions, the upper bound in (41) guarantees that the proposed algorithm is stable. In the past, when the use of nonorthogonal structured grids has been explored, it has been pointed out that late-time instabilities can occur. To prevent this problem it was noted earlier that “ in the practical implementation it must be ensured that the metric are evaluexpressions as well as the material coefficients ated in a symmetrical way” [26]. The proposed method introduces symmetric material tensors which, as shown in (30) and (32), are evaluated symmetrically on the grid cells. Therefore, by following the exact same argument put forward in [26], the nonorthogonal gridding procedure proposed here can be shown to always be stable. VII. CONCLUSION A general method for introducing structured nonorthogonal grids into high-order FDTD schemes for solving Maxwell’s equations has been presented. It was demonstrated that the proposed method can significantly improve the global error convergence if the appropriate metric continuity conditions are satisfied. In addition, it was shown that all the geometrical information of a given problem can be introduced—through a coordinate transformation—prior to the discretization of Maxwell’s equations. As result, the proposed procedure can be applied to any high-order FDTD method originally formulated on a uniform Cartesian grid. For the relatively simple geometries analyzed here, it is was possible to find suitable coordinate transformations analytically; however, for more complex geometries, one has to resort to general purpose algorithms such as those described in [9]–[11]. While many structured [14]–[16] grid generation strategies have been proposed in the past, only the rigorous use of differential geometry proposed in [13] leads to an algorithm with a global error whose order of accuracy increases as the stencil size of the finite differences employed is increased.

APPENDIX A SUMMARY OF THE EMPLOYED TENSORIAL NOTATION Here, all of the notational conventions employed in this manuscript to express vector and second-rank tensor components are described. For completeness, the equations that relate all the different representations are provided. For a primer on differential geometry, the reader is referred to [27].

ARMENTA AND SARRIS: PROCEDURE FOR INTRODUCING STRUCTURED NONORTHOGONAL DISCRETIZATION GRIDS INTO HIGH-ORDER FDTD METHODS 1827

A. Vector Components Expressed in a Curvilinear Basis Any vector field may be expressed in either a covariant or a contravariant basis. To avoid confusion among different field quantities, lowercase letters are used to express vector components in terms of a general curvilinear vector basis whereas uppercase letters are used to express vector components in terms of a Cartesian basis. Using this convention, the covariant and contravariant components of in a general curvilinear vector basis are given, respectively, by

, and are arbitrary vectors. A second-rank tensor where basis can be created from any of the four possible tensor product combinations of and . Therefore, any second-rank tensor has four possible representations

(48)

(42) Similarly, the components of given by

in a Cartesian vector basis are (43)

For further clarity, subscripts are always used to identify covariant components and superscripts are used to identify contravariant components. Note that, while the placement of indices and ), is immaterial in a Cartesian basis (i.e., this is not the case in a general curvilinear basis (i.e., and ). In fact, to convert vector components from a covariant to a contravariant basis and viceversa, the following relations must be employed:

is referred to as the -th coIn the above, variant component and is the -th contravariant component of . Unlike vectors, second rank tensors can have and mixed covariant–contravariant representations . As before, lowercase letters are used to express tensor components in terms of a general curvilinear basis while uppercase letters are used to express tensor components in a Cartesian basis. For further clarity, Greek letters (all in italics) are always used to represent second rank tensors while Latin letters are used to represent vectors. To express material properties, it is necessary to know how to map the components of from a general curvilinear basis to a Cartesian basis and viceversa. So, as a second step, let us describe how to accomplish these mappings. For covariant and contravariant representations, the forward mapping is accomplished by

(44) Observe that the symmetry of the metric tensor allows us to swap indices arbitrarily in the above two relations. To employ the proposed method, it is necessary to know how to convert vector components from a Cartesian basis representation to a general curvilinear basis representation and viceversa. The forward mapping can be accomplished by using (45) while the reverse mapping can be accomplished by using

(49)

(50) while the reverse mapping is accomplished by

(51)

(52) (46)

The same notational conventions described here for vectors can be easily extended to second rank tensors. Such extension, as well as the second-rank equivalents of (44)–(46), are discussed next.

When a mixed covariant and contravariant representation is used, the partial derivatives must be flipped accordingly in order to obtain the appropriate forward and reverse mappings, given as (53)

B. Tensor Components Expressed in a Curvilinear Basis As a first step, let us look at how second-rank tensors are projected onto a covariant or contravariant vector basis. To create a second-rank basis from the covariant or contravariant basis vectors, it is necessary to use the dyadic product between two vectors. This product, whose end result is a second-rank tensor, is denoted by the symbol and it is defined by the properties

(54)

(55)

(56) (47)

1828

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

for

As a third step, let us show how the metric tensor can be used to switch back and forth between covariant and contravariant representations. This operation is also known as the raising and lowering of indices. All four possible component representations of are related to each other according to

(57)

for

in (62)

for

(58) Finally, for (57) and (58) to be consistent, it is required that

(59) where is the Kronecker delta symbol. This can be proved from (2) and (3) by using the chain rule. For convenience, it is assumed that the location of the indices in the Kronecker delta symbol is unimportant (i.e., ).

In the above, is the width of the waveguide, is the radius of curvature of the bend, is the bending angle, and is the distance from the beginning of the waveguide to the beginning of the bend. A diagram illustrating these definitions was provided earlier in [29]. Coordinate line plots for this transformation are given in Fig. 5, and, as expected, the coordinate lines always intersect at right angles. An example of a nonorthogonal coordinate transformation that can be used to model a joint between two waveguide sections of different widths is given by (63) (64)

APPENDIX B DEFINITION OF ORTHOGONALITY Here, a definition of orthogonality—a term used throughout this manuscript to describe coordinate transformations—is given. A coordinate transformation and its associated grid is said to be orthogonal if the basis vectors remain perpendicular to each other in both the Cartesian and the computational reference frames. Using (2) and (3), this condition can be stated concisely as

or

REFERENCES

for all

(60) When coordinate line plots (such as those in Figs. 3–6) are available, it is very easy to identify whether or not a coordinate transformation is orthogonal. Consider the covariant basis vectors and . Since these two vectors are tangential to the and coordinate lines, respectively, then the condition in (60) implies that the coordinate lines always intersect the coordinate lines at 90 angles. An example of an orthogonal coordinate transformation that can be used to model a waveguide bend is [28]

for for (61) for

where is the width of the waveguide, is a unitless factor that determines the change in width, is a unitless factor that determines the length of the joint and is the length of the waveguide. Coordinate line plots for this transformation are given in Fig. 6, and, as expected, the coordinate lines do not always intersect at right angles. As pointed out in Section V, the closer a coordinate transformation comes to being orthogonal, the better the convergence properties of the proposed method tend to be.

[1] D. W. Zingg, H. Lomax, and H. Jurgens, “High-accuracy finite-difference schemes for linear wave propagation,” SIAM J. Sci. Comput., vol. 17, pp. 328–346, Mar. 1996. [2] M. Krumpholz and L. P. B. Katehi, “MRTD: New time-domain schemes based on multiresolution analysis,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 2, pp. 555–571, Apr. 1996. [3] Q. H. Liu, “The pseudospectral time-domain (PSTD) method: A new algorithm for solutions of Maxwell’s equations,” in Proc. IEEE Antennas Propag. Soc. Int. Symp., Jul. 1997, pp. 122–125. [4] T. Dogaru and L. Carin, “Multiresolution time-domain using CDF biorthogonal wavelets,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 902–912, May 2001. [5] M. Fujii and W. J. R. Hoefer, “A wavelet formulation of the finite-difference method: Full-vector analysis of optical waveguide junctions,” IEEE J. Quantum Electron., vol. 37, no. 8, pp. 1015–1029, Aug. 2001. [6] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propagat., vol. AP-14, no. 3, pp. 302–307, May 1966. [7] S. Zhao, “High order vectorial analysis of waveguides with curved dielectric interfaces,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 5, pp. 266–268, May 2009. [8] N. V. Kantartzis and T. D. Tsiboukis, High Order FDTD Schemes for Waveguide and Antenna Structures, 1st ed. San Rafael, CA: Morgan and Claypool, 2006, ch. 3. [9] V. D. Liseikin, A Computational Differential Geometry Approach to Grid Generation. New York: Springer, 2004.

ARMENTA AND SARRIS: PROCEDURE FOR INTRODUCING STRUCTURED NONORTHOGONAL DISCRETIZATION GRIDS INTO HIGH-ORDER FDTD METHODS 1829

[10] M. Farrashkhalvat and J. P. Miles, Basic Structured Grid Generation. New York: Butterworth–Heinemann, 2002. [11] , J. F. Thompson, B. K. Soni, and N. P. Weatherill, Eds., Handbook of Grid Generation. Boca Raton, FL: CRC, 1999. [12] A. Greenleaf, Y. Kurylev, M. Lassas, and G. Uhlmann, “Cloaking devices, electromagnetic wormholes, and transformation optics,” SIAM Rev., vol. 51, no. 1, pp. 3–33, Mar. 2009. [13] A. J. Ward and J. B. Pendry, “Calculating photonic Green’s functions using a nonorthogonal finite-difference time-domain method,” Phys. Rev. B, Condens. Matter, vol. 58, no. 11, pp. 7252–7259, Sep. 1998. [14] R. Holland, “Finite-difference solution of Maxwell’s equations in generalized nonorthogonal coordinates,” IEEE Trans. Nucl. Sci., vol. NS-30, no. 6, pp. 4589–4591, Dec. 1983. [15] M. Fusco, “FDTD algorithm in curvilinear coordinates,” IEEE Trans. Antennas Propag., vol. 38, no. 1, pp. 76–88, Jan. 1990. [16] J. F. Lee, R. Palandech, and R. Mittra, “Modeling three-dimensional discontinuities in waveguides using nonorthogonal FDTD algorithm,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 346–352, Feb. 1992. [17] J. A. Russer, P. S. Sumant, and A. C. Cangellaris, “A Lagrangian approach for the handling of curved boundaries in the finite-difference time-domain method,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 717–720. [18] D. M. Shyroki, “Efficient Cartesian-grid-based modeling of rotationally symmetric bodies,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1132–1138, Jun. 2007. [19] J. L. Wilson, C. Wang, A. E. Fathy, and Y. W. Kang, “Analysis of rapidly twisted hollow waveguides,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 130–139, Jan. 2009. [20] S. D. Gedney, “An anisotropic perfectly matched layer-absorbing medium for the truncation of FDTD lattices,” IEEE Trans. Antennas Propag., vol. 44, no. 12, pp. 1630–1639, Dec. 1996. [21] R. J. Leveque, Finite Difference Methods for Ordinary Partial Differential Equations. Philadelphia, PA: SIAM, 2007, ch. 1. [22] G. Gesche and N. Lochel, “Scattering by a lossy dielectric cylinder in a rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 1, pp. 137–144, Jan. 1988. [23] D. A. Calhoun, C. Helzel, and R. J. Leveque, “Logically rectangular grids and finite volume methods for PDEs in circular and spherical domains,” SIAM Rev., vol. 50, no. 4, pp. 723–752, Dec. 2008. [24] S. Zhao and G. W. Wei, “High-order FDTD methods via derivative matching for Maxwell’s equations with material interfaces,” J. Comput. Phys., vol. 200, pp. 60–103, Apr. 2004. [25] “GridPro2D,” Program Development Corp., White Plains, NY, 2010. [Online]. Available: http://www.gridpro.com [26] R. Schuhmann and T. Weiland, “A stable interpolation technique for FDTD on non-orthogonal grids,” Intl. J. Numer. Model., vol. 11, no. 6, pp. 299–306, Nov. 1998. [27] M. Itskov, Tensor Algebra and Tensor Analysis for Engineers. New York: Springer, 2007. [28] B. Donderici and F. L. Teixeira, “Metamaterial blueprints for reflectionless waveguide bends,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 4, pp. 233–235, Apr. 2008. [29] R. B. Armenta and C. D. Sarris, “A general methodology for introducing structured nonorthogonal grids into high-order finite-difference time-domain methods,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 257–260.

Roberto B. Armenta (M’06) received the B.Eng. degree (with distinction) from the University of Victoria, Victoria, BC, Canada, in 2005, and the M.A.Sc. degree from the University of Toronto, Toronto, ON, Canada, in 2007, both in electrical engineering, and is currently working toward the Ph.D. degree in electrical engineering at the University of Toronto. From 2004 to 2005, he was an Intern with TRIUMF, where he was engaged in the design of electric and magnetic kickers used for steering particle beams. His current research interests include electromagnetic theory and numerical techniques for solving Maxwell’s equations at microwave and optical frequencies. Mr. Armenta was the recipient of the Alexander Graham Bell Canada Graduate Scholarship for doctoral studies from the Natural Sciences and Engineering Research Council of Canada (NSERC) in 2008. Costas D. Sarris (M’02–SM’08) received the Ph.D. degree in electrical engineering and M.Sc. degree in applied mathematics from the University of Michigan at Ann Arbor, in 2002. He is currently an Associate Professor and the Eugene V. Polistuk Chair in Electromagnetic Design with the Edward S. Rogers Sr. Department of Electrical and Computer Engineering, University of Toronto, Toronto, ON, Canada. From 2009 to 2010, he is also a Visiting Associate Professor with the Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign. His research interests are in the area of numerical electromagnetics, with emphasis in high-order, multiscale/multi-physics computational methods. He is involved with basic research in novel numerical techniques, as well as applications of time-domain analysis to wireless channel modeling, wave-propagation in complex media and meta-materials, electromagnetic compatibility/interference (EMI/EMC) problems, and modeling under uncertainty. Prof. Sarris served as an associate editor for the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS (2007–2009), a Technical Program Committee cochair for the 2010 IEEE International Symposium on Antennas and Propagation, and the guest editor for the IEEE Microwave Magazine Special Issue on Time-Domain Methods for Microwave CAD (April 2010). He is the chair of the Sub-Committee on Time-Domain Methods of the Technical Program Committee of the IEEE MTT-S International Microwave Symposium and an Associate Editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the Early Researcher Award from the Ontario Government in 2007 and the Gordon R. Slemon (teaching of design) award from the Edward S. Rogers Sr. Department of Electrical and Computer Engineering, University of Toronto. He was the corecipient of Student Paper Awards at the 2001 and 2009 IEEE Microwave Theory and Techniquest Society (MTT-S) International Microwave Symposium and the 2008 Applied Computational Electromagnetics Conference.

1830

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

An Efficient Algebraic Method for the Passivity Enforcement of Macromodels Song Gao, Yu-Shan Li, and Mu-Shui Zhang

Abstract—In this paper, an efficient algebraic method for the passivity enforcement of macromodels is presented. The method is based on quadratic programming with equality constraint. The differences between equality constraint and conventional inequality constraint are discussed. Compared with the general quadratic programming-based method, where the passivity violations are compensated via numerical optimization, the presented method is based on the solution of sparse linear equations. With the special sparse structure of macromodels, the passivity compensation is equivalent to the solution of some small size linear equations. This gives large savings for CPU time and memory requirement. Several examples show that the presented method yields accurate passive macromodels in a limited simulation time. Index Terms—Hamiltonian matrices, Lagrange multipliers, macromodels, passivity, quadratic programming.

I. INTRODUCTION

V

ECTOR fitting has become a standard approach for robust and accurate macromodeling of passive systems from tabulated data [1]. A major difficulty is that the computed macromodels are often nonpassive, which may lead to unstable transient simulations in an unpredictable manner [2]. Several techniques have recently been considered to address this issue: convex optimization [3]–[5], quadratic programming [6]–[11], the Hamiltonian-based method [14]–[16], and some others [12], [13], [17]–[20]. A comparative study on several passivity enforcement schemes was presented in [21]. Typically, a quadratic programming-based method is realized by the iterative passivity enforcement at discrete frequencies. The Hamiltonian-based method was achieved by the first-order iterative perturbation of the associated Hamiltonian matrix. It often requires more iterations [21], [25]. Numerical optimization was used in the general quadratic programming-based method. A major difficulty of this method is that commonly available numerical optimization solvers can not utilize the problem sparsity, leading to demanding computations. Although some fast algorithms have been developed [8], [10], their efficiency is

Manuscript received December 20, 2009; revised March 10, 2010; accepted April 03, 2010. Date of publication June 14, 2010; date of current version July 14, 2010. This work was supported in part by the National Natural Science Foundation of China under Grant 60672027 and Grant 60871072 and by the Doctoral Program Foundation of the Ministry of Education under Grant 20050701002. S. Gao and Y.-S. Li are with the Institute of Electronic ComputerAided Design, Xidian University, 710071 Xi’an, Shanxi, China (e-mail: [email protected]; [email protected]). M.-S. Zhang is with the Department of Electronic and Ccommunication Engineering, Sun Yat-Sen University, GuangZhou 510006, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2049925

achieved by reducing the number of free variables in optimization, so the accuracy will decrease to a certain extent. A fast linear matrix inequality-based method was also proposed in[13]. This method perturbs the model directly via linear matrix inequality to give a minimal change to the impulse energy of the model. It is well known that minimizing the criterion of energy change will lead to a worse model behavior [9], [10], [21]. In this sense, a criterion for minimizing the change to the model in the least squares sense is more preferable. Previously, these methods were realized via numerical optimization [6]–[10]. The main drawback of these methods is that they depend on optimization procedures, which are often numerically expensive or possibly nonconvergent. An algebraic method based on this criterion is highly desirable. This paper introduces an efficient algebraic method for the passivity enforcement, which is based on quadratic programming with equality constraint. The main benefit of this approach is that the passivity compensation is equivalent to solving some small-size linear equations. The method fits the raw data in the least squares sense. Using our method, the CPU time and memory requirement is greatly improved by just using the conventional MATLAB toolboxes. Only the scattering case is considered in this paper, and the generalization to hybrid cases is straightforward. II. PRELIMINARY AND NOTATIONS denote a general scalar, Throughout this paper, , , and vector (lowercase and boldface), and matrix (uppercase and and are used for boldface), respectively. Superscripts transpose and conjugate transpose, respectively. is the identity matrix. is the vector with the same size as , and all elements are 1. Operator denotes element-wise division. Furthermore, is the condition number of in spectral norm [28], [38], [39]. A. Quadratic Programming 1) General Quadratic Programming: All optimization problems considered in this paper are real. The general quadratic programming can be stated as

(1) , , , , and . The detailed solution of the general quadratic programming is beyond the scope of this paper; for more details, see [34]. The general optimization solver [30] can solve (1) efficiently, but only a few solvers [31] can utilize where

0018-9480/$26.00 © 2010 IEEE

GAO et al.: EFFICIENT ALGEBRAIC METHOD FOR PASSIVITY ENFORCEMENT OF MACROMODELS

1831

the sparsity of (1). For complex and sparse problems, the computational efficiency of general solvers is very low. 2) Quadratic Programming With Equality Constraint: Quadratic programming with equality constraint can be formulated as

(2) This optimization problem can be solved by the method of Lagrange multipliers [34]. We introduce a new variable called a Lagrange multiplier and study the Lagrange function defined by

Fig. 1. Relation between state-space realization and pole-residue realization.

The associated pole-residue form which is directly constructed from vector fitting [1] has the following representation:

(6) (3) All poles are collected in a single column vector as is a minimum for the original constrained problem, then If such that is a stationary point for the there exists Lagrange function (3). However, not all stationary points yield a solution of the original problem. Thus, the method of Lagrange multiplier yields a necessary condition for the optimality in the constrained problems. This means that all optimal solutions must satisfy the following condition:

(7) The relation between two representations is depicted in Fig. 1. and may have complex elements to account for complex conjugate poles. We can always use similarity transform [22] to make it real. The similarity transform is introduced by

(4) Assume that has full row rank and is positive definite. Then, the above linear equation is nonsingular, and there is a satisfying (4). Furthermore, the vector unique solution is the unique global solution of (2). For a detailed discussion, see Appendix I-A. Generally, the linear equation (4) can be solved efficiently by Gaussian elimination. When the problem is large and sparse, Gaussian elimination became impractical. However, there are many iterative methods that can solve a large sparse linear equation efficiently [35]. If the sparse linear equation has a special structure, it can also be solved analytically. The linear equation considered in this paper has a very special sparse structure. With the special sparse structure of macromodels, all zero elements are removed analytically. Compared with the iterative method, the analytical method has great advantages [29], [35].

(8) where ..

..

.

. (9)

..

.

..

.

Using the notation of Kronecker product [37], rewritten as

can be (10)

where ..

.

..

. (11)

B. State-Space Model .. We consider linear macromodels in state-space form, described by the following standard notation [33]: (5) where is the Laplace variable, is the transfer matrix of the macromodel, and are the state-space matrices associated with . Here, , , , and .

.

..

.

In this paper, subscript denotes the state-space matrices directly constructed from vector fitting. In the absence of , the state-space matrices are real. C. Passivity The -parameter-based macromodel is passive when the following conditions are fulfilled [23]. 1) is defined and analytic in .

1832

2)

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

; for all

with

with (18) (12) and

3)

(19)

Conditions 1) and 3) can easily be satisfied in vector fitting [1]. However, fulfillment of condition 2) is a big challenge, which is the main focus of this paper. III. PASSIVITY COMPENSATION

is a predefined tolerance parameter that is chosen slightly larger than 0 (e.g., 0.00001). Since the value of is very small, the eigenvalues of will always be bounded by one. For each frequency in the set , a suitable constraint function (16) can be constructed. All of these constraints can be collected in a compact form as (20)

A. Characterization of the Passivity Violations The passivity of a state-space model can easily be verified by computing the eigenvalues of the associated Hamiltonian matrix (13)

Each row in matrix stores the left-hand side of (17). Conventionally, constraint functions (16) and (20) are inequalities. In Appendix I-C, the differences between equality constraint and inequality constraint are discussed in detail. C. Building the Objective Function

and . where An imaginary eigenvalue of the Hamiltonian matrix denotes the crossover between a passive and a nonpassive frequency band [12], [14]. By computing the slopes of the singular value curves at the purely imaginary eigenvalues, it is possible to determine the exact boundaries of a passivity violation. If there is no pure imaginary eigenvalue, the system is passive. Some more efficient methods were presented in [13], [15], and [24]. By sweeping the singular value of , one can identify frequencies with the maximum passivity violations [9], [13]. The selected frequencies are collected in a set

As in all quadratic programming-based methods, the passivity violations are compensated while fitting the raw data in the least squares sense [6]–[10]. It is expected to find a least squares solution (21) to the problem for all

(22)

This is equivalent to finding

(14) (23) B. Building the Constraint Function The constraint function considered in this paper is similar to the general quadratic programming-based methods [6]–[10]. In this work, a simple formulization based on the property of Kronecker product [37] is adopted. For nonpassive macromodels, positive semidefinite of is violated by a negative eigenvalue . Let the corresponding unit norm eigenvector of be . Applying the first-order eigenvalue perturbation [36] to leads to

where (24) D. Sparse Structure of the Objective Function Starting from the state-space model directly constructed from vector fitting, a diagonal matrix may have complex elements due to complex poles. Here

(15) where is the perturbation of and is the perturbed location of . By some simple algebraic manipulations, the relation between the model perturbation and the eigenvalue perturbation can be obtained as

where the row-vector

(25) where (26)

(16)

and is defined in (7). Substituting (8) and (25) into (23), (23) becomes

(17)

(27)

is defined as

GAO et al.: EFFICIENT ALGEBRAIC METHOD FOR PASSIVITY ENFORCEMENT OF MACROMODELS

In the least squares sense, we have to minimize the following equation: (28) where

1833

F. Analytical Solution of the Sparse Linear Equation The linear equation (33) has a very special structure. In order to demonstrate the sparse structure, an example of a two-port system which is characterized by two poles is illustrated. We . The assume that there is only one constraint, i.e., associated linear equation is (34), shown at the bottom of this page. The linear equation (33) can be decomposed into

(29)

(35) (36)

and

(30)

Each row of (35) is for all , , where is the th column of , is the th column of , is the th element of , and is defined as for

The matrix

has a very simple structure

(37) (31)

where (38)

where Collecting all terms associated with

(39)

(32) E. Quadratic Programming With Equality Constraint

leads to

where

is defined in (32) and in

Minimizing the objective function (28) under the constraint (20) is a standard quadratic programming with equality constraint. The associated linear equation is for

(40)

for

(41)

(33) If the above sparse linear equation is nonsingular, then it has only one solution, namely the solution of the optimization problem, which is the perturbation needed to compensate for the passivity violations in the least squares sense. is positive definite. With the full row rank of Obviously, , (33) is nonsingular. The method to enforce the full row rank of is discussed in Appendix I-B. However, the accuracy of the solution is strongly dependent on the condition number of (33). The details of the condition number are discussed in Section IV.

With the property of the Kronecker product [37], (35) becomes (42) Using (36) and (42), equation:

can be solved by the following linear

(43)

(34)

1834

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

where (44) The perturbation needed to compensate the passivity violations can be obtained by substituting into (39) or (42). ALGORITHM DISCUSSION G. Relative Error Control Relative error control [16] can easily be implemented here, can which is achieved according to (23). Each row of be weighed by the inverse of the magnitude of the raw data [7], [10]. The detailed formulation is slightly more complex than the nonweighted one. The details are omitted here. H. Conditioning of the Linear Equation The accuracy of the solution is strongly dependent on the condition number of (39) and (43). When the poles of the macromay be ill model are distributed over a wide frequency band, conditioned. The conditioning of can be improved by column scaling [10]. After column scaling, is well conditioned, and so is the linear equation (39). In Appendix I-D, the upper bound of the condition number of (43) is obtained, which is dependent on the condition number and . It indicates that well conditioning of arises from of the well conditioning of and . Well conditioning of is guaranteed by the procedure in Appendix I-B. We find that well conditioning of can always be guaranteed by the above procedure in all our tests. Well conditioning of is guaranteed by QR decomposition (the decomposition of a matrix into an orthogonal and an upper triangular matrix) with truncation, which may lead to residue passivity violations after compensation. By simply checking on the passivity at the set , if the passivity is violated at some frequencies, then repeat the passivity compensation at these frequencies. Algorithm Complexity Using the presented algebraic method leads to a significant speed improvement over the general quadratic programmingbased method. The complexity of the basic steps in general [34]. Furthermore, quadratic programming is cubic this method is notorious for convergence issues. Contrarily, the presented method only needs the solution of linear equations with a size of . Thus, the speed improvement is significant for cases with many ports, typically found in package applications. The computational efficiency of the presented method is further demonstrated in Section VI. Algorithm Summarization The above scheme enforces local passivity only at discrete frequencies, so it is unable to guarantee global passivity. Therefore, we embed the above algorithm into an iterative process. The overall algorithm is summarized in Fig. 2. IV. NUMERICAL RESULTS Here, we illustrate the performance of the passivity compensation algorithm. The CPU times reported here correspond to a PC platform with 2-GB RAM and a 3-GHz Intel processor.

Fig. 2. Flowchart of the passivity enforcement algorithm.

A. Coupled Lines This example is a structure of four-port coupled lines. The scattering parameters of the structure are ranged from 130 MHz to about 1 GHz, and vector fitting is used to approximate the response by a 40-pole proper transfer function. The in-band passivity violations are significant, as shown in Fig. 3(a). This is mainly due to the large passivity violations of the raw data. The presented passivity enforcement procedure is applied to compensate the passivity violations, and it converges to a passive model in about 0.9 s. Fig. 3(a) shows that all singular values have been enforced to be smaller than 1. Fig. 3(b) and (c) shows that the accuracy of the macromodel is well preserved. Fig. 3(d) shows that, although the maximum singular value does not decrease monotonically in each iteration, but the algorithm converges to a passive model in ten iterations. B. Coupled Lines With Nonideal Return Path The second example is an eight-port coupled lines system with nonideal return path. In this four-line system, both weak and strong couplings are included. The scattering parameters of the structure are simulated with Ansoft High Frequency Structural Simulator (HFSS) from 400 MHz up to 5 GHz, and vector fitting is used to approximate the response by a 40-pole proper transfer function. It is seen from Fig. 4(a) that the macromodel has several nonnegligible passivity violations, both inside and outside the frequency range of interest. The passivity enforcement algorithm is used to compensate the passivity violations, and it converges to a passive model in only 3.2 s. Fig. 4(a) shows that all singular values have been enforced to be smaller than 1. Fig. 4(b) and (c) shows that the accuracy of the macromodel is well preserved again. Although the maximum singular value does not decrease

GAO et al.: EFFICIENT ALGEBRAIC METHOD FOR PASSIVITY ENFORCEMENT OF MACROMODELS

Fig. 3. Coupled lines. (a) Singular values. (b) Magnitude. (c) Phase. (d) Maximum singular value in each iteration.

monotonically in each iteration, as shown in Fig. 4(d), the algorithm converges to a passive model in seven iterations. C. Coupled Via Field The last example is a ten-port coupled via field on a multilayer PCB, with frequency range up to 2 GHz. Vector fitting is

1835

Fig. 4. Coupled lines with non-ideal return path. (a) Singular values. (b) Magnitude. (c) Phase. (d) Maximum singular value in each iteration.

used to approximate the response by a 30-pole proper transfer function. The passivity violations are also nonnegligible, as shown in Fig. 5(a). The proposed passivity enforcement procedure is applied to compensate the passivity violations with relative error control, and it converges to a passive model in only 5.1 s. Fig. 5(a) shows that the maximum singular value

1836

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

TABLE I TEST CASES DESCRIPTIONS

TABLE II ACCURACY AND EFFICIENCY COMPARISON. QP WITH EC REFERRED TO EQUALITY-CONSTRAINED QUADRATIC PROGRAMMING-BASED METHOD, AND THE GENERAL QP REPRESENTS GENERAL QUADRATIC PROGRAMMING-BASED METHOD

iteration, and the algorithm converges to a passive model in 11 iterations. V. METHOD COMPARISON

Fig. 5. Coupled via field. (a) Singular values. (b) Magnitude. (c) Phase. (d) Maximum singular value in each iteration.

becomes smaller than 1. Fig. 5(b) and (c) shows that the accuracy is excellent for all terms of responses, including some coupling responses depicted in the plot. Fig. 5(d) shows that the maximum singular value decreases monotonically in each

Here, the presented method is compared with the general quadratic programming-based method, which is a simple generalization of the method presented in [6], [7] to -parameter cases. This method is realized by quadratic programming [32] in a MATLAB environment. The quadratic programming works here out of the box in all examples. The features of the three test cases are listed in Table I. The results for efficiency and accuracy of the three test cases are listed in Table II. The mean optimization time (passivity enhancement) per iteration and the total simulation time (passivity characterization plus passivity enhancement) are recorded for comparison. The advantages of the presented method are obvious. In order to further confirm the advantages of the proposed method, a large number of synthetic examples are used [21]. Application of the two passivity enforcement procedures leads to the results depicted in Fig. 6. The figure only reports the time needed for the solution of the quadratic problem. As expected, the presented method is more efficient than the general quadratic programming-based method. In general, in the quadratic programming-based method, is used to build the objective function [6], [7], which has rows and columns. In the presented method, only the matrix has to be stored, which has rows and columns. Although a sparse technique [31] can be used to reduce the memory requirement, it requires special commercial solvers. When the number of ports exceeds 20, the quadratic programming can not be used due to excessive memory requirements.

GAO et al.: EFFICIENT ALGEBRAIC METHOD FOR PASSIVITY ENFORCEMENT OF MACROMODELS

1837

The QR decomposition is defined as (46) where .. .

..

.

.. .

.. .

(47)

Using the following relation [28]: (48) Fig. 6. Mean optimization time per iteration required by two methods.

Contrarily, the proposed method can solve complex problems very well.

the condition number of can easily be controlled. If the conexceeds the predefined tolerance , then dition number of the th row of should be removed. In all of our simulations, is used, which is the maximum condition number of . C. Influence of Inequality Constraint

VI. CONCLUSION In this paper, an efficient algebraic method for the passivity enforcement is presented. The implementation of the proposed algorithm is simple and straightforward, and it does not need any optimization solver. With its high efficiency, our method is more applicable to complex problems. The robustness and efficiency of the method have been validated on a wide range of examples.

For the sake of simplicity, we assume that there is only one . The generalization can be done constraint, i.e., in the same way. The optimization structure of the general quadratic programming based method is (49) subject to (50)

APPENDIX I

Quadratic programming with equality constraint considered in this paper is

A. Solution of Quadratic Programming With Equality Constraint The detailed discussion is presented in [34]. To make the paper self-contained, we summarize the relative results here. Lemma 1: Let have full row rank and assume that the matrix is positive definite. Then, the linear (4) is nonsingular, and there is a unique vector pair satisfying (4). is used to denote the matrix whose columns are a basis for the null space of . Lemma 2: Suppose that the conditions of Lemma 1 are satisfied. Then, the vector satisfying (4) is the unique global solution of (2). According to the above two lemmas, it is clear that the linear equation (4) is nonsingular if is positive definite and is full row rank. Furthermore, the vector is the unique global solution of the optimization problem (2).

(51) subject to

(52) Without loss of generality, is assumed. Here, we will prove that the above two optimization problems yield the same result under the particular situation considered in this paper. We assume that the solution of the optimization problem (49)–(50) is obtained when the following condition is satisfied: (53) where

B. Conditioning of There may be the case that is ill-conditioned. If this is the case, redundant constraints must be removed from the problem. There are many methods to solve this issue. To make our algorithm more robust and predictable, QR factorization [38], [39] is used to give an indication of the rows that can be removed. This can be done as (45)

Using (42) and (43), the solutions of the optimization problems (49)–(52) are (54) (55)

1838

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Substituting (54) and (55) into the objective function (49) and (51) obtains the minimum values of the two optimization problems. Subtracting (51) from (49) yields

where

(64)

(56) where then the following relation holds: (57)

(65) Obviously, the following relation always holds: Substituting (63) into the right-hand side of (65) leads to (58) This shows that the minimum value of the objective function . Thus, the optimization problems (49) is obtained when (49)–(52) yield the same result. is assumed, i.e., the initial In the above discussion, macromodel fits the raw data exactly. In this case, two optimization problems yield the same result. In practice, macromodel cannot fit the raw data exactly. However, if the initial macromodel fits the raw data well, then will be very small. At these cases, the influence of on the optimization problem (2) is also very small. The detailed analysis can be done in the same way. Furthermore, if we minimize the least squares norm with respect , two optimization probto the previous macromodel, i.e., lems also yield the same result.

(66) can be computed as

The determinate of

(67) The lower bound of the determinate of

is (68)

Substituting (60) and (68) into (59) yields (69)

D. Conditioning of Linear Equation The discussion is started by the following theorem. The conis bounded by the dition number of linear equation following equation [26], [27]:

Using the following relation between spectral norm and Frobenius norm [38]: (70)

(59) nonsingular matrix. where is an arbitrary Considering the linear equation (43), the upper bound of is [39] (60) Since is positive definite, so does eigenvalue decomposition of

where

the condition number of equation:

is upper bounded by the following

(71) where

[37]. Let the

(72)

as (61)

ACKNOWLEDGMENT

(62)

The authors would like to thank Prof. S. Grivet-Talocia, Politecnico di Torino, Torino, Italy, for providing the test example of coupled lines, T. Sun, Xidian University, Xi’an, China, for the proof of Appendix I-D, and X. Yan, Xidian University, Xi’an, China, for the helpful discussion on the method.

is an orthogonal matrix, and

REFERENCES with

By constructing a semi-definite matrix as (63)

[1] B. Gustavsen and A. Semlyen, “Rational approximation of frequency responses by vector fitting,” IEEE Trans. Power Del., vol. 14, no. 3, pp. 1052–1061, Jul. 1999. [2] S. Grivet-Talocia, “On driving non-passive macromodels to instability,” Int. J. Circuit Theory Appl., vol. 37, pp. 863–886, 2009. [3] C. P. Coelho, J. Phillips, and L. M. Silveira, “A convex programming approach for generating guaranteed passive approximations to tabulated frequency-data,” IEEE Trans. Comput.-Aided Design (CAD) Integr. Circuits Syst., vol. 23, no. 2, pp. 293–301, Feb. 2004.

GAO et al.: EFFICIENT ALGEBRAIC METHOD FOR PASSIVITY ENFORCEMENT OF MACROMODELS

[4] H. Chen and J. Fang, “Enforcing bounded realness of S parameter through trace parameterization,” in Proc. 12th IEEE Top. Meeting Elect. Perform. Electron. Packag., Princeton, NJ, Oct. 27–29, 2003, pp. 291–294. [5] B. Dumitrescu, “Parameterization of positive-real transfer functions with fixed poles,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 49, no. 4, pp. 523–526, Apr. 2002. [6] B. Gustavsen and A. Semlyen, “Enforcing passivity for admittance matrices approximated by rational functions,” IEEE Trans. Power Syst., vol. 16, no. 1, pp. 97–104, Feb. 2001. [7] B. Gustavsen, “Computer code for passivity enforcement of rational macromodels by residue perturbation,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 209–215, May 2007. [8] B. Gustavsen, “Fast passivity enforcement for pole-residue models by perturbation of residue matrix eigenvalues,” IEEE Trans. Power Syst., vol. 16, no. 1, pp. 97–104, Feb. 2001. [9] B. Gustavsen, “Passivity enforcement of rational models via modal perturbation,” IEEE Trans. Power Del., vol. 23, no. 2, pp. 768–775, Apr. 2008. [10] B. Gustavsen, “Fast passivity enforcement for S -parameter models by perturbation of residue matrix eigenvalues,” IEEE Trans. Adv. Packag., vol. 33, no. 1, pp. 257–265, Feb. 2010. [11] D. Saraswat, R. Achar, and M. Nakhla, “A fast algorithm and practical considerations for passive macromodeling of measured/simulated data,” IEEE Trans. Compon. Packag. Manufact. Technol., vol. 27, no. 1, pp. 57–70, Feb. 2004. [12] D. Saraswat, R. Achar, and M. Nakhla, “Global passivity enforcement algorithm for macromodels of interconnect subnetworks characterized by tabulated data,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 45, no. 7, pp. 819–832, Jul. 2005. [13] D. Saraswat, R. Achar, and M. Nakhla, “Fast passivity verification and enforcement via reciprocal systems for interconnects with large order macromodels,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 15, no. 1, pp. 48–59, Jan. 2007. [14] S. Grivet-Talocia, “Passivity enforcement via perturbation of Hamiltonian matrices,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 51, no. 9, pp. 1755–1769, Sep. 2004. [15] S. Grivet-Talocia, “An adaptive sampling technique for passivity characterization and enforcement of large interconnect macromodels,” IEEE Trans. Adv. Packag., vol. 30, no. 2, pp. 226–237, May 2007. [16] S. Grivet-Talocia and A. Ubolli, “Passivity enforcement with relative error control,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2374–2383, Nov. 2007. [17] A. Lamecki and M. Mrozowski, “Equivalent SPICE circuits with guaranteed passivity from nonpassive models,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 3, pp. 526–532, Mar. 2007. [18] S. H. Min and M. Swaminathan, “Construction of broadband passive macromodels from frequency data for simulation of distributed interconnect networks,” IEEE Trans. Electromagn. Compat., vol. 46, no. 4, pp. 544–558, Nov. 2004. [19] D. Deschrijver and T. Dhaene, “Fast passivity enforcement of S -parameter macromodels by pole perturbation,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 3, pp. 620–626, Mar. 2009. [20] T. Dhaene and D. Deschrijver, “Efficient algorithm for passivity enforcement of S -parameter-based macromodels,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 2, pp. 415–420, Feb. 2009. [21] S. Grivet-Talocia and A. Ubolli, “A comparative study of passivity enforcement schemes for linear lumped macromodels,” IEEE Trans. Adv. Packag., vol. 31, no. 4, pp. 673–683, Nov. 2008. [22] E.-P Li, E.-X. Liu, L.-W Li, and M.-S. Leong, “A coupled efficient and systematic full-wave time-domain macromodeling and circuit simulation method for signal integrity analysis of high-speed interconnects,” IEEE Trans. Adv. Packag., vol. 27, no. 1, pp. 213–223, Feb. 2004. [23] P. Triverio, S. Grivet-Talocia, and M. Nakhla, “Stability, causality, and passivity in electrical interconnect models,” IEEE Trans. Adv. Packag., vol. 30, no. 4, pp. 795–808, Nov. 2007. [24] B. Gustavsen, “Fast passivity assessment for S -parameter rational models via a half-size test matrix,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2701–2708, Dec. 2008. [25] V. Mehrmann and H. Xu, “Perturbation theory of purely imaginary eigenvaluesof Hamiltonian matrices under structured perturbations,” Electron. J. Linear Algebra, vol. 17, pp. 234–257, 2008.

1839

[26] H. W. Guggenheimer, A. S. Edelman, and C. R. Johnson, “A simple estimate of the condition number of a linear system,” College Math, vol. 26, no. 1, pp. 2–5, 1995. [27] J. K. Merikoski, U. Urpala, A. Virtanen, T. Y. Tam, and F. Uhlig, “A best upper bound for the 2-norm condition number of a matrix,” Linear Algebra Appl., vol. 254, pp. 355–365, 1997. [28] N. J. Highham, “A survey of condition number estimation for triangular matrices,” SIAM Rev., vol. 29, no. 4, pp. 575–596, 1987. [29] M. Benzi, “Preconditioning techniques for large linear systems: A survey,” J. Computat. Phys., vol. 182, pp. 418–477, 2002. [30] J. F. Sturm, “Using SeDuMi 1.02, a MATLAB toolbox for optimization over symmetric cones,” Optimiz. Methods Softw., pp. 625–653, 1999. [31] “CPLEX,” Tomlab Optimization Inc., San Diego, CA, 2009. [32] “MATLAB 7.01 User’s Guide ,” MathWorks, Natick, MA, 2007. [33] K. Zhou, J. C. Doyle, and K. Glover, Robust and Optimal Control. Englewood Cliffs, NJ: Prentice-Hall, 1996, ch. 3, pp. 45–90. [34] J. Nocedal and S. J. Wright, Numerical Optimization. Berlin, Germany: Springer, 1999, ch. 16, pp. 438–482. [35] Y. Saad, Iterative Methods for Sparse Linear Systems, 2nd ed. Philadelphia, PA: SIAM, 2003, ch. 3–7, pp. 92–263. [36] J. H. Wilkinson, The Algebraic Eigenvalue Problem. Oxford, U.K.: Oxford Univ. Press, 1965, ch. 2, pp. 62–109. [37] R. A. Horn and C. R. Johnson, Topics in Matrix Analysis. Cambridge, U.K.: Cambridge Univ. Press, 1991, ch. 4, pp. 239–297. [38] D. Serre, Matrices: Theory and Applications. Berlin, Germany: Springer, 2002, ch. 4, pp. 61–79. [39] R. A. Horn and C. R. Johnson, Matrix Analysis. Cambridge, U.K.: Cambridge Univ. Press, 1990, ch. 5, pp. 257–342.

Song Gao received the B.S. degree in electrical engineering from Xidian University, Xi’an, China, in 2006, and is currently working toward the Ph.D. degree at Xidian University. His current interests focus on macromodeling, control system design, high-speed interconnects simulation, and transient simulation.

Yu-Shan Li received the B.S. degree from Harbin Engineering University, Harbin, China, in 1968, and the M.S.E. degree from Xidian University, Xi’an, China, in 1981, both in electronic engineering. In 1981, he joined the faculty of the School of Electronic Engineering, Xidian University, where he is a Professor/Ph.D. Candidate Advisor and the Director of the Institute of Electronic Computer-Aided Design. From February 1986 to December 1987, he was a Visiting Scholar and Research Associate with the Department of Electrical and Computer Engineering, University of Miami, Miami, FL. He is currently engaged in research on digital vision systems and circuit design, electronic design automation, and signal integrity analysis.

Mu-Shui Zhang received the B.S. degree and Ph.D. degree in electrical engineering from Xidian University, Xi’an, China, in 2004 and 2009, respectively. He is currently a Teacher with the Department of Electronics and Communication Engineering, Sun Yat-Sen University, GuangZhou, China. His current interests focus on high-speed interconnects modeling, power noise modeling and suppression, power delivery network design, and electromagnetic compatibility (EMC) design.

1840

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

New Multiband Coupling Matrix Synthesis Technique and Its Microstrip Implementation Yi-Ting Kuo, Jhe-Ching Lu, Ching-Ku Liao, and Chi-Yang Chang, Member, IEEE

Abstract—In this paper, we present a novel analytical multiband transversal coupling matrix synthesis technique. By properly combining several single-band filtering functions, the multiband filtering function with flexible transmission zeros and various bandwidth are available. The transversal coupling scheme is then transformed into a practically realizable coupling scheme. To verify the feasibility of the proposed method, two dual-band coupling schemes, both single-path and dual-path, are proposed as examples of the dual-band filter design. Finally, the dual-band filter, based on the coupling matrix corresponding to a specific coupling scheme, can be realized using a microstrip parallel-coupled structure. Index Terms—Coupling matrix synthesis, multiband filter, microstrip parallel-coupled filter, transmission zeros.

I. INTRODUCTION

R

ECENT developments in coupling matrix synthesis for single-band filter design is very attractive. Analytical methods for the single-band filter synthesis [1]–[4] are proposed to generate a transversal coupling matrix. For other specific coupling schemes, the coupling matrices are obtained using matrix rotation or optimization [5], [6]. For dual-band or multiband filters, however, a fully analytical solution for transversal coupling matrix synthesis, is still unavailable. To design dual-band or multiband filters, many methods were proposed. Frequency transformation [7]–[9] was proposed to generate the response function analytically for dual-band filters. It was developed with governing equations of single-band filters. It was unrealizable, however, for multiband filters. Another method was based on parallel-coupled line model [10]; this was used to generate the dual-band performance. This method was limited for dual-band filters, though, and could not be used for multiband filters. To obtain the multiband performance, an equivalent lumpedelement network [11]–[13] was introduced. This network simplified the design procedure for dual-band or multiband filters via the iterative procedure. The problems, however, such as the Manuscript received December 01, 2009; revised March 04, 2010; accepted April 03, 2010. Date of publication June 07, 2010; date of current version July 14, 2010. Y.-T. Kuo and C.-Y. Chang are with the Department of Electrical Engineering, National Chiao Tung University, Hsinchu 300, Taiwan (e-mail: [email protected]; [email protected]). J.-C. Lu is with the Taiwan Semiconductor Manufacturing Company, Ltd., Hsinchu 303, Taiwan (e-mail: [email protected]). C.-K. Liao is with the Gemtek Technology Company Ltd., Hsinchu 303, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.iee.org. Digital Object Identifier 10.1109/TMTT.2010.2050240

need for optimization for roots finding [11], [13] or inability to achieve equal ripple [12], occurred while applying those equivalent networks. In filter design, the coupling matrix technique is well known to have advantages in the hardware implementation. To take advantage of coupling matrices for dual-band or multiband filters, optimization methods [14], [15] were proposed to generate the coupling matrix numerically, via proper cost functions. Fully analytical coupling matrix synthesis for dual-band or multiband filters, however, has not been proposed yet. In this paper, we propose a novel fully analytical method for the synthesis of multiband transversal coupling matrix. The response function of the multiband filter is generated via the proper combination of single-band filtering functions; those single-band filtering functions can be obtained using the technique in [1]. Based on our proposed method, the fully analytical fractional expressions for two-port scattering parameters are generated. Moreover, under proper combination, the prescribed transmission zeros are available in multiband filters, while the different bandwidth of each passband is also allowed. Once the fractional forms for the scattering parameters of the dual-band or multiband filtering function are obtained, they are converted into the transversal coupling matrix using the method in [3]. Using the technique in [5], the transversal coupling matrix can be transferred into a requested coupling scheme. To verify the proposed method, the parallel-coupled filter structure, described in [16], is chosen to realize the dual-band filters based on the dual-band coupling matrix. For the dual-band filter implementation, the technique in [17] has been proposed. However, it is not easy to be implemented in the microstrip technology. For the microstrip implementation, single-path and dual-path dual-band coupling schemes are discussed. Finally, we will provide two microstrip parallel-coupled dual-band filters as examples and show the feasibility of the proposed synthesis technique. Moreover, we will also demonstrate that both single-path and dual-path dual-band coupling schemes are useful in dual-band filter designs. II. ANALYTICAL TRANSVERSAL COUPLING MATRIX SYNTHESIS FOR MULTIBAND FILTER DESIGN intercoupled For a two-port lossless filter network with resonators, the transfer and reflection function can be expressed as a ratio of two th degree polynomials (1) where is the real frequency variable, the related complex fre, and is a normalization constant requency variable

0018-9480/$26.00 © 2010 IEEE

KUO et al.: NEW MULTIBAND COUPLING MATRIX SYNTHESIS TECHNIQUE AND ITS MICROSTRIP IMPLEMENTATION

lated to the prescribed return loss level; all polynomials have been normalized so that their highest degree coefficients are and have a common denominator ; unity. the transmission zeros of the transfer function are contained in . Using (1) and the energy conservation the polynomial can be for a lossless network, represented as (2) where (3) is known as the filtering function of degree . Here, the proposed filters have the form of the generalized Chebyshev characteristic. A filtering function for a single-band filter can be synthesized with an efficient recursive procedure. Based on this, the aim is now to design a filtering function for multiband filters. The proposed multiband polynomial synthesis discussed below will be fully analytical using single-band filtering functions. Using the property of the generalized Chebyshev characteristic in (3), the reciprocal of the filtering function for a dual-band filter can be achieved by summing up the reciprocal of two frequency-shifted single-band filtering functions. The value of in the passband is much smaller than that in the out-of-band, the reciprocal value of in the passband can then be kept in the after summing up the reciprocal of the value of other out-of-band. Finally, the composite filtering function can be obtained as follows: (4) The principal advantage of this technique is that the indiand can be obtained anavidual filtering functions lytically by the efficient recursive technique. The polynomial of the composite filtering function can then be derived as

1841

To use the different bandwidths of each passband, these polynomials should be modified. For the th filtering function with , the polynomials can be represented as frequency shift

(7) where is the denominator and is the numerator of , is the th root of and is the th root of , and and are the number of roots of and . Based on (7), the bandwidth of the scaled polynomial will be times the bandwidth of the original one. For the multiband filter design, suppose there are passbands for a filter and that all of the filtering functions are and , so that the composite filtering function can then be calculated as follows:

(8)

where is the numerator and is the denominator of . Here, each passband has the individual filter order and the number of transmission zeros. By carefully placing the transmission zeros, the requested frequency response can be obtained under desired specifications. The bandwidth of each passband, however, is 2 rad/s, corresponding to the Chebyshev characteristic, so that the edges of passbands are out of 1 rad/s. To normalize the edges of passbands within 1 rad/s, let be the original frequency, be the normalized frequency, be the upper edge of passbands, and be the lower edge of passbands. The frequency transformation is (9)

(5) where , and are generated by frequency shifting of the original filtering function through

where and are the edges of passbands in the original frequency domain. After the frequency transformation, the polynomials derived in (8) are then transferred into the normalized frequency domain. Finally, the transversal coupling matrix based on the generated polynomials is obtained using the method in [3]. To transfer the response to the bandpass domain, the following equation is used:

(6) where 1 and 2, is the central frequency for the th passand are all generated by the recurband, and sive technology analytically [1]–[4]. In addition, the transmisand corresion zeros can be generated using those sponding to their central frequency at each passband via (5).

(10) where is the frequency in the low-pass domain, is the , and frequency in the bandpass domain, are the central frequency, the upper edge of passbands, and the

1842

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

lower edge of passbands in the bandpass domain, respectively, and is the fractional bandwidth. In the following sections, three examples are given to demonstrate the proposed method.

A. Symmetrical Dual-Band Bandpass Filters In this example, the specifications of a symmetrical dual-band bandpass filter are provided. Two passbands both have filter order 3. The first passband has a central frequency at 2.32 GHz and the fractional bandwidth is 5%. The second passband has a central frequency at 2.695 GHz and the fractional bandwidth is 5%. The transmission zeros are 2.122, 2.5, and 2.945 GHz. 1 Hence, the lower edge of the first passband is 2.32 0.05 2.262 GHz and the upper edge of the second pass1 0.05 2.7624 GHz, so that the central band is 2.695 2.5 GHz, and the frequency is about 2.262 2.7624 2 fractional bandwidth is about 2.7624 2.262 2.5 20%. To transfer the response form the bandpass domain to the low-pass domain using (10), the normalized central frequencies are about 0.75and 0.75 rad/s, and the normalized transmission zeros are about 1.65 and 1.65 rad/s. Based on the above frequencies in the normalized domain, the requested two filtering functions are expressed as follows. One is the third-order filtering function, which has the normalized central frequency at 0.75 rad/s and the normalized transmission zeros at 1.5 rad/s. The other one is the third-order filtering function, which has the normalized central frequency at 0.75 rad/s and the normalized transmission zeros at 1.5 rad/s. Hence, the transmission zeros of the composite filtering function are almost 1.65, 0, and 1.65 rad/s, which meet the requested specifications. The in-band return loss level is 20 dB for these two filtering functions. To combine these two filtering functions, the frequency transformation in (9) is needed first. The bandwidth of the passband to 1 rad/s), so that in original domain in (9) is 2 rad/s (i.e., the bandwidth between the central frequency and the edge of passband within each passband is 1 rad/s. The requested bandwidth between the normalized central frequency and the edge rad/s. of passband within each passband is To transfer the normalized response to the original frequency using (9), the factor which times the normalized frequency is 4, so that the central frequencies after the frequency transforma3 and 0.75 4 rad/s, and transtion are 0.75 4 6 rad/s. Finally, combining mission zeros are 1.5 4 these two filtering functions using (5) and transfer the response into the normalized frequency domain using (9). The transmission zeros of the composite filtering function are slightly shifted, and this can be noted in Fig. 1(a). The frequency shift comes from the combination of two filtering functions and can be eliminated by careful designing these two filtering functions. Fig. 1(b) shows the corresponding -parameters. In this figure, an additional transmission zero is 0 rad/s. This is because and is 180 degree out-of-phase around the phase of 0 rad/s, and then an additional zero is introduced, as shown in Fig. 1. Furthermore, the transversal coupling matrix is obtained based on the derived polynomials and is shown in Table I.

Fig. 1. (a) Filtering functions for two single-band filters of same degrees 3 (C has the transmission zero at 1.5 and the central frequency 0.75 rad/s) has the transmission zero at 1.5 rad/s and the central frequency 0.75 and (C rad/s), and the composite dual-band filter (C ==C ). The in-band return loss level is 20 dB in each case. (b) Corresponding S and S for the symmetric dual-band filter.

0

0

TABLE I COUPLING MATRIX FOR THE FILTER IN FIG. 1

B. Asymmetrical Dual-Band Bandpass Filters For an asymmetrical dual-band bandpass filter, the frequency response is not symmetric about the central frequency. Two filtering functions used to illustrate the dual-band characteristic have following specifications. One is the third-order func-

KUO et al.: NEW MULTIBAND COUPLING MATRIX SYNTHESIS TECHNIQUE AND ITS MICROSTRIP IMPLEMENTATION

Fig. 2. (a) Filtering functions for two single-band filters of different degrees 3 (C has transmission zeros at 1.4242 and 0 rad/s and the central frequency 0.6970 rad/s. Its bandwidth factor is 0.8) and 5 (C has transmission zeros at 0 and 1.4848 rad/s and the central frequency 0.7576 rad/s), and the composite dual-band filter (C ==C ). The in-band return loss level is 20 dB in each case. (b) Corresponding S and S for the asymmetric dual-band filter.

0

0

TABLE II COUPLING MATRIX FOR THE FILTER IN FIG. 2

tion, which has the normalized central frequency at 0.6970 rad/s and normalized transmission zeros at 1.4242 and 0 rad/s, and its bandwidth factor BW in (7) is 0.8. The other one is the fifth-order function, and it has the normalized frequency at 0.7576 rad/s and the normalized transmission zeros at 0 and 1.4848 rad/s. The corresponding responses for these two filtering functions are shown in Fig. 2. After combining these two filtering functions, the response for the asymmetric dual-band filter is shown in Fig. 2 with the coupling matrix in Table II. It is noted, however, that the transmission zero on the upper stopband of the composite filtering function is seriously influ. Because the filtering funcenced by the filtering function has a lower order, the function value at the out-oftion . To compute the composite band is smaller than that of

1843

Fig. 3. (a) Two third-order filtering functions. Solid line: filtering function has two finite transmission zeros at 6 and 0 rad/s, and the central frequency is 3 rad/s. Dashed line: filtering function with 3 finite transmission zeros at 6, 0 and 6 rad/s, and the central frequency is 3 rad/s. The in-band return loss level is 20 dB in each case. (b) The corresponding S and S .

0

0

0

0

filtering function using (4), the filtering function with smaller value will dominate the response of the composite filtering function. Hence, the transmission zero on the upper stopband of the composite filtering function shifts inward with respect to . This can be overcome by preadthe transmission zero of justing the zero of to a higher frequency or by the method described in the following paragraph. The alternative method to overcome the zero shifting problems is to take advantage of the generalized Chebyshev characteristic, that is, for an th-order filtering function, the number of transmission zeros can be smaller than or equal to . When the number of transmission zeros is equal to , it implies no infinite transmission zeros. Fig. 3 shows an example, where TZs in the figure denotes the abbreviation of transmission zeros. In this case, these two filtering functions with same order 3 have transmission zeros at ( 6 and 0) rad/s and ( 6, 0, and 6) rad/s respectively, and have the central frequency at 3 rad/s, respectively. The filtering function with three transmission zeros has no infinite transmission zero, so the stopband rejection is worse due to no infinite transmission zeros. The stopband rejection, however, can still be kept under an acceptable level. As shown in Fig. 3, the filtering function with three transmission zeros has the logarithm value close to 2.5 even the frequency up . to 60 rad/s, which is 30 dB in

1844

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

TABLE III COUPLING MATRIX FOR THE FILTER IN FIG. 4

Fig. 4. (a) Filtering functions for two single-band filters of different degrees 3 (C has transmission zeros at 1.4242, 0, and 1.4848 rad/s and the central frequency 0.6970 rad/s. Its bandwidth factor is 0.8), and 5 (C has transmission zeros at 0 and 1.5091 rad/s and the central frequency 0.7576 rad/s), and the composite dual-band filter (C ==C ). The in-band return loss level is 20 dB in each case. (b) The corresponding S and S for the asymmetric dual-band filter with adjustable upper transmission zero.

0

0

Use the above property, the example for asymmetric dualband filter is modified. Fig. 4 shows the modification. One thirdhas normalized transmission zeros order filtering function at 1.4242, 0, and 1.4848 rad/s, and it has the normalized central frequency at 0.6970 rad/s. Its bandwidth factor is 0.8. , which The other one is the fifth-order filtering function has normalized transmission zeros at 0 and 1.5091 rad/s, and the normalized central frequency is 0.7576 rad/s. In this case, precisely locates the transmission zero at 1.4848 rad/s of the transmission zero on the upper stopband for the composite filtering function. Table III shows the corresponding coupling matrix. Compared with the result in Fig. 2, the upper stopband transmission zero can be precisely located.

C. Multiband Bandpass Filters In this example a four-band filter is provided. There are four filtering functions in this example: 1) third-order fithering with normalized transmission zeros at 1.2 and function 0.6 rad/s and the normalized central frequency at 0.9 rad/s;

Fig. 5. (a) Filtering functions for four single-band filters of degree 3 (C has transmission zeros at 1.2 and 0.6 rad/s and the central frequency at 0.9 rad/s), degree 4 (C has transmission zeros at 1.3, 0.65, and 0.01 rad/s has transmission and the central frequency at 0.3 rad/s), degree 5 (C zeros at 1.3, 0.6, 0.01, 0.63, and 1.3 rad/s and the central frequency at has transmission zeros at 0.45 and 0.9 rad/s 0.3 rad/s), and degree 3 (C and the central frequency at 0.9 rad/s), and the composite quad-band filter (C ==C ==C ==C ). The in-band return loss level is 20 dB in each case. (b) The corresponding S and S for the quad-band filter.

0

0

0

0

0

0

0

0

2) fourth-order with normalized transmission zeros at 1.3, 0.65 and 0.01 rad/s and the normalized central frewith normalized quency at 0.3 rad/s; 3) fifth-order transmission zeros at 1.3, 0.6, 0.01, 0.63, and 1.3 rad/s and the normalized central frequency at 0.3 rad/s; and 4) with normalized transmission zeros at 0.45 third-order and 0.9 rad/s and the normalized frequency at 0.9 rad/s. Fig. 5 shows the frequency response. In this case, the lower order and ) are used to adjust the filtering functions (i.e., transmission zeros to specific locations, while the other filtering functions are used to be slightly tuned for the specific locations

KUO et al.: NEW MULTIBAND COUPLING MATRIX SYNTHESIS TECHNIQUE AND ITS MICROSTRIP IMPLEMENTATION

TABLE IV COUPLING MATRIX FOR THE FILTER IN FIG. 5

Fig. 6. Frequency responses of the dual-band filter composed of the third- and fourth-order filtering functions. Solid line: the proposed method. Dashed line: the analytical iterative method.

of transmission zeros. Table IV shows the corresponding coupling matrix. The matrix elements not listed in Table IV are all zero. III. COMPARISON WITH OTHER METHOD In the coupling matrix synthesis of the dual-band or multiband filter, the most popular and efficient analytical method is the analytical iterative method [13]. This method has features of user-defined number of passbands, number of transmission zeros, return loss level, range of each passband and stopband, and prescribed imaginary or complex transmission zeros. By efficient iterative procedure, the transmission zeros are extracted, and some fine tunes are needed if prescribed real transmission zeros are requested. The equal-ripple levels in different passbands are not necessarily identical but can be achieved by run-and-try process. The difference of return loss levels in different passbands, however, becomes large with consideration of different filter orders in different passbands. Compare the proposed method to the analytical iterative method, the above features of the iterative method are also the features of the proposed method. Moreover, the equal-ripple levels in different passbands are preserved. Here an example is given to show the differences between these two methods. The specifications are listed in Table V, and the requested return loss is 20 dB in both passbands for this example. The frequency responses obtained by these two methods are shown in Fig. 6. In Fig. 6, the responses of the passband with third-order are similar from two methods. For the passband with fourth order,

1845

TABLE V SPECIFICATIONS USED IN FIG. 6

due to the equal-ripple preservation in the proposed method, the return loss level is kept to be 20 dB, while the return loss level is 50 dB from the iterative method. Hence, the second passband from the iterative method has wider bandwidth than that from the proposed method if the return loss level 20 dB is used. Hence, for the filter composed of filtering functions with different orders, the proposed method hold the equal-ripple property. To check the transmission zeros from two methods, due to the parallel addition in the proposed method, the number of transmission zeros will increase. The transmission zeros are 1, 3528, 0.3589, 0.3232, and 1.4496 rad/s in the iterative method, while they are 1, 333, 0.4151, 0.3099, 0.7936 0.5468 , and 1.4694 0.047 rad/s in the proposed method. Although the additional complex prescribed transmission zeros 0.7936 0.5468 can be added in the iterative method to pull back the return loss level from 50 to 30 dB, but it is difficult to know the prescribed zeros in order to pull back the return loss. For the filter composed of filtering functions with same filter order, the iterative method has the advantage in the specification assignment. The only thing the designer needs to do is to assign the range of each passband and each stopband, number of poles and zeros, and the return loss level, so that the corresponding polynomials are generated. For the proposed method, the designer needs to assign the locations of transmission zeros first in order to design the characteristic of multiband filter. These two methods, however, both can analytically generate the coupling matrix for the multiband filter. For the filter composed of filtering functions with different filter orders, the proposed method provides an alternative way to synthesis the multiband filter with the equal-ripple property. IV. CROSS-COUPLING SCHEMES AND FILTER DESIGN EXAMPLES The planar microstrip filter with finite transmission zeros has been proposed systematically in [16]. The method in [16] is modified here for the dual-band filter. In this section, two types of coupling schemes are proposed to realize dual-band filters and simplify the complexity of the hardware implementation in the microstrip technology. First is a single-path coupling scheme and second is a dual-path coupling scheme. A. Example 1: Single-Path Dual-Band Coupling Scheme In the single-band filter design, the cross-coupling path helps in the generation of the finite transmission zeros. The trisection and quadruplet coupling schemes are known to exhibit the highly selective responses. To apply trisection and quadruplet to the dual-band design in the single-path coupling scheme, finite transmission zeros will be placed to separate two passbands. For example, a dual-band filter is designed to have two second-order

1846

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

TABLE VI TRANSVERSAL COUPLING MATRIX FOR THE DUAL-BAND FILTER IN EXAMPLE 1

TABLE VII COUPLING MATRIX FOR DUAL-BAND FILTER IN EXAMPLE 1 WITH THE COUPLING SCHEME SHOWN IN FIG. 8

Fig. 9. Layout in example 1.

Fig. 7. (a) Filtering functions for two single-band filters of degree 2 (C has the transmission zero at 0 rad/s and the central frequency at 0:75 rad/s, and C has the transmission zero at 0 rad/s and the central frequency at 0.75 rad/s), and the composite dual-band filter (C ==C ) The in-band return loss level is 20 dB in each case. (b) The corresponding S and S for the dual-band filter in example 1.

TABLE VIII DIMENSIONS IN EXAMPLE 1 (IN MILLIMETERS)

0

Fig. 8. Single-path coupling scheme in example 1.

filtering functions; one has a normalized transmission zero at 0 rad/s and the normalized central frequency at 0.75 rad/s, while the other one has a normalized transmission zero at 0 rad/s and the normalized central frequency at 0.75 rad/s. The synthesized filtering functions and corresponding -parameters are shown in Fig. 7. The transversal coupling matrix is shown in Table VI. Due to two transmission zeros from each passband are used to contribute the separation of two passbands, the quadruplet topology is used. In order to convert the transversal coupling matrix for the single-path coupling scheme with quadruplet coupling scheme, the optimization procedure proposed in [5] is used. The coupling scheme is shown in Fig. 8 and the corresponding coupling matrix is listed in Table VII.

The central frequencies of the two passbands in the practical design are 2.3 and 2.7 GHz, while the fractional bandwidth is 5% in each passband. For the practical implementation, a 0.508-mm-thick Rogers RO4003 substrate with a relative dielectric constant 3.58 and a loss tangent of 0.0021 is used. Based on the design procedure in [16], the layout of the proposed microstrip filter is shown in Fig. 9. The dimensions are listed in Table VIII. B. Example 2: Dual-Path Dual-Band Coupling Scheme For the dual-band filter design, the single-path coupling scheme do not have an obvious relationship with the dual-band characteristics. To relate each passband with coupling topology, the dual-path coupling scheme is considered. For example, there are two filtering functions; One is the 3rd order filtering function, which has normalized transmission zero at 1.8 rad/s and normalized central frequency at 0.8 rad/s, while the other is the 3rd order filtering function, which has normalized transmission zero at 1.8 rad/s and normalized central frequency at 0.8 rad/s. The synthesized filtering functions and the corresponding -parameters are shown in Fig. 10. The transversal coupling matrix in this example is listed in Table IX.

KUO et al.: NEW MULTIBAND COUPLING MATRIX SYNTHESIS TECHNIQUE AND ITS MICROSTRIP IMPLEMENTATION

1847

Fig. 11. Dual-path coupling scheme in example 2.

TABLE X (A) TRANSVERSAL COUPLING MATRIX FOR THE UPPER PATH (M1). (B) TRANSVERSAL COUPLING MATRIX FOR THE LOWER PATH (M2)

Fig. 10. (a) Filtering functions for two single-band filters of degree 3 (C has the transmission zero at 1.8 rad/s and the central frequency at 0.8 rad/s, and C has the transmission zero at 1.8 rad/s and the central frequency at 0.8 rad/s), and the composite filter (C ==C ). The in-band return loss level is 20 dB in each case. (b) The corresponding S and S for the dual-band filter in example 2.

0

0

TABLE XI ROTATION SEQUENCE FOR REDUCTION OF THE TRANSVERSAL MATRIX TO THE REQUESTED MATRIX WITH THE TOPOLOGY IN FIG. 11

TABLE IX TRANSVERSAL COUPLING MATRIX FOR THE DUAL-BAND FILTER IN EXAMPLE 2

The transmission zero for the separation of two passbands is created as demonstrated in the discussion in Section II-A. In this case, there are three finite transmission zeros within the entire low-pass domain; these are 1.8, 0 and 1.8 rad/s. To illustrate the dual-band characteristic and let each path govern one passband, the trisection portion of each path is used to provide one transmission zero on the stopband. Fig. 11 shows the coupling scheme, and the corresponding coupling matrix is rotated by following steps [4]. The values of diagonal elements of the transversal matrix are categorized into two groups, which are positive values and negative values, and then the original matrix can be separated into two parts with values shown in Table X. Based on these two sub-matrices, the rotation sequence in Table XI are applied and then the matrix for the coupling scheme in Fig. 11 are extracted with values listed in Table XII.

It can be noted that the values of diagonal elements in the extracted matrix are also categorized into two groups, which are positive values and negative values, and corresponds to the resonant frequency of each resonator. Hence, the upper path governs the lower passband, and the trisection portion of the upper path provides a transmission zero on the lower stopband (i.e., 1.8 rad/s). Similarly, the lower path governs the upper passband and the trisection portion of the lower path generates a transmission zero on the upper stopband (i.e., 1.8 rad/s). By using such a dual-path coupling scheme, the transmission zeros on the upper and lower stopband can be generated by the trisection portion, while the additional transmission zero used to separate two passand . bands is generated by out-of-phase property of The central frequencies of the two passbands in the practical design are 2.2 and 2.7 GHz, while the fractional bandwidth is 5% in each passband. For the practical implementation, a

1848

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 12. Layout in example 2.

TABLE XII COUPLING MATRIX FOR THE DUAL-BAND FILTER IN EXAMPLE 2 WITH THE DUAL-PATH COUPLING SCHEME SHOWN IN FIG. 11

TABLE XIII DIMENSIONS IN EXAMPLE 2 (IN MILLIMETERS)

Fig. 13. Layout of the implemented filters in: (a) example 1 and (b) example 2.

0.635-mm-thick Rogers RT/Duroid 6010 substrate, with a relative dielectric constant 10.2 and a loss tangent of 0.0021, is used to implement such a dual-band filter. The practical dual-band microstrip parallel-coupled filter can also be implemented using the method in [16]. The layout of the dual-band microstrip filter is shown in Fig. 12 with the dimensions are listed in Table XIII. V. RESULTS AND DISCUSSION Fig. 13(a) and (b) shows the circuit photographs in example 1 and 2; Fig. 14(a) and (b) shows the individual simulated and measured performances and corresponding group delays. The EM simulator Sonnet is used to efficiently provide the simulated results [18]. In these two examples, the frequency shift between simulated and measured performances comes from the variation of the permittivity constant of the dielectric layer, so that it leads a difference between the practical design and simulation setup. In exampe 1, Table VII shows that the element of cross couis 0.6590, which is even larger than the direct couple pling

(0.5624) along the main path. Hence, the cross couple will be treated similarly as the direct coupled path between source and resonator 3. Such a strong cross-coupling strength contribute the separation of two passbands. In the practical design, the length of each coupled line section is initially set to be a quater-wave long at 2.5 GHz; it is then optimized by ADS optimization engine [19] to obtain the final practical length. In the example 2, the transmission zeros within the stopbands are known to be governed by the trisection cross-couand in Fig. 11. pling paths of coupling scheme The corresponding element values are 0.0958 and 0.0958 in Table XII, which are smaller than the element value along the main path. This makes sense because the location of transmission zeros are far from the corresponding passbands. In Fig. 12, (0.685 mm) and the lengths of the two coupling lines (0.7112 mm) are obviously shorter than other coupled lines in and are also wider than the gaps the main path. The gaps of the main coupling path. Because of the dual-path schematic, a transmission zero to separate two passbands exists inherently.

KUO et al.: NEW MULTIBAND COUPLING MATRIX SYNTHESIS TECHNIQUE AND ITS MICROSTRIP IMPLEMENTATION

1849

ACKNOWLEDGMENT The authors would like to thank one of the reviewers for very useful comments and detailed discussions. REFERENCES

Fig. 14. Measured and simulated performances and group delay of the dualband filter in: (a) example 1 and (b) example 2.

The dual-path coupling scheme also provides another advantage, which is the initial length of each coupled line that can be obtained at each resonant frequency due to each path governs one passband. After fine-tuning in ADS [19], the practical dimension will be obtained.

VI. CONCLUSION The novel analytical method to synthesize a dual-band or a multiband filtering function has been successfully developed. Based on the synthesized composite filtering function, the transversal coupling matrix can be obtained. The transversal coupling matrix is then transformed to a specific coupling matrix, which makes it possible to implement a practical filter. The arbitrarily located transmission zeros and various bandwidth of each passband are available in this method. Furthermore, the single-path and dual-path dual-band coupling schemes are discussed to generate the transmission zeros for the dual-band filter design. By adding the additional quadruplet and trisection coupling scheme in the coupling scheme, the dual-band filter with finite transmission zeros is designed and implemented. The measured results have shown good agreement with simulated results. The newly dual-band transversal coupling matrix synthesis and proposed dual-band filter have shown properties of flexible responses, good performance, and quick design procedures.

[1] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 433–442, Apr. 1999. [2] R. J. Cameron, A. R. Harish, and C. J. Radcliffe, “Synthesis of advanced microwave filters without diagonal cross-couplings,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2862–2872, Dec. 2002. [3] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [4] R. J. Cameron, C. M. Kudsia, and R. R. Mansour, Microwave Filters for Communication Systems: Fundamentals, Design, and Applications. Hoboken, NJ: Wiley, 2007. [5] P. Kozakowski, A. Lamecki, P. Sypek, and M. Mrozowski, “Eigenvalue approach to synthesis of prototype filters with source/load coupling,” IEEE Trans. Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 98–100, Feb. 2005. [6] S. Amari, “Synthesis of cross-coupled resonator filters using an analytical gradient-based optimization technique,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1559–1564, Sep. 2000. [7] A. G. Lamperez, “Analytical synthesis algorithm of dual-band filters with asymmetric pass bands and generalized topology,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, pp. 909–912. [8] G. Macchiarella and S. Tamiazzo, “Design techniques for dual-passband filters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3265–3271, Nov. 2005. [9] R. J. Cameron, M. Yu, and Y. Wang, “Direct-coupled microwave filters with single and dual stopbands,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3288–3297, Nov. 2005. [10] S. Sun and L. Zhu, “Coupling dispersion of parallel-coupled microstrip lines for dual-band filters with controllable fractional pass bandwidths,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 2195–2198. [11] P. Lenoir, S. Bila, F. Seyfert, D. Baillargeat, and S. Verdeyme, “Synthesis and design of asymmetrical dual-band bandpass filters based on equivalent network simplification,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 7, pp. 3090–3097, Jul. 2006. [12] M. S. Wu, Y. Z. Chueh, J. C. Yeh, and S. G. Mao, “Synthesis of triple-band and quad-band bandpass filters using lumped-element coplanar waveguide resonators,” Prog. Electromagn. Res. B, vol. 13, pp. 433–451, Jul. 2009. [13] Y. Zhang, K. A. Zaki, J. A. Ruiz-Cruz, and A. E. Atia, “Analytical synthesis of generalized multi-band microwave filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, 2007, pp. 1273–1276. [14] M. Mokhtaari, J. Bornemann, K. Rambabu, and S. Amari, “Couplingmatrix design of dual and triple passband filters,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 11, pp. 3940–3946, Nov. 2006. [15] V. Lunot, F. Seyfert, S. Bila, and A. Nasser, “Certified computation of optimal multiband filtering functions,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 1, pp. 105–112, Jan. 2008. [16] J. C. Lu, C. K. Liao, and C. Y. Chang, “Microstrip parallel-coupled filters with cascade trisection and quadruplet responses,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 9, pp. 2101–2110, Sep. 2008. [17] G. Macchiarella and S. Tamiazzo, “Dual-band filters for base station multi-band combiners,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, 2007, pp. 1289–1292. [18] “EM User’s Manual,” Sonnet Softw. Inc., Liverpool, NY, 2004. [19] “Advanced Design System (ADS),” Agilent Technol., Santa Rosa, CA, 2003, ver. 2003C. Yi-Ting Kuo was born in Tainan, Taiwan, on March 13, 1981. He received the B.S. degree in electrical engineering from the National Cheng Kung University, Tainan, Taiwan, in 2004, and is currently working toward the Ph.D. degree in communication engineering at the National Chiao-Tung University, Hsinchu, Taiwan. His research interests include analysis and design of microwave filter, diplexer and multiplexer.

1850

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Jhe-Ching Lu was born in Kaohsiung, Taiwan, on May 18, 1982. He received the B.S. degree in electrical engineering from the National Sun Yat-Sen University, Kaohsiung, Taiwan, in 2004, the M.S. degree in communication engineering from the National Chiao-Tung University, Hsinchu, Taiwan, in 2006, and the Ph.D. degree in communication engineering from the National Chiao-Tung University, Hsinchu, Taiwan, in 2009. He is currently a Principal Engineer with the Taiwan Semiconductor Manufacturing Company Ltd., Hsinchu, Taiwan. His research interests include the analysis and design optimization of microwave and millimeter-wave circuits, MOSFET modeling, and the deembedding technique.

Ching-Ku Liao was born in Taichung, Taiwan, on October 16, 1978. He received the B.S. degree in electrophysics and the M.S. and Ph.D. degrees in communication engineering from the National Chiao-Tung University, Hsinchu, Taiwan, in 2001, 2003, and 2007, respectively. From 2006 to 2007, he was a Visiting Researcher with the University of Florida, Gainesville, sponsored by the National Science Council’s Graduate Student Study Abroad Program. He is currently a Senior Engineer with the Gemtek Technology Company Ltd., Hsinchu, Taiwan. His research interests include the analysis and design of microwave and millimeter-wave circuits. Dr. Liao is a member of Phi Tau Phi.

Chi-Yang Chang (S’88–M’95) was born in Taipei, Taiwan, on December 20, 1954. He received the B.S. degree in physics and M.S. degree in electrical engineering from the National Taiwan University, Taipei, Taiwan, in 1977 and 1982, respectively, and the Ph.D. degree in electrical engineering from The University of Texas at Austin, in 1990. From 1979 to 1980, he was with the Department of Physics, National Taiwan University, as a Teaching Assistant. From 1982 to 1988, he was with the Chung-Shan Institute of Science and Technology (CSIST) as an Assistant Researcher, where he was in charge of development of microwave integrated circuits, microwave subsystems, and millimeter-wave waveguide E -plane circuits. From 1990 to 1995, he returned to CSIST as an Associate Researcher in charge of development of uniplanar circuits, ultra-broadband circuits, and millimeter-wave planar circuits. In 1995, he joined the faculty of the Department of Electrical Engineering, National Chiao-Tung University, Hsinchu, Taiwan, as an Associate Professor and became a Professor in 2002. His research interests include microwave and millimeter-wave passive and active circuit design, planar miniaturized filter design, and monolithic-microwave integrated-circuit design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

1851

Novel Wideband Transition Between Coplanar Waveguide and Microstrip Line Senad Bulja, Member, IEEE, and Dariush Mirshekar-Syahkal, Senior Member, IEEE Abstract—A novel wideband vertical transition for connecting the coplanar waveguide (CPW) to the microstrip line is proposed. This transition can be very useful for millimeter-wave packaging and vertical interconnects. It is multilayered, partly tapered, and consists of only one via interconnect. Two different transitions are designed. The first transition allows connectivity of a CPW with to a microstrip line with with a bandwidth of 10–60 GHz. The second transition has the same characteristic impedance, , at the two ports. In this case, the operating frequency is from 40 MHz to 60 GHz. The return losses of both transitions are generally lower than 10 dB over their indicated frequency ranges, while the maximum measured insertion losses are 1.8 and 2.4 dB for the first and second transition, respectively. To extract the -parameters of the transitions, a new thru-line technique, based on the standard thru-reflect-line two-tier calibration is introduced. Simulation and experimental results, showing good agreement, are presented and discussed.

= 50

= 50

= 16

Index Terms—Coplanar waveguide (CPW), microstrip line, transition, via interconnect.

I. INTRODUCTION

HE coplanar waveguide (CPW) and the microstrip line are the two most commonly used transmission lines in millimeter and sub-millimeter wave circuits since they are compact and easy to fabricate. The width of the ground conductors of the CPW is always finite in practice, in which case the structure is sometimes referred to as finite ground CPW. Highly integrated microwave circuits often comprise a combination of CPW and microstrip lines, thus requiring suitable low-loss interconnections (transitions) between these two lines. Historically (the interest in monolithic microwave integrated circuits (MMICs) in the 1970s and the prospects of performing on-wafer measurement by the use of CPWs), probes have been one of the major driving forces behind the integration of CPWs with microstrip lines. Classical paper [1] describes various transitions from CPW to microstrip, coaxial and slotted lines, together with the amounts of achievable losses. In [2]–[5], various transitions from the CPW to the microstrip line that do not require galvanic connections are

T

Manuscript received December 03, 2009; accepted March 12, 2010. Date of publication June 01, 2010; date of current version July 14, 2010. This work was supported by the Engineering and Physical Science Research Council (EPSRC), U.K. The authors are with the School of Computer Science and Electronic Engineering, University of Essex, Colchester CO4 3SQ, U.K. (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2050094

Fig. 1. TL two-tier calibration standards. (a) Thru. (b) Line.

presented. These transitions have an advantage from the fabrication point of view, as no via-holes are needed. However, in some applications, vias are necessary either as a means of providing dc connection or vertical feedthroughs in multilayer structures, or at times, both. For example, highly integrated multilayer circuits, such as those in RF systems-on-chip or RF systems-in-package, require full 3-D integration and enforce the need for vertical interconnect transitions. In [6], an attempt is made to address this issue. The use of a large number of via interconnects, however, makes this transition rather impractical and difficult to fabricate, especially for circuits that operate at millimeter-wave and sub-millimeter-wave frequencies where the circuit (conductor) dimensions are small. The transition from a CPW to the microstrip line is asymmetrical and its -parameters cannot be obtained directly by measurements using a probe station. Instead, the extraction of the -parameters of such asymmetrical transitions (Fig. 1) is normally obtained using two-tier de-embedding procedures. Normally, those procedures are based on the standard thru-reflectline (TRL) [7], [8] calibration scheme. For example, multiline TRL methods, developed in [9] and [10], make use of different line standards to minimize the effect of random errors. In particular, the multiline TRL method of [9] implemented in Multical1 offers a linear averaging strategy of noise and measurement uncertainties arising from imperfect connector repeatability and nonideal line standards. The averaging procedure is based on Gauss–Markov estimates. As such, the procedure is fast, compact, and offers optimal performance to linear order in the presence of noise and measurement uncertainties. On the other hand, the algorithm developed in [10] employs an iterative approach to the solution of a 1[Online].

Available: http://www.boulder.nist.gov/micro

0018-9480/$26.00 © 2010 IEEE

1852

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

set of nonlinear equations evolving from the multiline TRL calibration problem at the expense of speed and compactness. A study in [11] shows that the iterative algorithm in [10] outperforms in the presence of measurement noise. This paper serves two purposes. First, it presents a vertical transition from the CPW to microstrip line using only one via interconnect. This transition is a new development, building upon our earlier work in [12], which described a broadband (dc–65 GHz) transition from the CPW to an inverted balanced stripline using two via interconnects. The proposed transition also serves as an impedance transformer, and, depending on the required characteristic impedance transformation ratio between the CPW and microstrip line, it can be made to operate from 40 MHz to 60 GHz. Second, in order to extract the -parameters of this transition a novel two-tier de-embedding scheme based on the standard TRL procedure [7] is introduced. The novel scheme is applicable to a range of configurations where the error adapters (asymmetrical transitions) of Fig. 1 are either geometrically or electrically symmetrical in regard to the midpoint in the thru standard. By taking advantage of this symmetry, the proposed two-tier de-embedding procedure obviates the need for the reflect standard and relies only on the measurements of the thru and line sets. Viewed in this way, the proposed two-tier calibration method is a subset of the standard TRL procedure and can be referred to as the thru-line (TL) two-tier calibration. A step toward the proposed TL two-tier calibration was made in [13], which was originally developed for the characterization of the active section and the passive input and output CPW tapers of the Mach–Zehnder modulator. However, in the technique in [13], the extraction of the passive CPW tapers did not take advantage of the reciprocal nature of passive circuits. Because of this, the extraction of the measured -parameters of the passive CPW tapers in [13] relies on the -parameters of a reference structure; this is obtained by means of electromagnetic (EM) modeling software. Since the extraction procedure is based on a combination of measurement and simulations results, it can have a detrimental effect on the accuracy of the extraction method. The main advantage of the proposed new TL technique, when compared with the TRL method, lies with the fact that the -parameters of a range of asymmetrical passive devices can be obtained using only two measurements compared to three required by TRL. In this paper, the -parameters of the proposed CPW to microstrip-line transition are extracted using both the TL and TRL techniques. Results are then discussed. II. TRANSITION DESIGN The proposed transition is shown in Fig. 2. As can be seen from this figure, the transition is triple layered, where the thicknesses and dielectric constants of the dielectric layers are m, , m, , , and m. The CPW section of the transition is printed on the bottom layer, which has a high value of the dielectric conneeded to achieve a characteristic impedance stant of 50 for a practically realizable spacing between the center conductor and ground planes. The choice of the material for the middle layer (dielectric constant and height) is dependent on the

Fig. 2. CPW to microstrip-line transition. (a) Perspective view. (b) Top view.

requirements (e.g., the characteristic impedance and width of the microstrip line) of a particular application. For the purpose m and is of this paper, the material with chosen. The microstrip line of the proposed transition is printed on the bottom side of the top substrate so as to make a galvanic connection to the center conductor of the CPW printed on the top side of the bottom layer. The galvanic connection is achieved through the use of a via interconnect that runs through the middle layer connecting the center conductor of the CPW on the bottom layer to the microstrip line on the top substrate. The length of the via interconnect is therefore dependent on the height of the middle layer, and in this design, it is equal to m with a diameter of m. Also in this dem, m, and m, while the sign, m. length of the CPW section is As shown in Fig. 2, immediately after the via, the microstrip line is tapered. The length of the tapered section is m. It is selected so that, at its highest operating frequency (in this case, 60 GHz), it becomes approximately [14]. The taper is used as a broadband impedance equal to transformer and its presence is necessary for different characteristic impedance ratios between the CPW and microstrip line. are optimization parameters In Fig. 2(b), , , and acting as a matching circuit in order to compensate for the inductive behavior of the via interconnect and keep reflections to a minimum. These optimization parameters are dependent on the required characteristic impedance ratio and the operating frequency range. The width of the microstrip ground plane is m, while the width of the microstrip line is dictated by an application requirement. III. TL TWO-TIER CALIBRATION As mentioned in Section I, direct measurement of the -parameters of the proposed transition using a probe station is not possible; this is due to its special geometrical shape including a split dielectric level and a microstrip line at one end. Due to the

BULJA AND MIRSHEKAR-SYAHKAL: NOVEL WIDEBAND TRANSITION BETWEEN CPW AND MICROSTRIP LINE

same structural property, we also found that general commercial EM software packages were not capable of predicting accurate theoretical values for the -parameters. The main reason for this lies with the inability of these software packages to assign a calibrated port to the nonstandard microstrip line; e.g., when the width of its ground plane is finite and the ground plane is sandwiched between two dielectric layers, as shown in Fig. 2. However, these software packages can assign a noncalibrated port to such a line at the expense of some loss of accuracy. In particular, the use of noncalibrated ports should be restricted to structures where no or little EM coupling is expected between ports, as these coupling effects are not de-embedded from the final simulation results. For example, while the use of a noncalibrated port for port 1 of the CPW in Fig. 1 yields accurate results, the simulation results with a noncalibrated port at port 2 on the microstrip line of the same figure are contaminated by the fringing field that exists in the longitudinal direction between the microstrip line and finite ground plane. Better accuracy with noncalibrated ports is expected as the width of the microstrip line is reduced because the amount of fringing field is lower. In the light of this, the results of the simulated transition of Fig. 2 using a noncalibrated port at port 2 provide a reference point for comparison with the TRL and new TL two-tier de-embedding schemes. Let us define the thru standard of Fig. 1 as a cascade connection of two transitions of Fig. 2 in a back-to-back configuration and the line standard as connection of two transitions of Fig. 2 connected through a length of the microstrip line. The and line chain transmission matrices of the thru standards can now be expressed by

(1a) (1b) and represent the chain transmission where matrices of the transition of Fig. 2 and the length of microstrip line between the two transitions, respectively. represents matrix in the reverse direction. , , and are given by

1853

By denoting complex propagation constant

, and using (2), the is found from (1) [12]

(3a) (3b) The thru and line standards (1) can be represented in terms of the overall -parameters of the thru and line standards as (4a) (4b) where and represent the reflection and insertion losses of stand for the reflection and the thru standard, while and insertion losses the line standard. Substituting (2) into (1) and comparing the result of the multiplication with (4), one obtains (5a) (5b) (5c) (5d) It can be shown that the system of four equations, given by (5), is not independent, as one of its four equations can be represented as a linear combination of the other three equations. , , and Therefore, the three independent unknowns in (5) can be uniquely determined. Combining (5a)–(5d) leads to (6) (7) (8)

(2a) (2b)

and for the thru and line stanBy measuring dards, the scattering parameters of the transition can be obtained using (6)–(8).

(2c)

IV. RESULTS

where , , and denote the -parameters of the transition of Fig. 2. In the derivation of (2), the reciprocity of the tran. In (2), is sition is taken into account by represents the length the complex propagation constant and of the microstrip line between the two transitions, which is 0 mm for the case of the thru standard and 1 mm for the line standard.

The transition shown in Fig. 2 is designed for two applications. In the first application, the required width of the m corresponding to the charmicrostrip line is acteristic impedance of approximately 16 , while the second m with a application necessitates a width of characteristic impedance of 50 . Both transitions were first designed through available closed-form mathematical expressions; their performances (reflection and insertion losses) are

1854

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 3. Return losses of 50- CPW to 16- microstrip transition in Fig. 2, extracted by (TRL) and (TL) using simulated data and obtained by direct simulation (SIM).

then improved by optimizing parameters , , and using a commercial RF software package. As mentioned earlier regarding the complexity associated with the structural shape of the transition, the design and optimization of the transitions were achieved using two back-to-back transitions (in the forms of the thru and line standard introduced earlier). The thru and line standards for the experimental work were then fabricated using a standard photolithography process. The fabrication process was conducted on standard commercially available Rogers Duroid2 substrates. The via interconnects were made using conductive epoxy. The measurements of the scattering parameters were performed using an Anritsu Lightning 3739 D vector network analyzer (VNA) in conjunction with a Cascade Microtech M150 probing system. The VNA was calibrated using the standard short-open-load-thru (SOLT) calibration technique, while the CPW probes used in the measurements had a pitch size of 250 m. A. 50- CPW to 16- Microstrip Transition of this transition are optimized Parameters , , and for improved reflection and insertion losses using the thru and m, m, and line standards, yielding m. This particular transition has found use in the dielectric characterization of nematic liquid crystals in the millimeter-wave range [15], [16]. The characteristic impedance of 16 is for a 1-mm-wide microstrip line at port 2. The new TL two-tier de-embedding procedure [see (6)–(8)] is then applied to both the simulated and measured thru (cascaded back-to-back transitions) and line (cascaded back-to-back transitions with a line in between) standards. Also, in order to provide a valid comparison with the TRL method, a reflect standard is designed and fabricated; it consists of the transition of Fig. 2 with port 2 left open. Furthermore, the single transition (Fig. 2) was simulated directly using the commercial software in spite of lack of a calibrated port to a finite ground microstrip line at port 2, and hence, some loss of accuracy was expected. Nevertheless, this simulation, whose results are shown in Figs. 3–5, 2[Online].

Available: http://www.rogerscorporation.com

Fig. 4. Transmission losses of 50- CPW to 16- microstrip transition in Fig. 2, extracted by (TRL) and (TL) using simulated data and obtained by direct simulation (SIM).

Fig. 5. Return phase of 50- CPW to 16- microstrip transition in Fig. 2, extracted by (TRL) and (TL) using simulated data and obtained by direct simulation (SIM).

provides a reference point for comparison of the TRL and new TL two-tier de-embedding schemes. Next, the proposed TL two-tier de-embedding procedure using (6)–(8) was applied to the measured and simulated results of the thru and line standards. Additionally, the standard TRL procedure was implemented in MATLAB and applied to the simulated and measured TRL standards. The results of the two methods are depicted in Figs. 3–8. Figs. 3–5 depict the results, based on simulations, and Figs. 6–8, based on measurements. As far as comparison between the simulation- and measurements-based results is concerned, Fig. 3 must be compared with Fig. 6, Fig. 4 with Fig. 7, and Fig. 5 with Fig. 8. From these figures, three observations can be made. First, the agreement between the results obtained by the TL and TRL methods is excellent, apart from certain minor discrepancies. This agreement holds true for both the simulated and measured results in Figs. 3–8. This confirms the statement, given in Section I, that the proposed TL method can be viewed as a subset of the standard TRL technique, provided that the error adapters (asymmetrical transitions) are either geometrically or electrically symmetrical with respect to the midpoint of the thru standard.

BULJA AND MIRSHEKAR-SYAHKAL: NOVEL WIDEBAND TRANSITION BETWEEN CPW AND MICROSTRIP LINE

1855

Fig. 6. Return losses of 50- CPW to 16- microstrip transition in Fig. 2, extracted by (TRL) and (TL) (coinciding) using measured data.

Fig. 8. Return phases of 50- CPW to 16- microstrip transition in Fig. 2, extracted by (TRL) and (TL) (coinciding) using measured data.

Fig. 7. Transmission losses of 50- CPW to 16- microstrip transition in Fig. 2, extracted by (TRL) and (TL) (coinciding) using measured data.

Fig. 9. Return losses of 50- CPW to 50- microstrip transition in Fig. 2, extracted by (TRL) and (TL) using simulated data and obtained by direct simulation (SIM).

The second observation relates to the comparison between the results of the TL and TRL methods based on simulation data with the results obtained from the commercial software for the proposed transition directly (Figs. 3–5). Generally, the agreement between the two is acceptable, as the shape of the extracted and directly simulated -parameters curves share the same trend. The main differences, however, are in the details. For example, while the positions of the minima/maxima in -parameters responses show a relatively good agreement, their values are quite different. As mentioned earlier, these differences can be attributed to the use of a noncalibrated port at port 2, where the effect of the fringing field is not de-embedded from the final simulation results. The third observation is associated with the agreement between the TL and TRL results, obtained using simulated data for their respective standards, and the TL and TRL results, obtained using measurements (Figs. 3–8). These figures show good agreement, in general, between the extracted -parameters from the measurements and simulations. Some discrepancies, as seen in the comparisons, can be attributed to the fabrication process and shortcoming of the simulator in dealing with input/output steps. In the operating frequency range of this transition (10–60 GHz) the maximum disagreeis ment between the measurement and simulation for

0.67 dB at 60 GHz. In the same frequency window, dB. therefore, generally,

, and

B. 50- CPW to 50- Microstrip Transition For this transition, the structural and dimensional details are the same as the previous transition, but the width of the mim and the values of the optimized crostrip line is m, m, and parameters are m. This transition has already been used in the design of a broadband meander line phase shifter in [17]. As with the previous transition, the new TL two-tier de-embedding procedure (6)–(8) was applied to both the simulated and measured thru and line standards and results were compared with those from the TRL method. For the purpose of a valid comparison with the TRL method, a reflect standard was designed and fabricated. The designed transition was also simulated directly using the commercial simulator with a noncalibrated port of 50 assigned to port 2 in order to provide a suitable reference point for comparison with the TRL and new TL two-tier de-embedding schemes. The results are depicted in Figs. 9–11. As far as comparison between the simulation- and measurements-based results is concerned, Fig. 9 must be compared with Fig. 12, Fig. 10 with Fig. 13, and Fig. 11 with Fig. 14.

1856

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 10. Transmission losses of 50- CPW to 50- microstrip transition in Fig. 2, extracted by (TRL) and (TL) using simulated data and obtained by direct simulation (SIM).

Fig. 13. Transmission losses of 50- CPW to 50- microstrip transition in Fig. 2, extracted by (TRL) and (TL) (coinciding) using measured data.

Fig. 11. Return phases of 50- CPW to 50- microstrip transition in Fig. 2, extracted by (TRL) and (TL) using simulated data and obtained by direct simulation (SIM).

Fig. 14. Return phases of 50- CPW to 50- microstrip transition in Fig. 2, extracted by (TRL) and (TL) (coinciding) using measured data.

Fig. 12. Return losses of 50- CPW to 50- microstrip transition in Fig. 2, extracted by (TRL) and (TL) (coinciding) using measured data.

Figs. 12–14 show that there is an excellent agreement between the TRL and TL de-embedding schemes whether the data is taken from simulations or from measurements. The data obtained from the direct simulation of the transition follow the extracted data (based on simulation) by the TL and TRL, but as expected, it does not fully agree with them. As explained

before, some of the discrepancy can be attributed to the error arising from the noncalibrated port 2 in the direct simulation. Finally, as it was with the case of the 50- CPW to 16- microstrip transition, there is generally a good agreement between the measured and simulated TRL and TL data, comparing appropriate results in Figs. 9–14. A possible source of error in the results based on the simulation is the effect of the input/output structural steps (Fig. 2), which cannot be taken into account in simulations. Fabrication errors also affect the TL and TRL data based on measurements. In the operating frequency window of this transition (40 MHz–60 GHz), the return losses are generally below 10 dB, while the maximum discrepancy between the measured and simulated insertion losses is 1.1 dB. V. CONCLUSION In this paper, a new wideband transition from the CPW to the microstip line was proposed. The transition is multilayered, tapered, and consists of one via interconnect. To evaluate the performance of the transition, a two-tier TL de-embedding procedure borrowing the concept from the standard TRL calibration was introduced for the first time. This technique is applicable to many symmetrical structures.

BULJA AND MIRSHEKAR-SYAHKAL: NOVEL WIDEBAND TRANSITION BETWEEN CPW AND MICROSTRIP LINE

Two transitions with different port impedances were designed. They were fabricated on commercially available Roger Duroid substrates using a standard photolithography process. The first transition offers connectivity from a CPW with to a microstrip line with , while the second transition has the same characteristic impedance at CPW and microstrip line ports. The operating frequencies of the two transitions are 10–60 GHz and 40 MHz–60 GHz for the first and second transition, respectively. The -parameters of the proposed transitions, extracted from the measurements and simulations, are in good agreement, with a maximum diffor the first and second ference of 0.67 and 1.1 dB for transition, respectively, over their respective frequency ranges. The return losses of the transitions are generally smaller than 10 dB within the indicated frequency range. It was found that the -parameters of the transitions extracted using the newly introduced TL two-tier calibration procedure are in excellent agreement with the -parameters extracted using the standard TRL de-embedding scheme. The proposed TL method takes advantage of the symmetry of thru standards and the reciprocity of passive circuits to obviate the need for the reflect standard. REFERENCES [1] M. Houdart and C. Aury, “Various excitations of coplanar waveguide,” in IEEE MTT-S Int. Microw. Symp. Dig., 1979, pp. 116–118. [2] G. Strauss, P. Ehert, and W. Menzel, “On-wafer measurements of microstrip-based MMICs without via holes,” in IEEE MTT-S Int. Microw. Symp. Dig., 1996, pp. 1399–1402. [3] G. P. Gauthier, L. P. Katehi, and G. M. Rebeiz, “ -band finite ground coplanar waveguide (FGCPW) to microstrip transition,” in IEEE MTT-S Int. Microw. Symp. Dig., 1998, pp. 107–109. [4] A. M. E. Sawfat, K. A. Zaki, W. Johnson, and C. H. Lee, “Novel design for coplanar waveguide to microstrip transition,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, pp. 607–610. [5] G. Zheng, J. Papapolymerou, and M. M. Tentzeris, “Wideband coplanar waveguide RF probe pad to microstrip transitions without via holes,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 544–546, Dec. 2003. [6] L. Han, K. Wu, and X. P. Chen, “Compact and broadband transition of microstrip line to finite-ground coplanar waveguide,” in Proc. 38th Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 2008, pp. 480–122. [7] G. F. Engen and C. A. Hoer, “Thru-reflect-line: An improved technique for calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 12, pp. 987–993, Dec. 1979. [8] J. Eul and B. Schiek, “A generalized theory and new calibration procedures for network analyser self-calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 4, pp. 724–731, Apr. 1991. [9] R. G. Marks, “A multiline method of network analyzer calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7, pp. 1205–1215, Jul. 1991. [10] D. F. Williams, “De-embedding and unterminating microwave test fixtures with nonlinear least squares,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 6, pp. 787–791, Jun. 1990.

1857

[11] D. F. Williams, C. M. Wang, and U. Arz, “An optimal multiline TRL calibration algorithm,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, vol. 3, pp. 1819–1822. [12] S. Bulja, D. Mirshekar-Syahkal, and M. Yazdanpanahi, “Novel wideband transition between finite ground coplanar waveguide (FGCPW) and balanced stripline,” in Proc. Eur. Microw. Conf., Rome, Italy, Sep. 2009, pp. 301–303. [13] A. Cosu, G. Gilardi, P. Tomassino, A. Trifiletti, and A. Vannucci, “A method for microwave characterization of LiNbO modulators,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 2, pp. 60–62, Feb. 2003. [14] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: McGraw-Hill, 1992. [15] D. Mirshekar-Syahkal and S. Bulja, “Devices and techniques for broadband and spot frequency measurements of dielectric properties of liquid crystals and anisotropic materials,” U.K. Patent Appl. GB09 10075.7, Jun. 15, 2009. [16] R. James, F. A. Fernández, S. E. Day, S. Bulja, and D. MirshekarSyahkal, “Accurate modeling for the wideband characterization of nematic liquid crystals for microwave applications,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3293–3297, Dec. 2009. [17] S. Bulja and D. Mirshekar-Syahkal, “Meander line mm-wave liquid crystal based phase shifter,” Electron. Lett., submitted for publication. Senad Bulja (M’07) was born in Kosovska Mitrovica, former Yugoslavia., in 1977. He received the B.Eng (with distinction) and Ph.D. degrees from the University of Essex, Colchester, U.K., in 2002 and 2007, respectively. Since 2007, he has been a Senior Research Officer with the School of Computer Science and Electronic Engineering, Essex University. His research interests include microwave amplifier linearization techniques, microwave phase shifters, memory effects description in RF transistors, microstrip antennas, characterization of liquid crystals at microwave and millimeter-wave frequencies, and development of liquid-crystal-based devices.

W

Dariush Mirshekar-Syahkal (M’92–SM’93) received the B.Sc. degree (with distinction) in electrical engineering from Tehran University, Tehran, Iran, in 1974, and the M.Sc. degree in microwaves and modern optics and Ph.D. degree from University College London, University of London, London, U.K., in 1975 and 1979, respectively. From 1979 to 1984, he was a Research Fellow with University College London, where he was involved with analysis and design of microwave and millimeter-wave planar transmission lines and components, as well as on nondestructive evaluation of materials by EM techniques. Since 1984, he has been on the staff with the University of Essex, Colchester, U.K., where he is a Professor and Head of the RF and Microwave Research Laboratory, School of Computer Science and Electronic Engineering. He has been a Consultant to over ten nmajor international companies. He has authored numerous technical publications including Spectral Domain Method for Microwave Integrated Circuits (Wiley, 1990). He holds several patents. His current research encompasses adaptive antennas, super-compact filters for ultra-wideband (UWB) miniaturized systems, amplifier linearizations, numerical modelings for EM problems and characterization, and applications of liquid-crystal materials at microwave and millimeter-wave frequencies. Prof. Mirshekar is a Chartered Engineer in the U.K. He is a Fellow of the Institution of Engineering and Technology (IET).

1858

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Design and Analysis of 1-D Uniform and Chirped Electromagnetic Bandgap Structures in Substrate-Integrated Waveguides Joshua D. Schwartz, Member, IEEE, Ramesh Abhari, Senior Member, IEEE, David V. Plant, Fellow, IEEE, and José Azaña, Member, IEEE

Abstract—We demonstrate the creation of an electromagnetic bandgap (stopband) within the passband of the fundamental mode of a substrate-integrated waveguide. The bandgap is achieved by periodically modulating the waveguide width using the metallic plated vias that form the effective waveguide sidewall. We describe the design of such a structure, noting that, compared with previously demonstrated 1-D transmission-line electromagnetic bandgap structures, the resonant bandgap frequency is more significantly affected by the amplitude (depth) of the modulation of the waveguide width. We explain this result using classical circuit theory and describe a model-based approach to predicting device behavior. We present measured results for several designed -band using smooth and square-like modulastructures in the tions of the waveguide width. We demonstrate both uniform and linearly chirped (dispersive) implementations; the latter structures yield broadband linear group delays in the reflected band. Index Terms—Dispersion, millimeter-wave waveguides, periodic structures, photonic bandgap, planar waveguides, waveguide filters.

I. INTRODUCTION

S

UBSTRATE integrated waveguides (SIWs), also known as post-wall waveguides, have emerged as a promising new interconnect for microwave and millimeter-wave signals, in part because they combine the high- -factor and low-loss merits of traditional rectangular waveguides with the simplicity of planar fabrication and low-cost integration. SIWs use fencepost arrangements of metallized vias of sufficiently close spacing such

Manuscript received December 02, 2009; revised April 12, 2010; accepted April 18, 2010. Date of publication June 07, 2010; date of current version July 14, 2010. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada (NSERC) under a Strategic Project Grant. The work of J. D. Schwartz was supported by the NSERC through a postdoctoral fellowship. J. D. Schwartz was with the Institut National de la Recherche Scientifique-Énergie, Matériaux et Télécommunications (INRS-EMT), Montreal, QC, Canada H3C 3P8. He is now with the Department of Engineering Science, Trinity University, San Antonio, TX 78212 USA (e-mail: [email protected]; [email protected]). R. Abhari and D. V. Plant are with the Department of Electrical and Computer Engineering, McGill University, Montreal, QC, Canada H3A 2A7 (e-mail: [email protected]; [email protected]). J. Azaña is with the Institut National de la Recherche Scientifique-Énergie, Matériaux et Télécommunications (INRS-EMT), Montreal, QC, Canada H3C 3P8 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2050025

that they act as an effective metal sidewall, containing a propagating electromagnetic wave in a channel through the substrate between top and bottom conductors, approximating a rectangular waveguide [1], [2]. The fundamental propagating electromagnetic mode of a substrate-integrated waveguide is the mode, and the upper bound of single-mode behavior is the onset mode at twice the cutoff frequency of the fundaof the mental mode. A wide body of research has demonstrated substrate-integrated waveguide implementations of various useful circuit-building blocks such as high-speed interconnects, multiport junctions, antennas, circulators, couplers, resonators, and filters [3], [4]. In addition, broadband low-insertion-loss transitions from substrate-integrated waveguide to other microwave transmission lines have been demonstrated using tapered structures—for example, substrate-integrated waveguide to grounded (conductor-backed) coplanar waveguide (CPW) [5] can display insertion loss better than 0.4 dB and return loss better than 20 dB across the full single-mode frequency band. As we will demonstrate, one classical structure compatible with substrate-integrated waveguides is a periodic structure, which can be used to create an electromagnetic bandgap (EBG). Originally employed mostly in photonic crystals and devices (EBGs are still sometimes called photonic bandgaps), EBG structures have since been successfully demonstrated in planar microwave frequency technologies such as microstrip [6]. In general, they are created by periodically modulating the transmission-line impedance. In the simplest implementation, a uniform EBG (i.e., a periodic structure with a single, unchanging period and no applied apodization) creates a broad frequency bandgap (a rejection band) around some central resonant frequency whose guided wavelength is twice the period of the impedance perturbation. The impedance modulation can take many forms—it can be achieved by any regular perturbation of the wave impedance. It has already been shown that periodic planar (2-D) EBG structures can be created in SIW by etching resonant unit cells periodically into the top or bottom conductor plane [7], [8]. Although such filters can be made compact, they can also lead to undesirable radiation from the slots etched into the conductor planes. Here, we present a 1-D EBG structure, which does not require any layer etching. Two examples of such a structure in rectangular waveguide are illustrated in Fig. 1. A second important advantage of the 1-D approach is that, unlike with discrete planar unit cells, it is simple in 1-D to create a

0018-9480/$26.00 © 2010 IEEE

SCHWARTZ et al.: DESIGN AND ANALYSIS OF 1-D UNIFORM AND CHIRPED EBG STRUCTURES IN SIWs

1859

adjusting an intuitive sinusoidal expression rather than the traditional technique of cascading series and shunt sections of transmission line or waveguide. Second, we use the opportunity to contrast the application of Bragg theory as it has been applied in microstrip EBGs [6], [10]–[12], where it has had some successes, with application in waveguides, where (as we will show) it is somewhat less accurate. The theory of 1-D periodic structures is predicated on the simple but powerful idea that a periodic impedance perturbation will cause resonant behavior for an incident wave having a guided wavelength equal (or near) to twice the period of the perturbation, according to the well-known Bragg condition Fig. 1. Illustration of a rectangular waveguide segment featuring square-like and sinusoidal width modulation.

smoothly and continuously varying impedance modulation profile that can be tapered, chirped, or otherwise arbitrarily manipulated to achieve unique amplitude and phase characteristics in the reflected band. It has been shown (with examples in microstrip technology) that, in fact, any arbitrary frequency response can be synthesized from a generalized reflection-mode EBG structure subject to the constraints of passivity, causality and stability [9]. However, the limitations of microstrip technology make millimeter-wave frequencies prohibitively lossy to work with over long lengths, where waveguides become preferable. Without yet adapting for SIWs the full analytical synthesis approach of [9] which employs the coupled-mode theory, we present here an example of the potential for these structures in the form of a linearly chirped EBG filter achieving a broadband linear group delay. Such filters are of interest as sources of designable broadband dispersion, which can be a useful tool for signal processing techniques that involve time-frequency conversions, such as real-time spectral analysis [10], tunable time delay [11], and temporal imaging [12], or to compensate for some known dispersion in a broadband interconnect. This paper is organized as follows. In Section II, we will outline the theory of the design of an EBG structure in SIW technology, highlighting differences with transmission-line EBGs. In Section III, we will present simulated and measured results for uniform EBG structures in rectangular waveguides and SIWs with smooth and square-like impedance modulations. In Section IV, we explore chirped EBGs and present some simulation and measurement results before concluding with a brief discussion of applications and practical considerations. II. THEORY Here, we investigate the theory of 1-D EBGs in the context of rectangular waveguides, with the understanding that these results can be extended, without loss of generality, to analogous substrate-integrated waveguides by exploiting the effective width property of the latter [1]. Although traditional microwave filter synthesis techniques are well established, we find it instructive here to examine the EBG structure in the context of the established theory of 1-D periodic structures, such as is used in optical fiber Bragg gratings [13] or transmission-line EBGs [6]. This is for two reasons. First, we seek to develop continuously perturbed structures whose behavior can be designed by

(1) where is the perturbation period, and is the resonant (Bragg) wavelength. The amplitude of the impedance modulation (hereafter called the modulation depth), together with the number of periods, serves primarily to influence the overall strength (reflectivity) and width of the bandgap, with a deeper modulation corresponding to a stronger, broader rejection band. We first illustrate this familiar result in microstrip, reserving discussion of waveguides for later. Consider a microstrip-based uniform EBG in RT/Duroid 6002 material , 0.504-mm thickness, 1.16-mm trace width) with a ( square-like symmetric perturbation in the trace width having a 3.6 mm. Using a dispersion-compensated value period of for quasi-TEM propagation at 25 GHz [14], we of expect from (1) to see a Bragg resonant peak at 25.5 GHz. Four perturbations of different magnitudes are simulated in Ansoft’s High-Frequency Software Simulator (HFSS) and presented in Fig. 2 assuming perfect (lossless) conductors. We draw attention to the location of the resonant wavelengths (transmission minima). It is clear from the figure that the resonant wavelengths are close to the expected Bragg value, but show some small variation with modulation depth, moving in 200-MHz steps from 25.6 to 26.2 GHz for symmetric modulation depths from 0.4 mm (or 34% of the total width) to 0.1 mm (8.6%), respectively. This dependence is generally unremarked upon in the recent literature on 1-D continuous EBG structures, but can be understood in the classical filter context. It was stated in the work of Nair [15] that the periodic width modulation of a microstrip could be modeled by inserting shunt capacitance elements between series sections of transmission line, although Nair then neglects this capacitance as “small” and therefore does not observe the relationship between the modulation depth and the resonant frequency. Now let us consider the problem of an EBG in a rectangular mode, it waveguide. Since the object of our interest is the is helpful to recall that the guided wavelength of this mode can be expressed as [16] (2) where is the width of the waveguide (or, for a SIW, the effective width), is the angular frequency, and and are the dielectric material’s permeability and permittivity, respectively. It is tempting to apply (1) and (2) to design a periodic structure

1860

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 2. Simulated frequency response of microstrips with an 11-period squarelike sidewall modulation. S -parameters for reflection (jS j) and transmission (jS j) are shown for four modulation depths: 0.4 mm (solid line), 0.3 mm (dashed–dotted line), 0.2 mm (dashed line), and 0.1 mm (dotted line). A dashed line passes through the center resonant frequencies of each.

in a rectangular waveguide or SIW to target a particular resonant frequency, however simulations indicate a much greater dependence on modulation depth than in the microstrip case above. Consider the following parameters: a rectangular waveguide designed in the same dielectric material and thickness as the previous microstrip example, with a waveguide width of 4.04 mm. If the sidewalls are modulated in a square-like or sinusoidal pat3.6 mm (as in tern as shown in Fig. 1 with a period of the microstrip case), then application of (1) and (2) would precutoff fredict a resonance frequency of 32.5 GHz (and a quency of 21.6 GHz). Simulations using HFSS are carried out assuming perfect conductors for a rectangular waveguide with these parameters in which the impedance modulation is created by varying the total waveguide width between 3.54 and 4.54 mm (a symmetric square-like modulation amplitude of 0.5 mm on each sidewall as shown on the left of Fig. 1). The result produces a bandgap centered at 33.4 GHz or about a 3% deviation from the Bragg theory prediction. This result is insensitive to device length (number of periods). In Fig. 3, we present simulated data for 11 and 33 periods (11 periods were chosen to create a 4-cm-long EBG prototype). As expected, a longer structure with more periods has a deeper bandgap response [13], but the resonant frequency is unaffected. The cutoff frequency in these cases is observed to be 23.2 GHz, which is higher than that of the unperturbed waveguide (21.6 GHz) but lower than that which would be predicted based only on the narrowest segcutoff frequency ments (3.54 mm), which would have a of 24.7 GHz. This result is explained by well-established theory and is the consequence of the perturbation exciting a hybrid [17]. mode As shown in Fig. 3, increasing the sidewall modulation amplitude from 0.5 to 0.8 to 1 mm on each side (without changing the period or number of periods) drives the resonant frequency peak up from 33.4 to 34.4 to 35.4 GHz. These depths of modulation are chosen to achieve similar stopband rejection levels to those from the microstrip example in Fig. 2, however, there

Fig. 3. Simulated responses of a rectangular waveguide with square-like sidewall modulation. Results are shown for three EBG structures: an 11-period with modulation depths of 0.5 mm (solid line), 0.8 mm (dotted line), and 1 mm (dashed–dotted line), and a 33-period 0.5-mm modulation (dashed line).

is here a much more noticeable shift in resonant frequency as compared to that experienced in microstrips of varying modulation depths. Anyone familiar with fiber Bragg gratings might point out that such frequency detuning is quite common in fiber Bragg gratings containing modulations of different amplitudes, however the mechanism for this is quite different: in a fiber Bragg grating, this phenomenon is linked to the fact that the modulation of the fiber index of refraction (related to material permittivity) is typically achieved in fabrication only in one direction ), and as a result the overall average index in the (i.e., for a typical uniform grating) grating (i.e., is dependent on the depth of modulation [13]. In this way, increasing the modulation depth not only makes the grating stronger, it “pulls” the resonant frequency along according to . However, (1) because the guided wavelength depends on in a substrate-integrated waveguide, the “pulling” phenomenon is observed even when the average width of the waveguide is preserved by using a two-sided symmetrical modulation about a central width value. To explain this result, we must turn to classical waveguide theory and the theory of periodic structures. It is widely accepted that the greatest accuracy can be obtained by a full modal analysis of the structure, and we note that corrugations in rectangular waveguides have been treated extensively in the literature in this way [17]–[20]. In these treatments, it is assumed that the corrugation period is very short compared to the guided wavelength, and therefore the phase change of the field across the corrugation slot is insignificant, which permits the lumped-element treatment of each slot as a capacitive or inductive impedance. This is not strictly the case for the proposed structures, whose “slots” are electrically wide (enough to be considered as a length

SCHWARTZ et al.: DESIGN AND ANALYSIS OF 1-D UNIFORM AND CHIRPED EBG STRUCTURES IN SIWs

1861

Fig. 4. Unit cell used in calculation of ABCD matrix; top view showing the corresponding via pattern and equivalent network with shunt susceptances.

of waveguide) and which are by design on the order of the guide wavelength. In contrast to the full modal analysis approach, we seek a modeling-based way to represent the Bragg structure as a cascade of equal-length waveguides while accounting for the discontinuity between them. We will show that this approach can yield highly accurate predictions with less computational effort than a full modal analysis. We first construct an equivalent circuit for a unit cell of the overall structure. We represent the displacement of the sidewalls in the H-plane as a localized inductive element with a corresponding lossless shunt susceptance jB as shown in Fig. 4 (where a top view of a corresponding section of SIW is shown). The unit cell of Fig. 4 (top) can be understood as a series cascade of five elements: a waveguide (width , length ), a step discontinuity, a waveguide (width , length ), a step disconti). nuity, and a waveguide (width , length To obtain a simplified model of the step discontinuity, we adopt an approach similar to that discussed in [21]. In this method, the shunt susceptance of the step is approximated by first computing the susceptance (normalized) of the corre. The value of is given by sponding thin symmetric iris Marcuvitz [22]. We then apply the rule of thumb proposed in [21] to account for the unequal widths on either side of the step . The rigorous equation discontinuity by taking from [22] was used in our calculations, but it may be for approximated with reasonable accuracy by its dominant term [23] and scaled by 0.55, giving (3) where and are the widths of the smaller and larger waveguide sections (Fig. 4), and susceptance and guided waveare both frequency-dependent based on (2). length Once computed as a function of frequency, we use this inductance in treating the waveguide EBG as a periodically loaded waveguide of alternating wave impedances, building a unit cell matrix representation [16]. The unit cell is ilusing an and are frequency-dependent lustrated in Fig. 4, where and determined by , where the propagation conalso depends on the guide width [16]. stant Using the same numerical values as the preceding example, transmission matrix that can be inwe calculate an spected to determine the location of passbands and stopbands of

Fig. 5. Theory prediction using inductively loaded equivalent circuit of the unit cell to determine the resonance frequencies for two different sidewall modulation amplitudes (0.5 mm: dashed line; 0.8 mm: solid line, 1 mm: dotted line). Stopbands are created where the amplitude equals or exceeds unity.

the structure. As described in [16], when the value of in the matrix equals or exceeds unity, transmission is forbidden. A plot of this value versus frequency is presented in Fig. 5 for two cases discussed previously (0.5- and 0.8-mm modulation depths). In the figure, it is clear that two different mechanisms lead to forbidden bands: on the left is the cutoff region exceeds unity), and this is followed (where the value by the periodic occurrence of a forbidden resonant frequency, for which the first two harmonics are shown. The theory lines up very precisely with simulation results of Fig. 3 and predicts a first-order resonant frequency of 33.5 GHz, an error of about 0.3%, in the first case (0.5-mm modulation), 34.6 GHz, or 0.6% error, in the second case (0.8-mm modulation), and 35.3 GHz, or 0.3% error, in the final case (1-mm modulation). Cutoff frequencies are also predicted for each case with similar accuracy at 23.2, 25.2, and 26.8 GHz for 0.5-, 0.8-, and 1-mm modulations, respectively. Simulation results (as shown in Fig. 3) yield values of 23.6, 25.1, and 26.4 GHz for these respective modulations at their 3-dB points. It is also possible to use this technique to predict the resonant frequency for sinusoidally modulated sidewalls, although in this case the perturbation is a distributed rather than localized inductance. Sufficient accuracy can be obtained by representing the sinusoidal modulation as a series of discrete inductive steps (a staircase approximation). A unit cell and corrematrix can be formed by cascading all intersponding mediate waveguide segments and inductive steps of the staircase approximation. For example, if we assume a sinusoidal width modulation with the exact same material, period, and modulation depth as the square-like case, we find that it is sufficient to use six inductive steps in a unit cell (instead of two for a square-like structure) to accurately predict a bandgap resonant frequency at 32.8 and 33.3 GHz for modulation depths of 0.5 and 0.8 mm, respectively. These values are confirmed in simulation and measurement (see Section III). We note that the results are slightly shifted to lower frequencies compared to the corresponding square-like modulation; this is consistent with the

1862

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 6. Photograph of a prototype board with a 4-cm EBG.

fact that the inductive perturbations in the step-like approximation are smaller. III. UNIFORM EBG DESIGN To create and measure an SIW prototype, we employed the optimized grounded CPW to SIW transition proposed in [5], reproducing the exact transition dimensions in the same material. We fabricated 4-cm EBG prototypes (see Fig. 6) on a 6.5-cmlong board using uniform sinusoidal and square-like modulations in the sidewall of plated metal vias of 150- m cylindrical diameter at a center-to-center pitch of 250 m. This results in a greater number of small vias used as compared to most SIWs in the literature, but it is necessary to keep the via pitch roughly an order of magnitude smaller than the period of modulation to adequately represent a sinusoidal pattern using discrete elements. It is worth noting that a SIW itself is, by nature, periodic in the pitch of the vias—but this period is here much shorter than required to stimulate bandgap behavior in the fundamental mode. The prototype board was edge-connectorized using a press-fit commercial grounded CPW to 2.92 mm adaptor. -parameter measurements were obtained using an Anritsu 37397D vector network analyzer (VNA). In Fig. 7, we compare simulated results of a rectangular waveguide with a uniform square-like sidewall modulation pattern with a measurement result from a corresponding SIW, noting that the measurement includes losses from nonideal conductors, edge connectorization, and the grounded CPW transition structure (an extra insertion loss totaling about 1.5–2 dB across the operating bandwidth). Measurements and simulation confirm the theoretical prediction of a shift in the resonance peak towards higher frequencies for the more deeply modulated structure. We present in Fig. 8 data for a sinusoidally modulated sidewall structure, once again with simulated data for a rectangular waveguide and measurement data from a corresponding SIW. Again, a shift in central resonant wavelength is observed when the depth of sidewall modulation is increased, and we confirm that the resonant frequencies are slightly lower than those of the equivalent square-like modulation structure as predicted by the theory in Section II. Table I presents a summary of theoretical, simulated, and measured bandgap resonance frequencies for the various modulation conditions and structures described in this section. IV. CHIRPED EBG DESIGN One of the advantages of a 1-D periodic structure is that a smoothly varying profile makes it possible to easily implement apodizations, chirps, and other gradual profile changes.

Fig. 7. Simulated (dashed line) and measured (solid line) jS j and jS j for two uniform square-like sidewall modulated EBGs of different modulation depths (0.5 and 0.8 mm).

Fig. 8. Simulated (dashed line) and measured (solid line) jS j and jS j parameters for two uniform sinusoidal sidewall modulated EBGs of different modulation depth (0.5 and 0.8 mm).

TABLE I EBG RESONANT FREQUENCY

This creates a unique opportunity for tailoring the amplitude and phase response of a SIW in the reflection mode through synthesis methods such as those that have been recently demonstrated in transmission lines [9]. For example, linearly chirping an EBG allows the designer to create a broadband linear group delay slope (positive or negative) in the reflected frequency band, which is useful when paired together with a broadband circulator in an SWI such as those demonstrated in [24]. Linear chirps have useful roles in radar applications as well as time-frequency signal conversions [10]–[12]. While chirped periodic structures are common in other media (e.g., surface acoustic wave devices, chirped fiber Bragg gratings), there are few if any comparable examples in native electronic medium for the

SCHWARTZ et al.: DESIGN AND ANALYSIS OF 1-D UNIFORM AND CHIRPED EBG STRUCTURES IN SIWs

multigigahertz frequency range. Active solutions for generating gigahertz-band chirp exist, such as ramped voltage-controlled oscillators and signal synthesizers, but these are frequently limited by slew rates and sweeping through several gigahertz in a nanosecond remains nontrivial, whereas a short-time impulse reflected from a suitably broadband passive chirp filter such as we propose would represent a possible solution. It should be immediately noted that rectangular waveguides (and, by extension, SIWs) already exhibit a nonlinear waveguide dispersion owing to their structure. This waveguide dispersion is progressively more pronounced and nonlinear near the cutoff wavelength, however, for a simple demonstration example we will restrain discussion to frequencies sufficiently above the cutoff that this dispersion can be approximated by a linear group delay. We will also treat this dispersion as additive in nature with other sources of dispersion, although this is not universally the case for waveguides [25]. We begin our treatment with a simple, linearly chirped rectangular waveguide (from which we can extrapolate to SIW structures). Consider an empirical form of impedance modulation similar to one originally proposed for microstrip lines [26]

1863

=

Fig. 9. Simulation of chirped rectangular waveguide with C 5000 m both with (solid line) and without (dashed line) a Gaussian apodization window : . The top graph shows jS j (solid thick line) and jS j having  (solid thin line), while the bottom shows the group delays of the reflections at ports 1 and 2.

= 0 27

(4) Here we have an impedance modulation centered at 50 exto with a central period (at tending from ) of , depth of sidewall modulation , some apodiza, and a chirp parameter which we tion profile will shortly discuss that sets the overall EBG bandwidth and group delay slope (i.e., the rate of change of signal delay versus ensures a smooth transition to frequency). The constant the unperturbed width at each end of the EBG. In implementing this in a waveguide, if the operating frequency is sufficiently far above the waveguide cutoff, we can say that the wave impedance is approximately proportional to waveguide width , and therefore we can propose an equivalent waveguide width modulation of (5) is the width of the unperturbed waveguide. The group where s rad is related to the length and reflection delay slope bandwidth of the line, according to

(6) where is the phase velocity in the medium and is the width of the bandgap of the chirped EBG. The origin of (6) can represents the be understood by noting that the product round-trip delay difference between the frequency that reflects and the frequency reflected at at the input that travels the full length of the waveguide twice . We will sometimes refer to group delay slope in intuitively convenient . units of ns/GHz, for which we use the symbol cannot be accurately calculated Note that the bandwidth by Bragg theory as was possible for the design of a chirped EBG in microstrip [26], but must instead be determined by

, where the endpoint resonant frequencies are determined using the method outlined in the previous section, based on the periodicity and amplitude of the perturbation at the ends of the structure. Note that any will influence the bandwidth of the apodization profile overall structure and must also be accounted for in this calculation. The effect of having an apodization profile is substantial and is illustrated in Fig. 9, in which the reflection bandwidths and group delays from both ports of a rectangular waveguide with a chirped EBG are presented. In the figure, a symmetric Gaussian apodization profile of the form (7) with normalized variance is compared to a non8.62 cm and chirp apodized structure of the same length 5000 m . The apodized structure exhibits a significantly reduced bandgap width (a 3-dB bandwidth of about 6 GHz, reduced from the full nonapodized bandwidth of 10 GHz) but also much improved out-of-band behavior (an almost 16-dB improvement in return loss outside the bandgap zone) and far less group delay ripple, due to superior impedance matching at the terminations. In Fig. 10, we illustrate the role of chirp parameter by plot(3000, ting simulation results for three different values of indicates a faster 5000, and 7000 m ). A larger value of frequency chirp and, therefore, a broader reflection bandgap as the local resonant frequency is swept further over some fixed length. The broadening bandgap is accompanied by a reduction in group delay slope [Fig. 10(b)]. The slope is the steepest for 3000 m , however, we observe that its linearity is the worst within the bandgap region, with a noticeable deviation at 34 GHz on port 1. This is because the reflectivity is greater due to the presence of more periods, and the effect is to thwart the exact localization in space of each resonant frequency (recall

1864

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

value of the linear waveguide dispersion in the bandgap region at approximately 0.02 ns/GHz. Synthesizing a chirped EBG can be complex and requires application of the techniques of Section II. As a brief design example, we seek to create a 12-GHz (pre-apodization) bandwidth chirp filter to be implemented as an 8.64-cm SIW composed in an RT/Duroid 6002 substrate having a center bandgap frequency of 33 GHz. Beginning with a rectangular waveguide, we choose a baseline (unperturbed) waveguide width such that the cutoff frequency is well below the bandgap—in this case, we choose 4.04 mm (21.6-GHz cutoff), although ultimately the effective cutoff frequency will be raised by the perturbation. as an estimate value (to We set a modulation depth be fine-tuned later). At this point, we can use the method of Section II with some guess central period and find the value that corresponds to a resonance at 33 GHz: this value is found 3.6 mm. Then, we seek the periodicity at the endto be using the Section II method in points of the EBG order to meet our objective of a 12-GHz bandgap. We identify the periodicities that correspond to resonance at 27 and 39 GHz (5.5 and 2.7 mm, respectively). By examining the local period at the endpoints of (5), we can check the value of 7000 m is required. and determine that a linear chirp of We can then compute the expected group delay slope (in s/Hz) by noting that (8)

Fig. 10. Simulation of (a) jS j (thick blue line, color version online) and jS j (thin red line, color version online) with (b) bandgap-region group delays for different values of C : 3000 (dotted line), 5000 (dashed line), and 7000 (solid line). The asymmetry in group delay (i.e., the fact that slopes are reduced on port 2) is a function of the natural waveguide dispersion curve, which has a negative slope versus frequency.

that a chirped EBG is not simply a cascaded series of single-frequency resonators—EBGs exhibit broad bandwidths, and thus even neighboring frequencies to the main resonance will experience reflection). 7000 m case, we can evaluate the Examining the group delay slopes as approximately 0.135 ns/GHz and 0.089 ns/GHz for the and cases, respectively. The difference (and inherent asymmetry) between the two ports is a result of the naturally occurring waveguide dispersion of the structure, contributing 0.023 ns/GHz additively to the synthesized dispersion that is “direction-agnostic.” By contrast, the chirped EBG itself introduced an added 0.112 ns/GHz where the sign is directionally determined by the choice of input port. In this context, negative group delay slopes can be thought of as “normal” dispersion and positive group delays can be considered “anomalous” dispersion. Simulations of an unperturbed rectangular waveguide were used to confirm the

where we have used (6) and the fact that the EBG comprises a bandwidth in length at chirp rate (rate of change of the local period per length unit) to identify the phase velocity relating wavelength and period. Note that the traditional phase , is not approvelocity in a rectangular waveguide, priate in the bandgap region due to the perturbation. Calculation of (8) for our numerical problem, assuming a negative chirp, 0.115 ns/GHz which is very close to our simulated yields value of 0.112 ns/GHz. Recall that the ultimate realized dispersion at the input port will be 0.138 ns/GHz when including the additional waveguide dispersion of 0.023 ns/GHz, as mentioned earlier. A measured SIW prototype is shown in Fig. 11 based on the above calculated values, adjusting the unperturbed width to be 4.05 mm in order to be implemented as an SIW [27] (a very small adjustment since a tight 250- m via pitch was used). A Gaussian apodization window (7) was employed, and thus the bandgap was reduced to 8-GHz bandwidth from the design target of 12 GHz. Simulation data of the equivalent rectangular waveguide (assuming perfect conductors) is compared with measurement data from a fabricated SIW in Fig. 12. As can be seen, the measured device exhibits significant ripple in the vector network analyzer measurement that is the result of multipath internal reflections and edge connectorization (this is consistent with similar results for realized microstrip EBG structures [12]), however, the group-delay slope behavior from both ports is in agreement with expectation: linear fitting of the measurement data in the bandgap region reveals a slope of 0.138 and 0.088 ns/GHz at ports 1 and 2, respectively, which is consistent with the design target. We note that an apodization

SCHWARTZ et al.: DESIGN AND ANALYSIS OF 1-D UNIFORM AND CHIRPED EBG STRUCTURES IN SIWs

1865

ACKNOWLEDGMENT

Fig. 11. Photograph of a 10-cm SIW with a chirped EBG.

Author J. D. Schwartz wishes to thank A. Suntives and N. Smith for helpful discussion, as well as R. Fenwick of Sierra Proto Express for fabrication assistance.

REFERENCES

Fig. 12. Simulation (solid line) and measured (dashed line) data for the design example. On top, jS j is presented. Group-delay measurement is presented from both ports of the device.

window alone seems insufficient to minimize the group delay ripple. We note that a more rigorous thru-reflect-line calibration technique incorporating the CPW-to-waveguide transition, combined with a more repeatable connectorization or probing method, might have reduced the group delay ripple in measurement results. V. CONCLUSION In this study, we investigated the potential of uniform and chirped 1-D EBG structures based on sidewall perturbations to act as filters in rectangular waveguide and SIW technology. The high- and low-loss nature of SIWs makes them an attractive possible board-level interconnect for frequency signals in the tens of gigahertz, where ordinary transmission lines encounter progressively worsening loss and radiation issues. We present the 1-D EBG in this technology as a means for achieving bandgap-based amplitude and phase filtering, particularly in the context of creating a reflection-mode customized group-delay slope. Such readily designable dispersion is frequently difficult to achieve in multigighertz bandwidths without the use of a medium conversion (i.e., photonics) and can be of interest in applications involving time-frequency conversion and related signal processing techniques. The technique presented here has an obvious drawback on the length of the structure, which is of the order of the wavelength, and (in the case of a chirped design) the need for a circulator to isolate the reflected signal for processing. It is worth noting that moving this technique to higher bands of interest (e.g., 60 GHz) should be feasible as long as the vias involved are of sufficiently small diameter and pitch such that they can meaningfully be patterned to represent a sinusoid at the target frequency.

[1] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [2] Y. Cassivi, L. Perregrini, P. Arcioni, M. Bressan, K. Wu, and G. Conciauro, “Dispersion characteristics of substrate integrated rectangular waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 333–335, Sep. 2002. [3] M. Bozzi, F. Xu, D. Deslandes, and K. Wu, “Modeling and design considerations for substrate integrated waveguide circuits and components,” in Proc. 8th Int. Conf. Telecommun. Modern Satellite, Cable and Broadcasting Services, Sep. 2007, pp. 7–16. [4] A. Suntives and R. Abhari, “Ultra-high-speed multichannel data transmission using hybrid substrate integrated waveguides,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 8, pp. 1973–1984, Aug. 2008. [5] X.-P. Chen and K. Wu, “Low-loss ultra-wideband transition between conductor-backed coplanar waveguide and substrate integrated waveguide,” in IEEE Int. MTT-S Microw. Symp. Dig., Jun. 2009, pp. 349–352. [6] M. A. G. Laso et al., “Analysis and design of 1-D photonic bandgap microstrip structures using a fiber grating model,” Microw. Opt. Technol. Lett., vol. 22, no. 4, pp. 223–226, Aug. 1999. [7] Z.-C. Hao, W. Hong, J.-X. Chen, X.-P. Chen, and K. Wu, “Compact super-wide bandpass substrate integrated waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2968–2977, Sep. 2005. [8] L.-S. Wu, X.-L. Zhou, and W.-Y. Yin, “Ultra-wideband bandpass filter using half-mode T-septum substrate integrated waveguide with electromagnetic bandgap structures,” Microw. Opt. Technol. Lett., vol. 51, no. 7, pp. 1751–1755, Jul. 2009. [9] I. Arnedo, M. A. G. Laso, F. Falcone, D. Benito, and T. Lopetegi, “A series solution for the single-mode synthesis problem based on the coupled-mode theory,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 457–466, Feb. 2008. [10] J. D. Schwartz, J. Azaña, and D. V. Plant, “Experimental demonstration of real-time spectrum analysis using dispersive microstrip,” IEEE Microw Wireless Compon. Lett., vol. 16, no. 4, pp. 215–217, Apr. 2006. [11] J. D. Schwartz, I. Arnedo, M. A. G. Laso, T. Lopetegi, J. Azaña, and D. V. Plant, “An electronic UWB continuously tunable time-delay system with nanosecond delays,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 103–105, Feb. 2008. [12] J. D. Schwartz, J. Azaña, and D. V. Plant, “A fully-electronic system for the time magnification of GHz electrical signals,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 327–334, Feb. 2007. [13] R. Kashyap, Fiber Bragg Gratings. New York: Academic, 1999. [14] M. Kirschning and R. H. Jansen, “Accurate model for effective dielectric constant of microstrip with validity up to millimeter-wave frequencies,” Electron. Lett., vol. 18, no. 6, pp. 272–273, Mar. 1982. [15] N. V. Nair and A. K. Mallick, “An analysis of width-modulated microstrip periodic structure,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 2, pp. 200–204, Feb. 1984. [16] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2004. [17] P. J. B. Clarricoats and A. D. Olver, Corrugated Horns for Microwave Antennas. Exeter, U.K.: Short Run Press Ltd., 1984. [18] A. F. Harvey, “Periodic and guiding structures at microwave frequencies,” IRE Trans. Microw. Theory Tech., vol. MTT-8, no. 1, pp. 30–61, Jan. 1960. [19] J. Estebar and J. M. Rebollar, “Characterization of corrugated waveguides by modal analysis,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 6, pp. 937–943, Jun. 1991. [20] K. Ohkubo, “Hybrid modes in a square corrugated waveguide,” Int. J. Infrared Millimeter Waves, vol. 22, no. 11, pp. 1709–1727, Nov. 2001. [21] A. A. Oliner and P. Lampariello, “The dominant mode properties of open groove guide: An improved solution,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 9, pp. 755–764, Sep. 1985. [22] Waveguide Handbook, N. Marcuvitz, Ed. New York: McGraw-Hill, 1951.

1866

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

[23] C. G. Montgomery, R. H. Dicke, and E. M. Purcell, Principles of Microwave Circuits, ser. MIT Radiat. Lab.. New York: McGraw-Hill, 1948, vol. 8. [24] W. D’Orazio and K. Wu, “Substrate-integrated-waveguide circulators suitable for millimeter-wave integration,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 10, pp. 3675–3680, Oct. 2006. [25] D. Marcuse, “Interdependence of waveguide and material dispersion,” Appl. Opt., vol. 18, pp. 2930–2932, Sep. 1979. [26] M. A. G. Laso et al., “Chirped delay lines in microstrip technology,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 12, pp. 486–488, Dec. 2001. [27] W. Che, X. Ji, and K. Wu, “Characteristics comparison of substrate-integrated waveguide (SIRW) and its equivalent rectangular waveguide,” IEEE Microw. Opt. Tech. Lett., vol. 46, no. 9, pp. 588–592, Sep. 2005. Joshua D. Schwartz (S’01–M’08) received the B.Eng (honors) and Ph.D. degrees in electrical engineering from McGill University, Montreal, QC, Canada, in 2003 and 2008, respectively. He will join the Department of Engineering Science, Trinity University, San Antonio, TX, in September 2010 as an Assistant Professor. He has authored or coauthored over two dozen publications and conference presentations. His research interests include periodic structures, dispersion engineering, and the intersection of microwave engineering with photonics. Dr. Schwartz was the recipient of the Governor General’s Gold Medal, the highest academic student honor in Canada, from McGill University in 2008 and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Microwave Prize in 2009 for his work demonstrating a fully electronic system for microwave broadband temporal imaging.

Ramesh Abhari (S’97–M’03–SM’07) received the Ph.D. degree in electrical and computer engineering from the University of Toronto, Toronto, ON, Canada, in 2002. She has been an Associate Professor with the Department of Electrical and Computer Engineering, McGill University, Montreal, QC, Canada, since 2003. She has authored or coauthored over 40 journal and conference papers. She pioneered the application of the electromagnetic bandgap structures in suppression of the power/ground noise. She is a reviewer for the IEE Proceedings on Microwaves, Antennas and Propagation, and Canadian Granting agencies. Dr. Abhari is a reviewer for IEEE conferences and transactions papers for journals such as the IEEE TRANSACTIONS ON ADVANCED PACKAGING, the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, and the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. She is also a member of the technical committee of the IEEE Workshop on Signal Propagation on Interconnects and IEEE EMC TC-10 Signal Integrity Committee. She was the recipient of the Student Paper Award at the IEEE International Microwave Symposium in June 2002 for her work on electromagnetic bandgap structures. She has served as the chairperson of IEEE Toronto Microwave Theory and Techniques (MTT), Antennas and Propagation (AP) and Electromagnetic Compatibility (EMC) joint chapter for five years and has received certificates of recognition and the IEEE Toronto Centennial Medal at this position. She is the founder and the current chair of IEEE Montreal Component Packaging and Manufacturing Technology (CPMT) Chapter and has been the technical chapter coordinator since summer 2003.

David V. Plant (S’86–M’89–SM’05–F’07) received the Ph.D. degree in electrical engineering from Brown University, Providence, RI, in 1989. He has been a Professor and Member of the Photonic Systems Group, the Department of Electrical and Computer Engineering, McGill University, Montreal, QC, Canada, since 1993, and Chair of the department since 2006. He is the Director and Principal Investigator of the Center for Advanced Systems and Technologies Communications, McGill University. His research interests include optoelectronic-VLSI, analog circuits for communications, electrooptic switching devices, and optical network design including OCDMA, radio-over-fiber, and agile packet switched networks. Dr. Plant is a member of Sigma Xi, a Fellow of the Optical Society of America, the Canadian Academy of Engineering, and the Engineering Institute of Canada. He is an IEEE Photonics Society Distinguished Lecturer. He was the recipient of the R. A. Fessenden Medal and the Outstanding Educator Award, both from IEEE Canada, and received a Natural Sciences and Engineering Research Council of Canada Synergy Award for Innovation.

José Azaña (M’01) received the Telecommunication Engineer degree (six-year engineering program) and Ph.D. degree from the Universidad Politécnica de Madrid (UPM), Madrid, Spain, in 1997 and 2001, respectively. He is currently an Associate Professor with the Institut National de la Recherche Scientifique-Centre Energie, Matériaux et Télécommunications (INRSEMT), Montreal, QC, Canada. His scientific interests cover a wide range of topics from photonics to microwave engineering. His research has been reported in more than 230 publications in top scientific journals and leading technical conferences. Dr. Azaña was the recipient of the 2008 IEEE Photonics Society Young Investigator Award and a corecipient of the 2009 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Microwave Prize.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

1867

Impact of Shorting Vias Placement on Embedded Planar Electromagnetic BandGap Structures Within Multilayer Printed Circuit Boards Francesco de Paulis, Student Member, IEEE, Leo Raimondo, and Antonio Orlandi, Fellow, IEEE

Abstract—This paper investigates the power integrity behavior of planar electromagnetic bandgap (EBG) structures embedded between two solid layers within the stack-up of a multilayer printed circuit board. The bandgap generation and power integrity performance of the embedded EBG can be achieved only by placing vias shorting the solid planes above and below the patterned layer. The vias inhibit the resonances of the cavity made by the two solid planes, ensuring the designed bandgap, as if the planar EBG was laid out on an outer stack-up layer. The impact of the stitching vias’ number and location is addressed and the concepts of regular, global, random, or local via placement are introduced. Index Terms—Electromagnetic bandgap (EBG), embedded EBG, power integrity, via placement.

I. INTRODUCTION

M

ODERN digital systems are characterized by ever faster signal edge and lower supply voltage levels. This trend brings up problems in multilayer printed circuit boards (PCBs) and chip packages related to the signal and power integrity. The main concern comes from the simultaneous switching noise (SSN) generated by high-speed digital devices, characterized by a wide-frequency spectrum [1]. This noise can propagate along the board through the cavity generated by two adjacent PCB solid power/ground planes and couple to signal or power vias and interconnects [2], [3]. The electromagnetic bandgap (EBG) structures, first introduced in [4], have largely been studied in order to mitigate the noise propagation within power planes of PCBs, with the goal being the coupling reduction of this noise (e.g., simultaneous switching noise or radiation from signal vias) with other vias (signal or power/ground) crossing the same power planes. The coupled noise can induce signal integrity problems or false switching of the active devices. Furthermore, the EBG helps prevent radiation problems when the noise reaches the edges of power planes and this then radiates into the surrounding environment [5]. The EBG structures were introduced in [6] to enhance antenna performance by realizing high-impedance surfaces. Later, Manuscript received November 19, 2009; revised March 23, 2010; accepted March 27, 2010. Date of publication June 14, 2010; date of current version July 14, 2010. The authors are with the University of L’Aquila Electromagnetic Compatibility (UAq EMC) Laboratory, Department of Electrical Engineering, University of L’Aquila, I-67040, Poggio di Roio, L’Aquila, Italy (e-mail: [email protected]; [email protected]; antonio.orlandi@univaq. it). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2050029

other scientific contributions extended the EBG structure applications to high-speed PCBs, RF circuits, and chip packages. These were applied to the power/ground plane layout to mitigate the noise effects for signal and power integrity purposes [7]–[23]. Most past research works focused on the extension of the filtering performance [12], [14], [18], [22] and the identification of the best synthesis strategies starting from the bandgap limit specifications [17], [19], [20]. Two main geometrical configurations, based on EBG principles, have been introduced. The so-called “mushroom type” needs three stack-up layers [7], [11]–[15]. The middle layer is made of patches shorted to the lower solid plane through vias. The other configuration consists of just one patterned plane that generates a cavity with the adjacent stack-up solid plane, thus a planar structure is obtained. This last configuration is cost-effective as it makes the need for the additional layer required by the “mushroom-type” structure redundant. Furthermore, the work in [17], [19], and [21] has shown that planar EBGs provide efficient filtering performance. A typical planar EBG structure is built by two PCB layers. The patterned (EBG) plane usually consists of rectangular or square patches connected through conductive narrow bridges. The contents of the contributions in [18], [21], and [22] have been focused on improving the bandgap by proposing numerous bridge geometries. The two planes can be used as part of the power delivery network or they can act as signal reference. Besides their electric high-frequency characterization, the low frequency and thermal properties and limitations were investigated in [24] to ensure that the patterned EBG plane is suitable when it is employed for power delivery. Previous works [16]–[23] were based on a typical configuration consisting of the patterned plane laid out on an outer layer (either the top or the bottom plane); the solid plane was the first inner layer of the multilayer configuration. Only a few works mentioned the case where the EBG layer was laid out in an inner layer: among them, particular evidence must be given to [25]–[27]. This configuration, in general, has the patterned plane sandwiched between two solid layers, thus it is referred to as an embedded EBG structure. The possibility of overcoming the limitation of the top-layer position, associated with the advantages of planar EBG structures employing only a single layer, will increase the flexibility of adapting such a structure as a filter in multilayer PCB. In [25], the performance of embedded EBG are studied with respect to the presence of vias connecting the top and bottom solid planes to one another. In this study, the vias are clustered

0018-9480/$26.00 © 2010 IEEE

1868

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 2. Regions of the frequency spectrum of jS

j

.

=

Fig. 1. (a) Top view of the patterned plane of a planar EBG: L 43.7 mm, W 28.7 mm and the ports’ positions are in millimeters. (b) Board stack-up.

=

around excitation ports. In the last section of [26], the case of an embedded EBG is briefly considered. The filtering performance is maintained by employing shorting vias connecting the upper and lower solid planes. Also, in this configuration, the shorting vias surround the test ports. However, a demonstration on how this configuration works is not provided, and the main physical phenomena that are involved are not investigated. Reference [27] offers a multiconductor transmission-line-based analysis of an embedded (“shielded”) EBG. This paper demonstrates that the presence of a third solid plane, laid out on top of the patterned one and which is not electrically shorted to the bottom solid plane, significantly closes the band gap. The goal of this paper is to systematically investigate the physical mechanisms governing the electromagnetic behavior of embedded planar EBG when the patterned plane is laid out on an inner stack-up layer, typically having one solid plane above and below it. The study carried out in this paper considers the different positioning of the shorting vias between the two solid planes. The proposed layout technique ensures that an embedded EBG structure behaves as a typical planar structure. This allows the use of the same design guidelines as proposed in [16]–[23], extending the different design strategies proposed in the past to the more general case of embedded EBG structures.

II. EMBEDDED PLANAR EBG STRUCTURES A simple EBG structure is considered for recalling the planar EBG fundamental electromagnetic behavior, as described in [28]. The basic structure employed for the analysis is made by two planes: one consists of six square patches connected by narrow bridges; the other is a solid layer. The top and side views of the geometry are shown in Fig. 1. The power integrity problem related to such a cavity is adwithin the cavity dressed by computing the transfer function between ports 1 and 2 [as shown in Fig. 1(a)]. Three regions can be identified in the frequency spectrum shown in Fig. 2, as proposed in [29] and [30]. The region below the bandgap, where

Fig. 3. Embedded EBG layer in between two solid planes.

modes (five in this specific case) occur, is charthe first acterized by the distributed behavior of the whole cavity whose dimensions are and , as in Fig. 1(a). The bandgap occurs 2.7 GHz and 5 GHz. The third region between corresponds to the frequency band above the bandgap, where resonances of the cavity made by each single patch the and its projection on the solid plane underneath take place. This , which is the frequency of the first (lowest) band starts at of the single patch cavity, as addressed resonant mode in [17]. The simple geometry given in Fig. 1 is laid out on an inner layer between two solid planes, and the structure in Fig. 3 is obtained. This configuration consists of the patterned plane and the top and bottom solid planes, generating two subcavities. The dimensions of the patterned EBG plane are those given in Fig. 1. The thickness of the dielectric above and below this layer is 4.4 and 0.02. 0.4 mm, and its properties are A simple two-solid-plane configuration is also considered by removing the patterned layer and defining test ports 1 and 2 at the locations 1-1 and 2-2 , respectively, as shown in Fig. 3. associated with the two solid-plane Fig. 4 illustrates the cavities, which are computed by using a commercial cavity model tool [31]. This result is then compared with the transfer function of the embedded EBG structure in Fig. 3, from port 1 (at 1-1 ) to port 2 (at 2-2 ). A full-wave finite integration technique [32] based tool [33] is employed to solve the embedded EBG model. The bandgap between 2.7 and 5 GHz, visible in Fig. 2, disappears and is replaced by distributed resonances (solid-black curve). These resonances at 2.55, 2.97, 3.31, and modes ( , , , 4.11 GHz correspond to the , respectively) of the solid-plane cavity. Therefore, and

DE PAULIS et al.: IMPACT OF SHORTING VIAS PLACEMENT ON EMBEDDED PLANAR EBG STRUCTURES WITHIN MULTILAYER PCBs

1869

Fig. 6. Top view of the embedded EBG layer with the location of the 13 shorting vias.

Fig. 4. Comparison of jS j of the solid-plane cavity and of the EBG layer embedded structure within the two solid planes.

Fig. 5. Spatial distribution of jE j at 3.31 GHz (TM ) exciting the lower subcavity. (a) Top-perspective view: field pattern at the EBG and at the bottom layers. (b) Bottom-perspective view: field pattern at the top and at the EBG layers.

the EBG layer between the two solid planes becomes completely inefficient within this band, thus validating the results in [27]. This behavior is also proven by looking, in Fig. 5, at the spa, the vertical component of the electric tial distribution of field, inside the embedded EBG cavities at the frequency of mode). This frequency belongs to the bandgap 3.31 GHz ( (see Fig. 2) of the corresponding planar, not embedded, EBG. Two considerations can be drawn, which are: 1) at the same frequency, the propagation of the electric field is inhibited in the mode) in the emplanar EBG and allowed (presence of bedded for effect of the added third plane and 2) the same field mode) is visible on each of the three pattern (proper of the planes (i.e., bottom, patterned, and top) because the presence of the middle EBG plane would not have any effect. The resonant behavior of the cavity made by the top and bottom solid planes dominates; these resonances must then be inhibited in order to restore the desired bandgap, as for the case of conventional planar EBG structure. This effect is achieved by shorting the two solid planes by an array of vias, inhibiting the low-frequency resonances of the two-solid-plane cavity. This implies that the two solid planes should have been assigned the same voltage reference in the design of the stack-up. A circular gap is etched at the EBG layer around the via barrel to isolate the via from the patterned plane. Thirteen shorting vias are added to the model in Fig. 3, following a regular pattern; the top view of the new model is shown in Fig. 6. Their mutual distances are evaluated as explained in

Fig. 7. jS j of the solid planes (continuous) and EBG layer (dashed) with 13 shorting vias.

j

S

j

with the embedded

Section III. The via radius is 25.4 m and the etched gap radius at the EBG layer is 50 m. The via at the plane center falls on of the bridge, and thus it is shifted 1 mm to the left. The the two-solid-plane cavity, with the 13 shorting vias (without of the geometry including the the patterned layer) and the patterned layer, are compared in Fig. 7. The dashed curve starts as the two planes are shorted; it then increases at dc from monotonically and shows a first resonant peak at 3.05 GHz. The continuous curve shows the resonances between dc and 2.0 GHz (the below bandgap region), related to the bottom subcavity. A narrow but evident bandgap between 2.0 and 3.05 GHz is then generated. The resonances of the two solid planes’ cavity control the response of the embedded EBG from 3.05 GHz on (the above bandgap region). In this case, the embedded EBG 3.05 2 1.05 GHz, smaller than shows a bandwidth 2.3 GHz of the planar EBG in Fig. 2. The of the embedded EBG structure is related to the first (lowest) resonance that occurs in the two-solid-plane cavity, including the spatial distribution at the 13 shorting vias. Fig. 8 shows the two cut planes in the middle of the upper and lower subcavity. The field amplitude assumes a maximum value between two adjacent shorting vias, whereas the minimum is found at the via locations due to the high conductivity (that of copper) of the via ” barrel. This spatial distribution can be approximated as a “ resonance occurring between two vias, as schematically illustrated in Fig. 9, having associated a resonant frequency given by

(1)

1870

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 10. Top view of the embedded EBG layer with (a) 25 shorting vias and (b) 41 shorting vias.

Fig. 8. Top view of the spatial distribution of jE subcavity at 3.05 GHz.

j

in the (a) upper and (b) lower

Fig. 9. Lateral view of the spatial distribution of vias.

j

E

j

between two shorting

In order to evaluate the largest distance between the shorting vias, from a design point of view, one must follow the steps given here. as the ending frequency of the bandgap. 1) Set 2) Compute by using (2). 3) Locate the stitching vias maintaining their distance less than . The approach introduced in this section, together with the conventional planar EBG design strategies proposed in [17], [19], and [28], allows a quantitative design of the via location and patch geometry of an embedded EBG structure. III. PLACEMENT OF THE SHORTING VIAS

in which and are the magnetic permeability and electric permittivity of the dielectric and is the distance between two vias. The distance between two adjacent vias is not the same for each via pair, and, thus, the values of , , and , indicating the vertical, horizontal, and diagonal distance, respectively, are introduced. The configuration considered in Fig. 6 is characterized by the 14.38 mm, 21.85 mm, and following parameters: 14.8 mm. The largest value among these three distances is , thus this distance is considered to compute the first (lowest) resonance of the solid plane cavity. The largest possible distance between the stitching vias can be computed from (1), as in

(2) given the values of . By looking at the continuous curve in Fig. 7, substituting 3.05 GHz in (2), one obtains 23.8 mm. This value is very 21.85 mm. close to the designed

Here, we provide a systematic analysis of the strategies for the regular and random placement of the stitching vias within the embedded EBG structure. A. Regularly Placed Vias The structure in Fig. 6 is modified, and more stitching vias following a regular pattern are added. Two new configurations are considered having 25 and 41 stitching vias, respectively. The top views of these configurations are shown in Fig. 10. The between the two ports shown in Fig. 10 transfer functions are computed for the following configurations without the EBG layer: no stitching vias, 13, 21 and 41 stitching vias. The results are compared in Fig. 11. The first resonant mode for the three cases with 13, 25, and 41 shorting vias occurs at 3.05, 4.56, 6.91 GHz, respectively. Table I associates the lowest resonant and the maximum distance between two frequency adjacent vias to the number of stitching vias. Furthermore, the distance is computed by using (2) and the relative error beand is provided. The maximum error is always tween less than 20% and is less than 10% when the via pattern is a centered-like lattice (13 and 41 via cases).

DE PAULIS et al.: IMPACT OF SHORTING VIAS PLACEMENT ON EMBEDDED PLANAR EBG STRUCTURES WITHIN MULTILAYER PCBs

1871

Fig. 11. jS j of the solid-plane cavity: (a) without vias; (b) with 13 shorting vias; (c) with 25 shorting vias; and d) with 41 shorting vias.

TABLE I NUMBER OF STITCHING VIAS, RESONANT FREQUENCY, AND VIAS DISTANCE

The EBG layer is then included between the two solid planes; the resulting embedded EBG structure is excited as in Fig. 5. for the cases with 25 and 41 Fig. 12(a) and (b) compares of the embedded EBG structures stitching vias. The two in Fig. 12 are equal below the bandgap region [black curves in Fig. 12(a) and Fig. 12(b)], up 2.0 GHz and have a similar trend in the first part of the bandgap. The bandgap ends at the first resonance of the solid plane cavity, at 4.56 and 6.91 GHz for the case of 25 vias [Fig. 12(a)] and 41 stitching vias [Fig. 12(b)], respectively. Above the bandgap, independent from the number of of the embedded EBG structure strictly stitching vias, the of the solid planes, thus the two-solid-plane follows the cavity, in effect, guides the behavior of the overall structure. The attenuated peak, at around 5 GHz in Fig. 12(b), is due to mode of the single patch) the single patch resonance (the [30]. Its amplitude is lower than in the original planar case shown in Fig. 2. This phenomena is explained by Fig. 13(a) and (b), for a planar EBG (not emwhere the spatial distribution of bedded and without vias) and for an embedded EBG with 41 shorting vias is illustrated. The presence of the stitching vias, field distribution rein the model in Fig. 13(b), alters the ducing the electromagnetic energy propagating from port 1 to port 2. Some test boards are designed and manufactured in order to validate the design technique presented in this section. The planar dimensions of these boards are those shown in Fig. 1(a); however, they have a 0.2-mm dielectric thickness above and below the EBG layer. The thickness of the three metal planes is 0.017 mm. The stitching vias have a 0.3-mm diameter; the etched circular gap between vias and the patch at the EBG layer

Fig. 12. Comparison between jS j for the solid planes and embedded EBG. (a) Case of 25 shorting vias. (b) Case of 41 shorting vias.

Fig. 13. Spatial distribution of jE j at 5.0 GHz: (a) planar EBG (not embedded, no vias) and (b) embedded EBG with 41 shorting vias.

has a 1.27-mm diameter. The nominal relative dielectric constant and loss tangent of the FR-4 substrate employed in the

1872

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 14. Overview of the test boards and measurement setup.

PCB are and A second-order Debye model has been used in order to represent the dispersive be, 4.1, havior of this dielectric material ( 4.4, 30 ps, 10 ps). Two test samples with 25 regularly spaced vias, associated with the model in Fig. 10(a) and included in the manufactured test board, are measured according to the setup in Fig. 14. The first one is without the embedded EBG plane and the second with the patterned plane. Two SMA connectors are mounted on each board at the port locations identified in Fig. 1(a). The -parameters of the two test boards were measured using a 10-MHz–9 GHz VNA (Anritsu are given in Fig. 15(a). The MS4624B). The measured trend that the two curves follows is the same as that illustrated in Fig. 12(a). The embedded EBG has a resonant behavior that occurs below 2 GHz; this is due to the EBG–solid-plane cavity. It is evident that the two curves in Fig. 15(a) overlap in the range 3–3.75 GHz up to the first resonance of the solid-plane cavity (even though the resonant peak at 3.75 GHz is not clearly visible in the solid-plane case associated with the red dashed curve). These measured data confirm that the physical mechanism regulating the embedded EBG structure behavior is the one introduced in Section II. A simulation model associated to the manufactured embedded EBG structure with 25 vias was built in order to further validate these results. Fig. 15(b) illustrates the comparison between the measured results and those obtained through the 3-D simulation. The agreement between measured and simulated data is quantified in Fig. 16 by using the feature-selective validation (FSV) technique [34], [35] according to the recent IEEE Standard P1597.1 [36]. Both the ADMc and FDMc (whose meaning is explained in the Appendix) parameters highlight the good agreement between the measured and simulated data, along with their associated values of grade and spread. B. Randomly Placed Vias Section III-A provides a quantitative analysis relating the via number and the via-to-via distance for ensuring the correct bandgap generation. This is done by locating the shorting vias on a regular grid. The design constraints proper of the layout of a complex PCB could not allow the placement of the shorting vias following always a regular pattern. Thus, the designer is

Fig. 15. (a) Comparison between measured jS j for the solid planes and embedded EBG for the case of 25 shorting vias. (b) Comparison between measured and simulated jS j for an embedded EBG with 25 regularly placed shorting vias.

Fig. 16. Feature-selective validation techniques results for the comparison in Fig. 15: (a) ADMc: grade 3, spread = 4 and (b) FDMc: grade = 3, spread = 4.

=

forced to modify the regular placement. The placement of the shorting vias in a random fashion can be considered as a representative situation, as the via location is not deterministically controlled by the designer. The effect of a random distribution of the shorting vias is investigated in this subsection. Two main configurations are considered, the first one with 13 shorting vias and the second one with 41 shorting vias. Two random distributions of vias locations (coordinates and ) are considered for each configuration. These are shown in Fig. 17(a) (13 vias, random distribution A), Fig. 17(b) (13 vias with random distribution B), Fig. 17(c) (41 vias with random distribution C), and Fig. 17(d) (41 vias with random distribution D). The simulation results for the three cases of 13 vias are reassociated with the random distriported in Fig. 18. The bution A (layout in Fig. 17(a)) does not show any significant bandgap. The transfer -parameter for the distribution B [layout

DE PAULIS et al.: IMPACT OF SHORTING VIAS PLACEMENT ON EMBEDDED PLANAR EBG STRUCTURES WITHIN MULTILAYER PCBs

1873

Fig. 19. jS j of the embedded EBG structures with 41 shorting vias. (a) Regularly placed vias. (b) Random distribution C. (c) Random distribution D.

Fig. 17. Top views of the embedded EBG layer with the location of the stitching vias corresponding to: (a) random distribution A for 13 vias; (b) random distribution B for 13 vias; (c) random distribution C for 41 vias; and (d) random distribution D for 41 vias.

Fig. 18. jS j of the embedded EBG structures with 13 shorting vias. (a) Regularly placed vias. (b) Random distribution A. (c) Random distribution B.

in Fig. 17(b)] instead shows a marked bandgap from 2.0 to 4.2 GHz. This bandgap can be explained in Fig. 17(b) at the vias close to the ports. In the port proximity, there is a higher density of stitching vias with respect to the configuration in Fig. 17(a). couThe effect of this large density is the reduction of the pled to port 2 from the excitation port 1. Fig. 19 shows the computed results for the two random distributions of the model containing 41 shorting vias, cases C and D. A noise-mitigation effect is clearly visible in all curves associated with models possessing the stitching vias (regularly is always below 30 dB from or randomly placed); the 2.0 GHz on. These two cases are also characterized by a high

density of vias in proximity of one or both ports, as shown in Fig. 17(c) and (d); this creates a sort of fence and, consequently, a shielding effect for the electromagnetic field. The random distribution of the vias does not allow one to deamong vias. The lack fine a unique value of distance of a repetitive field pattern due to the vias (as shown in Figs. 8 and 9) decreases the evidence of the resonant modes of the structure above bandgap (i.e., in Fig. 9, the peaks associated with the resonant modes above bandgap are below 30 dB). On the contrary, the random clustering of the vias close to the equivalent source or victim of noise enhances the electromagnetic field attenuation due to the shielding action of the conductive barrels of the vias. For these reasons, the random positioning of the vias can not be applied to the synthesis procedure proposed in Section II, but its performance can be evaluated by busing a different approach like the one in [38]. Instead, a regular placement allows one to uniquely define the largest distance between the vias and, hence, to predict the (as previously explained) and the bandwidth of the bandgap. In [37], the concepts of “global” and “local” placement of decoupling capacitors in multilayer PCBs were introduced. The above results and considerations allows to extend these concepts to those of “regular” and “random” placement of stitching vias. The “regular” placement of the stitching vias in an embedded EBG structure generates a well-defined bandgap, thus inhibiting the noise propagation anywhere between the two solid planes. This allows a “global” mitigating action of the embedded EBG structure. The “random” placement (used to mimic a placement not fully decided by the designer due to layout constraints), with a high density of stitching vias in proximity of specific locations, gives rise to a localized effective mitigating action without extending the bandgap properties to the overall EBG area. A further analysis is carried out starting from the embedded EBG configurations with random (local) via placement. The six stitching vias closest to the two ports are maintained in the configuration given in Fig. 20(a). All other vias are removed. This new configuration is shown in Fig. 20(b). Fig. 21 compares for the two configurations. The two transfer functions the are equal to or below the bandgap, as expected. The configuration with few vias [Fig. 20(b)] shows more resonant peaks and from the center of the bandgap toward larger value of the

1874

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

TABLE II FILLING RATIO RELATED TO THE NUMBER OF THROUGH-HOLE VIAS PER PATCH

Fig. 20. Embedded EBG structure with: (a) 41 randomly placed shorting vias (distribution C) and (b) maintaining only 12 of the 41 vias.

Fig. 22. Top views of the embedded EBG layer with 41 regularly placed stitching vias together to the through hole vias. (a) Six through-hole vias. (b) 12 through-hole vias. (c) 18 through-hole vias.

Fig. 21. jS j of the embedded EBG structures in Fig. 20(a) (continuous curve) and in Fig. 20(b) (dashed curve).

high frequency, although the average difference between the two curves is generally less than 20 dB. C. Impact of Through-Hole Vias A complex PCB usually also contains other signal or power vias that could cross the EBG area (the patches) without connecting any of the plane associated with the EBG structure, i.e., the case of the footprint of a connector or a large ball-grid array integrated circuit placed on top of the EBG area. Each one of these vias has an associated antipad (or clearance) etched on the EBG layer that reduces the metallic area of the EBG patch. A new test case has been built in order to investigate the impact of through-hole vias and associated antipad on typical EBG behavior. The model in Fig. 10(b), with 41 regularly spaced stitching vias, has been modified by adding through-hole vias is 250 m, and the etched gap radius is (the via radius 500 m). Three cases are considered having 6, 12, and 18 through-hole vias per patch, as illustrated in Fig. 21. Table II reports the number of through-hole vias per patch with respect to the patch defined as filling ratio

(3) in which is the total etched gap area per patch, and the patch area.

is

Fig. 23. jS j of the embedded EBG structure with 41 shorting vias varying the number of through-hole vias per patch: (a) no vias; (b) six vias as in Fig. 22(a); (c) 12 vias as in Fig. 22(b); and (d) 18 vias as in Fig. 22(c).

The three geometrical configurations are illustrated in Fig. 22. for the cases in Fig. 22, along with of the case The without through hole vias are given in Fig. 23. It is evident that the four curves almost overlap, showing that the impact of the through-hole vias, with respect to the limit of a maximum filling factor of 10% from Table II, can be neglected. IV. CONCLUSION The use of planar EBG structures embedded laid out in a inner layer of the PCB stack-up is both a viable and effective solution for noise mitigation. However, the design of an embedded EBG structure requires the presence of stitching vias that short the solid planes above and below the EBG layer. These vias inhibit the low-frequency resonances of the cavity made by the upper the ending frequency of the and lower solid planes. With wanted bandgap, the vias can be located at a distance such that (2) holds. The effects of the number and placement strategies of these stitching vias have been studied determining both the electromagnetic behavior and the filtering properties of the “regular” (or “global”) and “random” (or “local”) approaches. The former approach requires that the shorting vias are placed following a

DE PAULIS et al.: IMPACT OF SHORTING VIAS PLACEMENT ON EMBEDDED PLANAR EBG STRUCTURES WITHIN MULTILAYER PCBs

regular pattern in order to achieve an effective bandgap on the whole EBG area. The latter, instead, is suitable for isolating two or more noise sources or victims. An additional investigation will be subsequently carried out in order to study the signal integrity problems related to the use of embedded EBG structures. APPENDIX The FSV technique [34], [35] is the algorithm chosen by the IEEE Standard [36] for a meaningful and quantitative comparison of different datasets. Two FSV figures of merit are the amplitude difference measure (ADM) and the feature difference measure (FDM). ADM is the measure of the difference between the “trends,” which is the low-frequency component of the two datasets we are comparing. FDM is the measure of the difference between the “details,” the “features,” which is the high-frequency components of the two datasets we are comparing. These differences are categorized in six classes: excellent, very good, good, fair, poor, and very poor. ADMc and FDMc (the subscript “c” stands for “confidence”) represent the confidence histogram of the probability density function of the binned data. Grade and Spread are derived quantities. Grade is the number of classes starting from “excellent” to contain 85% of the total confidence data. Spread is the number of classes around the highest value category to contain 85% of the total confidence data. Their use is similar to the use of variance in statistics. REFERENCES [1] S. V. Berghe, F. Olyslager, D. de Zutter, J. D. Moerloose, and W. Temmerman, “Study of the ground bounce caused by power plane resonances,” IEEE Trans. Electromagn. Compat., vol. 40, no. 2, pp. 111–119, May 1998. [2] G.-T. Lei, R. W. Techentin, and B. K. Gilbert, “High-frequency characterization of power/ground-plane structures,” IEEE Trans. Microw. Theory Tech., vol. 47, pp. 562–569, May 1999. [3] N. Na, J. Jinseong, S. Chun, M. Swaminathan, and J. Srinivasan, “Modeling and transient simulation of planes in electronic packages,” IEEE Trans. Adv. Packag., vol. 23, no. 3, pp. 340–352, Aug. 2000. [4] D. F. Sieveniper, “High-impedance electromagnetic surfaces,” Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., Univ. of California, Los Angeles, CA, 1999. [5] S. Shahparnia and O. M. Ramahi, “Electromagnetic interference (EMI) reduction from printed circuit boards (PCB) using electromagnetic bandgap structures,” IEEE Trans. Electromagn. Compat., vol. 46, no. 4, pp. 580–587, Nov. 2004. [6] D. Sievenpiper, L. Zhang, R. F. J. Broas, N. G. Alexopolous, and E. Yablonovitch, “High impedance electromagnetic surfaces with a forbidden frequency band,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2059–2074, Nov. 1999. [7] R. Abhari and G. V. Eleftheriades, “Metallo-dielectric electromagnetic bandgap structures for suppression and isolation of the parallel-plate noise in high-speed circuits,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1629–1639, Jun. 2003. [8] A. Tavallaee and R. Abhari, “2-d characterization of electromagnetic bandgap structures employed in power distribution networks,” IET Microw. Antennas Propag., vol. 1, pp. 204–211, Feb. 2007. [9] A. Tavallaee, M. Iacobacci, and R. Abhari, “A new approach to the design of power distribution networks containing electromagnetic bandgap structures,” Electr. Perform. Elect. Packag., pp. 43–46, Oct. 2006. [10] T. Kamgaing and O. Ramahi, “High-impedance electromagnetic surfaces for parallel-plate mode suppression in high-speed digital systems,” Electr. Perform. Elect. Packag., pp. 279–282, Oct. 2002. [11] T. Kamgaing and O. M. Ramahi, “Design and modeling of high impedance electromagnetic surfaces for switching noise suppression in power planes,” IEEE Trans. Electromagn. Compat., vol. 47, no. 3, pp. 479–489, Aug. 2005.

1875

[12] J. Park, A. C. Lu, K. M. Chua, L. L. Wai, J. Lee, and J. Kim, “Double-stacked EBG structure for wideband suppression of simultaneous switching noise in LTCC-based SiP applications,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 9, pp. 481–483, Sep. 200. [13] S. D. Rogers, “Electromagnetic-bandgap layers for broadband suppression of TEM modes in power planes,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2495–2505, Aug. 2005. [14] L. Liang, C. H. Liang, L. Chen, and X. Chen, “A novel broadband EBG using cascaded mushroom-like structure,” Microw. Opt. Technol. Lett., vol. 50, pp. 2167–2170, 2008. [15] T. Kamgaing and O. M. Ramahi, “Multiband electromagnetic-bandgap structures for applications in small form-factor multichip module packages,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 10, pp. 2293–2300, Oct. 2008. [16] D. Ahn, J. S. Park, C. S. Kim, J. Kim, Y. Qian, and T. Itoh, “A design of the low-pass filter using the novel microstrip defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 86–93, Jan. 2001. [17] K. H. Kim and J. E. Shutt-Ainé, “Analysis and modeling of hybrid planar-type electromagnetic-bandgap structures and feasibility study on power distribution network applications,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 1, pp. 178–186, Jan. 2008. [18] S. S. Oh, J. M. Kim, J. H. Kwon, and J. G. Yook, “Enhanced power plane with photonic bandgap structures for wide band suppression of parallel plate resonances,” in Proc. IEEE Int. Symp Antenna Propag., Jul. 2005, vol. 2B, pp. 655–658. [19] J. Choi, V. Govind, R. Mandrekar, S. Janagama, and M. Swaminathan, “Noise reduction and design methodology for the mixed-signal systems with alternating impedance electromagnetic bandgap (Al-EBG) structure,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 645–651. [20] T. H. Kim, D. Chung, E. Engin, W. Yun, Y. Toyota, and M. Swaminathan, “A novel synthesis method for designing electromagnetic bandgap (EBG) structures in packaged mixed signal systems,” in Proc. 56th Electron. Compon. Technol. Conf., 2006, pp. 1645–1651. [21] T. K. Wang, C. C. Wang, S. T. Chen, Y. H. Lin, and T. L. Wu, “A new frequency selective surface power plane with broad band rejection for simultaneous switching noise on high-speed printed circuit boards,” in Proc. IEEE EMC Symp., Chicago, IL, Aug. 2005, pp. 917–920. [22] T. L. Wu, C. C. Wang, Y. H. Lin, T. K. Wang, and G. Chang, “A novel power plane with super-wideband elimination of ground bounce noise on high speed circuits,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 3, pp. 174–176, Mar. 2005. [23] J. Choi, V. Govind, and M. Swaminathan, “A novel electromagnetic bandgap (EBG) structure for mixed-signal system applications,” in Proc. IEEE Radio Wireless Conf., Sep. 2004, pp. 243–246. [24] F. De Paulis, L. Raimondo, and A. Orlandi, “IR-DROP analysis and thermal assessment of planar electromagnetic bandgap structures for power integrity applications,” IEEE Trans. Adv. Packag., vol. 33, no. 3, pp. 309–319, Aug. 2010. [25] S. Huh, M. Swaminathan, and F. Muradali, “Design, modeling, and characterization of embedded electromagnetic bandgap (EBG) structure,” in Proc. IEEE Electr. Perform. Electron. Packaging Conf., Oct. 2008, pp. 83–86. [26] T. L. Wu, Y. H. Lin, T. K. Wang, C. C. Wang, and S. T. Chen, “Electromagnetic bandgap power/ground planes for wideband suppression of ground bounce noise and radiated emission in high-speed circuits,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2935–2942, Sep. 2005. [27] K. Payandehjoo, A. Tavallaee, and R. Abhari, “Analysis of shielded electromagnetic bandgap structures using multiconductor transmission-line theory,” IEEE Trans. Adv. Packag., vol. 33, no. 1, pp. 236–245, Feb. 2010. [28] F. De Paulis, A. Orlandi, L. Raimondo, and G. Antonini, “Fundamental mechanisms of coupling between planar electromagnetic bandgap structures and interconnects in high-speed digital circuits. Part I—Microstrip lines,” in Proc. EMC Eur. Workshop, Athens, Greece, Jun. 11–12, 2009, pp. 457–463. [29] F. De Paulis and A. Orlandi, “Signal integrity analysis of single-ended and differential striplines in presence of EBG planar structures,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 9, pp. 554–557, Sep. 2009. [30] L. Yang, M. Fan, F. Chen, J. She, and Z. Feng, “A novel compact EBG structure and its application for microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 183–190, Jan. 2005. [31] “EZ-Power Plane,” EMS+, Four Oaks, NC, 2009. [Online]. Available: www.ems-plus.com

1876

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

[32] T. Weiland, “A discretization method for the solution of Maxwell’s equation for six component fields,” Electron. Commun. (AEÜ), vol. 31, p. 116, 1977. [33] “CST Studio Suite 2009,” Computer Simulation Technol., Framingham, MA, 2009 [Online]. Available: www.cst.com [34] A. P. Duffy, A. J. M. Martin, A. Orlandi, G. Antonini, T. M. Benson, and M. S. Woolfson, “Feature Selective Validation (FSV) for validation of computational electromagnetics (CEM). Part I—The FSV method,” IEEE Trans. Electromagn. Compat., vol. 48, no. 3, pp. 449–459, Aug. 2006. [35] A. Orlandi, A. P. Duffy, B. Archambeault, G. Antonini, D. E. Coleby, and S. Connor, “Feature Selective Validation (FSV) for validation of computational electromagnetics (CEM). Part II—Assessment of FSV performance,” IEEE Trans. Electromagn. Compat., vol. 48, no. 3, pp. 460–467, Aug. 2006. [36] Standard for Validation of Computational Electromagnetics Computer Modeling and Simulation—Part 1, IEEE Standard P1597, 2008. [37] J. Fan, J. L. Drewniak, J. L. Knighten, N. W. Smith, A. Orlandi, T. P. Van Doren, T. H. Hubing, and R. E. DuBroff, “Quantifying SMT decoupling capacitor placement in DC power bus design for multilayer PCBs,” IEEE Trans. Electromagn. Compat., vol. 43, no. 4, pp. 588–599, Nov. 2001. [38] S. Wu and J. Fan, “Investigation of crosstalk among vias,” in Proc. IEEE EMC Symp., Austin, TX, Aug. 2009, pp. 186–190. Francesco de Paulis (S’08) was born in L’Aquila, Italy, in 1981. He received the Laurea degree and Specialistic degree (summa cum laude) in electronic engineering from the University of L’Aquila, L’Aquila, Italy, in 2003 and 2006, respectively, the M.S. degree in electrical engineering from Missouri University of Science and Technology (formerly University of Missouri–Rolla), Rolla, in 2008, and is currently working toward the Ph.D. degree at the University of L’Aquila. He was involved in research activities at the University of L’Aquila Electromagnetic Compatibility (UAq EMC) Laboratory, Department of Electrical Engineering, University of L’Aquila, from August 2004 to August 2006. From June 2004 to June 2005, he held an internship with the Layout/SI/PI Design Group, Selex Communications s.p.a. In August 2006, he joined the EMC Laboratory, Missouri University of Science and Technology. His main research interests are in developing a fast and efficient analysis tool for SI/PI design of high-speed signals on PCBs, RF interference in mixed-signal systems, and EMI problem investigation on PCBs. Mr. de Paulis was the recipient of the Best Paper Award at the IEEE International Symposium on EMC in 2009 and the IEC DesignCon Paper Award in 2010.

Leo Raimondo was born in Termoli, Italy, in 1982. He received the his Laurea degree and Specialistic degree (summa cum laude) in electronic engineering from the University of L’Aquila, L’Aquila, Italy, in 2005 and 2008, respectively. He has been involved in the research activities of the University of L’Aquila Electromagnetic Compatibility (UAq EMC) Laboratory, Department of Electrical Engineering, University of L’Aquila, since September 2007, where he is now a Research Assistant. His main research interests includes power integrity on PCB and numerical modeling of high-speed interconnects.

Antonio Orlandi (M’90–SM’97–F’07) was born in Milan, Italy, in 1963. He received the Laurea degree in electrical engineering from the University of Rome “La Sapienza,” Rome, Italy, in 1988. He was with the Department of Electrical Engineering, University of Rome “La Sapienza,” from 1988 to 1990. Since 1990, he has been with the Department of Electrical Engineering, University of L’Aquila, L’Aquila, Italy, where he is currently a Full Professor with the University of L’Aquila Electromagnetic Compatibility (UAq EMC) Laboratory. He has authored or coauthored more than 200 technical papers and holds two patents that he has published in the field of electromagnetic compatibility in lightning protection systems and power drive systems. His current research interests are in the field of numerical methods and modeling techniques to approach signal/power integrity and EMC/EMI issues in high-speed digital systems. Dr. Orlandi is a member of the Education, TC-9 Computational Electromagnetics and chairman of the TC-10 Signal Integrity Committees of the IEEE EMC Society, and chairman of the “EMC and Signal Integrity at PCB level” Technical Committee of the International Zurich Symposium and Technical Exhibition on EMC. He has been an associate editor of the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY and the IEEE TRANSACTIONS ON MOBILE COMPUTING. He was the recipient of the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY (EMC) Best Paper Award in 1997, the IEEE EMC Society Technical Achievement Award in 2003, the IBM Shared University Research Award in 2004, 2005, and 2006, the CST University Award in 2004, and the IEEE EMC Symposium Best Paper Award in 2009.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

1877

Covariance-Based Vector-Network-Analyzer Uncertainty Analysis for Time- and Frequency-Domain Measurements Arkadiusz Lewandowski, Member, IEEE, Dylan F. Williams, Fellow, IEEE, Paul D. Hale, Senior Member, IEEE, Jack C. M. Wang, and Andrew Dienstfrey

Abstract—We develop a covariance-matrix-based uncertainty analysis for vector-network-analyzer (VNA) scattering-parameter measurements. The covariance matrix not only captures all of the measurement uncertainties of the scattering-parameter measurements, but also the statistical correlations between them. This allows the uncertainties of VNA scattering-parameter measurements to be propagated into the uncertainties of other quantities derived from scattering parameters, including temporal waveforms and circuit model parameters. Index Terms—Covariance matrix, frequency-domain measurements, scattering parameters, time-domain measurements, uncertainty analysis, vector network analyzer (VNA).

I. INTRODUCTION

W

E DEVELOP a new uncertainty analysis for vector-network-analyzer (VNA) scattering-parameter measurements. Most VNA uncertainty analyses focus solely on estimating the uncertainty of the scattering parameters measured by the VNA and do not provide enough information to allow these uncertainties to be applied to the end goal of the measurement, which may be often not only the scattering-parameters themselves, but also, for example, the development of a circuit model or calibration of another instrument. The key feature of our approach is that the VNA measurement uncertainties we provide can be translated into the uncertainty of any other quantity derived from the scattering parameters. In particular, our uncertainties can be propagated into the time domain and used in the uncertainty analysis of time-domain measurement systems that involve frequency-domain VNA characterization. Such systems include electrooptic measurement systems [1], high-frequency sampling oscilloscopes [2], [3], and large-signal network analyzers [4]. Our uncertainty analysis can also be used to determine the uncertainties of the capacitances, inductances, and other elements of a circuit model for a device, such as a transistor or transmission-line discontinuity, based on the scattering-parameter measurements. This allows us to go far

Manuscript received November 16, 2009; revised April 08, 2010; accepted April 08, 2010. Date of publication June 10, 2010; date of current version July 14, 2010. A. Lewandowski is with the Warsaw University of Technology, Institute of Electronic Systems, 00-665 Warsaw, Poland (e-mail: A.Lewandowski@ieee. org). D. F. Williams, P. D. Hale, J. C. M. Wang, and A. Dienstfrey are with the National Institute of Standards and Technology (NIST), Boulder, CO 80305 USA. Digital Object Identifier 10.1109/TMTT.2010.2049768

beyond what can be done with conventional scattering-parameter uncertainties and actually apply the results of our analysis to the final goal of the measurement process. Covariance-matrix description of scattering-parameter measurement uncertainties has already been employed in some early contributions on six-port measurement systems. References [5] and [6], for example, present a comprehensive covariance-matrix-based uncertainty analysis of a single-frequency scatteringparameters measurement in a 2–18-GHz dual six-port measurement system. Recently, a covariance-matrix uncertainty description for -parameter measurements was presented in [1], [7], and [8]. References [7] and [8] demonstrate that statistical correlations between measurement uncertainties for different scattering-parameters are required when propagating scattering-parameter measurement uncertainties into uncertainties of other frequency-domain quantities. References [7] and [8] capture these correlations in covariance matrices of a form similar to the one suggested in [5]. Reference [1] generalizes those results and shows that, in the case of propagation into temporal-domain quantities, statistical correlations between scattering-parameter measurement uncertainties for different frequencies become essential. Reference [1] captures these correlations in a multifrequency covariance matrix, that is, a covariance matrix that accounts for the uncertainties and statistical correlations between them for all measurement frequencies. We use the representation introduced in [1] in this study. Typical approaches to the VNA scattering-parameter-measurement uncertainty analysis do not account for the statistical correlations between scattering-parameter measurement uncertainties (e.g., [9]–[11]). This is because these approaches do not attempt to capture all of the information available from the underlying physical mechanisms of measurements errors. Instead, these typical approaches focus on the narrow goal of estimating the uncertainties in the scattering parameters themselves at a single frequency. These simple uncertainties are not sufficient to distinguish between noise, which can be averaged out, and systematic errors that have more structure and cannot be averaged out of the measurements. This drawback prevents the scattering-parameter uncertainties from being propagated forward to the parameters that actually interest the user. In our approach, we follow a physical paradigm. We begin with the identification of all of the fundamental statistically independent physical error mechanisms in the VNA measurement. We then characterize these mechanisms with

U.S. Government work not protected by U.S. copyright.

1878

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

frequency-dependent physical models. Based on these models, we determine the contribution of these error mechanisms to the VNA measurement uncertainty for all measurement frequencies and scattering-parameters at the same time. Consequently, we can readily determine all of the statistical correlations between these uncertainties, capture them with a covariance matrix, and propagate them forward to any quantity of interest to a user derived from the scattering-parameter measurements.

the variability of the random parameters through the physical model (1). This model is, in general, nonlinear. However, describe changes, we can assume that both varisince and ables are small. Consequently, we can linearize (1) and apply the superposition rule. The overall error in a scattering-parameter measurement is then written as a linear combination

II. PHYSICAL ERROR MECHANISMS

where is a vector of random parameters repis the resenting the physical error mechanisms, and matrix Jacobian matrix defined by

The notion of a physical error mechanism is fundamental to our covariance-based uncertainty analysis. It reflects the fact that the overall measurement error is caused by a set of fundamental physical error mechanisms. These error mechanisms correspond to both systematic measurement errors (e.g., uncertainties of dimensional and material parameters of the calibration standards) and random measurement errors (e.g., bending of the cables, misalignment of inner and outer conductors, or displacements of the inner conductor fingers in coaxial connectors). We represent a physical error mechanism with a scalar random variable that describes the variability of an underlying physical parameter characterizing this mechanism, and with a physical model that describes the relationship between this physical parameter and the corresponding error in scattering-parameter measurement. This relationship is determined by the electrical models of the calibration standards and the VNA instrumentation and the mathematical description of the VNA calibration and correction procedure. As the random parameter describes changes, we assume that it has a zero-mean value and a variance . Typically, this parameter has a Gaussian or uniform probability density function. We further define the physical model representing the error mechanism as a vector function (1) where is the error in scattering-parameter measurement . and are real-valued vectors with real and imagiBoth nary parts of corresponding scattering parameters. These vecelements, where is the number of ports tors have is the number of measurement of the device under test and frequencies. The particular ordering of scattering parameters in and is arbitrary and is not relevant for the following discussion. III. COVARIANCE MATRIX The statistical properties of the physical error mechanisms can be conveniently captured in a covariance matrix ..

.

(2)

is the number of mechanisms. Matrix (2) is diagwhere onal, because the physical error mechanisms are statistically independent. In order to determine the statistical properties of the error in scattering-parameter measurement, we need to propagate

(3)

.. .

..

.

.. .

(4)

whose elements are the sensitivities of scattering-parameter measurement to individual error mechanisms in . By use of of (3) and (4), we then readily obtain the covariance matrix error in scattering-parameter measurement as [12] (5) is the expectation value operator [12]. In order to where make sure that the linear approximation (5) holds, we verify it with numerical Monte Carlo simulations. Matrix (5) contains a wealth of information. Its diagonal elements describe the variance of real and imaginary parts of the error in the corresponding scattering parameters in the vector . The off-diagonal terms in correspond to all possible statistical correlations between these errors. In particular, these terms account for correlations between errors in scattering-parameter measurements at different frequencies. , it is possible for matrix (5) We further note that, for , the rank of matrix (5) is to be full rank; however, for necessarily less than , hence its rows and columns are linearly dependent. This has an important implication. In practice, is usually much larger than , because we measure scattering parameters for a large number of frequencies. Consequently, we observe the variability of random variables that results from independent physical random mechanisms. Therefore, only some fixed deterministic relationships between the variables in exist, which are captured in the matrix . As a result, inbased on repeated stead of directly estimating the matrix measurements, which is difficult because of a large number of variables [12], [13], it is more efficient to identify the underwhose lying independent error mechanism and the matrix columns capture the physical relationships between these error mechanisms and the measurement error. Equation (5) also suggests another convenient form for representing the information captured in . Let the square root of covariance matrix be defined by (6)

LEWANDOWSKI et al.: COVARIANCE-BASED VNA UNCERTAINTY ANALYSIS FOR TIME- AND FREQUENCY-DOMAIN MEASUREMENTS

Since the physical error mechanisms are uncorrelated, is diagonal, and its square root matrix is also a diagonal matrix containing the square roots (standard deviations) of the variances in . With the use of , we can now rewrite (5) as (7) matrix with a Thus, we can uniquely represent the matrix made up of columns of much smaller multiplied by standard deviations of error mechanisms in . IV. PROPAGATING COVARIANCE-MATRIX-BASED UNCERTAINTIES Here, we review the propagation of covariance-based uncertainties into the uncertainties of quantities derived from scattering-parameter measurements. We focus here on a general case when the quantity derived from scattering parameters depends on measurements of scattering parameters for all frequencies. Cases for which the derived quantities depend on scattering parameters at only one frequency have already been discussed in the literature [7]. There are many practical situations when we need to derive some quantities from scattering-parameter measurements performed for multiple frequencies. Examples here are the transformation of the reflection coefficient into the time-domain in VNA-based time-domain reflectometry or the mismatch correction in oscilloscope measurements. Other examples are measurement-based circuit modeling, such as determining the equivalent circuit of a transistor from scattering-parameter measurements. In all of these cases, we can represent the relationship between scattering-parameter measurements and the derived quantities as a vector function

Expression (11) gives us an approximation of the covariance matrix of the quantity derived from scattering-parameter meais linear, (11) is exact. For a function that surements. When is differis nonlinear, approximation (11) holds as long as and errors in scattering-parameter measurement entiable at are small relative to neglected nonlinear terms. In the case when is highly nonlinear or errors are large, a numerical Monte . Carlo simulation may be necessary to obtain and Equation (11) shows that both covariance matrices are closely related. In the case when each element of depends on scattering-parameter measurements at only one frequency, the Jacobian matrix has a block diagonal form. Consequently, correlations between scattering-parameter measure. ment uncertainties for different frequencies do not affect However, when elements of depend on scattering-parameter measurement uncertainties for all frequencies, such as in the case of conversion from the frequency domain into the temis dense and correlations poral domain, the Jacobian matrix between scattering-parameter measurements uncertainties con. tribute to both variances and covariances in We can put (11) in a different form by use of (7). We then obtain (12) This relationship suggests that we can perform the uncertainty propagation entirely by use of Jacobian matrices with columns scaled by the standard uncertainties of the physical error mechanisms. Consequently, we may evaluate the full covariance matrix of derived quantities only at the last step of the uncertainty propagation. V. REPORTING COVARIANCE-MATRIX-BASED UNCERTAINTIES

(9)

describes the measurement uncerCovariance matrix tainty in vector representing the quantity derived from scattering-parameter measurement (or, in the simplest case, the scattering-parameter measurement itself). When reporting , as in the scalar case, we are the uncertainty captured in typically interested in the confidence region. Assuming that is full rank, this region is defined as a multidimensional ellipsoid around the estimate of within which we expect, , the true value of to lie with a prescribed likelihood [12], [13]. This confidence region can be written as

is the Jacobian

(13)

(8) where is vector of derived quantities and is the scatteringparameter measurement vector.1 For a given measurement of is differentiable in scattering parameters, we assume that and approximate it with a first-order Taylor expansion of (8) around , that is,

is the measurement error in and where matrix of partial derivatives, defined as

1879

(10) which has a similar form to (4). We then insert (5) into (9) and determine the covariance matrix as

(11) 1The derived quantities may also depend on some quantities other than scattering-parameters; however, for the sake of simplicity, we do not explicitly account for this dependence in our notation.

is the quintile of Hotteling’s distribuwhere tion with and degrees of freedom [12], [13], is the number of physical error mechanisms, and is the number of elements in the vector . When evaluating (13), we need to pay special attention to the . As already mentioned, the variability of results rank of physical error mechanisms. We defrom a finite number of termine this number based on the number of columns in the matrix used to construct . When is smaller than the size of the vector , the covariance matrix becomes rank deficient and cannot be inverted. Consequently, (13) is no longer valid. In this case, the confidence region needs to be defined in

1880

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

terms of physical mechanisms rather than measured quantities and then mapped into the domain of . However, this is beyond the scope of this paper. In practice, we are often interested in the uncertainties of only single elements of the vector . Examples are real and imaginary parts, or magnitude and phase of a scattering parameter at a particular frequency, or waveform voltage at a particular time point. The confidence region then reduces to a typical 1-D confidence interval [14]. Denoting this element by and its estimate by , we can write the confidence interval as

TABLE I DIMENSIONAL PARAMETERS OF 1.85-mm COAXIAL TRANSMISSION LINES

(14) is the quintile of the student’s -distribution where with degrees of freedom [14]. VI. UNCERTAINTY ANALYSIS FOR VNA MEASUREMENT Our uncertainty analysis for VNA scattering-parameter measurements is based on the covariance-matrix description introduced in Section III. We perform our analysis in two steps. In the first step, we carefully identify all of the independent physical sources of measurement errors. As a result of this step, we obtain the variances of these mechanisms, as captured in matrix . In the second step, we determine how these mechanisms affect corrected scattering-parameter measurements at all freas quencies and represent this information with the matrix defined by (7). This matrix allows us to eventually determine . the covariance matrix We divide the error mechanisms into two groups: calibration standard errors and VNA instrumentation errors. The calibration standard errors result from uncertainties in the dimensional and material parameters of the calibration standards. The VNA instrumentation errors are caused primarily by the connector nonrepeatability, cable instability, and the test-set drift. We write the overall error in scattering-parameter measurement as a sum (15) where and are vectors of random variables corresponding to physical parameters that characterize the calibration standard and VNA instrumentation error mechanisms, reand are the corresponding Jacobian spectively, and matrices, defined by (4). These matrices capture the transformation between changes in the physical parameters in and and the resulting error in the frequency-dependent scattering-parameter measurement . In the following, we discuss the approach we employed to obtain the representation (15). A. Calibration Standard Errors In order to identify the calibration-standard error mechanisms, we begin with physical modeling of the calibration standards. The goal of this modeling is to express the scattering parameters of calibration standards in terms of some fundamental dimensional and material parameters. Examples here are lengths and diameters of conductors in the coaxial transmission line. Such models for different calibration standards have been

extensively discussed in the literature (e.g., see [15] and [16]); we will not discuss them in more detail. In the next step, we measure these fundamental dimensional parameters. For example, in the case of coaxial calibration standards used in this work, such measurements involve the use of precision air gauges and mechanical blocks. The uncertainties of these measurements then become the estimates of standard . In deviations of calibration-standard error mechanisms in , we then use the order to determine the columns of matrix rules described in Section IV to propagate these uncertainties through the physical models of the calibration standards and the VNA calibration and correction procedure. We use the flexible calibration approach of [17] which allows us to easily adjust our uncertainty analysis to an arbitrary calibration procedure. We illustrate this with the multiline TRL calibration [18] in the 1.85-mm coaxial connector standard. This calibration employed a set of five insertable airlines, a flat short as the reflect standard, and the direct connection of test ports as the thru standard. The systematic errors in our calibration result from the Type B uncertainties for the lengths and diameters of 1.85-mm airline standards (see Table I) and from the nonreproducibility of airlines’ conductor loss. We derived the dimensional uncertainties in Table I from the specifications of the air gauge and mechanical blocks used to measure the airlines. In the absence of any detailed information as to the nonreproducibility of an airline’s conductor loss, we made a conservative estimate that the maximum relative error in this loss with respect to the value determined in the multiline TRL calibration is 100%. B. VNA Instrumentation Errors A covariance-matrix-based description of VNA instrumentation errors poses a more complex problem than the evaluation of calibration standard errors. It is difficult, in practice, to characterize the VNA instrumentation errors with analytical models derived from fundamental mechanical and electrical parameters of the VNA. For example, in the case of connector repeatability

LEWANDOWSKI et al.: COVARIANCE-BASED VNA UNCERTAINTY ANALYSIS FOR TIME- AND FREQUENCY-DOMAIN MEASUREMENTS

Fig. 1. Behavioral physical model for VNA random errors: (a) overview and (b) single perturbation.

errors, this would require careful mechanical characterization of all of the possible mechanical displacements in the connector interface and then electromechanical modeling of their influence on the interface scattering parameters. Although such an approach has been applied to simplified connector models (e.g., see [19] and [20]), the real connector structures are extremely difficult to model. The situation is even more difficult with the random errors caused by cable instability or test-set drift. Direct analytical modeling of electrical parameters of such complex structures is beyond our capacity. Hence, our approach to the description of VNA instrumentation errors is different and is based on measurement-based stochastic modeling. We first assume that the errors in VNA raw measurements can be neglected and consider only the VNA nonstationarity error, that is, the connector nonrepeatability, cable instability, and the VNA test-set drift. We further use the approximate approach of [21] to characterize the impact of VNA test-set drift. In the case of connector nonrepeatability and cable instability, we employ the generic physical model for the VNA nonstationarity errors proposed in [22] and shown schematically in Fig. 1. This model describes the frequency dependence of VNA nonstationarity errors with a set of lumped-element perturbations located at fixed distances within the VNA error box. Based on that description, we build a stochastic model in which parameters of the perturbations may vary randomly. We then identify statistical properties of these parameters based on repeated measurements. In this way, we represent the complicated frequency-dependent behavior of VNA instrumentation errors with a very small set of frequency-independent random variand some fixed functions that capture the frequency ables dependence of these errors. These functions stem from the structure of the model shown in Fig. 1; for more details, refer to [22] and [23]. Similarly to the calibration standard errors, we then deby propagating these termine the columns of the matrix functions through the VNA calibration and correction procedure with the use the rules described in Section IV. , we use reIn order to identify statistical properties of peated measurements. In the case of connector repeatability er-

1881

Fig. 2. In-phase and quadrature component of the standard uncertainty of 16 measurements of a 5.4-mm-long 1.85-mm coaxial offset short: measurement (grey) and stochastic behavioral model prediction (black).

rors, we perform multiple reflection coefficient measurements of a highly reflective load while reconnecting the load between the measurements. In the case of cable instability errors, we proceed in a similar way; however, instead of reconnecting the load, we randomly bend the cable between the measurements. For a given sample of repeated measurements , for , we first determine the mean and calculate the esof the measurement error. We use the timates model-identification approach presented in [22] to determine that model the measurement error the parameters and then determine the sample covariance matrix for the parameters , for . We further apply principal component analysis (see [12]) to diagonalize this matrix and reduce its dimensionality while capturing the most important error mechanisms in the system. As a result, we are usually able to adequately represent the connector repeatability and cable instability errors with only two or three random variables. In Fig. 2, we illustrate our approach with modeling results for the connector repeatability errors. This figure shows the in-phase and quadrature component (see [24]) of the standard uncertainty of 16 repeated measurements of a 5.4-mm-long 1.85-mm coaxial offset short along with the uncertainty prediction from our stochastic behavioral model. We took the measurements for a narrow intermediate-frequency bandwidth of 10 Hz in order to reduce the noise impact. For this reason, the 16 repeated measurements took approximately 30 min. The model we used employed two random variables. The agreement between the model prediction and measurement for the quadrature (phase) errors is very good except for a small discrepancy in the frequency range below 4 GHz. This discrepancy is caused by an increased test-set drift in the frequency range below 4 GHz, which is a phenomenon that we also noticed in other experiments. The agreement for the in-phase (magnitude) errors is not as good; however, the in-phase errors are much smaller and, therefore, less important than the quadrature errors. Consequently, our stochastic behavioral model is capable of adequately representing the dominant variability of the connector interface observed in the measurements of the short.

1882

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

measurement and the oscilloscope’s complex frequency response . We represent the reflection coefficient measurement of the osand , respeccilloscope and signal source as vectors tively, and the waveform spectrum of the signal source as vector . These vectors are constructed out of measurements for all frequencies, that is,

.. . Fig. 3. Signal-flow graph describing the propagation of signals between the signal source and oscilloscope. The signal source injects into the circuit the with no distortion, as indicated by the unity transfer function. The signal b signal delivered to the oscilloscope is modified by the transfer function, as well (from [25]). as being reflected multiple times by 0 and 0

.. .

VII. APPLICATION EXAMPLES .. .

A. Mismatch-Correcting Waveform Measurements Measurement of waveforms with bandwidths reaching microwave frequencies are typically performed with high-speed sampling oscilloscopes. Unlike their low-frequency counterparts, these oscilloscopes are designed to be connected directly to the signal source and to measure the voltage the circuit generates across the oscilloscope’s 50- input impedance [25]. Accuracy of such measurements can be improved if the impedance mismatch between the signal source and the oscilloscope is accounted for. This is typically done by measuring the output impedance of the signal source and input impedance of the oscilloscope with a VNA and applying an appropriate correction to the waveform measured by the oscilloscope [25]. Here, we will show that the uncertainty analysis of such mismatch-corrected waveform measurements requires a full covariance-matrix description of the uncertainties in VNA measurements. Fig. 3 shows the signal-flow graph that models the propagation of the signal between the signal source and the oscilloscope [25]. The source generates the signal with the forward-wave , where is the forward-wave voltage source amplitude, and the oscilloscope measures the voltage corresponding to the wave amplitude . We can write the relationship between the two voltages as [25] (16) where is the input reflection coefficient of the oscilloscope and is the oscilloscope’s complex frequency response (i.e., the Fourier transform of its impulse response).2 In order to perform the uncertainty analysis of (16), we use the uncertainty propagation rules described in Section IV. Here, we focus only on the uncertainty components due to the errors in VNA measurements. A complete uncertainty analysis, however, also needs to account for errors in the oscilloscope’s raw 2Equation (16)

is suitable when the frequency content of the signals is within the bandwidth of the oscilloscope, i.e., jhj is roughly a unit magnitude. At high frequencies, as jhj rolls off, (16) is ill-posed and cannot be directly used to determine v . Regularization techniques need to be then used (see [3]).

where and

, for , are the measurement frequencies . Similarly, we represent the temporal waveforms and , where for are the time points, as vectors made up of measurements for all time points, that is, .. .

.. .

Since the spectra are determined for frequencies, the time points [26]. corresponding waveforms have With the use of (11), we can write the covariance matrix of the waveform spectrum as (17) and are the covariance matrices of the oswhere cilloscope’s input reflection coefficient and the signal source’s and are the reflection coefficient, respectively, and Jacobian matrices. The uncertainty of the DUT waveform spectrum at a particular frequency depends on only the uncertainties of reflection coefficient measurements for that same frequency; and are block diagonal and consist hence, matrices of first derivatives of (16) with respect to and , evaluated at each measurement frequency. However, the matrices and are dense, and, consequently, the covariance is also dense. matrix In order to determine the uncertainty of the temporal waveform, we need to use the discrete inverse Fourier transform. This transform is a linear operation, hence the relationship between waveform spectrum and its temporal representation can be written as (18) is the matrix of the Fourier series coefficients. This where matrix is dense, because a single time-domain sample is a func-

LEWANDOWSKI et al.: COVARIANCE-BASED VNA UNCERTAINTY ANALYSIS FOR TIME- AND FREQUENCY-DOMAIN MEASUREMENTS

Fig. 4. Uncertainty analysis of the mismatch correction in oscilloscope waveform measurement: pulse voltage after the mismatch correction (solid black line), standard uncertainty of the pulse voltage (solid gray line), standard uncertainty of the pulse voltage calculated without correlations (dashed black line).

tion of all spectrum components. By use of (18) and (11), we can write

(19) Expression (19) is a very important result. Simple investigation shows that the uncertainties of waveform amplitudes depend both on uncertainties in scattering-parameter measurements and on statistical correlations between these uncertainties at different frequencies. Therefore, estimation of these correlations is essential when VNA scattering-parameter measurements are used in the calibration of waveform measurement systems. In order to illustrate the importance of these correlations, we measured a pulse generated by a photodiode with a 50-GHz sampling oscilloscope, and, from that, we determined the mismatch-corrected impulse response of the oscilloscope by means of the approach of [2]. Both the photodiode and the oscilloscope had 1.0-mm coaxial connectors. The output reflection coefficient of the pulse generator and the input reflection coefficient of the oscilloscope were measured with a VNA calibrated with the use of 1.0-mm coaxial short-open-load-through (SOLT) calibration. The covariance matrix of uncertainties in scattering parameters was determined with the methods discussed in Section VI. We then analyzed the impact of mismatch correction uncertainty on the impulse response uncertainties. We analyzed two different cases: when the uncertainties in the mismatch-corrected impulse response are determined accounting for the correlations between uncertainties for different frequencies and when these correlations are neglected. Results are shown in Fig. 4. We see that, when the statistical correlations between the uncertainties are accounted for, the standard uncertainty (solid gray line) in the corrected waveform (solid black line) approximately follows the shape of the waveform. In particular, we note increased measurement uncertainty around the impulse response peak. When these correlations are neglected, the uncertainties (dashed gray line) are uniformly spread over the duration of the impulse response. Consequently, the uncertainties away from the impulse

1883

Fig. 5. Propagation of a 1-ns-wide Gaussian pulse through a 1.85-mm coaxial adapter: standard uncertainty of the output pulse (solid black), standard uncertainty of the output pulse calculated without correlations (dashed black line).

response peak are overestimated, while the uncertainties around the peak are underestimated. B. Time-Domain Waveform Correction We simulated the propagation of a 1-ns-wide pulse through a 1.85-mm connector to illustrate both the impact of the statistical correlations between uncertainties at different frequencies on temporal measurements and the ability of our approach to separately determine the effect of different physical error mechanisms on the measurements. We first characterized the adapter with a 1.85-mm coaxial multiline TRL calibration. The covariance matrix of uncertainties in the scattering parameters of the adapter was determined with the methods discussed in Section VI. We then examined two ways of calculating the uncertainties: accounting for the correlations between uncertainties at different frequencies and neglecting these correlations. The results of our simulation are shown in Fig. 5. We see that accounting for the statistical correlations between the uncertainties at different frequencies yields a temporal uncertainty that closely follows the shape of the output pulse (solid black line) as we would expect due to the many multiplicative errors in the mismatch-correction procedure we applied. However, if we neglect these correlations, the resulting temporal uncertainties (dashed black line) are uniformly spread over the measurement window, indicating the complete absence of multiplicative errors. Consequently, the uncertainties away from the pulse peak are overestimated, while the uncertainties around the pulse peak are underestimated. Furthermore, we found that increasing the length of the measurement window in this case reduces the estimate of the uncorrelated uncertainties, an inconsistent result, while the correlated uncertainties remain essentially unchanged. Fig. 6 illustrates another advantage of our approach, which is the ability to separately examine individual uncertainty mechanisms and their impact on the final measurand in another domain. Fig. 6 shows the uncertainties due to all of the error mechanisms we identified in the mismatch correction procedure, labeling the largest three errors. The first and third largest of these is the conductor loss of the longest and shortest line, respectively. This is primarily a multiplicative error, and we see that

1884

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 6. Propagation of a 1-ns-wide Gaussian pulse through a 1.85-mm coaxial adapter: standard uncertainty due to three error mechanisms with the largest contributions.

Fig. 7. Schematic of the 1.85-mm coaxial mismatch thru. Dimensions with numerical values are fixed and taken from [30]; other dimensions are estimated in the modeling procedure.

this uncertainty thus follows approximately the shape of the output pulse, as expected. The second largest error mechanism is the VNA test-set drift. This uncertainty is also primarily multiplicative in nature. We have found the separate analysis of the impact of each error mechanism afforded by our approach in both the frequency and time domains extremely useful. We are not only able to identify the most important contributions at different point in time, but to verify that each error mechanism acts as expected in both domains. C. Measurement-Based Modeling In the measurement-based modeling, we determine an electrical model of a device based on a measurement of its electrical characteristics, such as a wideband VNA scattering-parameters measurement. Examples include modeling of active devices, such as microwave transistors, and passive devices, such as transmission-line discontinuities [27]. A number of different approaches are used in the measurement-based modeling. These approaches are typically based on a statistical formulation of the modeling problem and employ numerical optimization techniques to determine model parameters from VNA scattering-parameter measurements of the device [28]. In the context of uncertainty analysis, we can write the modeling procedure as a function that transforms the VNA scattering-parameter measurement into model parameters , that is, (20) Parameters in the vector are typically frequency-independent (e.g., capacitances, inductances, or resistances of an equivalent circuit), while the vector contains measurement of scattering parameters for multiple frequencies. The particular form of the function depends on the formulation of the modeling problem and methods used to solve it. of model paIn order to determine the covariance matrix rameters, we may now apply the uncertainty propagation rules described in Section IV to (20). Since each model parameter depends on scattering-parameter measurement at all frequencies,

Fig. 8. Modeling of the 1.85-mm coaxial mismatch thru: magnitude of measurement (solid gray line), and model (solid black line).

S

,

the uncertainties of model parameters will depend on statistical correlations between uncertainties in scattering-parameter measurement at different frequencies. We illustrate this with an example of measurement-based modeling for a 1.85-mm coaxial mismatch thru standard. This device is a section of low-impedance transmission line embedded in a nominally 50- line. A schematic of this device, along with geometrical dimensions, is shown in Fig. 7. We model this device as a cascade of transmission lines with and accounting for the additional capacitances connector joint discontinuity (see [29]) and the bead at the impedance step. We model the center conductor gap as a section of high impedance line (of fixed diameter 0.511 mm [30]) with length . We assume that all lines exhibit conductor losses characterized by the metal conductivity . We measured the scattering parameters of the device with a VNA calibrated with the multiline TRL calibration. The measurements were performed in the frequency range 0.05–67 GHz. We obtained model parameters with the classical least-squares fitting [28] and then performed the uncertainty analysis with methods described in Section VI. In Figs. 8 and 9, we compare and obtained from the measurement the magnitudes of and from the model. The agreement is very good. In Table II,

LEWANDOWSKI et al.: COVARIANCE-BASED VNA UNCERTAINTY ANALYSIS FOR TIME- AND FREQUENCY-DOMAIN MEASUREMENTS

1885

actually interested in, not just the uncertainties of the scattering parameter measurements, as is usually the case. We illustrate the utility of the approach by showing that the correlations between uncertainties at different frequencies are required to convert scattering-parameter uncertainties into temporal quantities or determining the values of the elements of a circuit model based on scattering-parameter measurements. In both cases, the experimental results we obtain clearly demonstrate that accounting for the statistical correlations between scattering-parameter measurement uncertainties at different frequencies is essential to correctly evaluate the uncertainties of the quantities of interest to a user derived from scattering-parameter measurements. Fig. 9. Modeling of the 1.85-mm coaxial mismatch thru: magnitude of measurement (solid gray line) and model (solid black line).

S

,

TABLE II PARAMETERS OF 1.85-mm MISMATCH-THRU MODEL

ACKNOWLEDGMENT Author A. Lewandowski, would like to thank Prof. J. Dobrowolski for his continuous support and encouragement for this work. REFERENCES

we show the model parameters we obtained along with the standard uncertainties. We determined these uncertainties in two ways: with the use of the full covariance matrix and then by neglecting the correlations between scattering-parameter uncertainties for different frequencies. Results shown in Table II indicate that neglecting these correlations leads to very different estimates of the uncertainties on the model parameters. As in the examples shown in Section VII-A, neglecting these correlations may cause both underestimation and overestimation of the uncertainties. VIII. CONCLUSION We present a new uncertainty analysis for VNA scattering-parameter measurements. Our approach is based on a covariance-matrix uncertainty description that allows us to capture not only the scattering-parameter measurement uncertainties, but also the statistical correlations between them. In particular, through a careful identification and modeling of underlying error mechanisms in VNA scattering-parameter measurements, we are able to determine the statistical correlations between uncertainties at different frequencies. Consequently, the covariance-based uncertainties of VNA scattering-parameter measurements we report can be propagated into the uncertainties of any other quantity derived from scattering parameters. This allows the user of the measurements to determine the uncertainties of the quantities that he or she is

[1] D. F. Williams, A. Lewandowski, T. S. Clement, J. C. M. Wang, P. D. Hale, J. M. Morgan, D. A. Keenan, and A. Dienstfrey, “Covariancebased uncertainty analysis of the NIST electrooptic sampling system,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 481–491, Jan. 2006. [2] T. S. Clement, P. D. Hale, D. F. Williams, C. M. Wang, A. Dienstfrey, and D. A. Keenan, “Calibration of sampling oscilloscopes with highspeed photodiodes,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3173–3181, Aug. 2006. [3] P. D. Hale, A. Dienstfrey, J. Wang, F. D. Williams, A. Lewandowski, D. A. Keenan, and T. S. Clement, “Traceable waveform calibration with a covariance-based uncertainty analysis,” IEEE Trans. Instrum. Meas., vol. 58, no. 10, pp. 3554–3568, Oct. 2009. [4] J. Verspecht, “Large-signal network analysis,” IEEE Microw. Mag., vol. 6, no. 4, pp. 82–92, Dec. 2005. [5] R. M. Judish and G. F. Engen, “On-line accuracy assessment for the dual six-port ANA: Statistical methods for random errors,” IEEE Trans. Instrum. Meas., vol. 36, no. 2, pp. 507–513, 1987. [6] C. A. Hoer, “On-line accuracy assessment for the dual six-port ANA: Treatment of systematic errors,” IEEE Trans. Instrum. Meas., vol. 36, no. 2, pp. 514–523, 1987. [7] N. M. Ridler and M. J. Salter, “Propagating S -parameter uncertainties to other measurement quantities,” in 58th ARTFG Conf. Dig., 2001, vol. 40, pp. 1–19. [8] N. M. Ridler and M. J. Salter, “An approach to the treatment of uncertainty in complex S -parameter measurements,” Metrologia, vol. 39, no. 3, pp. 295–302, 2002. [9] D. K. Rytting, “Network analyzer accuracy overview,” in 58th ARTFG Conf. Dig., Nov. 2001, vol. 40, pp. 1–13. [10] U. Stumper, “Uncertainty of VNA S -parameter measurement due to nonideal TRL calibration items,” IEEE Trans. Instrum. Meas., vol. 54, no. 2, pp. 676–679, Apr. 2005. [11] “Guidelines on the evaluation of vector network analyzers (VNA),” EURAMET, Braunschweig, Germany, Doc. EURAMET/cg-12/v.01, Jul. 2007. [12] D. F. Morrison, Multivariate Statistical Methods. New York: McGraw-Hill, 1967. [13] R. Willink and B. D. Hall, “A classical method for uncertainty analysis with multidimensional data,” Metrologia, vol. 39, no. 4, pp. 361–369, 2002. [14] “Guide to the expression of uncertainty in measurement,” ISO, Geneva, Switzerland, 1993. [15] K. H. Wong, “Characterization of calibration standards by physical measurements,” in 39th ARTFG Conf. Dig., Jun. 1992, vol. 21, pp. 53–62. [16] W. C. Daywitt, “First-order symmetric modes for a slightly lossy coaxial transmission line,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 11, pp. 1644–1650, Nov. 1990. [17] D. Williams, J. Wang, and U. Arz, “An optimal vector-network-analyzer calibration algorithm,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2391–2401, Dec. 2003.

1886

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

[18] R. Marks, “A multiline method of network analyzer calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7, pp. 1205–1215, Jul. 1991. [19] J. Miall and K. Lees, “Modeling the repeatability of type-N connectors using microwave studio,” in Proc. 19th ANAMET Meeting, 2003. [20] J. P. Hoffmann, P. Leuchtmann, and R. Vahldieck, “Pin gap investigations for the 1.85 mm coaxial connector,” in Proc. Eur. Microw. Conf., Oct. 9–12, 2007, pp. 388–391. [21] D. F. Williams, R. B. Marks, and A. Davidson, R. B. Marks, Ed., “Comparison of on-wafer calibrations,” in Proc. ARFTG Conf. Dig., 1991, vol. 20, pp. 68–81. [22] A. Lewandowski and D. F. Williams, “Characterization and modeling of random vector-network-analyzer measurement errors,” in Proc. 17th Int. Conf. Microw., Radar Wireless Commun., Wroclaw, Poland, May 19–21, 2008. [23] A. Lewandowski, “Multi-frequency approach to vector-network-analyzer scattering-parameter measurements,” Ph.D. dissertation, Dept. Electron. Inf. Syst., Warsaw Univ. Technol., Warsaw, Poland, 2010. [24] D. F. Williams, C. F. Wang, and U. Arz, “In-phase/quadrature covariance-matrix representation of the uncertainty of vectors and complex numbers,” in 68th ARTFG Conf. Dig., 2006, pp. 62–65. [25] D. F. Williams, T. S. Clement, P. D. Hale, and A. Dienstfrey, “Terminology for high-speed sampling-oscilloscope calibration,” in 68th ARTFG Conf. Dig., 2006, pp. 9–14. [26] A. V. Oppenheim and R. W. Schafer, Digital Signal Processing. Englewood Cliffs, NJ: Prentice-Hall, 1975. [27] M. Golio and J. Golio, RF and Microwave Circuits, Measurements, and Modeling. Boca Raton, FL: CRC, 2007. [28] Y. Bard, Nonlinear Parameter Estimation. New York: Academic, 1974. [29] W. C. Daywitt, “A simple technique for investigating defects in coaxial connectors,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 4, pp. 460–464, Apr. 1987. [30] IEEE Standard for Precision Coaxial Connectors (DC to 110 GHz), IEEE Standard 287-2007 (revision of IEEE Standard 287-1968), 2007, pp. C1-119-21.

Arkadiusz Lewandowski (M’09) received the M.Sc. degree and the Ph.D. degree (summa cum laude) in electrical engineering from Warsaw University of Technology, Warsaw, Poland, in 2001 and 2010, respectively. He joined the Institute of Electronics Systems, Warsaw University of Technology, in 2002, where he conducts research in the area of microwave measurements. From 2002 to 2004, he was involved with the development of digital synthesizers of radar signals with the Telecommunications Research Institute, Warsaw, Poland. Since 2004, he has been a Guest Researcher with the National Institute of Standards and Technology (NIST), Boulder, CO, where he is engaged in the development of uncertainty analysis and calibration methods for coaxial and on-wafer VNA measurements. Dr. Lewandowski was the recipient of the Best Paper Award at the International Microwave Conference MIKON 2008, Poland, and the 2005 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Graduate Fellowship Award.

Dylan F. Williams (M’80–SM’90–F’02) received the Ph.D. degree in electrical engineering from the University of California at Berkeley, in 1986. He joined the Electromagnetic Fields Division, National Institute of Standards and Technology (NIST), Boulder, CO, in 1989, where he develops electrical waveform and microwave metrology. He has authored or coauthored over 80 technical papers. Dr. Williams is now Editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the Department of Commerce Bronze and Silver Medals, the Astin Measurement Science Award, two NIST Electrical Engineering Laboratory’s Outstanding Paper Awards, two Automatic RF Techniques Group (ARFTG) Best Paper Awards, the ARFTG Automated Measurements Technology Award, and the IEEE Morris E. Leeds Award. He

Paul D. Hale (M’01–SM’01) received the Ph.D. degree in applied physics from the Colorado School of Mines, Golden, in 1989. Since 1989, he has been with the Optoelectronics Division, National Institute of Standards and Technology (NIST), Boulder, CO, where he conducts research on broadband optoelectronic device and signal metrology. He has been the Leader of the High-Speed Measurements Project in the Sources and Detectors Group since 1996. He is the author or coauthor of over 60 published technical papers. Dr. Hale was an associate editor for Optoelectronics/Integrated Optics for the JOURNAL OF LIGHTWAVE TECHNOLOGY from June 2001 to March 2007. He was the recipient of the Department of Commerce Bronze, Silver, and Gold Awards, two Automatic RF Techniques Group Best Paper Awards, and the NIST Electrical Engineering Laboratory’s Outstanding Paper Award.

Jack C. M. Wang received the Ph.D. degree in statistics from Colorado State University, Fort Collins, in 1978. He joined the Statistical Engineering Division, National Institute of Standards and Technology (NIST), Boulder, CO, in 1988. He has authored or coauthored over 80 journal articles. His research interests include statistical metrology and the application of statistical methods to physical sciences. Dr. Wang is a Fellow of the American Statistical Association (ASA). He was the recipient of the Department of Commerce Bronze Medals and several awards from the ASA.

Andrew Dienstfrey received the B.A. degree in mathematics from Harvard College, Cambridge, MA, in 1990, and the Ph.D. degree in mathematics from the Courant Institute of Mathematical Sciences, New York, NY, in 1998. From 1998 to 2000, he was a Postdoctoral Scientist with the Courant Institute of Mathematical Sciences, investigating methods for remote sensing of dielectric properties of superconducting thin films. He joined the Mathematical and Computational Sciences Division, National Institute of Standards and Technology (NIST), Boulder, CO, in 2000. His research interests include theoretical and computational aspects of periodic scattering problems in acoustics and electromagnetics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

1887

X

Compact Low-Loss Tunable -Band Bandstop Filter With Miniature RF-MEMS Switches Isak Reines, Student Member, IEEE, Sang-June Park, Member, IEEE, and Gabriel M. Rebeiz, Fellow, IEEE

Abstract—This paper presents a compact low-loss tunable -band bandstop filter implemented on a quartz substrate using both miniature RF microelectromechanical systems (RF-MEMS) capacitive switches and GaAs varactors. The two-pole filter is based on capacitively loaded folded- 2 resonators that are coupled to a microstrip line, and the filter analysis includes the effects of nonadjacent inter-resonator coupling. The RF-MEMS filter tunes from 11.34 to 8.92 GHz with a 20-dB rejection bandwidth of 1.18%–3.51% and a filter quality factor of 60-135. The GaAs varactor loaded filter tunes from 9.56 to 8.66 GHz with a 20-dB bandwidth of 1.65%–2% and a filter quality factor of 55-90. Nonlinear measurements at the filter null with 1 = 1 MHz show that the RF-MEMS loaded filter results in 25-dBm higher third-order intermodulation intercept point and P-1 dB compared with the varactor loaded filter. Both filters show high rejection levels ( 24 dB) and low passband insertion loss ( 0.8 dB) from dc to the first spurious response at 19.5 GHz. The filter topology can be extended to higher order designs with an even number of poles. Index Terms—Coupled lines, linearity, miniature RF microelectromechanical systems (RF-MEMS) capacitive switches, power handling, tunable bandstop filter, varactor diodes.

I. INTRODUCTION UNABLE filters are critical components in communication and radar systems and have the potential to replace switched-filter banks. Bandstop filters provide a high level of rejection over a limited bandwidth, while ideally exhibiting low passband loss so as to minimally impact the receiver sensitivity. They are also used to increase the isolation between the transmit and receive paths in high-power systems. The tuning element in planar filters can be realized with solidstate varactors [1], p-i-n diodes, ferro-electric varactors [2], or RF microelectromechanical systems (RF-MEMS). Solid-state varactors have fast switching speeds (ns), but suffer from loss and linearity issues at microwave frequencies [3]. RF-MEMS switches consume much less power compared with solid-state devices, exhibit low loss, and have excellent linearity and power

T

Manuscript received July 15, 2009; revised December 28, 2009; accepted December 28, 2009. Date of publication June 21, 2010; date of current version July 14, 2010. This work was supported in part by the Rockwell Collins XG Program and by the University of California at San Diego DARPA MEMS/NMEMS S&T Center for RF-MEMS Reliability and Design Fundamentals. I. Reines and G. M. Rebeiz are with the Department of Electrical and Computer Engineering, University of California at San Diego, La Jolla, CA 92093 USA (e-mail: [email protected]; [email protected]). S.-J. Park is with Qualcomm Inc., San Diego, CA 92121-1714 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2050621

handling (two-tone third-order intermodulation intercept point (IIP3) 40 dBm) [4]. The reliability of RF-MEMS devices has been steadily improving, making them a possible candidate for tunable filter applications. There is little published work on RF-MEMS tunable notch filters: Adam et al. [5] previously demonstrated a 7.4–15.9-GHz RF-MEMS bandstop filter with rejection levels of 10 dB, and high passband loss ( 5 dB below 5 GHz). Karim et al. [6] reported an RF-MEMS bandstop filter with a tuning range from 17.3 to 19 GHz, and high passband loss ( 5 dB above 25 GHz). Yan et al. [7] realized a three-pole notch filter based on large-deflection MEMS actuators with a center frequency of 6 GHz and a tuning range of only 500 MHz. Pillans et al. [8] reported a three-pole 8.6–11.75-GHz RF-MEMS filter on a ceramic substrate with folded resonators, but 2-dB are observed at frequencies 13 GHz. Finally, dips in excellent work was done in tunable absorptive notch filters [9], but this technique requires analog capacitance tuning to achieve exact phase cancellation, which is challenging with RF-MEMS devices. In this paper, we present a compact low-loss two-pole tunable -band bandstop filter based on coupled folded resonators with capacitive loading. The filter results in high rejection 24 dB and low passband loss 0.8 dB from dc levels to 19.5 GHz [10]. The filter is fabricated with fixed interdigital capacitors, miniature RF-MEMS capacitive switches, and GaAs varactors, and the performance of these filters is compared (insertion loss, 1-dB compression point (P-1 dB), and IIP3). The notch filter design also includes, for the first time, an analytic solution for the effect of nonadjacent inter-resonator coupling. II. DESIGN A. Admittance Matrix for Three-Coupled Lines The proposed bandstop filter is based on a microstrip-line resonators loaded with a which is coupled to two folded (Fig. 1). To minimize the filter size and passcapacitance band insertion loss, one resonator is flipped 180 to allow the inverter to be placed compactly between the resonators. As a result, inter-resonator coupling will occur and is accounted for in the design. Also, this topology increases the inductive coupling between the resonators, resulting in a shift of some of the infinite transmission zeros of the all-pole network from dc to infinity, which increases the slope of the upper stopband. In the filter design, only the coupling between microstrip lines 1, 2, . and 3 shown in Fig. 1(b) are considered, since The capacitance distribution matrix for three coupled lines above a ground plane [Fig. 1(b)] is extracted using an

0018-9480/$26.00 © 2010 IEEE

1888

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

To facilitate the 6

6 matrix reduction let

(7) and (8) The two-port expressed as

-parameters for the bandstop filter can now be (9) (10) (11) (12)

Fig. 1. Equivalent circuit model of: (a) the two-pole bandstop filter (a) and (b) filter cross section with three-coupled microstrip lines and two uncoupled outer d and figures are not to scale). resonator sections (note: d



where electromagnetic (EM) solver [11] and is given by (1)

where has units of capacitance per unit length (F/m). The corresponding 6 6 admittance matrix for the lossless coupled lines of length is given by [12] (2) where

is the average phase velocity for the coupled lines since .

B. Filter Admittance Matrix

C. Design Procedure The admittance matrix bandstop filter centered at vided that

The two-port -parameters for an ideal series-connected miare crostrip line and capacitor

, given in (9)–(12), represents a with fractional bandwidth pro(13) (14)

(3) (4) (5) and are the admittance and electrical length of the where uncoupled microstrip line, respectively. With the port definicorresponding tions shown in Fig. 1, the matrix elements of and result in a to ports 1, 3, 4, and 6 are modified to include 6 6 filter admittance matrix, . Next, ports 1, 3, 4, and 6 are ), and is reduced open-circuited (i.e., to a 2 2 matrix with (6)

(15) where (16) (17) (18) The design procedure is to find the static capacitances given in (1) and the corresponding electrical lengths ( and ) that satisfy the resonance, scaling, and coupling conditions in is a byproduct of (13)–(15). The inter-resonator coupling

REINES et al.: COMPACT LOW-LOSS TUNABLE

-BAND BANDSTOP FILTER WITH MINIATURE RF-MEMS SWITCHES

1889

Fig. 2. Calculated filter response showing the effect of inter-resonator coupling C (C = 206 pF/m, C = 115 pF/m, C = 40 pF/m, C = 20 fF, L = 3110 m, L = 3700 m, and  = 2:88).

which must be included in the design because it impacts the notch filter performance. A significant increase in the filter bandwidth and diminishing rejection levels occur even for 2 pF/m (Fig. 2). The self-capacitance can be set by choosing 1/50 mho and should be . The overall resis chosen to be , and this sets onator length the highest operating frequency and results in a small loading . , , and can be determined capacitance by iteratively choosing values that satisfy (13)–(15). Equation and while (15) depends (13) is mostly dependent on . Equation (14) depends on both and . heavily on In general, is chosen to be greater than so as and to increase , to maximize the microstrip resonator . After finding the design parameters, the physical dimensions ( , , , and ) can be determined. The lossless bandstop filter admittance matrix does not include the effects of the two resonator bends and assumes a single-phase velocity for all of the microstrip lines, which is not . Therefore, a full-wave simulation is the case since performed in Sonnet [13] for the final design, and the resulting -parameters are imported into ADS [14] and loaded with . The pole splitting is reduced in the final design due to the evenand odd-mode phase-velocity difference in the coupling which is shown in full-wave simulations. For this design, and in order while still keeping a to increase the coupling coefficient realizable coupling gap, the coupling section of each resonator is interdigitated to obtain a higher capacitance per unit length. The final dimensions are obtained by iterating between Sonnet loading values. simulations and III. FIXED FREQUENCY FILTER The two-pole bandstop filter is first implemented with fixed interdigital loading capacitors on a low-loss quartz substrate 508 m, 3.78, 0.0001) [Fig. 3(a)]. Full-wave ( of 20–80 fF results in a resonant simulations show that a frequency of 8.95–11.34 GHz [Fig. 3(b)]. The microstrip-line is slightly inductive 54 to compensate for width the extra capacitance from the interdigitated coupling sections.

Fig. 3. (a) Two-pole bandstop filter on quartz substrate with all dimensions in millimeters. (b) Simulated filter center frequency versus ideal loading capacitance.

Fig. 4. Micrograph of fabricated interdigital loading capacitor, equivalent circuit model, and simulated capacitance values (w = g = 20 m).

The equivalent circuit model and corresponding dimensions for the two interdigital capacitors are presented in Fig. 4. The model has a 200- m port width, which is taken into account since it reduces the effective resonator length. The microstrip lines and interdigital capacitors are electroplated with 4 m of gold. The filters are placed in a metal shielding box to reduce radiation losses (Fig. 5). A pressure contact is used between the SMA connector and microstrip line to facilitate multiple chip testing. The shielding box has openings on the north and south sides to accommodate dc-probing access for the tunable filter (see Section IV). The fixed filters are measured using an E8364B network analyzer with the reference planes defined at the SMA connectors. The measured center frequencies are 11.57 and 10.34 GHz, with

1890

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 5. Shielding box used for the fixed and tunable bandstop filters.

Fig. 7. Micrograph of the four-state miniature RF-MEMS loading network and the equivalent capacitance circuit model with associated values. The inset shows a 1 3 miniature RF-MEMS network.

2

and 741 and 471 MHz, respectively. In the passband, the measured insertion loss is 0.17–0.19 dB at 7 GHz and 0.34–0.61 dB at 13 GHz for the two filters, respectively. The return loss in the passband is better than 14 dB for both filters. The fitted resonator quality factor is 150 and 130 at 11.57 and 10.34 GHz, respectively. Good agreement is shown between measurement and simulations at 10.34 GHz, and a 157-MHz frequency shift is observed for the higher frequency filter. This of only 3 fF from simcan be explained by a difference in ulation. The spurious response of the filter shows the expected resonance and an additional box resonance at 24.56 GHz [Fig. 6(c)]. IV. MINIATURE RF-MEMS LOADED BANDSTOP FILTER A. RF-MEMS Loading Network

Fig. 6. Measured and simulated S -parameters for the interdigital capacitor loaded bandstop filter. (a) S . (b) S . (c) Spurious response.

rejection levels of 24.9 and 43.8 dB, respectively (Fig. 6). The 20- and 10-dB absolute bandwidths are 452 and 235 MHz

Miniature RF-MEMS switches are an ideal candidate for the -band bandstop filter due to the required small values of , as seen in Fig. 3(b) [15]. Each individual miniature RF-MEMS capacitive switch has a typical up- and down-state capacitance 4 fF, 20-30 fF 5-7.5 , and the devices can of 5 be arrayed to achieve larger capacitance values. Several miniature RF-MEMS arrays have been tested with 100 mW of RF power at 10 GHz to 60 billion cycles with no failures. The proposed four-state loading network is comprised of back-to-back 3 2 and 3 3 miniature RF-MEMS arrays, at 11 GHz) which are separated by a 3.65-pF ( metal–insulator–metal (MIM) capacitor (Fig. 7). The MIM

REINES et al.: COMPACT LOW-LOSS TUNABLE

-BAND BANDSTOP FILTER WITH MINIATURE RF-MEMS SWITCHES

1891

Fig. 8. Fabricated RF-MEMS tunable bandstop filter on a quartz substrate.

capacitor functions as a dc block to facilitate independent control of each switch array. The silicon–chrome bias lines have a sheet resistance of 2–5 k sq and are placed orthogonally to the high electric field in the resonator to reduce the RF leakage [16]. The bias lines have an initial width of 5 m next to the tuner network to minimize the amount of RF current generated on the line. To avoid accruing too large of a bias resistance, which could impact the switching speed, the bias-line width is gradually increased to from 5 to 40 m at the bias pads. The total bias resistance is 200–500 k . The equivalent circuit model for the four-state RF-MEMS tuner network is shown in Fig. 7. Full-wave simulations of the individual switch arrays and MIM capacitor were used to extract the associated series and shunt capacitances. The resulting loading capacitances of the tuner network versus switch state are given in Fig. 7. It should be noted that the parasitic shunt capacitances from the open-ended microstrip resonator and MIM capacitor function to reduce the overall capacitance ratio of the MEMS tuner network. B. Fabrication and Measurements The miniature MEMS devices are fabricated on a 508- m-thick quartz substrate using an RF-MEMS process developed at the University of California at San Diego [15]. For this fabrication run, the average gold bottom metal and silicon-nitride dielectric thicknesses were 1850 and 1400 , respectively. The sacrificial layer thickness was 0.3 m with a 0.05- m variation across the 3-in wafer. The average total thickness of the Ti/Au/Ti mechanical beam was 0.395 m, with a variation of 0.025 m across the wafer. In order to increase the spring constant of the beam, the 200- Ti adhesion layer was left on both the top and bottom sides of the gold beam, resulting in an residual in-plane stress of 150 MPa. The microstrip lines are electroplated with 4.5 m of gold. Finally, the filters are diced before the miniature MEMS devices are released using a CO critical point dryer. The final dimensions of the miniature MEMS device, measured using a white-light interferometer, show a mechanical beam and bottom electrode width of 9 m, with a beam length of 20 m. An optical picture of the fabricated bandstop filter is shown in Fig. 8. The measured – curve of a one-port 3 3 miniature MEMS array is obtained using a 10-kHz bi-polar waveform to

Fig. 9. Micrograph and measured 3 3 capacitive switch array.

2

C –V

curve of the miniature RF-MEMS

reduce the effects of dielectric charging (Fig. 9). The respective . up-and-down-state capacitances are 38 and 285 fF Some analog tuning is observed after the individual bridges are pulled down, which occurs as the overlapping contact area increases with applied voltage. The measured gap height and beam thickness of this particular device are 0.25 and 0.37 m, respectively. The measured pull-down voltage is 30 V, which corresponds to a spring constant of 156 N/m [4]. The simulated mechanical resonance frequency and switching time are 2.57 MHz and 38 ns, respectively [15]. The measured -parameters for the -band tunable filter are shown in Fig. 10. The filter tunes from 11.34 (up-state position) to 8.82 GHz (down-state position with analog tuning) and has middle state positions at 10.2 (01 state) and 10.3 GHz (10 state), respectively. The filter rejection is 27–41 dB depending on the state. The effect of analog tuning (5–10 V above ) is also demonstrated in state equation (11) (all-down) and state equation (10) and allows further tuning of the rejection frequency. The passband insertion loss, including the SMA connector loss, is between 0.15–0.24 and 0.4–0.71 dB at 7 and 13 GHz, respectively, and can further be improved by epoxying the SMA connector to the microstrip line (Table I). The filter is well matched and 11 dB in the passband. with The fitted resonator quality factor is 135 at 11.34 GHz and 60 at 8.82 GHz. Full-wave simulations of a weakly coupled resonator with an ideal loading capacitor show that the resonator drops from 230 to 115 at 11 GHz for a bias-line resistance of 100 and 1 k sq, respectively. The resonator quality factor can be improved in future designs by increasing the bias-line k sq and by increasing the thickness sheet resistance to of the thin 1850- bottom metal. The exact loading capacitance values of the switches varies across the wafer and therefore a is fitted silicon nitride dielectric permittivity of used and shows good agreement with the measured filter response (Fig. 11). The measured filter rejection level at 8.92 GHz is 11 dB less than simulated due to a nonsymmetric loading 3.5 fF. The difference in return loss capacitance of from simulations is due to the nonideal connection between the SMA connector and microstrip line.

1892

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

Fig. 10. Measured (a) S . (b) S .

S -parameters for the miniature RF-MEMS tunable filter.

TABLE I MEASURED STATES OF THE RF MEMS TUNABLE FILTER

C. Nonlinear Measurements When an RF-MEMS capacitive switch is subject to an incident RF power, an electrostatic force is exerted on the mechanical beam which is . For low voltages, this force modulates the switch capacitance and creates nonlinear small-signal and , where distortion. For two incident signals at ( is the mechanical resonance frequency), intermodulation components occur at and that can be measured with the experimental setup shown in Fig. 12(a) [17]. The nonlinear measurements are done at the highest frequency state where all of the MEMS switches are in the up-state position and with the highest filter , and this results in the worst IM3 products. The IIP3 of the filter is measured versus and results in IIP3 37 dBm for the difference frequency

Fig. 11. Measured and simulated S -parameters of the miniature RF-MEMS : for states (00) loaded filter using a fitted dielectric permittivity of  and (11). (a) S . (b) S .

= 3 45

. When , the IIP3 is minimum at 28.3 dBm, and for the IIP3 level increases at 20 dB/decade and 3 MHz. The IIP3 measurements in the is 45 dBm for passband and at the 10-dB points on the null were limited by the measurement setup and are 45 dBm. The intermodulation power shows a mechanical resonance frequency and fitted mechanical quality factor of 2.25 MHz and 7.07, respectively [Fig. 12(a)], which agree well with simulations. The measured input P-1 dB of the filter shows that the filter can handle 35 dBm of RF power before self-actuation of the miniature MEMS device occurs [Fig. 12(b)]. The output power decreases at the P-1 dB point due to an increase in the rejection level caused by a center frequency shift of 80 MHz. With higher input power levels, the center frequency will continue to decrease, causing the output power to increase as the null rejection diminishes. In the passband, the P-1 dB point was not observed for input power levels up to 35 dBm. Full-wave simulations show that an input power of 35 dBm will result in a 60 V across the 3 2 miniature array. Given the measured nonuniformities of the fabrication process, some miniature MEMS switches had a beam thickness of 0.42 m and a sacrificial gap of 0.35 m, which results in a spring constant and pull-down voltage of 205 N/m and 60 V, respectively, and, thus, the 35-dBm power handling. For a MEMS-switch pull-in voltage of 37 V, simulations predict a corresponding input P-1 dB of 30 dBm. V. VARACTOR-LOADED BANDSTOP FILTER The varactor-loaded bandstop filter is fabricated on the same quartz wafer as the RF-MEMS tunable filter. To facilitate the

REINES et al.: COMPACT LOW-LOSS TUNABLE

-BAND BANDSTOP FILTER WITH MINIATURE RF-MEMS SWITCHES

S

1893

V =

Fig. 14. (a) Measured of the varactor-loaded bandstop filter for 1–25 V and simulation and measurement for 1 and 25 V. (b) Measured return loss.

V =

TABLE II MEASURED RESPONSE OF THE VARACTOR-LOADED TUNABLE FILTER Fig. 12. (a) IIP3 measurement setup and measured mechanical resonance fre7.07, 2.25 MHz) of the miniature RF-MEMS capacitive quency ( switch. (b) P-1 dB of the RF-MEMS tunable filter in state (00).

Q =

f =

Fig. 13. Fabricated varactor-loaded bandstop filter on quartz with equivalent circuit model for the GaAs varactor ( 30-63 fF and 1.75–3.2 from 0-25 V).

V =

C =

R =

2

varactor biasing, a 14.4-pF ( at 9 GHz) MIM capacitor is placed between the two resonator bends (Fig. 13). is realized using a GaAs flip-chip varactor diode (M\A-COM MA46H146) with a junction capacitance 40 fF at 4 V

and a capacitance ratio of 2.1 from 0 to 25 V. The series resistance of the varactor is 3.2–1.75 at 0–25 V. The varactorloaded filter is placed in the shielding box (see Fig. 5), and the resulting -parameters are measured with reverse bias voltages ranging from 1 to 25 V (Fig. 14 and Table II). The measured filter response covers a tuning range of 8.66–9.56 GHz, with a null rejection and 20-dB bandwidth of 24–51 dB and 143–192 MHz, respectively. The return loss is better than 12 dB from 7 to 12 GHz in the passband. The rejection level of the bandstop filter diminishes at the lower frequencies due to a decreasing coupling coefficient. The fitted

1894

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 7, JULY 2010

8–12-GHz tuning with a null rejection 20 dB can be obtained 10-120 fF , which is possible to achieve with MEMS device. Also, smaller capacitances using a higher can be realized with the miniature MEMS device (including all parasitics), which is imperative for - and -band filters. This two-pole filter topology can be extended to higher order designs having an even numbers of poles. Finer tuning resolution can be achieved in the RF-MEMS notch filter by placing an additional four-state capacitive loading network closer to the short-circuit point in the resonator.

ACKNOWLEDGMENT

Fig. 15. Measured IIP3 and P-1 dB of the varactor-loaded bandstop filter at the 1 MHz for the IIP3 null and 10-dB points versus reverse bias voltage ( f measurements).

1 =

0

The authors would like to thank Prof. P. Blondy, University of Limoges, Limoges, France, for his valuable insight at the beginning of this project.

REFERENCES resonator quality factor is 55–90 at 8.66–9.56 GHz and is dominated by a combination of varactor resistance and RF leakage through the bias lines. The measured and simulated filter responses show excellent agreement [Fig. 14(a)]. The IIP3 of the varactor loaded filter is measured using the 1 MHz, and results in setup shown in Fig. 12(a) with an IIP3 at the null and 10 dB points of 0–11.45 and 1.5–25.8 dBm, respectively, for voltages from 1 to 25 V (Fig. 15). Again, the passband IIP3 is 45 dBm, which is the limit of the measurement setup. The measured input P-1 dB at the null and 10-dB points are 5.0–8.2 dBm and 7.5–17.5 dBm, respectively, for voltages from 1 to 25 V. These are significantly lower than those obtained from the RF-MEMS implementation.

VI. CONCLUSION A compact, low-loss, two-pole -band tunable bandstop filter was designed, fabricated, and measured with fixed interdigital capacitors, miniature RF-MEMS capacitive switches, and GaAs varactors. Nonlinear measurements performed at the filter null with 1 MHz show that the RF-MEMS loaded filter results in 25 dBm higher IIP3 and P-1 dB, compared with the GaAs varactor-loaded filter. In particular, the RF-MEMS tunable notch filter can handle up to 30–35 dBm of RF power at the null point, and this provides significant protection to the proceeding low-noise amplifier. In the case of the RF-MEMS filter, the fitted resonator is 60-135 from 8.82-11.34 GHz and can be maintained above 100 across the tuning range by using a 10-k sq bias line process. This compact filter topology results in low passband loss 0.8 dB from dc to the first spurious response at 19.5 GHz. The filter tuning range is limited by the capacitance ratio of the tuning network, and an RF-MEMS implementation can provide a much higher capacitance ratio 7-20 than 2-3 . Simulations show that a GaAs varactor design

[1] B. Carey-Smith, P. Warr, M. Beach, and T. Nesimoglu, “Broadband configurable bandstop filter with composite tuning mechanism,” Electron. Lett., vol. 82, no. 40, pp. 1587–1589, Dec. 2004. [2] A. Tombak, J.-P. Maria, F. T. Ayguavives, Z. Jin, G. T. Stauf, A. I. Kingon, and A. Mortazawi, “Voltage-controlled RF filters employing thin-film barium–strontium–titanate tunable capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 462–467, Feb. 2003. [3] A. R. Brown and G. M. Rebeiz, “A varactor-tuned RF filter,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1157–1160, Jul. 2000. [4] G. M. Rebeiz, RF MEMS Theory, Design, and Technology. New York: Wiley, 2003. [5] J. Adam and R. Young, “Low-loss bandpass and notch RF filters using MEMS capacitance switches,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, Session WME “High-Q RF MEMS Tunable Filters”. [6] M. Karim, A. Lui, A. Alphones, and A. Yu, “A tunable bandstop filter via the capacitance change of micromachined switches,” J. Micromech. Microeng., vol. 16, pp. 851–861, 2006. [7] W. Yan and R. Mansour, “Compact tunable bandstop filter integrated with large deflected actuators,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, pp. 1611–1614. [8] B. Pillans, “RF-MEMS filter development at Raytheon,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, Session WME “High-Q RF MEMS Tunable Filters”. [9] D. Jachowski, “Compact, frequency-agile, absorptive bandstop filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 513–516. [10] J. Givernaud, C. Champeaux, A. Catherinot, A. Pothier, P. Blondy, and A. Crunteanu, “Tunable band stop filters based on metal–insulator transition in vandium dioxide thin films,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, Jun. 2008, pp. 1103–1106. [11] “HFSS 11.1,” Ansoft Corporation, Pittsburgh, PA, 2008. [12] R. Sato and E. G. Cristal, “Simplified analysis of coupled transmissionline networks,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 3, pp. 122–131, Mar. 1970. [13] “Sonnet 12.52,” Sonnet Softw. Inc., North Syracuse, NY, 2005. [14] “Advanced Design System 2006A,” Agilent Technol. Inc., Palo Alto, CA, 2005. [15] B. Lakshminarayan, D. Mercier, and G. M. Rebeiz, “High-reliability miniature RF-MEMS switched capacitors,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 971–981, Apr. 2008. [16] S.-J. Park, M. El-Tanani, I. Reines, and G. M. Rebeiz, “Low-loss 4–6-GHz tunable filter with 3-bit high-Q orthogonal bias RF-MEMS capacitance network,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 10, pp. 2348–2355, Oct. 2008. [17] L. Dussopt and G. M. Rebeiz, “Intermodulation distortion and power handling in RF-MEMS switches, varators, and tunable filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1247–1256, Apr. 2003.

REINES et al.: COMPACT LOW-LOSS TUNABLE

-BAND BANDSTOP FILTER WITH MINIATURE RF-MEMS SWITCHES

Isak Reines (S’07) received the B.S. and M.S. degrees from the University of New Mexico, Albuquerque, in 2002 and 2004, respectively, and is currently working toward the Ph.D. degree at the University of California at San Diego, La Jolla, all in electrical engineering. From 2004 to 2006, he was a Member of the Technical Staff with the RF Microsystems Department, Sandia National Laboratories, where he was involved with RF microelectromechanical systems (RF-MEMS)-based phase shifters, tunable filters, and switching networks for radar and communications applications. His current research interests include novel RF-MEMS devices development for wireless systems.

Gabriel M. Rebeiz (S’86–M’88–SM’93–F’97) received the Ph.D. degree from the California Institute of Technology, Pasadena. He is a Professor of electrical and computer engineering with the University of California at San Diego (UCSD), La Jolla. Prior to this appointment, he was with The University of Michigan at Ann Arbor from 1988 to 2004. He has contributed to planar millimeter-wave and terahertz antennas and imaging arrays from 1988 to 1996, and his group has optimized the dielectric-lens antennas, which is the most widely used antenna at millimeter-wave and terahertz frequencies. His group recently developed 6-18- and 30-50-GHz 8- and 16-element phased arrays on a single chip, making them one of the most complex RF integrated circuits (RFICs) at this frequency range. His group also demonstrated very high- RF microelectromechanical systems (RF-MEMS) tunable filters from 4 to 6 GHz ( 300) and the new angular-based RF-MEMS capacitive and metal–contact switches. As a consultant, he developed the 24-GHz single-chip -band, and -band phased arautomotive radar with USM/ViaSat, X, rays for defense applications, the RFMD RF-MEMS switch and the Agilent RF-MEMS switch. He leads a group of 18 Ph.D. students and three postdoctoral fellows in the area of millimeter-wave RFICs, microwaves circuits, RF MEMS, and planar millimeter-wave antennas Prof. Rebeiz has been an associate editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and a Distinguished Lecturer for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antennas and Propagation Society (IEEE AP-S). He was the recipient of the National Science Foundation’s Presidential Young Investigator Award, the URSI Koga Gold Medal, the IEEE MTT-S Distinguished Young Engineer Award (2003), and the IEEE MTT-S 2000 Microwave Prize. He was also the recipient of the 1998 Eta Kappa Nu Professor of the Year Award and the 1998 Amoco Teaching Award, given to the best undergraduate teacher at The University of Michigan at Ann Arbor, and the 2008 Teacher of the Year Award from the Jacobs School of Engineering, UCSD. His students have won a total of 16 Best Paper Awards at IEEE MTT-S, RFIC, and IEEE AP-S conferences.

Q

Sang-June Park (S’05–M’05) received the B.S. degree in physics from Youngnam University, Kyungsan, Korea, in 1991, and the M.S. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 2004 and 2007, respectively. From 1997 to 2001, he was with the Electromechanics Research and Development Center, Samsung Corporation, Suwon, Korea, where he was involved with the development of microwave ceramic filters and low-temperature co-fired ceramic antenna and switch modules. He is currently with Qualcomm Inc., San Diego, CA, where he is involved with RF systems. His current research interests includes RF microelectromechanical systems (RF-MEMS) for microwave and millimeter-wave applications, tunable filters, antennas, and microwave systems.

1895

Q>

Ku

W

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2010.2056752

Digital Object Identifier 10.1109/TMTT.2010.2052136

Digital Object Identifier 10.1109/TMTT.2010.2056758

Digital Object Identifier 10.1109/TMTT.2010.2056759

EDITORIAL BOARD Editors: D. WILLIAMS AND A. MORTAZAWI Associate Editors: D. DE ZUTTER, I. GRESHAM, W. HEINRICH, W. HONG, R. JACKSON, J.-T. KUO, Y. KWON, J. LIN, M. MONGIARDO, J.-C. PEDRO, Z. POPOVIC, R. V. SNYDER, C. WANG

P. Aaen A. Abbaspour-Tamijani A. Abbosh D. Abbott A. Abdipour M. Abe M. Abegaonkar R. Abhari A. Abramowicz M. Acar L. Accatino R. Achar E. Ackerman J. Adam K. Agawa M. Ahmad H.-R. Ahn B. Ai M. Aikawa J. Aikio C. Aitchison M. Akaike T. Akin S. Aksoy I. Aksun A. Akyurtlu G. Ala L. Albasha A. Alexanian W. Ali-Ahmad F. Alimenti R. Allam K. Allen A. Alphones A. Alu A. Álvarez-Melcon A. Al-Zayed S. Amari H. Amasuga R. Amaya H. An D. Anagnostou M. Andersen K. Andersson M. Ando Y. Ando P. Andreani M. Andrés W. Andress K. Ang C. Angell I. Angelov Y. Antar G. Antonini H. Aoki V. Aparin F. Apollonio R. Araneo J. Archer F. Ares F. Ariaei T. Arima M. Armendariz L. Arnaut F. Arndt E. Artal H. Arthaber F. Aryanfar U. Arz M. Asai Y. Asano A. Asensio-Lopez K. Ashby H. Ashoka A. Atalar A. Atia S. Auster I. Awai A. Aydiner M. Ayza K. Azadet R. Azaro A. Babakhani P. Baccarelli M. Baginski I. Bahl S. Bajpai J. Baker-Jarvis B. Bakkaloglu M. Bakr A. Baladin C. Balanis S. Balasubramaniam J. Balbastre J. Ball P. Balsara Q. Balzano A. Banai S. Banba R. Bansal D. Barataud A. Barbosa F. Bardati I. Bardi J. Bardin A. Barel S. Barker F. Barnes J. Barr G. Bartolucci R. Bashirullan S. Bastioli A. Basu B. Bates R. Baxley Y. Bayram J.-B. Bégueret N. Behdad F. Belgacem H. Bell D. Belot J. Benedikt T. Berceli C. Berland M. Berroth G. Bertin E. Bertran A. Bessemoulin M. Beurden A. Bevilacqua A. Beyer M. Bialkowski

E. Biebl P. Bienstman S. Bila D. Blackham R. Blaikie M. Blank P. Blockley P. Blondy P. Blount D. Boccoli G. Boeck L. Boglione R. Boix G. Bonaguide F. Bonani G. Bonmassar O. Boos B. Borges V. Boria-Esbert O. Boric-Lubecke A. Borji S. Borm J. Bornemann W. Bosch R. Bosisio H. Boss G. Botta N. Boulejfen S. Boumaiza J. Bouny C. Boyd C. Bozler M. Bozzi R. Bradley D. Braess N. Braithwaite M. Brandolini G. Branner T. Brazil J. Breitbarth M. Bressan K. Breuer B. Bridges D. Bridges J. Brinkhoff E. Brown S. Brozovich E. Bryerton D. Budimir G. Burdge P. Burghignoli N. Buris C. C. Galup-Montoro B. Cabon P. Cabral L. Cabria C. Caloz C. Camacho-Peñalosa V. Camarchia E. Camargo R. Cameron M. Camiade C. Campbell M. Campovecchio F. Canavero A. Cangellaris A. Cantoni C. Cao F. Capolino F. Cappelluti G. Carchon J. Carmo K. Carr F. Carrez R. Carrillo-Ramirez P. Carro R. Carter N. Carvalho P. Casas R. Castello J. Catala M. Cavagnaro R. Caverly D. Cavigia J. Cazaux M. Celuch Z. Cendes D. Chadha M. Chae S. Chakraborty C. Chan C. Chang H. Chang K. Chang S. Chang T. Chang W. Chang E. Channabasappa H. Chapell W. Chappell C. Charles M. Chatras I. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu A. Cheldavi A. Chen C. Chen H. Chen J. Chen K. Chen M. Chen N. Chen S. Chen Y. Chen Z. Chen Z.-N. Chen H. Cheng K. Cheng M. Cheng Y. Cheng C. Cheon C. Chi M. Chia Y. Chiang J. Chiao A. Chin K. Chin H. Chiou Y. Chiou C. Chiu

H. Chiu A. Chizh C. Cho K. Cho T. Cho A. Choffrut C. Choi J. Choi W. Choi C. Chong M. Chongcheawchamnan C. Chou D. Choudhury E. Chow Y. Chow C. Christodoulou C. Christopoulos Q. Chu T. Chu H. Chuang M. Chuang Y. Chun S. Chung Y. Chung D. Chye A. Cidronali T. Cisco C. Cismaru O. Civi S. Clavijo M. Clénet D. Cogan P. Colantonio M. Cole J. Coleman J. Collantes R. Collin C. Collins B. Colpitts R. Compton G. Conciauro M. Condon D. Consonni A. Constanzo M. Converse K. Cools F. Cooray I. Corbella A. Costanzo S. Cotton C. Courtney G. Coutts J. Cowles J. Craninckx C. Crespo-Cadenas J. Cressler S. Cripps T. Crowe J. Cruz T. Cui E. Cullens T. Cunha W. Curtice J. Dabrowski W. Dai G. Dambrine P. Dankov F. Danneville I. Darwazeh A. Darwish N. Das M. Davidovich L. Davis D. Dawn J. Dawson H. Dayal F. De Flaviis D. De Zutter B. Deal A. Dearn J. Deen M. Dehan C. Dehollain C. Deibele G. Dejean M. DeLisio N. Deltimple S. Demir V. Demir J. Deng A. Dengi T. Denidni W. DeRaedt H. Deshpande Y. Deval R. Dey T. Dhaene L. Diaz A. Diaz-Morcillo L. Ding D. Dinkhart M. Dionigi C. Diskus A. Djordjevi T. Djordjevic J. Dobrowolski H. Dogan S. Donati X. Dong A. Dounavis P. Draxler R. Drayton A. Dreher J. Drewniak J. Duchamp A. Duffy L. Dunleavy J. Dunsmore S. Durden L. Dussopt C. Duvanaud J. East J. Ebel K. Eccleston I. Ederra R. Egri I. Ehrenberg N. Ehsan T. Eibert H. Eisele W. Eisenstadt G. Eleftheriades

F. Ellinger G. Ellis T. Ellis M. El-Nozahi M. Elsbury S. Elschner M. El-Shenawee T. Enoki K. Entesari L. Epp I. Erdin O. Ergul T. Eriksson C. Ernst D. Erricolo I. Eshrah M. Essaaidi H. Esteban C. Eswarappa W. Eyssa A. Ezzeddine C. Fager M. Fahmi Y. Fan D. Fang M. Farina A. Fathy M. Faulkner P. Fay A. Fazzi E. Fear P. Fedorenko D. Feld Y. Feng A. Feresidis A. Fernandez T. Fernandez M. Fernández-Barciela M. Ferndahl F. Fernez P. Ferrari E. Ferre-Pikal A. Ferrero M. Ferriss H. Fetterman J. Fiedziuszko S. Fiedziuszko G. Fikioris J. Fikioris I. Filanovsky F. Filicori D. Filipovic R. Fletcher B. Floyd H. Foltz N. Fong B. Fornberg F. Fortes K. Foster P. Foster P. Franzon A. Frappe J. Freire M. Freire A. Freundorfer F. Frezza I. Frigyes R. Frye J. Fu O. Fu R. Fujimoto O. Fujiwara C. Fumeaux C. Furse V. Fusco D. Gabbay E. Gad M. Gadringer N. Gagnon J. Gajadharsing A. Gala C. Galbraith B. Galwas J. Gambini A. Gameiro O. Gandhi B. Gao J. Gao S. Gao C. Gaquiere H. Garbe J. Garcia M. Garcia P. Garcia-Ducar F. Garcia-Vidal K. Gard P. Gardner P. Garland P. Gaudo J. Gautier S. Gedney B. Geelen F. Gekat B. Geller R. Genov A. Georgiadis N. Georgieva J. Gerdes W. Gerhard S. Gevorgian H. Ghali M. Ghanevati F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione M. Ghovanloo F. Giannini A. Gibson I. Gil P. Gilabert B. Gimeno D. Ginste A. Goacher E. Godshalk A. Goel C. Goldsmith M. Golio M. Golosovsky R. Gómez-García A. Goncharenko X. Gong

R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath A. Görür K. Gosalia M. Gouker K. Goverdhanam W. Grabherr J. Graffeuil L. Gragnani J. Grahn J. Grajal V. Granatstein A. Grbic A. Grebennikov I. Gresham A. Griol D. Grischowsky S. Grivet-Talocia E. Grossman S. Gruszczynski T. Grzegorczyk S. Guenneau T. Guerrero S. Gunnarsson J. Guo Y. Guo C. Gupta M. Gupta R. Gupta R. Gutmann W. Gwarek R. Habash S. Hadjiloucas D. Haemmerich M. Hagmann S. Hagness A. Halappa P. Hale D. Ham E. Hamidi O. Hammi H. Han T. Hancock A. Hanke G. Hanson Y. Hao Z. Hao R. Harjani L. Harle H. Harris P. Harrison O. Hartin J. Hasch H. Hashemi K. Hashimoto J. Haslett G. Hau S. Hauptmann L. Hayden L. He Y. He R. Heath E. Hegazi G. Hegazi S. Heinen W. Heinrich G. Heiter M. Hella R. Henderson F. Henkel B. Henning D. Heo K. Herrick F. Herzel J. Hesler J. Hesthaven K. Hettak H. Heuermann P. Heydari A. Hietala A. Higgins A. Hirata J. Hirokawa M. Ho K. Hoffmann R. Hoffmann E. Holzman V. Hombach J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii J. Horng T.-S. Horng J. Horton K. Hosoya M. Hotta J. Hoversten J. Howard M. Høyerby H. Hsieh L. Hsieh C. Hsu H. Hsu J. Hsu C. Hsue R. Hu C. Huang F. Huang H. Huang P. Huang T. Huang J. Hubert W. Huei A. Hülsmann A. Hung C. Hung J. Hung I. Hunter I. Huynen H. Hwang J. Hwang K. Hwang R. Hwang G. Iannaccone K. Ikossi M. Isaksson T. Ishizaki

Digital Object Identifier 10.1109/TMTT.2010.2056751

S. Islam M. Ito K. Itoh T. Itoh Y. Itoh A. Ittipiboon F. Ivanek D. Iverson M. Iwamoto D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob K. Jacobs S. Jacobsen D. Jaeger J. Jaeger S. Jagannathan N. Jain G. James M. Janezic S. Jang M. Jankovic D. Jansen L. Jansson H. Jantunen H. Jardon-Aguilar J. Jargon N. Jarosik B. Jarry P. Jarry A. Jastrzebski B. Jemison W. Jemison S. Jeng A. Jenkins S. Jeon D. Jeong J. Jeong Y. Jeong A. Jerng T. Jerse T. Jiang X. Jiang G. Jianjun D. Jiao J. Jin J. M. Jin J. Joe T. Johnson B. Jokanovic U. Jordan K. Joshin J. Joubert S. Jung T. Kaho S. Kanamaluru K. Kanaya S. Kang P. Kangaslahti B. Kapilevich I. Karanasiou M. Karim T. Kataoka A. Katz R. Kaul R. Kaunisto T. Kawai S. Kawasaki M. Kazimierczuk L. Kempel P. Kenington P. Kennedy A. Kerr D. Kettle A. Khalil W. Khalil S. Khang A. Khanifar A. Khanna R. Khazaka J. Khoja S. Kiaei J. Kiang B. Kim C. Kim D. Kim H. Kim I. Kim J. Kim S. Kim T. Kim W. Kim N. Kinayman R. King N. Kinzie S. Kirchoefer A. Kirilenko M. Kishihara T. Kitazawa J. Kitchen T. Klapwijk E. Klumperink D. Klymyshyn L. Knockaert R. Knoechel M. Koch K. Koh N. Kolias J. Komiak A. Komijani G. Kompa A. Konanur A. Konczykowska H. Kondoh B. Kopp B. Kormanyos J. Korvink P. Kosmas Y. Kotsuka S. Koziel A. Kozyrev V. Krishnamurthy H. Krishnaswamy C. Krowne J. Krupka D. Kryger H. Ku H. Kubo A. Kucar

A. Kucharski C. Kudsia A. Kudymov D. Kuester B. Kuhn W. Kuhn T. Kuki A. Kumar J. Kuno C. Kuo J.-T. Kuo H. Kurebayashi F. Kuroki L. Kushner S. Kusunoki D. Kuylenstierna Y. Kwon G. Kyriacou A. Lacaita J. Lamb P. Lampariello U. Langmann T. Larsen L. Larson J. Laskar C. Lau K. Lau A. Lauer D. Lautru P. Lavrador A. Lavrinenko A. Lazaro G. Lazzi R. Lech B. Lee C.-H. Lee C. Lee H. Lee J. Lee J.-H. Lee K. Lee R. Lee S. Lee T. Lee Y. Lee D. Leenaerts Z. Lei G. Leizerovich K. Leong Y. Leong R. Leoni C. Ler G. Leuzzi B. Levitas R. Levy C. Li L. Li M. Li X. Li L. Lianming C. Liao S. Liao D. Lie E. Lima E. Limiti F. Lin J. Lin K. Lin T. Lin Y. Lin S. Lindenmeier A. Lindner F. Ling P. Linnér D. Linten D. Linton D. Lippens F. Little V. Litvinov C. Liu H. Liu J. Liu K. Liu Q. Liu S. Liu Y. Liu Z. Liu A. Llewandowski O. Llopis I. Lo L. Locht A. Loke K. Lonngren T. Lopetegi N. Lopez U. Lott G. Lovat D. Lovelace Z. Low C. Lu L. Lu S. Lu Y. Lu V. Lubecke S. Lucyszyn D. Ludwig N. Luhmann M. Lui J. Luy G. Lyons A. M. Niknejad K. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella J. Machac B. Machiels M. Madihian A. Madjar G. Magerl S. Magierowski R. Mahmoudi I. Maio F. Maiwald A. Majedi H. Majedi M. Majewski M. Makimoto R. Makinen D. Malocha J. Manges

R. Mansour D. Manstretta J. Mao S. Mao F. Maradei A. Margomenos D. Markovic E. Márquez-Segura J. Martens F. Martin E. Martini K. Maruhashi J. Marzo D. Masotti A. Massa G. Massa F. Mastri J. Mateu A. Matsushima M. Mattes G. Matthaei K. Mayaram M. Mayer U. Mayer W. Mayer J. Mazeau S. Mazumder A. Mazzanti G. Mazzarella K. McCarthy G. McDonald I. McGregor M. McKinley J. McLean D. McQuiddy A. Mediano F. Medina M. Megahed I. Mehdi K. Mehrany A. Melcon R. Melville F. Mena D. Mencarelli C. Meng R. Menozzi W. Menzel P. Mercier B. Merkl F. Mesa R. Metaxas A. Metzger P. Meyer P. Mezzanotte E. Michielsen A. Mickelson D. Miller P. Millot J. Mingo F. Miranda D. Mirshekar A. Mirzaei S. Mitilineos R. Miyamoto K. Mizuno J. Modelski W. Moer M. Moghaddam A. Mohammadi S. Mohammadi A. Mohammadian P. Mohseni E. Moldovan M. Mollazadeh M. Mongiardo P. Monteiro J. Montejo-Garai G. Montoro J. Monzó-Cabrera J. Morente T. Morf D. Morgan M. Morgan A. Morini A. Morris J. Morsey A. Mortazawi M. Moussa M. Mrozowski Q. Mu J.-E. Mueller J. Muldavin K. Murata S.-S. Myoung M. Myslinski B. Nabet V. Nair K. Naishadham Y. Nakasha M. Nakatsugawa M. Nakhla J.-C. Nallatamby I. Nam S. Nam J. Nanzer T. Narhi A. Nashashibi A. Natarajan J. Nath A. Navarrini J. Navarro J. Nebus R. Negra J. Neilson B. Nelson P. Nepa A. Neri H. Newman G. Ng D. Ngo E. Ngoya C. Nguyen E. Nicol A. Nicolet S. Nicolson E. Niehenke M. Nielsen K. Nikita P. Nikitin N. Nikolova M. Nisenoff K. Nishikawa T. Nishino

G. Niu B. Noori C. Nordquist B. Notaros K. Noujeim D. Novak I. Novak G. Nusinovich K. O I. Obeid J. Obregon R. O’Dea M. O’Droma M. Odyniec J.-E. Oh T. Ohira E. Öjefors H. Okazaki V. Okhmatovski A. Oki M. Okumura G. Olbrich S. Olson F. Olyslager A. Omar K. Onodera B.-L. Ooi S. Ootaka H. Oraizi G. Orengo A. Orlandi R. Orta J. Ortega-Gonzalez S. Ortiz S. Otaka B. Otis K. Ozdemir T. Ozdemir O. Ozlem P. Paco R. Paknys S. Pal Y. Palaskas D. Palmer S. Pamarti G.-W. Pan S.-K. Pan A. Panariello K. Pance J. Papapolymerou S. Parisi C.-S. Park E. Park J.-S. Park M.-J. Park S. Park W. Park A. Parker T. Parker D. Pasquet M. Pastorino H. Pau S. Paulotto A. Pavio D. Pavlidis W. Pearson J.-C. Pedro S. Peik S. Pellerano G. Pelosi M. Pelosi D. Pelz R. Pengelly J. Pereda F. Pereira A. Perennec B. Perlman D. Peroulis L. Perregrini K. Per-Simon M. Persson M. Petelin A. Peterson A. Petosa O. Peverini U. Pfeiffer A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierantoni B. Pillans S. Pinel Z. Ping M. Pirola S. Pisa G. Pisano D. Pissoort D. Plant C. Plett J. Plumridge C. Pobanz A. Poddar F. Podevin R. Pogorzelski G. Ponchak A. Poon D. Popovic Z. Popovic J. Portilla M. Pospieszalski A. Pothier K. Pourvoyeur J. Powell H. Powen R. Prabhu L. Pradell S. Prasad D. Prather A. Priou S. Pruvost Y. Qian R. Qiang J. Qiu T. Quach X. Quan R. Quay C. Queck C. Quendo R. Quéré F. Quesada F. Raab V. Radisic

M. Raffetto A. Raffo T. Rahkonen R. Raich A. Raisanen O. Ramahi M. Ramdani R. Ranson P. Rantakari L. Ranzani P. Ratajczak H. Rategh C. Rauscher J. Rautio T. Rautio B. Rawat J. Rayas-Sanchez G. Rebeiz J. Rebollar M. Reddy J. Reid R. Reid J. Reina-Tosina S. Reising B. Rembold K. Remley R. Renaut S. Rengarajan D. Resca P. Reynaert S. Reynolds A. Rezazadeh E. Rezek S. Ricci A. Riddle L. Rienzo D. Ritter E. Rius J. Rizk V. Rizzoli M. Roberg I. Robertson P. Roblin A. Roden C. Rodenbeck W. Rodriguez F. Rodriguez-Morales M. Rodwell A. Rofougaran R. Rogers H. Rogier U. Rohde V. Rokhlin Y. Rolain J.-M. Rollin R. Romanofsky S. Romisch G. Romo Y. Rong D. Rönnow D. Root N. Rorsman M. Rosario L. Roselli A. Rosen U. Rosenberg M. Rosker T. Roste F. Rotella E. Rothwell R. Rotman P. Rovati J. Roy L. Roy M. Roy T. Rozzi T. Rubaek J. Rubio D. Rudolph M. Rudolph A. Ruehli C. Ruppel A. Rydberg J. Ryynänen C. Saavedra F. Sabath K. Sachse B. Sadler N. Safari A. Safarian A. Safavi-Naeini A. Safwat P. Saha K. Saito I. Sakagami S. Sakhnenko T. Samaras J. Sambles C. Samori A. Sanada J. Sanchez S. Sancho K. Sano A. Santarelli H. Santos S. Sanyal K. Sarabandi T. Sarkar C. Sarris H. Sato P. Saunier M. Sawan H. Sayadian A. Sayeed W. Scanlon E. Schamiloglu J. Schellenberg M. Schindler E. Schlecht E. Schmidhammer L.-P. Schmidt S. Schmidt D. Schmitt F.-J. Schmueckle J. Schoebel D. Schreurs D. Schrijver A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann J. Schutt-Aine

J. Scott F. Sechi K. Sellal V. Semenov E. Semouchkina K.-S. Seo J. Sercu A. Serebryannikov J. Sevic O. Sevimli F. Seyfert L. Shafai A. Shameli O. Shanaa Z. Shao I. Shapir A. Sharma S. Sharma J. Sharp D. Sheen T. Shen Z. Shen Y. Shestopalov J. Shi Y.-Q. Shi H. Shigematsu Y. Shih H. Shin S. Shin S.-H. Shin N. Shino W. Shiroma S. Shitov K. Shu D. Shyroki D. Sievenpiper C. Silva D. Silveira M. Silveirinha K. Silvonen W. Simbuerger G. Simin R. Simons C. Simovsky J. Simpson V. Simulik D. Simunic H. Singh D. Sinnott Z. Sipus C. Siviero H. Sjöland M. Slazar-Palma R. Sloan P. Smith C. Snowden R. V. Snyder M. Sobhy A. Sodagar N. Sokal K. Solbach J. Sombrin Y.-K. Song R. Sorrentino A. Soury E. Sovero J. Sowers R. Sperlich B. Spielman K. Stadius P. Staecker D. Staiculescu D. Stancil A. Stancu A. Stanitzki S. Stapleton J. Staudinger P. Stauffer B. Stec D. Steenson P. Steenson M. Steer G. Stegmayer J. Stenarson B. Stengel K. Stephan C. Stevens N. Stevens M. Steyaert J. Stiens I. Stievano S. Stitzer M. Straayer B. Strassner A. Street W. Struble M. Stubbs M. Stuchly B. Stupfel A. Suárez G. Subramanyam T. Sudo N. Suematsu T. Suetsugu C. Sullivan F. Sullivan A. Sulyman N. Sun S. Sun X. Sun R. Sutton K. Suzuki J. Svacina M. Swaminathan D. Swanson B. Szendrenyi W. Tabbara A. Taflove Y. Tajima T. Takagi M. Takahashi I. Takenaka T. Takenaka V. Talanov S. Talisa K.-W. Tam B. Tan E. Tan J. Tan T. Tanaka C.-W. Tang W.-C. Tang

X.-H. Tang T. Taris R. Tascone P. Tasker J. Taub J. Tauritz V. Tavares S. Taylor D. Teeter R. Temkin M. Tentzeris V. Teppati J.-P. Teyssier N. Thakor H. Thal J. Tham M. Thumm M. Tiebout E. Tiiliharju M.-R. Tofighi P. Tognolatti T. Toifl T. Tokumitsu A. Tombak A. Topa E. Topsakal H. Torres-Silva G. Town S. Tretyakov R. Trew P. Troyk C. Trueman A. Truitt C.-M. Tsai Z.-M. Tsai J. Tsalamengas C.-H. Tseng T. Tsiboukis J. Tsui M. Tsutsumi S. H.-L. Tu W.-H. Tu N. Tufillaro V. Turin G. Twomey C.-K. Tzuang T. Ueda V. Urick K. U-Yen N. Uzunoglu T. Vähä-Heikkilä R. Vahldieck A. Valdovinos G. Vandenbosch K. Vanhille D. Vanhoenacker-Janvier G. Vannini L. Vardapetyan G. Vasilescu C. Vaucher J. Vaz L. Vegni G. Vendelin S. Verdeyme M. Vérez A. Verma J. Verspecht P. Vial H.-O. Vickes A. Victor L. Vietzorreck C. Vittoria S. Vitusevich R. Voelker S. Voinigescu J. Volakis A. Vorst M. Vossiek M. Vouvakis B. Vowinkel L. Vreede K. Vryssas C. Wagner B. Waldmann P. Waldow A. Walker P. Wambacq S. Wane B.-Z. Wang C. Wang C.-F. Wang C.-J. Wang E. Wang F. Wang H. Wang J. Wang K.-C. Wang N. Wang X. Wang Y. Wang Y.-H. Wang Z.-G. Wang C. Ward J. Ward W. Wattanapanitch J. Webb D. Webster R. Webster S. Wedge J. Weem X. Wei D. Weide R. Weigel R. Weikle C. Weil T. Weiland D. Weile S. Weinreb M. Weiss S. Weiss T. Weller C. Wen G. Wen S. Wentworth D. Wentzloff R. Wenzel J. Whelehan J. Whitaker J. White J. Wiart M. Wickert

A. Wiesbauer J. Wight D. Willems B. Willemsen D. Williams A. Williamson J. Wilson J. Wiltse T. Winkel K. Wise D. Wisell M. Wolf E. Wollack G. Wollenberg F. Wong K. Wong M. Wong S. Wong K. Woo J. Wood G. Woods D. Woolard C. Wu J.-M. Wu K.-L. Wu K. Wu L. Wu R.-B. Wu T. Wu T.-L. Wu R. Wylde T. Wysocki M. Xia S. Xiang J. Xiao Y. Xiao C. Xie J. Xu S. Xu Q. Xue M. Yagoub T. Yakabe A. Yakovlev K. Yamamoto K. Yamauchi W. Yan C.-L. Yang F. Yang N. Yang X. Yang Y. Yang Z. Yang F. Yanovsky H.-W. Yao J. Yao A. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto J. Yau S. Ye J. Yeh K.-S. Yeo S.-P. Yeo K.-W. Yeom L.-K. Yeung W.-Y. Yin X.-S. Yin S. Yngvesson D. Yongsheng D. Yoo H.-J. Yoo J.-G. Yook E. Yoon J.-B. Yoon R. York S. Yoshikado A. Young B. Young D. Young P. Young W. Young H.-K. Yu M. Yu P. Yu R. Yu W. Yu Y. Yu M. Yuan M. Yuce S.-W. Yun F. Zabini J. Zaeytijd K. Zaki P. Zampardi J. Zapata L. Zappelli C. Zelley P. Zhai C. Zhang F. Zhang G. Zhang H. Zhang J. Zhang N. Zhang Q.-J. Zhang R. Zhang Y. Zhang A.-P. Zhao Y.-J. Zhao Y. Zhao Y. Zheng Q. Zhiguo H. Zhou A. Zhu L. Zhu N.-H. Zhu X. Zhu J. Zhuang H. Zirath