JANUARY 2010 
IEEE MTT-V058-I01 (2010-01) [58, 1 ed.]

Citation preview

JANUARY 2010

VOLUME 58

NUMBER 1

IETMAB

(ISSN 0018-9480)

PAPERS

Smart Antennas, Phased Arrays, and Radars Design and Measurement of a Doppler Radar With New Quadrature Hybrid Mixer for Vehicle Applications .. ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ....... T.-H. Ho and S.-J. Chung Real-Time Noncoherent UWB Positioning Radar With Millimeter Range Accuracy: Theory and Experiment . ......... .. .. ........ ......... ......... ........ ......... ......... ... C. Zhang, M. J. Kuhn, B. C. Merkl, A. E. Fathy, and M. R. Mahfouz Analysis and Performance of a Smart Antenna for 2.45-GHz Single-Anchor Indoor Positioning ....... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... .. A. Cidronali, S. Maddio, G. Giorgetti, and G. Manes Active Circuits, Semiconductor Devices, and ICs High-Efficiency Single-Ended Class-E/F Power Amplifier With Finite DC Feed Inductor ..... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ..... F. You, S. He, X. Tang, and X. Deng - and -Band Ultra-Compact Phase Shifters Based on All-Pass Networks .... ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ . M. Hangai, M. Hieda, N. Yunoue, Y. Sasaki, and M. Miyazaki 3-D CMOS Circuits Based on Low-Loss Vertical Interconnects on Parylene-N ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ .... R. R. Lahiji, H. Sharifi, L. P. B. Katehi, and S. Mohammadi A Watt-Level Stacked-FET Linear Power Amplifier in Silicon-on-Insulator CMOS .... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... . S. Pornpromlikit, J. Jeong, C. D. Presti, A. Scuderi, and P. M. Asbeck A 9-pJ/Pulse 1.42-Vpp OOK CMOS UWB Pulse Generator for the 3.1–10.6-GHz FCC Band . ........ ......... ......... .. .. ........ ......... ......... S. Bourdel, Y. Bachelet, J. Gaubert, R. Vauché, O. Fourquin, N. Dehaese, and H. Barthélemy Wireless Communication Systems An Electronic Circuit System for Time-Reversal of Ultra-Wideband Short Impulses Based on Frequency-Domain Approach ....... ......... ........ ......... ... H. Zhai, S. Sha, V. K. Shenoy, S. Jung, M. Lu, K. Min, S. Lee, and D. S. Ha Frequency-Agile Dual-Band Direct Conversion Receiver for Cognitive Radio Systems ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ . E. E. Djoumessi, S. O. Tatu, and K. Wu Adaptive Digital Predistortion of Wireless Power Amplifiers/Transmitters Using Dynamic Real-Valued Focused Time-Delay Line Neural Networks .... ......... ........ ......... ......... ... M. Rawat, K. Rawat, and F. M. Ghannouchi

1 9 21

32 41 48 57 65

74 87 95

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Field Analysis and Guided Waves Extraordinary Transmission Through Arrays of Slits: A Circuit Theory Model . ... F. Medina, F. Mesa, and D. C. Skigin Construction of the Dirichlet to Neumann Boundary Operator for Triangles and Applications in the Analysis of Polygonal Conductors ..... ......... ........ ......... ......... ........ ......... ......... ........ ......... . T. Demeester and D. De Zutter

105

CAD Algorithms and Numerical Techniques Three-Dimensionally Nonorthogonal Alternating-Direction Implicit Finite-Difference Time-Domain Algorithm for the Full-Wave Analysis of Microwave Monolithic Circuit Devices ........ ........ .. H.-X. Zheng, L.-Y. Feng, and Q. S. Wu Space Mapping Design Framework Exploiting Tuning Elements ......... ....... Q. S. Cheng, J. W. Bandler, and S. Koziel High-Dimensional Neural-Network Technique and Applications to Microwave Filter Modeling ....... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ..... H. Kabir, Y. Wang, M. Yu, and Q.-J. Zhang

128 136

Filters and Multiplexers Design and Analysis of Vertical Split Ring Resonator and Its Application to Unbalanced–Balanced Filter ..... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ .... M. Tamura, T. Ishizaki, and M. Höft Design of Symmetric Trisection Filters for Compact Low-Temperature Co-Fired Ceramic Realization ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ...... M. Höft and T. Shimamura Analysis and Design of a Chip Filter With Low Insertion Loss and Two Adjustable Transmission Zeros Using 0.18- m CMOS Technology ..... ........ ......... ......... ........ ......... ......... ........ C.-L. Yang, S.-Y. Shu, and Y.-C. Chiang Packaging, Interconnects, MCMs, Hybrids, and Passive Circuit Elements A Comprehensive Technique to Determine the Broadband Physically Consistent Material Characteristics of Microstrip Lines ... ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ........ Z. Zhou and K. L. Melde A Broadband and Miniaturized Common-Mode Filter for Gigahertz Differential Signals Based on Negative-Permittivity Metamaterials .. ......... ........ ......... ......... ......... ......... ......... ........ ......... ......... C.-H. Tsai and T.-L. Wu Broadband Self-Compensating Phase Shifter Combining Delay Line and Equal-Length Unequal-Width Phaser ........ .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ........ Y. J. Cheng, W. Hong, and K. Wu Extraction of Dielectric Constant and Loss Tangent Using New Rapid Plane Solver and Analytical Debye Modeling for Printed Circuit Boards . ........ ......... ......... ........ ......... .... ...... ........ ......... ......... ........ ...... A. E. Engin Development of Packaged Ultra-Wideband Bandpass Filters .... ......... ..... .... ......... ... L. Han, K. Wu, and X. Zhang

116

145

157 165 176

185 195 203 211 220

Instrumentation and Measurement Techniques Precise Evaluation of Coaxial to Waveguide Transitions by Means of Inverse Techniques ....... ........ ......... ......... .. .. . A. J. Lozano-Guerrero, F. J. Clemente-Fernández, J. Monzó-Cabrera, J. L. Pedreño-Molina, and A. Díaz-Morcillo Evaluation of Tuner-Based Noise-Parameter Extraction Methods for Very Low Noise Amplifiers ...... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... . L. Belostotski and J. W. Haslett

229

Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

251

236

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $23.00 per year for electronic media only or $46.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. 2009 ADMINISTRATIVE COMMITTEE B. PERLMAN, President L. BOGLIONE M. GUPTA J. HACKER

S. M. EL-GHAZALY President Elect

M. HARRIS J. HAUSNER K. ITOH

L. KATEHI B. KIM T. LEE

J. LIN A. MORTAZAWI

D. ROSEN D. SCHREURS

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

N. KOLIAS, Treasurer

W. CHAPPELL, Secretary V. J. NAIR Y. NIKAWA

W. SHIROMA R. SNYDER

B. SZENDRENYI K. VARIAN

Distinguished Lecturers K. TOMIYASU L. YOUNG

A. CANGELLARIS F. GHANNOUCHI F. ELLINGER A. HAJIMIRI S. GEVORGIAN L. MAURER

V. NAIR R. SNYDER A. SUAREZ

R. WEIGEL K. WU

Past Presidents P. TASKER H. WANG K. WU

J. MODELSKI (2008) J. S. KENNEY (2007) K. VARIAN (2006)

2009 MTT-S Chapter Chairs Albuquerque: H. J. WAGNON Atlanta: D. LEATHERWOOD Austria: A. SPRINGER Baltimore: N. BUSHYAGER Bangalore: T. SRINIVAS Beijing: Z. FENG Belarus: A. GUSINSKY Benelux: D. VANHOENACKER-JANVIER Boston: J. MULDAVIN Brasilia: J. DA COSTA/ A. KLAUTAU Buenaventura: M. QUDDUS Buffalo: J. WHALEN Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: M. ROY Central & South Italy: G. D’INZEO Central No. Carolina: N. S. DOGAN Chengdu: Z. NEI Chicago: H. LIU Cleveland: M. SCARDELLETTI Columbus: F. TEXEIRA Connecticut: C. BLAIR Croatia: Z. SIPUS

Czech/Slovakia: P. HAZDRA Dallas: Q. ZHANG Dayton: A. TERZUOLI Delhi/India: S. KOUL Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHISH Finland: A. LUUKANEN Florida West Coast: K. A. O’CONNOR Foothills: F. FREYNE France: P. EUDELINE Germany: K. SOLBACH Greece: R. MAKRI Harbin: Q. WU Hawaii: R. MIYAMOTO Hong Kong: W. S. CHAN Houston: J. T. WILLIAMS Houston, College Station: G. H. HUFF Hungary: T. BERCELI Huntsville: H. G. SCHANTZ Hyderabad: M. CHAKRAVARTI India/Calcutta: B. GUPTA India: D. BHATNAGER Indonesia: E. T. RAHARDO Israel: S. AUSTER Japan: K. ARAKI Kansai: T. OHIRA

Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: W. DEAL Los Angeles, Metro/San Fernando: F. MAIWALD Malaysia: M. ESA Malaysia, Penang: Y. CHOW Melbourne: K. LAMP Mexico: R. M. RODRIGUES-DAGNINO Milwaukee: S. G. JOSHI Mohawk Valley: E. P. RATAZZI Montreal: K. WU Nanjing: W. X. ZHANG New Hampshire: D. SHERWOOD New Jersey Coast: D. REYNOLDS New South Wales: A. M. SANAGAVARAPU New Zealand: A. WILLIAMSON North Italy: G. VECCHI North Jersey: H. DAYAL/K. DIXIT Northern Australia: M. JACOB Northern Nevada: B. S. RAWAT Norway: Y. THODESEN Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: X. GONG Ottawa: Q. YE

Philadelphia: J. NACHAMKIN Phoenix: S. ROCKWELL Poland: W. J. KRZYSZTOFIK Portugal: C. PEIXEIRO Princeton/Central Jersey: A. KATZ Queensland: A. RAKIC Rio de Janeiro: J. BERGMANN Rochester: S. CICCARELLI/ J. VENKATARAMAN Romania: G. LOJEWSKI Russia, Moscow: V. A. KALOSHIN Russia, Nizhny: Y. BELOV Russia, Novosibirsk: A. GRIDCHIN Russia, Saint Petersburg: M. SITNIKOVA Russia, Saratov: N. M. RYSKIN Russia, Tomsk: R. V. MESCHERIAKOV Saint Louis: D. MACKE San Diego: G. TWOMEY Santa Clara Valley/San Francisco: M. SAYED Seattle: K. A. POULSON Seoul: S. NAM Serbia and Montenegro: A. MARINCIC Shanghai: M.-J. FA Singapore: A. ALPHONES South Africa: C. VAN NIEKIRK South Australia: H. HANSON South Brazil: R. GARCIA

Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: E. ARVAS Taegu: Y.-H. JEONG Taipei: F.-T. TSAI Thailand: P. AKKARAEKTHALIN Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS Turkey: I. TEKIN Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Kiev: Y. POPLAVKO Ukraine, East, Kharkov: O. V. SHRAMKOVA Ukraine, East Student Branch Chapter, Kharkov: M. KRUSLOV Ukraine, Rep. of Georgia: D. KAKULIA Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West, Lviv: I. ISAYEV ˇ Venezuela: J. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKHMATOVSKI

2009 Associate Editors

Editors-In-Chief DYLAN WILLIAMS NIST Boulder, CO 80305 USA Phone: +1 303 497 3138 Fax: +1 303 497 3970 email: [email protected] AMIR MORTAZAWI Univ. of Michigan Ann Arbor, MI 48109-2122 USA Phone: +1 734 936 2597 Fax: +1 734 647 2106 email: [email protected]

JEN-TSAI KUO DANIEL DE ZUTTER MAURO MONGIARDO Universiteit Gent Nat. Chiao Tung Univ. Univ. of Perugia Belgium Taiwan Italy email: [email protected] email: [email protected] email: [email protected] WOLFGANG HEINRICH YOUNGWOO KWON JOSÉ PEDRO Ferdinand-Braun-Institut (FBH) Seoul Nat. Univ. Univ. of Aveiro Germany Korea Portugal email: [email protected] email: [email protected] email: jcp.mtted.av.it.pt WEI HONG JENSHAN LIN ZOYA POPOVIC Southeast Univ. Univ. of Florida Univ. of Colorado, Boulder China USA USA email: [email protected] email: [email protected] email: [email protected] ROBERT W. JACKSON Univ. of Massachusetts,Amherst USA email: [email protected] K. REMLEY, Editor-in-Chief, IEEE Microwave Magazine G. E. PONCHAK, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

RICHARD SNYDER RS Microwave Company USA email: [email protected] CHI WANG Orbital Sciences Corp. USA email: [email protected] KE-LI WU Chinese Univ. of Hong Kong Hong Kong email: [email protected]

T. LEE, Web Master

IEEE Officers PEDRO A. RAY, President MOSHE KAM, President-Elect DAVID G. GREEN, Secretary PETER W. STAECKER, Treasurer JOHN R. VIG, Past President TARIQ S. DURRANI, Vice President, Educational Activities ROGER W. SUDBURY, Director, Division

JON G. ROKNE, Vice President, Publication Services and Products BARRY L. SHOOP, Vice President, Membership and Geographic Activities W. CHARLTON (CHUCK) ADAMS, President, IEEE Standards Association ROGER D. POLLARD, Vice President, Technical Activities EVELYN H. HIRT, President, IEEE-USA IV—Electromagnetics and Radiation

IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer MATTHEW LOEB, Corporate Strategy & Communications BETSY DAVIS, SPHR, Human Resources RICHARD D. SCHWARTZ, Business Administration ANTHONY DURNIAK, Publications Activities CHRIS BRANTLEY, IEEE-USA JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities CECELIA JANKOWSKI, Member and Geographic Activities DOUGLAS GORHAM, Educational Activities IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $125.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2010 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada.

Digital Object Identifier 10.1109/TMTT.2009.2039969

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

1

Design and Measurement of a Doppler Radar With New Quadrature Hybrid Mixer for Vehicle Applications Tan-Hsiung Ho and Shyh-Jong Chung, Senior Member, IEEE

Abstract—This paper presents a novel Doppler radar sensor architecture with a quadrature hybrid mixer. Consisting of four branch-line couplers, two 45 delay lines, and four Schottky diodes, the quadrature hybrid mixer demonstrates high transmission efficiency to pass the power from a local oscillator (LO) to an antenna without wasting received power at the oscillator port. A quadrature Doppler radar system is also implemented with the proposed quadrature hybrid mixer and defected-ground-structure LO. Compared to a conventional quadrature radar, the received power of the proposed architecture is enhanced by 3.3 dB when the LO power is 10 dBm, and is enhanced by 16.2 dB when the LO power is reduced to 0 dBm. Additionally, the improvement in received power is attributed to the improved transmission and lower receiving loss than that of the conventional ones. Capable of determining speeds lower than 0.05 km/h, which corresponds to a 1-Hz Doppler shift. The proposed Doppler radar architecture can identify the direction of the displacement due to use of the quadrature technique. Index Terms—Doppler radar, quadrature mixer, quadrature radar, velocity measurement.

I. INTRODUCTION

M

ICROWAVE radar technology has attracted many attentions in many applications such as speed detection, collision avoidance, traffic control and management, and health care, as well as motion detectors [1]. These radar applications highly prioritize a high-performance compact-size simple structure, and low-cost [2]. Among current radar technologies, continuous wave (CW) radars have a relatively simple circuit structure, thus ensuring compactness and inexpensive solutions [3]. This kind of radar has found many applications in the automotive field such as speed sensors and traffic control systems [4]. Of these systems, transmitting power is generated and the receiver is driven simultaneously using a single local oscillator (LO). LO power usage efficiency reflects the efficiency of the radar front-end in using the LO power in transmission and receiving approaches.

Manuscript received January 29, 2009; revised August 29, 2009. First published November 24, 2009; current version published January 13, 2010. This work was supported in part by the National Science Council, Taiwan, under Contract NSC 97-2221-E-009-041-MY3. The authors are with the Department of Communication Engineering, National Chiao Tung University, Hsinchu, Taiwan 30050 (e-mail: danise. [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2035874

For short-range applications, CW radars with a low-power LO have the optimum size and cost. In related applications, e.g., health-care sensors and speed detectors, high LO power usage efficiency is crucial to improving the sensitivity. A higher LO power usage efficiency implies a higher signal strength that can be acquired from the sensor with the same LO power injected into the radar front-end. Systems with two antennas are likely to have the optimum local power usage efficiency, regardless of the transmission loss incurred in the power distribution network [5], [6]. However, the system size is twice that of a system with a single antenna. Radar with a single antenna is typically implemented with either a circulator or a coupler following the antenna. Circulator components are normally prohibitively expensive; meanwhile, in the coupler architecture, half of the receiving power is dissipated at the LO port. Additionally, half of the transmission power is expended to drive the mixer. This paper presents a novel hybrid type mixer to increase the power usage efficiency of the LO. Placed in a series between the LO and antenna, the proposed hybrid mixer passes LO power through and down converts the radar signal. Additionally, the proposed hybrid mixer requires lower than half the LO power than the conventional one to drive the mixer and wastes no received power in the architecture. The quadrature radars can detect the speed and direction of a target and it can also measure the displacement of static environment [7], [8]. Even human vital signals are detected very well with quadrature mixing technology [9], [10], owing to its ability to extract Doppler signal with a significant higher signal-to-noise ratio [11]. The quadrature radar architecture reported in [12] applied a coupler to isolate the transmitter and receiver paths. Another approach [13] adopted a balanced coupler network to reduce the transmitter-to-receiver leakage. However, these approaches have a low power usage efficiency, as evidenced by termination of the reflected LO powers from the mixers at a resistor and dissipation of half of the receiving power at the LO port. This paper presents a new quadrature mixer architecture configured by hybrid mixers, with an application in a 10.5-GHz quadrature radar. The proposed quadrature radar architecture can reduce the transmission power loss and has no power loss in the distribution network during receiving. The phase noise of the LO affects the resolution of a Doppler radar [14]. An LO designed with the defected ground structure (DGS), which possesses a low phase noise, is applied as the signal source in this radar. A quadrature radar with a conventional quadrature mixer is also implemented for comparison. The remainder of

0018-9480/$26.00 © 2009 IEEE

2

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 2. Schematic of the quadrature hybrid mixer, which consists of two hybrid mixers along with two 90 branch-line couplers and baseband amplifiers. Fig. 1. Block diagram of: (a) conventional quadrature radar with branch-line coupler and quadrature mixer, (b) proposed radar with quadrature hybrid mixer implemented of hybrid mixers, and 45 delay lines.

this paper is organized as follows. Section II presents the configuration of the proposed quadrature mixer architecture and introduces its operation principles. Section III then illustrates the design and measurement results of the DGS frequency synthesizer. Section IV then shows the fabrication and experimental results of the 10.5-GHz quadrature Doppler radar. Conclusions are finally drawn in Section V. II. PRINCIPLE OF THE QUADRATURE RADAR WITH HYBRID MIXER Fig. 1(a) and (b) schematically depicts the quadrature radar transceivers with conventional mixers and with the proposed quadrature hybrid mixer, respectively. The conventional radar architecture consists of an antenna, an LO source, two branchline couplers, a two-way power divider, and two mixers along with two low-pass filters (LPFs). In this architecture, the first branch-line coupler is used to separate the transmitting and receiving signals, while the other coupler is used to generate two LO signals with 90 phase difference for quadrature mixing. Half power of the output signal from the signal source is transferred to the antenna through the first branch-line coupler and radiated through the antenna. The radiated signal is reflected from the object and captured by the same antenna. The received signal is then coupled to the mixer by the first branch-line coupler and down converted into the baseband by the two mixers. Finally, unwanted signals such as higher order harmonic signals are filtered via LPF. The conventional architecture, as shown in Fig. 1(a), incurs a significant power loss because despite the transmission loss contributed by the transmission lines and path loss from the antenna to the object, 6-dB power loss is always contributed by the first branch-line coupler in theory. In the first branch-line

coupler, half of the LO power is used to drive the mixer, while half of the received power is terminated at the LO port. The proposed architecture in Fig. 1(b) does not suffer from this problem. During transmission, the LO power is equally divided by the first branch-line coupler and inserted into the hybrid mixers. The hybrid mixer takes the necessary energy required to drive the mixing diodes only and, then, passes the remnant power through the mixer. Eventually, the remaining powers from the two hybrid mixers are combined by the second branch-line coupler and inserted into the antenna. During receiving, the second branch-line coupler divides the received power equally with 90 phase difference and placed into the mixers. Theoretically, this architecture has 6-dB enhancement of overall performance in an ideal case. In practice, a certain amount of LO power injected into the hybrid mixer is taken to drive the mixer diodes. The power taken to drive the mixer diodes is typically lower than half of the total LO power. Therefore, the overall LO power are efficiently used in this architecture. Notably, two 45 delay lines are placed individually in the upper and lower paths to produce I/Q IF signals. Fig. 2 schematically depicts the proposed quadrature hybrid mixer, which consists of two hybrid mixers and two attached 90 branch-line couplers. Each hybrid mixer consists of a 90 branch-line coupler, two Schottky diodes, and is followed by two LPFs. Let the signal from the LO be expressed as

(1) where is the amplitude of the LO signal and denotes the LO frequency (which is also the frequency of the transmitting signal). After passing the first branch-line coupler and the 45 delay line, the signal entering the upper hybrid mixer (mixer I) can be expressed as (2)

HO AND CHUNG: DESIGN AND MEASUREMENT OF DOPPLER RADAR

3

This signal is then divided into two parts by the branch line in and the hybrid mixer and reaches the two Schottky diodes , where the signals have the forms of (3) (4) The signal power here is used to drive the mixer operation. Thereafter, most power is reflected from the diodes with a large and, eventually, the reflected powers reflection coefficient are combined at the isolated port of the branch line as (5) Meanwhile, the LO signal also enters the lower hybrid mixer from mixer II as (mixer II), resulting in a signal (6) This signal, after passing the 45 delay line, enters the second branch-line coupler and combines with the signal from mixer I at the output port, i.e., the antenna port. The total transmitting signal at the antenna port can thus be obtained as follows:

Fig. 3. Measured and simulated conversion loss of the quadrature hybrid mixer and the insertion loss from LO to antenna without biasing.

the differential signal into single-ended output. The differential amplifier can also help to reject the common-mode noise, which helps to improve the receiver sensitivity [15]. After this amplifier, the received IF signal at the in-phase (I) port has the following form: (13)

(7) It is seen that the insertion loss of the signal from the LO to the at the Schottky antenna comes from the reflection loss diodes. In the receiving path, the signal reflected from the object is given by (8) where and are the amplitude and frequency of the signal received by the antenna, respectively. After passing the second branch-line coupler and the branch line in mixer I, the received and are given by signals at diodes (9) (10) These signals mix with the LO signals (3) and (4), respectively, leading to the fundamental terms of the harmonics at the LPF output ports (11)

(12) where

is the conversion coefficient of the mixer diode, or represents the mixer conversion loss. The output at each IF port of the hybrid mixer is just out of phase, a low-noise difis then used here to transfer ferential amplifier with gain of

Similarly, the IF signal at the quadrature (Q) phase port ( port) can be derived as (14) Apparently, the IF outputs at both I and Q ports of the mixer are equal amplitude and 90 difference in phase. To demonstrate the above theory, a 10.5-GHz quadrature hybrid mixer was implemented. Both the branch-line couplers and delay lines are designed using the 2.5-D electromagnetic (EM) simulator of IE3D [16]. The active circuit simulation and design are performed using MWOffice [17]. The quadrature hybrid mixer was fabricated on an RO4003 substrate, which has a dielectric constant of 3.38 and thickness of 20 mil. The mixer diode is selected using MA4E2054. Fig. 3 illustrates the simulated and measured frequency responses of the proposed quadrature hybrid mixer without biasing. The insertion loss from the LO to antenna, including from the diode and the overall circuit the reflection loss of the quadrature hylosses, and the conversion loss brid mixer are presented. This experiment is based on an LO power of 10 dBm. By considering that the nonlinear characteristic should generate many harmonic signals, the experimental results were assessed by a spectrum analyzer. Around the operating point at 10.5 GHz, the mixer shows a conversion loss of 15.5 dB from RF to the I channel, insertion loss of 3.1 dB from the signal source to the antenna, and return loss better than 15 dB, which covers the range from 9.8 to 11.2 GHz. Consequently, the mixer takes approximately half of the transmitting power to drive the mixer diodes and leave the remaining half power to the antenna. The differences between the simulated and measured ones are caused by the variety of each diode. This

4

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 5. Measured performance of the quadrature hybrid mixer without a bias versus LO power level.

Fig. 4. Simulated return losses of: (a) a single LO signal and (b) both LO and RF signals injected into a single diode section.

unbalanced characteristic deteriorates the mixer performance slightly in both conversion and insertion losses. According to the measurement results in Fig. 3, it seems that most of the LO power is reflected by the diode. Fig. 4(a) describes the simulation of the return loss in a single diode section. According to this figure, most input power is reflected when the power is lower than 13 dBm. When the power exceeds 13 dBm, the return loss becomes high, thus limiting the output power. The nonlinear circuit simulator allows us to determine the individual return losses of a two-tone signal. Fig. 4(b) summarizes the simulation results when both the LO and RF are injected into the diode with a relative frequency shift of 1 MHz. The LO is excited at a power level of 10 dBm, while the RF is considered as the small signal. This finding shows different return losses of each signal. Most of the LO signal is reflected in the design frequency, while more than half of the RF signal is absorbed by the diode. In some applications, the radar is considered to operate under low LO power, requiring consideration of the LO power level. Fig. 5 summarizes those results, where the insertion loss, conversion loss, and total loss are functions of the LO power level. No bias is applied to the mixer. This figure indicates that the

Fig. 6. Quadrature hybrid mixer performance versus dc bias with LO power equal to 0 dBm.

conversion loss for LO power lower than 0 dBm is extremely high. As the power increases, the conversion loss decreases, and finally saturates at 15 dB when the LO power is higher than 10 dBm. Meanwhile, the insertion loss has only a slight increment when the LO power increases. In the proposed architecture, the LO signal leakage to the RF port is used as the interrogating signal of the radar. Therefore, another parameter, i.e., the total loss, which is defined as the summation of the conversion loss and insertion loss, is illustrated for considering the power usage efficiency of the local source. The total loss almost saturates at 18.2 dB when the LO exceeds 10 dBm. This mixer adopts an extra dc bias [18] to enhance the low LO power performance. Bias on the mixer diode can help to lower the barrier voltage, thus enhancing the low power performance. The four diodes within the quadrature hybrid mixer are placed in parallel, explaining why they can be easily biased when using only a single bias voltage. Fig. 6 shows the biased results versus the bias voltage when the LO power is 0 dBm. According to this figure, the insertion loss changes only slightly, while the conversion loss comes to the lowest when the bias voltage is near 0.7 V, thereafter it increases higher when the bias voltage is more than

HO AND CHUNG: DESIGN AND MEASUREMENT OF DOPPLER RADAR

5

TABLE I SUMMARY OF THE OPTIMIZED BIAS POINT AND PERFORMANCE OF THE QUADRATURE HYBRID MIXER VERSUS LO POWER

1 V. Consequently an optimized total loss can be obtained when the bias is 0.7 V. Table I summarizes of the optimized operating point for various LO power levels.

Fig. 7. (a) Sketch of the two-section DGS. (b) Equivalent-circuit model of the two-section DGS.

III. DESIGN AND MEASUREMENT OF THE DGS FREQUENCY SYNTHESIZER In the radar application, an LO source with acceptable phase noise is vital to the radar performance. A frequency synthesizer can easily achieve a stable output frequency and satisfactory phase noise with adjustable frequency using a simple schematic and reasonable cost. In this study, the LO signal is provided by a 5.25-GHz frequency synthesizer followed by a frequency doubler, where the synthesizer is designed with a two-section DGS for reducing the phase-noise level. The DGS is an etched area in the backside ground of a microstrip line. The disturbance on the ground plane can change characteristics of a transmission line such as line capacitance and inductance. The DGS structure provides a bandgap characteristic in the design frequency with only one unit lattice, resulting in an improved quality factor than other microstrip planar structures [19]. The DGS has found many applications in the filter and has also been proven to reduce the oscillator phase noise [20]. Fig. 7(a) illustrates the two-section DGS structure used in this study. The equivalent circuit of the DGS can be modeled as a parallel LC resonant circuit in the series arm and two shunted capacitors, as shown in Fig. 7(b). Each section of DGS functions as a LPF with an out-band transmission zero provided by the parallel LC pair. The DGS cell is designed based on the EM-simulator IE3D. Fig. 8 illustrates the measurement results of the two-section DGS designed with a cutoff frequency at 5.25 GHz. According to the result, a relative higher phase slope is observed around the cutoff frequency. Fixing the cutoff frequency near the oscillation frequency allows us to obtain a high input phase slope in the transmission coefficient, implying high-frequency stability in the oscillator design. Fig. 9 illustrates the schematic of the 5.25-GHz frequency synthesizer with the designed two-section DGS, which is fabricated together with the one without DGS to evaluate the performance improvement. The frequency synthesizer consists of

Fig. 8. Measured frequency responses of the two-section DGS.

a voltage-controlled oscillator (VCO), an unequal power divider, an LPF, a programmable frequency prescalar, and a loop filter. Here, an integer- frequency divider, which is controlled through a three-wire serial interface, is used to control the output frequency. The loop bandwidth of the loop filter is designed to be 2.5 kHz. Additionally, the DGS is placed into the VCO in series with the varactor diode to improve the quality factor of the feedback path. The DGS cells provide a better quality factor than the other planar type resonators. Table II summarizes of the measured phase noise of the fabricated 5.25-GHz frequency synthesizer with and without DGS. According to this table, with the two-section DGS, the phase noise reduces from 10 to 16 dB. Additionally, the measured output power of the proposed structure is 5.3 dBm. IV. FABRICATION AND EXPERIMENTAL RESULTS OF THE DOPPLER RADAR A 10.5-GHz Doppler radar using the proposed quadrature hybrid mixer and DGS frequency synthesizer is implemented to detect the moving direction and ground speed of a local object. The radar front-end module is fabricated on an RO4003 substrate, as shown in Fig. 10. The Doppler radar

6

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 11. Measured mixer output power of the Doppler radar front-end modules implemented with both the quadrature hybrid mixer and conventional quadrature mixer. Fig. 9. Schematic diagram of the 5.25-GHz frequency synthesizer with twosection DGS.

TABLE II SUMMARY OF THE PHASE-NOISE REDUCTION OF THE FREQUENCY SYNTHESIZER WITH AND WITHOUT DGS

Fig. 12. Environment setup to assess the Doppler radar module performance in terms of acquiring the ground speed.

Fig. 10. Photograph of the fabricated radar front-end module with quadrature hybrid mixer and DGS frequency synthesizer.

consists of a 5.25-GHz frequency synthesizer with DGS to enhance the phase-noise performance, a field-effect transistor (FET) 5.25–10.5-GHz frequency doubler designed using an FHX35LG transistor with single bias, a quadrature hybrid mixer, a 10.5-GHz bandpass filter, a baseband amplifier, and a voltage regulator. The output frequency can be synthesized from 10.4 to 10.8 GHz and the output power can also be adjusted by tuning the driving voltage to the FET frequency doubler. The baseband output of the front-end module is filtered

through an operational amplifier composed of an active LPF, which has a cutoff frequency at 100 kHz with a 20-dB/decade slope and gain of 40 dB. In this demonstration, the radar signal is transmitted and received using an -band standard horn antenna with 12-dBi gain, while an ARM7 based microprocessor ADuC7021 with 12-bit analog-to-digital converter (ADC) and digital-to-analog converter (DAC) is used to control the output frequency and power, acquire the baseband signal, and perform the fast Fourier transform (FFT) in order to obtain the Doppler spectrum. Fig. 11 shows the measurement results of the receiving power obtained at the output of the first stage baseband amplifier. In this measurement, another conventional quadrature radar front-end [see Fig. 1(a)] is also implemented and measured for comparison. The conventional one is designed using the same diodes; however, with the hybrid mixers replaced by ring mixers. According to this figure, the radar front-end with the proposed quadrature hybrid mixer has about 3-dB power more in the entire region. Moreover, the mixer is optimized by adjusting the bias voltage to obtain the largest receiving power at various LO levels. The mixer performs better while at a low LO power condition. An enhancement of about 16.2 dB is achieved when the LO power is 0 dBm. The performance of ground speed acquirement is evaluated by setting up a test environment, as shown in Fig. 12. A band

HO AND CHUNG: DESIGN AND MEASUREMENT OF DOPPLER RADAR

7

TABLE III SUMMARY OF GROUND SPEED MEASUREMENT

Fig. 14. Doppler frequency spectrum of the quadrature signal output from the quadrature hybrid mixer with: (a) an approaching target with a speed of 60 km/h and (b) a leaving target with a speed of 45 km/h.

0

Fig. 13. Measured time-domain waveform of the baseband signal from the output of the quadrature hybrid mixer for: (a) a target with a speed of 60 km/h moving toward the sensor and (b) a target with a speed of 45 km/h moving away from the sensor.

0

driven by a servo motor simulates the relative speed to the ground. The radar antenna is setup 30 cm above the track with a 15 tilt from the horizontal plane. Table III summarizes the Doppler shift measurements. The relative speed from 1

to 150 km/h is tested and evaluated. The measured speed correlates well to the real band speed. The developed radar sensor architecture is tested to assess the direction and speed of an actual vehicle on the roadside. The detection point is in a location approximately 20 m away from the antenna; in addition, there is a 10 difference between the mainbeam direction and vehicle moving direction. Fig. 13(a) and (b) shows the measured time-domain waveform at both the I and Q channels with a vehicle approaching at a velocity of 60 km/h and far away at a velocity of 45 km/h, respectively. Fig. 14 displays the calculated frequency-domain spectrum related to Fig. 13. According to this figure, the Doppler frequency shift is 1149 Hz for the approaching target at the velocity of 60 km/h, and 861 Hz for the removing target at the velocity of 45 km/h. Furthermore, the image rejection ratio is more than 25 dBc in this experiment. V. CONCLUSION This paper has presented a new quadrature hybrid mixer with simple devices of four 90 branch-line couplers and four mixer diodes. The proposed architecture is evaluated with 3.1-dB

8

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

LO to RF insertion loss and 15.5-dB conversion loss from RF to baseband when the LO power is 10 dBm. Only a small performance regression occurs when the LO power drops to the value as low as 0 dBm. A 5.25-GHz frequency synthesizer with the DGS is also implemented together with a frequency doubler circuitry, providing the LO signal of the quadrature mixer. It has been demonstrated that the synthesizer with DGS has a 10–16-dB phase-noise reduction than the one without DGS. A 10.5-GHz Doppler radar with the quadrature hybrid mixer and DGS frequency synthesizer is then implemented and demonstrated. The radar module can evaluate speeds as low as 0.05 km/h, which corresponds to a 1-Hz Doppler shift. The proposed architecture can also detect the sign of the Doppler shift due to the quadrature radar technology. Compared to the conventional one, the proposed quadrature radar architecture has more than 3-dB power enhancement with the same LO power level and can be optimized when the local power is reduced. This finding also implies that more than 1.2 times the detection range can be achieved. Experimental results indicate that the radar still functions when the LO power is reduced to a level below 0 dBm. Our results further demonstrates that the proposed radar architecture can operate as a roadside unit in a traffic management system to monitor the traffic flow and speed sensor in order to measure the local speed. The proposed architecture also has a high local power usage efficiency, compact size, and ability to operate under a low local power condition. The proposed radar architecture with quadrature a hybrid mixer is highly promising for many radar applications. REFERENCES [1] H. H. Meinel, “Commercial applications of millimeter waves history, present status, and future trends,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1639–1653, Jul. 1995. [2] M. E. Russell, C. A. Drubin, A. S. Marinilli, W. G. Woodington, and M. J. Del Checcolo, “Integrated automotive sensors,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 674–677, Mar. 2002. [3] L. Roselli, F. Alimenti, M. Comez, V. Palazzari, F. Placentino, N. Porzi, and A. Scarponi, “A cost driven 24 GHz Doppler radar sensor development for automotive applications,” in IEEE Radar Conf., Oct. 2005, pp. 335–338. [4] J. Wenger, “Automotive mm-wave radar: Status and trends in system design and technology,” in IEE Automot. Radar Navigat. Tech. Colloq., Feb. 1998, pp. 1/1–1/7. [5] F. Placentino, F. Alimenti, A. Battistini, W. Bernardini, P. Mezzanotte, V. Palazzari, S. Leone, A. Scarponi, N. Porzi, M. Comez, and L. Roselli, “Measurements of length and velocity of vehicles with a low cost sensor radar Doppler operating at 24 GHz,” in IEEE Int. Adv. Sens. Interface Workshop, Jun. 2007, pp. 1–5. [6] T. H. Ho and S. J. Chung, “A compact 24 GHz radar sensor for vehicle sideway-looking applications,” in IEEE Radar Conf., Oct. 2005, pp. 351–354. [7] S. Kim and C. Nguyen, “A displacement measurement technique using millimeter-wave interferometry,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1724–1728, Jun. 2003. [8] S. Kim and C. Nguyen, “On the development of a multifunction millimeter-wave sensor for displacement sensing and low-velocity measurement,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2503–2512, Nov. 2004. [9] A. D. Droitcour, O. Boric-Lubecke, V. M. Lubecke, J. Lin, and G. T. A. Kovacs, “Range correlation and I/Q performance benefits in single-chip silicon Doppler radars for noncontact cardiopulmonary monitoring,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 838–848, Mar. 2004.

[10] A. Vergara, N. Petrochilos, O. Boric-Lubecke, A. Host-Madsen, and V. Lubecke, “Blind source separation of human body motion using direct conversion Doppler radar,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 1321–1324. [11] P. Heide, V. Magori, and R. Schwarte, “Coded 24 GHz Doppler radar sensors: A new approach to high-precision vehicle position and ground-speed sensing in railway and automobile applications,” in Proc. Microw. Syst. Conf., May 1995, pp. 101–104. [12] C. Fager, K. Yhland, and H. Zirath, “A balanced FET FMCW radar transceiver with improved AM noise performance,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1224–1227, Apr. 2002. [13] C. Y. Kim, J. G. Kim, and S. Hong, “A quadrature radar topology with Tx leakage canceller for 24-GHz radar applications,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 7, pp. 1438–1444, Jul. 2007. [14] S. C. Song and Y. S. Hong, “A new approach for evaluating the phase noise requirements of STALO in a Doppler radar,” in Eur. Microw. Conf., Oct. 9–12, 2007, pp. 1477–1480. [15] E. A. M. Klumperink, S. M. Louwsma, G. J. M. Wienk, and B. Nauta, “A CMOS switched transconductor mixer,” IEEE J. Solid-State Circuits, vol. 39, no. 8, pp. 1231–1240, Apr. 2004. [16] IE3D Electromagnetic Simulator. Zealand Softw., Fremont, CA, 2003. [17] MWOffice Linear and Non-Linear Circuit Simulator. AWR, El Segundo, CA, 2004. [18] J. W. Carr, “The stabilization of mixer diode performance against L.O. power changes with optimum DC bias,” IEEE Trans. Microw. Theory Tech., vol. MTT-11, no. 2, pp. 123–129, Mar. 1963. [19] D. Ahn, J. S. Park, C. S. Kim, J. Kim, Y. Qian, and T. Itoh, “A design of the low-pass filter using the novel microstrip defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 86–93, Jan. 2001. [20] Y. T. Lee, J. S. Lim, J. S. Park, D. Ahn, and S. Nam, “A novel phase noise reduction technique in oscillators using defected ground structure,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 2, pp. 39–41, Feb. 2002.

Tan-Hsiung Ho was born on November 27, 1980, in Yunlin, Taiwan. He received the B.S. and M.S. degrees in physics from National Chao-Tung University, Hsinchu, Taiwan, in 2002 and 2003, respectively, and is currently working toward the Ph.D. degree in communication engineering in National Chiao Tung University, Hsinchu, Taiwan. His current research interests include microwave circuitry, automotive radar systems, and antennas.

Shyh-Jong Chung (M’92–SM’06) was born in Taipei, Taiwan. He received the B.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, in 1984 and 1988, respectively. Since 1988, he has been with the Department of Communication Engineering, National Chiao Tung University, Hsinchu, Taiwan, where he is currently a Professor and Director of the Institute of Communication Engineering. From September 1995 to August 1996, he was a Visiting Scholar with the Department of Electrical Engineering, Texas, A&M University, College Station. His research interests include the design and applications of active and passive planar antennas, low-temperature co-fired ceramic (LTCC)-based RF components and modules, packaging effects of microwave circuits, vehicle collision warning radars, and communications in intelligent transportation systems (ITSs). Dr. Chung was the treasurer of IEEE Taipei Section (2001–2003) and chairman of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Taipei Chapter (2005–2007). He was the recipient of the Outstanding Electrical Engineering Professor Award of the Chinese Institute of Electrical Engineering and the Teaching Excellence Awards of National Chiao Tung University, both in 2005.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

9

Real-Time Noncoherent UWB Positioning Radar With Millimeter Range Accuracy: Theory and Experiment Cemin Zhang, Michael J. Kuhn, Student Member, IEEE, Brandon C. Merkl, Member, IEEE, Aly E. Fathy, Fellow, IEEE, and Mohamed R. Mahfouz, Senior Member, IEEE

Abstract—In this paper, we propose a novel architecture for ultra-wideband (UWB) positioning systems, which combines the architectures of carrier-based UWB systems and traditional energy detection-based UWB systems. By implementing the novel architecture, we have successfully developed a standalone noncoherent system for positioning both static and dynamic targets in an indoor environment with approximately 2 and 5 mm of 3-D accuracy, respectively. The results are considered a great milestone in developing such technology. 1-D and 3-D experiments have been carried out and validated using an optical reference system, which provides better than 0.3-mm 3-D accuracy. This type of indoor high-accuracy wireless localization system has many unique applications including robot control, surgical navigation, sensitive material monitoring, and asset tracking.

TABLE I COMPARISON OF CURRENT RESEARCH AND COMMERCIAL HIGH-ACCURACY POSITIONING SYSTEMS

Index Terms—Localization, noncoherent, positioning, ranging, ultra-wideband (UWB).

I. INTRODUCTION S THE technologies utilized in businesses, hospitals, and manufacturing facilities have become more advanced, a pronounced need within the RF identification (RFID) market (total projected revenue in 2009 of 5.56 billion USD) has developed for high accuracy, indoor, reliable, real-time location information solutions to track people, assets, etc. [1], [2]. In many cases, knowing the location of resources/assets can be the difference between success and failure and can have serious effects in applications such as automated bomb detection/disablement and real-time 3-D tracking for computer-assisted surgery [3]. Therefore, there is a great demand to develop wireless local positioning technologies as they have many diverse applications and have received considerable attention [4]. While global positioning systems (GPSs) use ultra-high-precision atomic clocks to measure the time-of-flight, a more standard method for indoor localization systems is the use of time difference of arrival

A

Manuscript received May 08, 2009; revised September 26, 2009. First published November 20, 2009; current version published January 13, 2010. C. Zhang is with the Hittite Microwave Corporation, Chelmsford, MA 01824 USA (e-mail: [email protected]). M. J. Kuhn and M. R. Mahfouz are with the Mechanical, Aerospace, and Biomedical Engineering Department, The University of Tennessee, Knoxville, TN 37996 USA. (e-mail: [email protected]; [email protected]). B. C. Merkl is with Medtronic Navigation, Louisville, CO 80027 USA (e-mail: [email protected]). A. E. Fathy is with the Electrical Engineering and Computer Science Department, The University of Tennessee, Knoxville, TN 37996 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org Digital Object Identifier 10.1109/TMTT.2009.2035945

(TDOA), where all of the base stations or receivers are synchronized, and the difference in time is measured between each pair of receivers to triangulate the position of an unsynchronized tag. Competing technologies for high accuracy indoor positioning include frequency modulated continuous wave (FMCW), impulse-based (i.e., carrier-free) ultra-wideband (UWB), and carrier-based UWB. Table I provides a summary of the various research groups and commercial systems utilizing these three approaches for high-accuracy indoor positioning. Similar accuracy levels (0.5–20 cm) have been achieved for both carrier-based [5]–[8] and impulse-based [9]–[12] UWB positioning systems, although carrier-based systems have shown the potential for millimeter and sub-millimeter range accuracy even for 3-D indoor environments (this study and [6]–[8]). FMCW has proven to be a successful competing technology for high-accuracy positioning systems [13]–[19]. In the 5.8-GHz band for industrial, scientific, and medical (ISM) applications,

0018-9480/$26.00 © 2009 IEEE

10

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 1. ED-based UWB receiver architecture. Fig. 2. Carrier-based noncoherent UWB receiver architecture.

documented accuracy of 5–20 cm for 2-D has been achieved [14]–[18]. FMCW systems operating at higher frequencies including 35 and 77 GHz have achieved accuracy levels of 0.1 mm [13], [19] with the system described by Feger et al. working at ranges of up to 10 m [19]. The most recent FMCW trend is a European-wide push to create low-power wireless sensor networks built on 5.8-GHz FMCW technology [15]–[17]. It should be noted that in Table I many of the reported error are standard deviation error, whereas our reported 3-D error are root mean square error (RMSE) referred to an optical system, which provides better than 0.3-mm 3-D accuracy. RMSE is a good measure of error resulting from both the accuracy and precision, i.e., the true unbiased error when data values fluctuate above and below zero. UWB is a promising technology for use in short-range indoor local positioning and wireless data communications. It is well known that very high spatial resolution can be achieved using UWB due to the wideband nature of the signals. A widely used and low complexity UWB architecture is energy detection (ED), where the UWB signal is transmitted directly through the UWB antenna without up-conversion [10], [20]–[25]. Meanwhile, at the receiver side, the ED of the signal is achieved by passing the signal through a square-law device, usually a Schottky or tunnel diode, followed by an integrator and sampler. Fig. 1 shows the typical UWB receiver architecture using ED. However, due to the large bandwidth of the received UWB signal, it is difficult and costly for ED-based receivers to operate at above the Nyquist rate [20]. Typically a fast comparator is used as the sampling device. For example, Buchegger et al. realized an UWB communication link with a data rate of 1.2 Mb/s using the tunnel diode detector [21]. Lie et al. realized the leading-edge pulse detection method using a tunnel diode combined with an envelop detector to maintain high accuracy for UWB ranging in a multipath environment [22]. Recently, Fujii et al. achieved a 0.3-ns time resolution using the ED-based impulse detector, corresponding to 0.1-m distance resolution [10]. Another widely used UWB architecture is carrier-based impulse radio (IR) UWB, where the transmitted UWB signal is up-converted through a microwave carrier, then down-converted at the receiver side [26]–[28]. Fig. 2 shows the carrier-based low-complexity UWB receiver architecture. In [26], the demodulator is implemented with a 2-FSK scheme, whereas in [27], the demodulator is realized through a low-cost two-channel sub-sampling mixer with an equivalent sampling rate of over 100 GS/s [29], followed by a digital signal-processing unit implemented through a standard field programmable gate array (FPGA). However, a problem exists in the amplitude and phase differences between the in-phase (I) and quadrature (Q) channels due to hardware variation between the I and Q receiver chains, which causes distortion of the demodulated signal. Recently, Treyer et al. [30] corrected the amplitude and

Fig. 3. Noncoherent UWB receiver architecture using FLL.

Fig. 4. Proposed UWB receiver architecture which combines the carrier- and ED-based UWB receiver schemes.

phase error by using the Hartley phasing-type single-sideband modulator, but this method requires relatively complex circuitry and is limited to narrowband applications. One type of noncoherent UWB receiver architecture was implemented in [8] by McEwan, as shown in Fig. 3. Upon receiving the RF bursts from the transmitter, a timing circuitry called frequency-locked loop (FLL) locks the receiver pulse repetition frequency (PRF) clock to the transmitted PRF clock so that both clocks have the same frequency and phase. However, the required receiver timing circuitry design is quite complex and the system is limited to operate at a relatively low carrier frequency to avoid using the expensive samplers with high sampling bandwidth. This paper presents analysis and development of a novel UWB receiver architecture for a low-complexity noncoherent real-time UWB localization system. As shown in Fig. 4, the proposed UWB receiver architecture combines the carrier-based and ED-based UWB receiver schemes. The down-conversion requires only one channel instead of I and Q channels, as compared to Fig. 2, which lowers system complexity and overall cost. In this study, a noncoherent real-time localization system in an indoor environment has been developed. The developed system has been built on our previous work [27], [28] and is based on transmitting and receiving picosecond pulses and then carrying out a complete narrow-pulse, signal detection, and processing scheme in the time domain. The challenges in developing such a system include: generating UWB pulses, pulse dispersion due to antennas, modeling of complex propagation channels with severe multipath effects, need for extremely high sampling rates for digital processing, synchronization between the tag and receivers’ clocks, clock jitter, local oscillator (LO) phase noise, frequency offset between the tag

ZHANG et al.: REAL-TIME NONCOHERENT UWB POSITIONING RADAR WITH MILLIMETER RANGE ACCURACY

and receivers’ LOs, and antenna phase center variation. For such a high precision system with millimeter or even sub-millimeter accuracy, all these effects should be accounted for and minimized. Many of these effects were addressed in [27]; however, the reported 3-D localization results were based on utilizing a Tektronix TDS8200 oscilloscope and the system was coherent, i.e., the transmitter and receiver were wired. A comprehensive simulation framework has also been utilized in quantifying the accuracy of the system in realistic multipath indoor environments in terms of the overall sensitivity to the mentioned challenges in achieving high accuracy [31], [32]. Some of the recent measurement results were reported in [33], which, for the first time, we demonstrated millimeter-range dynamic accuracy with 1-D and 3-D experiments based on a noncoherent real-time UWB system. This paper is a substantial extension of [33], which focuses on revealing the fundamental theories behind the achieved accuracy. This paper is organized as follows. In Section II, the background of our previous positioning system is introduced including a block diagram of major system components, the potential issues of using I/Q down-conversion and the derogatory effects of high phase-noise carriers on overall 3-D accuracy. In Section III, the single-channel receiver approach is presented and how phase noise relates to overall system performance is examined. An inherent timing error source called the “shoulder” effect is also discussed with focus given to how the ED can minimize this “shoulder” effect. In Section IV, the 1-D experimental results with the proposed UWB receiver architecture are outlined and compared to our previously published results. In Section V, various real-time 3-D experiments are conducted including a tag moving randomly in a 3-D space and a tag attached to a robot arm with preplanned motion. Both dynamic and static results are reported using an optical tracking system with 3-D accuracy of 0.3 mm for reference. Finally, Section VI presents a conclusion. II. BACKGROUND The complete setup of our previously developed localization system is shown in Fig. 5 [27]. In the previously developed system, we transmit a modulated narrow Gaussian pulse with a carrier frequency and demodulate it at the receiver side. The source of our UWB positioning system is a step-recovery diode (SRD) based pulse generator with a pulsewidth of 300 ps and bandwidth of greater than 3 GHz. A detailed discussion of the pulse generator design can be found in [34]. The Gaussian pulse is up-converted with an 8-GHz carrier and then transmitted through an omni-directional UWB antenna. Multiple base stations are located at distinct positions to receive the modulated pulse signal. The received modulated Gaussian pulse at each base station first goes through a directional Vivaldi receiving antenna and then is amplified through a low-noise amplifier (LNA) and demodulated to obtain the I/Q signals. After going through a low-pass filter (LPF), the I/Q signals are sub-sampled using an UWB sub-sampling mixer, extending them to a larger time scale (i.e., s range) while maintaining the same pulse shape [29]. The PRF clocks are set to be 10 MHz with an offset frequency of 1–2 kHz between the tag and base stations, which

Fig. 5. Block diagram of localization system showing one tag and tions, which feed into the main system controller.

11

N base sta-

corresponds to an equivalent sampling rate of 50–100 GS/s. Finally, the extended I/Q signals are processed by a conventional analog to digital converter (ADC) and standard FPGA unit. According to the analyses in [27], the 300-ps Gaussian pulse could theoretically be recovered by the I/Q down-conversion at the receiver side. The and signals after I/Q downconverter become (1) (2) is the Gaussian pulse signal, is the carrier signal where is the offset frequency of relative to leakage factor, and from the tag. The filtered and the carrier generated by data are then sub-sampled and ac coupled, which are given by (3) (4) where is the pulse signal after time extension while maintaining the same pulse shape as and are extended is the equivalent offset I/Q signal from sub-sampler, and frequency after sub-sampling, which can be expressed as (5) where is an integer. The extended and signals are then processed by an FPGA, and the reconstructed received signal is given by (6) However, the above analysis is based on two assumptions, which are: 1) there is no phase difference between the I and Q channels and 2) the phase noise of both the tag and base station carrier are neglected, which leads to a fixed offset carrier without variation with time and temperature. In frequency practice, the utilized I/Q mixer has a phase difference of up to 4 between the I and Q channels. This is combined with unknown phase differences inherent to the designed sub-samplers

12

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

TABLE II PHASE-NOISE PERFORMANCE OF DIFFERENT CARRIER SOURCES

frequency after the sub-sampling process, which makes it extremely difficult to calibrate the unknown phase difference error. For illustration, we define a modulation factor to be bandwidth of

(7)

is the time extension where is the 10-dB bandwidth of the transmitted factor and UWB Gaussian pulse signal. For example, in Fig. 6(a), the and signal features a modulation factor . Considering the complexity and difficulties of applying the I/Q scheme, and based on the results in either (3) or (4), the or channel signal, single-channel scheme, i.e., either the can be utilized for localization purposes as long as the equivaremains unchanged. Howlent offset carrier frequency ever, the phase noise of the carriers in the transmitter and receiver are translated and included in the equivalent offset carrier , leading to an unstable offset carrier frequency frequency that varies with time and temperature and causes jitter and localization error. To minimize this effect, a phase-locked loop (PLL) or LO sources with extremely low phase noise and minimal temperature sensitivity should be used in this system architecture, e.g., the HMC764LP6CE, which is a PLL with integrated voltage-controlled oscillator (VCO) from the Hittite Microwave Corporation, Chelmsford, MA [35]. Fig. 6. (a) Simulated results of I/Q mismatch. (b) Reconstructed pulse signal from mismatched I/Q signal, failed to recover the original Gaussian pulse. (c) Measured I/Q mismatch.

III. SINGLE-CHANNEL SCHEME A. Carrier Phase-Noise Effects

and other phase unbalanced sources such as operational amplifier, LPFs, and cables in the I and Q channels. This combination of factors can easily build up and lead to a nonnegligible phase difference between the I/Q channels, which is termed and signals I/Q mismatch. Fig. 6(a) shows simulated at the output of the two sub-samplers using Agilent Technologies’ Advanced Design System (ADS), where a phase unbalance is introduced as a time difference between the I/Q channels of 0.2 ns before feeding into the sub-samplers. The time extension factor is set to be 1000 for this simulation example. As can be seen in Fig. 6(b), the reconstructed pulse from the phase unbalanced I/Q channels was distorted and failed to recover the original Gaussian pulse. As shown in Fig. 6(c), the experimenand signals demonstrate an even larger tally measured mismatch. Unfortunately, such phase differences between and channels are unknown and different for each base staand sigtion due to hardware variations. The extended nals have also been modulated with the equivalent offset carrier

Carriers with high and low phase-noise performance have been studied, and the impact of carrier sources with different phase-noise performances on the resulting localization system jitter has been compared through simulation and measurement. Table II lists the phase-noise performance of three types of is a low-cost commercial free-runLO sources where ning monolithic microwave integrated circuit (MMIC) VCO and are high-performance signal generator and bench-top instruments. We first consider a static scenario; under this situation, the tag is at a fixed position from the base stations. The unsynchronized simulation/experimental setup has been carried out, as shown in Fig. 7, to study the relationship between the carrier phase noise and system jitter. The jitter was calculated by recording 40 continuous time positions when the comparator is triggered at the rising edge of a fixed voltage threshold setting at around 50% of the maximum pulse served amplitude. The sub-sampled output signal from as the trigger signal for the Tektronix TDS340A oscilloscope.

ZHANG et al.: REAL-TIME NONCOHERENT UWB POSITIONING RADAR WITH MILLIMETER RANGE ACCURACY

Fig. 7. Experimental setup to study the relationship between the carrier phase noise and system jitter.

TABLE III JITTER PERFORMANCE WITH DIFFERENT CARRIER SOURCES

The simple received signal strength (RSS) method with a fixed voltage threshold has been used for pulse detection. Table III lists the results of simulated and measured rms jitter under different combinations of carrier configurations at the transmitter and receiver. In case II, only replacing the receiver with a low phase-noise carrier source has little effect improving the system jitter since the carrier with high phase-noise signal . is included in the equivalent offset carrier frequency Both the simulated and measured results show a similar trend, except for case III. In case III, when low phase-noise carriers were used at both the transmitter and receiver, the measured jitter was not reduced as expected according to the simulated results. This is due to the “shoulder” effect, a result of carrier LO phase shift superimposed on the equivalent frequency offset after the down-conversion and sub-sampling process. Maintaining the same setup as in case III, the measured rms jitter reduced to 7.2 ps when both the modulation factor and the threshold voltage were tailored to minimize the “shoulder” effect. B. “Shoulder” Effect The experimental results from Table III reveal an interesting problem of the noncoherent carrier-based UWB system using the single-channel approach. Fig. 8 shows the single-channel output after the sub-sampling process when the tag is put at a static position. The “shoulders” come from the equivalent , which modulates the time exoffset carrier frequency , as given by (3) or (4). The impact tended pulse signal of phase noise from the carrier source has been translated not only as the timing jitter, but also as the “shoulder” amplitude variation of the modulated signal. When the RSS method with a fixed voltage triggering threshold was used, the random “shoulder” amplitude variation produces another source of error. Such error created the large measured jitter in case III of Table III even when low phase-noise carriers were used at

13

Fig. 8. “Shoulder effect” in static scenario: the received single-channel subsampled signal modulated by the equivalent offset carrier frequency.

both the transmitter and receiver. By adjusting the equivalent and the threshold voltage, the offset carrier frequency amplitude variation induced error can be eliminated and the measured rms jitter was reduced to 7.2 ps, as stated earlier. However, such an optimization process is not practical since the threshold has to be set close to the peak amplitude to fully mitigate the nearby “shoulder” amplitude variation. When the tag is moving, the peak amplitude may vary, and the threshold needs to be readjusted. To further understand the impact of the “shoulder” effect, the dynamic scenario has been investigated. Under this situation, the tag is considered moving continuously away from the base station up to one wavelength at the carrier frequency. The modulation factor defined in (7) was set to be 5 and 15, respectively. In the simulation model, in order to study how the “shoulder” effect responds only to the tag dynamic movement, no phase noise was included in the carriers. Fig. 9 shows the simulated results where the “shoulder” amplitude experiences a large variation, while the tag is moved from 0 to 360 at 60 increments. By setting a fixed threshold, i.e., 0.4 V in both examples, the triggered time delay does not vary linearly with tag movement. As can be seen in Fig. 9, a large time position error occurs at certain tag positions during the tag movement, which is caused by the “shoulder” amplitude variation. C. Envelope Detection Based on the discussion in Section III-B, in the single-channel approach, in order to reduce system jitter and dynamic error, which directly translate into localization error, the “shoulder” effect, for both static and dynamic situations, needs to be minimized. According to (3) or (4), the UWB pulse signal informa, which is the down-converted pulse tion is contained in signal after sub-sampling. The useful information, i.e., the ex, is modulated by the equivalent offset tended pulse signal frequency and represents the envelope of the received pulse. Although the modulated single-channel signal, i.e., or , suffers from “shoulder” amplitude variation, and results in large timing trigger error, the envelope of the modulated signal remains relatively constant and less sensitive to the “shoulder” effect. A simple Schottky diode-based envelope detector is added following the sub-sampler as an energy collector for the time extended single-channel signal. The same dynamic simulation setup has been investigated with the tag

14

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 9. “Shoulder” effect when tag is moving one wavelength. (a) . (b)

= 15

= 5.

moving continuously away from the base station up to one wavelength at the carrier frequency. Fig. 10 shows the simulated results of the same signal, as shown in Fig. 9, but after ED. As shown in Fig. 10, the triggered time position varies linearly with tag movement. The localization error reduced significantly as compared to Fig. 9. The “shoulder” effect has been minimized and the time position output is insensitive to trigger threshold voltage. Table IV compares and summarizes the four cases from Figs. 9 and 10. The simulated time position errors have been recorded as the tag moves a distance of one wavelength, and the standard deviation errors have been calculated. It shows that the ED minimizes the “shoulder” effect and substantially reduces the standard deviation error. No carrier phase-noise effects are included in the simulated results. It should be noted from Table IV that for the case without ED, the error decreases substantially as the modulation factor increases. However, in reality this is not true when phase noise is present since when is large, there will be an increased the modulation factor number of “shoulders” close to each other, thus an increased sensitivity to the “shoulder” amplitude variation. This also explains why in case III of Table III, both the modulation factor and the threshold voltage need to be optimized to reduce the “shoulder” effect introduced jitter error. D. Experimental Validation Noncoherent experiments were conducted to validate the simulated results from Section III-C in order to show how the combination of low phase-noise carriers and ED reduces the “shoulder” effect and minimizes jitter noise. The tag was put at a fixed position, and 1000 continuous data points were

Fig. 10. “Shoulder” effect is minimized by using ED after the sub-sampler when tag is moving one wavelength. (a) . (b) .

=5

= 15

TABLE IV SIMULATED STANDARD DEVIATION ERROR FOR DYNAMIC SCENARIO

recorded. The signal from the sub-sampler went through an A/D converter and was fed into an FPGA, where the triggering threshold was set to 50% of the pulse peak amplitude, and the optimized modulation factor was set to be around 7. Table V compares and summarizes three measured jitter results, which (in Table II) without ED; are: 1) high phase-noise carriers and without ED; and 2) low phase-noise carriers 3) low phase-noise carriers and with ED. As can be seen from Table V, the rms jitter error reduced from 18.82 to 5.73 mm by applying low phase-noise carrier sources and ED after the sub-sampler. Fig. 11 plots the measured TDOA raw data error over 1000 measurement points for these three cases. One interesting effect can be noticed in Fig. 12, which is a zoomed-in plot of the circled portion of Fig. 11, representing case II of Table V. It shows that using the low phase-noise carriers, but without ED, the error is oscillating between 12 mm. Such measurement results validate the theory of “shoulder” effect in the static mode, as shown in Fig. 8, where even carrier sources with extremely low phase noise can produce a large error due to the “shoulder” effect. The error distributions for each of the three cases are plotted in Fig. 13. In Fig. 13(b), using the low phase-noise carriers and without ED shows two distinct Gaussian distributions, corresponding to two different shoulders. After introducing ED,

ZHANG et al.: REAL-TIME NONCOHERENT UWB POSITIONING RADAR WITH MILLIMETER RANGE ACCURACY

15

TABLE V COMPARISON AND SUMMARY OF MEASURED JITTER ERROR

Fig. 11. Measured TDOA raw data error for different carrier source configurations.

Fig. 12. Zoomed-in view from Fig. 11 for measured TDOA raw data error using low phase-noise carriers LO and LO without ED.

the “shoulder” effect has been minimized and the error distribution demonstrates a single Gaussian shape with a standard deviation near 6 mm, as seen in Fig. 13(c). The resulting raw TDOA data error with Gaussian distribution could be easily reduced by increasing the number of samples averaged, which has been validated through a static 3-D experiment, as shown in Table VI. By increasing the number of TDOA samples averaged from 1 to 106, the 3-D static rms error reduced from 12.21 to 1.98 mm. However, further increase of the number of TDOA samples averaged could not effectively improve rms error since the 1.98-mm 3-D rms error is mainly from other sources such as position dilution of precision (PDOP) and clock instability [27]. Fig. 14 shows the measured sub-sampled output waveforms before and after going through the energy detector. After passing through the energy detector, the equivalent offset carhas been filtered, leaving only the envelope rier frequency signal, thus substantially increasing the system dynamic range.

Fig. 13. Measured TDOA raw data error distribution. (a) High phase-noise carriers LO without ED. (b) Low phase-noise carriers LO and LO without ED. (c) Low phase-noise carriers LO and LO with ED. TABLE VI MEASURED 3-D rms ERROR VERSUS NUMBER OF SAMPLES AVERAGED

IV. 1-D NONCOHERENT EXPERIMENT Two 1-D experiments with unsynchronized LOs and PRF clock sources were carried out to test the robustness of our

16

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 14. Measured sub-sampled output waveforms and the signal after ED.

Fig. 16. Measured error of the 1-D unsynchronized experiment. (a) LOs with high phase noise at the tag and receiver, no ED. (b) Low phase-noise LOs at the tag and receiver, with ED after sub-sampling.

Fig. 15. Experimental setup for 1-D unsynchronized positioning measurement. (a) LOs with high phase noise at the tag and receiver, no ED. (b) Low phasenoise LOs at the tag and receiver with ED after the sub-sampler.

system. The two experimental setups are shown in Fig. 15, where only two base stations are needed for the 1-D measurements. The differences between the two 1-D experimental setups in Fig. 15 are listed as follows. 1) In Fig. 15(a) free-running VCOs with a relatively high phase noise ( 80 dBc/Hz@10 kHz) are used at both the transmitter and receiver, whereas in Fig. 15(b), low phasenoise LO sources ( 100 dBc/Hz@10 kHz) are used at both the tag and receiver, respectively. 2) The envelope detectors are used following the sub-sampler at the receiver in Fig. 15(b), whereas in Fig. 15(a), no envelope detectors are used. For both cases, millimeter-range accuracy was consistently achieved for the 1-D unsynchronized measurements at eight separate locations along a Newport optical rail with 5-cm dis-

tance between any two successive measurements. As shown in Fig. 16(a), the system jitter causes noticeable short-term variation in the error at each static point of roughly 19 mm. This short-term variation was mitigated by averaging 32 pulses at each static point. For the single-channel scheme with low phasenoise carriers and ED, results shown in Fig. 16(b) demonstrate the jitter has a much smaller short term variation of roughly 6 mm at each static point, compared to the 19 mm shown in Fig. 16(a). This small short-term variation was mitigated by averaging only four pulses at each static point, thus speeding up the processing time. In Table VII, we compare the results between both cases, and it is clear that the single-channel scheme with low phase-noise carriers and ED requires less times averaging and produces less 1-D error. Compared to the coherent experimental results reported in our previous study [27], the mean error in measuring the 1-D static data increases from 1.49 to 2.38 mm. The increase in error of 0.89 mm is comparable to the measured error of 1.05 mm due to the PRF clock jitter discussed in [27]. V. 3-D NONCOHERENT EXPERIMENT Two 3-D experiments with unsynchronized LOs and PRF clock sources were carried out, where a minimum of four base

ZHANG et al.: REAL-TIME NONCOHERENT UWB POSITIONING RADAR WITH MILLIMETER RANGE ACCURACY

17

TABLE VII COMPARISON OF NONCOHERENT 1-D EXPERIMENTAL RESULTS

Fig. 20. 3-D dynamic mode with ED. x-; y -; and z -axes error compared to Optotrak measurements.

Fig. 17. 3-D experiment setup of unsynchronized localization system using a single-channel demodulation with ED.

Fig. 21. Experimental setup of robot tracking using the developed noncoherent UWB system.

Fig. 18. 3-D unsynchronized localization experiments, four base-station distribution with locations for each base station.

shown in Fig. 17, compared to our previous approach in Fig. 5 are as follows. 1) Single channel of down-conversion has been used instead of I/Q, which lowers the cost and reduces the system complexity. 2) Following the sampling mixer, the energy detectors are added, which helps in getting rid of the carrier offset due to the frequency difference between the transmitter and receiver LOs. 3) Low phase-noise LOs are used at both the transmitter and receiver. A. 3-D Dynamic Free Motion

Fig. 19. 3-D dynamic random mode with ED. UWB trace is compared to Optotrak trace.

stations are needed for the 3-D measurements. The signals from the sub-sampler are then fed to the FPGA, which uses the newly developed leading-edge detection algorithm to locate the pulse positions [36]. The major improvements of the system setup, as

Fig. 18 shows a four base-station setup where the 3-D positions were measured for each base station utilizing the Optotrak 3020 system, which also serves as a reference for comparing the 3-D real-time accuracy of our UWB localization system. The Optotrak 3020 has 3-D real-time accuracy of better than 0.3 mm. It should be noted that the spatial spread of the base stations along the -axis is the largest (2498 mm), while the -axis is the smallest (1375 mm). In the dynamic mode, the tag is moving randomly inside the 3-D space indicated in Fig. 18. The 3-D motion of the tag is then plotted and UWB measurements are compared with Optotrak measurements. RMSE is used to report the

18

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 23. 3-D robot tracking at static positions. UWB points compared to Optotrak points.

TABLE VIII ERROR SUMMARY—3-D UNSYNCHRONIZED LOCALIZATION EXPERIMENTS

Fig. 20 shows the 3-D dynamic errors in the - - and -axes over 1000 measured points. The overall 3-D RMSE is 6.37 mm. The error along the -axis contributed most to the overall distance error, which can be explained by the limited spatial spread of base stations along the -axis and can be calculated using the PDOP definitions in [27]. Such error can be easily mitigated through better arrangement of the base stations along the -axis. B. 3-D Robot Tracking The next noncoherent 3-D experiment is to dynamically track the robot position. The experimental setup is shown in Fig. 21. The monopole antenna and the reference Optotrak probe are tied together and then fixed to the arm of the CRS A465 robot. The robot was pre-programmed to specifically cover 20 distinct static positions in a 3-D volume, stopping for 3 s at each position and then moving to the next position and so on. The measured traces by the UWB system are compared to the Optotrak reference system, as shown in Fig. 22. Fig. 23 shows the 20 distinct static positions taken by both the UWB and the Optotrak systems. The overall dynamic 3-D robot tracking RMSE is 5.24 mm. In Table VIII, we summarize the real-time noncoherent 3-D experimental results under various scenarios. The reported RMSE are based on 1000 continuous data points recorded and compared to the Optotrak 3020 system, which served as the real-time reference of our UWB localization system and provides a 3-D accuracy of better than 0.3 mm. Fig. 22. 3-D dynamic robot tracking. UWB trace compared to Optotrak trace. -plane. (c) -plane. (d) -plane. (a) 3-D view. (b)

VI. CONCLUSION

error since it is the true unbiased error when data values fluctuate above and below zero. Fig. 19 plots the UWB trace and Optotrak trace in the 3-D dynamic mode.

A novel architecture for UWB positioning systems has been presented, which combines the single-channel carrier-based UWB system and traditional ED-based UWB positioning system. The UWB localization system is equipped with low

XY

XZ

YZ

ZHANG et al.: REAL-TIME NONCOHERENT UWB POSITIONING RADAR WITH MILLIMETER RANGE ACCURACY

phase-noise carrier sources at both the transmitter and receiver and the advanced sub-sampling mixer at the receiver for equivalent time sampling of the incoming pulse train. A proper modulation factor is intentionally chosen between the transmitter and receiver carrier and is combined with an ED step to eliminate the requirement of carrier synchronization. We have addressed step-by-step the main challenges, which led us to the finalized system architecture, including the I/Q mismatch, jitter errors due to phase noise in carrier offsets, the “shoulder” effect in static and dynamic scenarios, etc. Both simulation and measurement results show the robustness of the proposed system architecture with a reduced timing jitter error and improved system dynamic range. By comparing two 1-D experiments, the ranging error has been improved significantly with the reduced timing jitter and “shoulder” effect through application of a low phase-noise carrier-based UWB architecture together with advanced sub-sampling and ED. To further validate the theories, extensive 3-D static and dynamic experiments have been performed, including a tag moving randomly in a 3-D space and a tag attached to a robot arm with preplanned motion, where constant millimeter-range accuracy in both static and dynamic scenarios has been demonstrated. This is a milestone in UWB and wireless positioning systems and opens up many new and exciting applications for the future.

REFERENCES [1] “RTLS and wireless technologies,” Venture Development Corporation, Natick, MA, 2005–2006. [Online]. Available: http://www.pencomputing.com/news/news_rtls.html, RFID Business Planning Service [2] “RFID forecasts, players and opportunities 2009–2019,” Summary, IDTechEx, Cambridge, MA, 2009. [Online]. Available: http://www. idtechex.com/research/reports/rfid_forecasts_players_and_opportunities_2009_2019_000226.asp [3] J. Kowal, F. Langlotz, and L. Nolte, “Basics of computer-assisted orthopaedic surgery,” in Navigation and MIS in Orthopedic Surgery. Berlin, Germany: Springer, 2007, ch. 1, pt. I, pp. 2–8. [4] M. Vossiek, L. Wiebking, P. Gulden, J. Wieghardt, C. Hoffman, and P. Heide, “Wireless local positioning,” IEEE Microw. Mag., vol. 4, pp. 77–86, Dec. 2003. [5] B. Waldmann, R. Weigel, and P. Gulden, “Method for high precision local positioning radar using an ultra wideband technique,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, 2008, pp. 117–120. [6] C. Meier, A. Terzis, and S. Lindenmeier, “A robust 3D high precision radio location system,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 397–400. [7] C. Meier, A. Terzis, and S. Lindenmeier, “Investigation and suppression of multipath influence on indoor radio location in the millimeter wave range,” in Wave Propag. Commun., Microw. Syst. Navigat. Conf., Chemnitz, Germany, 2007, pp. 21–24. [8] T. E. McEwan, “Radiolocation system having writing pen application,” U.S. Patent 6 747 599, Jun. 8, 2004. [9] G. Ossberger, T. Buchegger, E. Schimback, A. Stelzer, and R. Weigel, “Non-invasive respiratory movement detection and monitoring of hidden humans using ultra wideband pulse radar,” in IEEE Int. UWB Syst. Tech. Conf., Kyoto, Japan, 2004, pp. 395–399. [10] A. Fujii, H. Sekiguchi, M. Asai, S. Kurashima, H. Ochiai, and R. Kohno, “Impulse radio UWB positioning system,” in IEEE Radio Wireless Symp., 2007, pp. 55–58. [11] Z. N. Low, J. H. Cheong, C. L. Law, W. T. Ng, and Y. J. Lee, “Pulse detection algorithm for line-of-sight (LOS) UWB ranging applications,” IEEE Antennas Wireless Propag. Lett., vol. 4, pp. 63–67, 2005. [12] R. Zetik, J. Sachs, and R. Thomä, “UWB localization—Active and passive approach,” in Proc. 21st IEEE IMTC, 2004, vol. 2, pp. 1005–1009. [13] A. Stelzer, C. G. Diskus, and H. W. Thim, “A microwave position sensor with sub-millimeter accuracy,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2621–2624, Dec. 1999.

19

[14] A. Stelzer, K. Pourvoyeur, and A. Fischer, “Concept and application of LPM-a novel 3-D local position measurement system,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2664–2669, Dec. 2004. [15] F. Ellinger et al., “Local positioning for wireless sensor networks,” in IEEE Globecom Workshops, Washington, DC, 2007, pp. 1–6. [16] R. Mosshammer, M. Huemer, R. Szumny, K. Kurekt, J. Hittner, and R. Gierlichli, “A 5.8 GHz local positioning and communication system,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, 2007, pp. 1237–1240. [17] P. Tragas et al., “Resolution: reconfigurable systems for mobile local communication and positioning,” in Mobile Wireless Commun. Summit, Budapest, Hungary, 2007, pp. 1–5. [18] “LPR-2D,” Symeo, Munich, Germany, 2009. [Online]. Available: http://www.symeo.com/cms/upload/PDF/Datasheet_LPR-2D.pdf [19] R. Feger, C. Wagner, S. Schuster, H. Jäger, and A. Stelzer, “A 77-GHz FMCW MIMO radar based on an SiGe single-chip transceiver,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1020–1035, May 2009. [20] I. Guvenc, Z. Sahinoglu, and P. V. Orlik, “TOA estimation for IR-UWB systems with different transceiver types,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pt. 2, pp. 1876–1886, Apr. 2006. [21] T. Buchegger, G. Obberger, A. Reisenzahn, E. Hochmair, A. Stelzer, and A. Springer, “Ultrawideband transceivers for cochlear implants,” EURASIP J. Appl. Signal Process., vol. 2005, no. 18, pp. 3069–3075, 2005. [22] J. P. Lie, C. M. See, and B. P. Ng, “UWB ranging with high robustness against dominant jammer and multipath,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 12, pp. 907–909, Dec. 2005. [23] A. Rabbachin and I. Oppermann, “Synchronization analysis for UWB systems with a low-complexity energy collection receiver,” in Proc. IEEE Ultrawideband Syst. Technol. Conf., Kyoto, Japan, May 2004, pp. 288–292. [24] R. J. Fontana and F. J. Larrick, “Ultra wideband receiver with high speed noise and interference tracking threshold,” U.S. Patent 5 901 172, May 4, 1999. [25] C.-C. Chong, S. K. Yong, and S.-S. Lee, “UWB direct chaotic communication technology,” IEEE Antennas Wireless Propag. Lett., vol. 4, pp. 316–319, 2005. [26] D. Barras, F. Ellinger, H. Jäckel, and W. Hirt, “A robust front-end architecture for low-power UWB radio transceivers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1713–1723, Apr. 2006. [27] M. Mahfouz, C. Zhang, B. Merkl, M. Kuhn, and A. Fathy, “Investigation of high accuracy indoor 3-D positioning using UWB technology,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 6, pp. 1316–1330, Jun. 2008. [28] C. Zhang, M. Kuhn, B. Merkl, M. Mahfouz, and A. E. Fathy, “Development of an UWB indoor 3-D positioning radar with millimeter accuracy,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 106–109. [29] C. Zhang, A. Fathy, and M. Mahfouz, “Performance enhancement of a sub-sampling circuit for ultra-wideband signal processing,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 12, pp. 873–875, Dec. 2007. [30] D. M. Treyer and W. Bächtold, “Investigation of a self-calibrating SSB modulator,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3806–3816, Dec. 2005. [31] M. Kuhn, C. Zhang, B. Merkl, D. Yang, Y. Wang, M. Mahfouz, and A. Fathy, “High accuracy UWB localization in dense indoor environments,” in IEEE Int. Ultra-Wideband Conf., Hannover, Germany, 2008, vol. 2, pp. 129–132. [32] M. Kuhn, C. Zhang, S. Lin, M. Mahfouz, and A. Fathy, “A system-level design approach to UWB localization,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, 2009, pp. 409–412. [33] C. Zhang, M. Kuhn, M. Mahfouz, and A. E. Fathy, “Real-time noncoherent UWB positioning radar with millimeter range accuracy in a 3D indoor environment,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, 2009, pp. 413–416. [34] C. Zhang and A. E. Fathy, “Reconfigurable pico-pulse generator for UWB applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 2006, pp. 407–410. [35] “PLLs w/integrated VCOs, HMC764LP6CE datasheet,” Hittite Microw. Corporation, Chelmsford, MA, 2009. [Online]. Available: http://www44-.hittite.com/ [36] B. Merkl, “The future of the operating room: Surgical preplanning and navigation using high-accuracy ultra-wideband positioning and advanced bone measurement,” Ph.D. dissertation, Dept. Biomed. Eng., Univ. Tennessee, Knoxville, TN, 2008.

20

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Cemin Zhang was born in Chengdu, Sichuan, China, in 1978. He received the B.S. and M.S. degrees in information science and electronic engineering from Zhejiang University, Hangzhou, China, in 2001 and 2004, respectively, and the Ph.D. degree in electrical engineering from The University of Tennessee, Knoxville, in 2008. In 2003, he was an RF Engineer with the UTStarcom Corporation Ltd, Hangzhou, China, where he was involved with the development of antenna switch and mobile base station hardware. In early 2004, he was a Product Engineer with the Intel Corporation, Shanghai, China, where he was involved with the development of flash memory. In November 2008, he joined the Hittite Microwave Corporation, Chelmsford, MA, as a Monolithic Microwave Integrated Circuit (MMIC) Design Engineer, currently involved in the research and development of various cutting-edge MMIC components including low phase-noise VCOs. He has established a novel unsynchronized UWB system architecture to achieve the real-time millimeter-range 3-D localization accuracy and developed various microwave components including a tunable picosecond pulse generator, high-speed sampler, and UWB antennas for such system. He has authored or coauthored over 30 journal/conference papers and presented at numerous international conferences. Dr. Zhang is a member of Phi Kappa Phi and Sigma Xi. He has served as a reviewer for many journals/transactions including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the 2007 URSI Student Fellowship and 2008 UT Chancellor’s Citation for Extraordinary Professional Promise. Michael J. Kuhn (S’06) was born in Wheat Ridge, O, in 1982. He received the B.S. degree in electrical engineering and B.S. degree in computer science from the Colorado School of Mines, Golden, in 2004, the M.S. degree in engineering science from The University of Tennessee, Knoxville, in 2008, and is currently working toward the Ph.D. degree in biomedical engineering at The University of Tennessee. Since 2005, he has been a Researcher with the Center for Musculoskeletal Research, The University of Tennessee. He has authored or coauthored and presented papers at numerous international conferences in the fields of biomedical engineering and also microwave and antenna engineering. His current research interests include medical applications of UWB, numerical techniques in microwave engineering, and orthopedic surgical navigation. Mr. Kuhn was the recipient of a Ph.D. Fellowship from the College of Engineering, The University of Tennessee, in 2005. Brandon C. Merkl (S’06–M’09) received the B.S. degree in electrical engineering and B.S. degree in computer science from the Colorado School of Mines, Golden, in 2004, and Ph.D. degree in biomedical engineering The University of Tennessee, Knoxsville, in 2008. From 2005 to 2008, he was a Researcher with the Center for Musculoskeletal Research, The University of Tennessee. He is a founding member of Sapientia Technologies Inc. He is currently a Senior Software Engineer for Medtronic Navigation, Louisville, CO, where his work with the Advanced Development Group consists of research and development of image processing algorithms, computer vision applications, and novel extensions to the medical imaging and information analysis domains.

He has authored or coauthored publications in the fields of image processing, forensic anthropology, biomedical engineering, clinical orthopedics, and signal processing. His research interests consist of such disparate topics as high-performance computing, machine learning, anatomical modeling/analysis, fuzzyneural systems, multivariate statistics, computer vision, information theory, and nonlinear optimization. Dr. Merkl is a member of the IEEE Computer Society, the IEEE Computational Intelligence Society, and the IEEE Engineering in Medicine and Biology Society. Aly E. Fathy (S’82–M’84–SM’92–F’04) received the B.S.E.E. degree, the B.S. degree in pure and applied mathematics, and the M.S.E.E. degree from Ain Shams University, Cairo, Egypt, in 1975, 1979, and 1980, respectively, and the Ph.D. degree from the Polytechnic Institute of New York, Brooklyn, in 1984. In February 1985, he joined the RCA Research Laboratory (currently the Sarnoff Corporation), Princeton, NJ, as a Member of the Technical Staff. In 2001, he became a Senior Member of the Technical Staff. With the Sarnoff Corporation, he was engaged in research and development of various enabling technologies such as high-T superconductors, low-temperature co-fired ceramic (LTCC), and reconfigurable holographic antennas. He was also an Adjunct Professor with the Cooper Union School of Engineering, New York, NY. In August 2003, he joined The University of Tennessee, Knoxville, as an Associate Professor. He has authored or coauthored numerous transaction and conference papers. He holds 11 U.S. patents. His current research interests include wireless reconfigurable antennas, see-through walls, UWB systems, and high-efficiency high-linearity combining of digital signals for base-station amplifiers. He has developed various microwave components/subsystems such as holographic reconfigurable antennas, radial combiners, direct broadcast antennas (DBSs), speed sensors, and LTCC packages for mixed-signal applications. Dr. Fathy is a member of Sigma Xi and Eta Kappa Nu. He is an active member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Technical Program Committee, the IEEE Antenna and Propagation Symposium, and the IEEE Radio and Wireless Steering Committee. He was the general chair of the 2008 IEEE Radio and Wireless Conference. He was the recipient of five Sarnoff Outstanding Achievement Awards (1988, 1994, 1995, 1997, and 1999). Mohamed R. Mahfouz (S’98–M’01–SM’06) received the B.S.B.M.E. and M.S.B.M.E. degrees from Cairo University, Cairo, Egypt, in 1987 and 1992, respectively, the M.S.E.E. degree from the University of Denver, Denver, CO, in 1997, and the Ph.D. degree from the Colorado School of Mines, Golden, in 2002. From 1998 to 2002, he was the Technical Director with the Rocky Mountain Musculoskeletal Research Laboratory, Denver, CO. In 2002, he became both Technical Director for the Center for Musculoskeletal Research and an Associate Professor with The University of Tennessee, Knoxville. He has authored many journal articles, conference papers, and book chapters. His current research interests include medical applications of UWB, biomedical instrumentation, medical imaging, surgical navigation, microelectromechanical systems (MEMS) bio-sensors, and 3-D bone and tissue reconstruction. Dr. Mahfouz was the recipient of numerous National Institutes of Health (NIH) and National Science Foundation (NSF) grants.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

21

Analysis and Performance of a Smart Antenna for 2.45-GHz Single-Anchor Indoor Positioning Alessandro Cidronali, Member, IEEE, Stefano Maddio, Gianni Giorgetti, and Gianfranco Manes, Senior Member, IEEE

Abstract—This paper presents the theoretical analysis and the experimental evaluation of a new switched beam antenna designed to operate at 2.45 GHz. The antenna enables direction of arrival estimation using six directional planar elements arranged to form a platonic solid geometry. It also supports polarization diversity, and it is suitable for single-anchor indoor positioning applications. We adopt the Cramér–Rao bound to study the estimation accuracy of the proposed antenna in absolute 2-D target positioning using received signal strength measurements. First, we describe the design principles for the radiators, we provide an extensive characterization of the switched antenna prototype, and we discuss positioning applications. We then report experimental data that support the results of the theoretical analysis and show consistency between theoretical expectation and the measurements. Finally, we discuss results from proof-of-concept operative indoor positioning example, showing an average localization error as low as 1.7 m.

Fig. 1. Application scenario for the proposed SA.

Index Terms—Direction of arrival (DoA) estimation, smart antenna (SA), wireless localization.

I. INTRODUCTION

HE SMART antenna (SA) is an emerging technology that is effective in improving the performance of wireless systems. The ability to reconfigure some of their parameters such as the radiation pattern and the polarization have a positive impact in terms of reliability and network capacity [1]. There is a large consensus that SAs could be considered as enabler components in implementing new mobile and context-aware applications, and specific commercial applications of SAs are just introduced into the market. Context-aware applications are expected to sense the user’s position to implement services that facilitate the access to nearby resources, deliver location sensitive information, and enforce proximity based security policies. Among the emerging context-aware applications, those designed to work indoor face an increasing interest; they are challenged by multipath propagation, and interferences are more likely to occur due to the large number of devices sharing a confined space [2].

T

Manuscript received May 11, 2009; revised September 26, 2009. First published November 17, 2009; current version published January 13, 2010. A. Cidronali, S. Maddio, and G. Manes are with the Department of Electronics and Telecommunications Engineering, University of Florence, I-50139 Florence, Italy (e-mail: [email protected]; [email protected]; [email protected]). G. Giorgetti is with theDepartment of Computer Science Engineering, Arizona State University, Tempe, AZ 85287 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2035947

The above-described scenario has motivated our work, and this paper presents a switched beam antenna designed to address the challenges of indoor positioning and suitable for direction-of-arrival (DoA) based position estimation [3]. The antenna is intended to augment a wireless device operating as coordinator or base station (BS), and its design has been optimized for installation on the ceiling of any large indoor space (see Fig. 1). The proposed solution differs from other DoA approaches that estimate the azimuthal angle of a target located on the same plane of the antenna. In this scenario, angle estimates from two reference antenna systems are necessary to locate a target (triangulation) [4]. Thanks to the 3-D arrangement of the antenna elements, our system can locate a target by estimating both the and elevation DoA of the incoming messages. azimuth are suffiFixed the antenna position, the two angles cient to compute the absolute position of a target on the plane underneath the antenna (see Fig. 1). The approach thus implements a single-anchor positioning system that requires zero configuration; therefore, it is suitable for low-cost deployments, ad-hoc applications (e.g., emergency response), and other scenarios where installing a network of anchors is not desirable or feasible. Additionally, by switching between different antenna elements, the BS can establish preferred communication with groups of devices located in the area illuminated by each antenna, thus reducing the probability of interferences in dense networks. In previous work [3], we provided a preliminary description of the antenna prototype and its application to indoor positioning in [5]. This paper expands the description of the design principles and uses the Cramér–Rao bound (CRB) analysis to assess the performance of the proposed antenna. The CRB analysis, which sets a lower bound for the covariance matrix

0018-9480/$26.00 © 2009 IEEE

22

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

of any unbiased estimator [6], is used in the design phase to relate the uncertainty of the DoA estimation to the antenna design parameters. The CRB approach permits a comprehensive description of the antenna capability when involved in single-anchor DoA estimation systems, by evidencing the relation between the DoA estimation and the expected accuracy. A further outcome of the theoretical treatment permits to estimate quantitatively the improvement of the DoA estimation by using circular polarization (CP) over the linear one. In addition to our previous works, this paper reports the 3-D characterization in term of the radiation patterns for each antenna state, which was then used for the numerical calculation of the CRB. The above described analysis differs from other works that have used the CRB to improve the design of antennas for DoA estimation. In fact, while previous works have addressed mostly 1-D arrays with omnidirectional elements (e.g., [7]), we use the CRB to characterize a 3-D antenna geometry. To the best of our knowledge, there are not experimental works for array of directive elements assembled on a 3-D shape, which is the case of the present paper, while an example of DoA estimation using 2-D array can be found in [4]. This paper is organized as follows. Section II describes the design principles for the proposed SA. Section III derives the CRB to investigate the expected performance of this antenna in DoA estimation applications; the CRB is expressed by a func. In Section IV, tion of the azimuthal and elevation angle we described the implementation details for a prototype of the proposed SA optimized to work at 2.45 GHz. The results of extensive measurements in the anechoic chamber are described in Section V. Finally, Section VI discusses the use of the SA in indoor positioning applications; the result of preliminary localization results in an indoor environment are reported to validate the use of the antenna in location aware systems. II. SWITCHED BEAM ARRAY ANTENNA PRINCIPLE The wireless positioning principles can be classified as: DoA, received-signal strength (RSS) and time-of-arrival (ToA); their principles and their derivated techniques are covered extensively in the literature [8], [9]. In [8] and [10], the authors conclude that a general consensus on a specific wireless positioning technology is not possible so far, and rather different existing communications technologies and location information from different sources should be considered to increase the scalability and availability of location estimation services. While the ToAbased positioning systems can provide in principle quite accurate performance, they require complex radio interfaces and in particular rely on accurate syncronization between the several BSs involved in the lateration technique. The ToA systems, as well as the DoA systems, are affected by a non-line-of-sight (non-LOS) path issue, which can be mitigated by the RSS-based systems, but at the expenses of collecting pre-measured RSS contours centered at one or more BSs. The DoA-based systems require neither coherent signaling among BSs, nor extensive signal strength measurements; they rely on the triangulation technique and typically they lead to lower accuracy than ToA-based systems. Conventional DoA and ToA systems share the need for either multiple BSs or distributed array over large surface; the idea behind this work consists of exploiting SAs

Fig. 2. Pictorial representation of the (left) switched beam antenna and (right) schematic diagram of the elements’ feeding structure.

features to avoid both the need for multiple BSs or distributed array. Thus, the design principles for the antenna respond to the need of implementing a steerable beam capable of selectively illuminating the space underneath the BS and to collect information suitable for target localization. While any single beam should exhibit a directional pattern to reduce the interferences and enable spatial reusability, the cumulative radiation pattern should be almost isotropic to ensure reliable communication with users at arbitrary locations. The antenna should be able to operate in CP to mitigate indoor multipath propagation; additionally, the signals received by the various antenna elements need to be angularly uncorrelated to enable target localization based on DoA estimation. To meet the design requirements, we have identified the class of platonic solids as a suitable geometry for the antenna implementation; in particular, the proposed solution is an antenna shaped as a semidodecahedron (see Fig. 2). Since the 3-D arrangement of the six pentagonal elements covers almost half of the entire solid steradian, this antenna geometry is suitable for a BS installed on the ceiling of a room and required to support directional communication with devices underneath it with line-of-sight (LOS) paths. A desirable feature of the geometry chosen is that platonic solids have identical faces and identical dihedral angles; therefore, the six antenna elements have identical characteristics and can be manufactured with limited costs. The system can be implemented using microstrip patch antennas printed over a plastic substrate shaped in a pentagonal geometry. In this case, an appropriate shape for the radiator in term of filling factor is a disc. A canonical disc patch antenna working in the fundamental mode exhibits a monolobe radiation pattern characterized by an half power angle of about 50 –60 . Being the dihedral angle of the dodecahedron 116 , the use of this kind of patch meets the requirements of having a cumulative radiation with an approximately uniform coverage. The antenna polarization and pointing diversity mechanism is enabled by selecting one out of the six radiative elements, each of them capable of operate in either left-hand or right-hand circular polarization (LH/RHCP). The antenna signal distribution network, which is represented schematically in Fig. 2 (right), is based on a two stages switch network controlled by four bits. The first stage is composed of a single-pole six-through (SP6T)

CIDRONALI et al.: ANALYSIS AND PERFORMANCE OF SA FOR 2.45-GHz SINGLE-ANCHOR INDOOR POSITIONING

switch that selects the active patch. The second stage is implemented by a single-pole double-throw (SPDT) switch connected between the two feeds points and the antenna element input; the selection of the proper pin determines which polarization is enforced on the antenna element.

23

that relates the i.e., the joint probability function observation to the unknown parameters [12]. parameters have to be estimated, the In the case where information is measured by the Fisher information matrix (FIM) elements with

(3)

III. TARGET POSITIONING One of the most prominent features of the proposed antenna is its ability to support target positioning using DoA informabe the coordinate vector of target tion. Let node in a 3-D reference system centered on the antenna position. The DoA estimation problem consists in computing the diof the messages transmitted from the target rection node to the antenna. The estimation is based on the RSS measured on each antenna element. When the power is measured in can be modeled as a dBm, the RSS of each antenna element value with the following representation:

When is a multivariate normal distribution, as in the case of the RSS measured on the antenna elements, the FIM and has a special form. Let let be the covariance matrix; the FIM elements for are

(4) Additionally, if

is a constant, then we have

(1) is the gain of the antenna element at the unknown anwhere , is the signal power incident at the antenna array, gles and is a random component that models the uncertainty introduced by the radio channel and the receiver noise. We will assume that is a random variable with Gaussian . Under this hypothesis, the RSS values distribution measured on the antenna elements form a random , where vector with Gaussian distribution is the mean of . The mean values are a func. Being the interest of tion of the unknown parameters the localization algorithm on the angular variables and , the dependence on can be removed considering the differences in received power between any two faces and

(5) where

denotes the transpose of a vector and (6)

After having computed the FIM, the lower limit of the covariance of any unbiased estimator can be evaluated. The CRB states that minimum value for an estimator is bounded by the inverse of the FIM (7) where

is the function that defines a generic estimator, i.e., .

(2) Considering at least two pairs of reading can be computed. direction

, the unknown

A. Information Theory Elements The Fisher information theory is the basic analytical instrument to measure the performance of an estimator that use noisy measurements [11]. Using this approach, we can derive a lower bound for the uncertainty associated to the estimation of the angles using the values . Consider a vector of observable random variable with a joint probability function parameterized by a vector . Using the Fisher information, it is possible to measure the amount of informacarries about the unknown tion that the random variable parameter vector . The Fisher information is computed in a a-prioristic way and only depends on the measurement model,

B. Direction of Arrival Estimation Uncertainty Analysis Positioning applications based on the proposed antenna will estimate the unknown parameters using the RSS differences between different antenna elements . Let be the set containing an element for each pair of faces and that can collect measurements. In some applications, the RSS might fall below the radio sensitivity; therefore, some faces might be unable to collect measurements and contribute information to the estimation process. Combining (2) with (5), we obtain an expression for the FIM as a function of

(8)

24

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

where . Inverting this matrix, from (7) we can derive the two functions that bounds the variance on the angle estimates

(9) (10) where is the determinant of the right are the diagonal elements the inverse of the FIM. C. Effect of

. Note that the terms on and of

on CRB

Equations (9) and (10) reveals that the uncertainty of the DoA estimates depends on the RSS variability, which is modeled by , and the direction of the incoming packets. This latter by means of the antenna elements gain partial derivatives, which, in turn, depend on the geometrical arrangement of the antenna elements and their radiation patterns. value consists in the An effective strategy to reduce the adoption of antennas operating in CP. Previous work has shown that CP mitigates multipath effects thanks to its ability to reject the cross CP components resulting from reflection of the RF signal [13], [14]. The benefit of CP has been also exploited in positioning applications [15]. In DoA-based localization, we can evaluate the beneficial effects of using CP antennas by comparing the RSS distributions measured over an indoor radio link implemented with different antenna solutions. Fig. 3 shows the RSS distribution for two set of measurements collected by receiving messages from a target node equipped with a monopole antenna. The BS was equipped with a linearly polarized (LP) monopole antenna in the first set of measurements, and with a CP patch antenna in the second set. The standard deviation using the LP antenna is dBm, which is about four time larger than the dBm). The above value measured using CP ( data implies that a CRB reduction of 16 times is expected employing a CP antenna with respect to an LP antenna. Fig. 3 also evidences a greater mean value for the link adopting CP due to greater gain of the patch antenna. This is also a desirable feature in DoA estimation because it reduces the losses of available of information that could result from incorrectly received packets. D. Analysis of the CRB for a Switched Beam Antenna The analysis in Section III-C can be used to compute the minimum uncertainty on the DoA estimates using an antenna with six a faces arranged in the proposed configuration. In this phase, we model the gain of each patch using the following parametric function:

(11)

Fig. 3. Experimental RSS variance for indoor experiments with different BS antenna polarization: linear (LP) and circular (CP); the target nodes are equipped with the same LP antenna. Experiment data: 10 packet exchanged, 4.5-m link distance, IEEE 802.15.4 transceivers.

Fig. 4. Bull’s eye representation of the CRB computed in decibels.

where and represent the direction of maximum radiation for the th antenna element. Using this model, we can derive analytically the CRB as a function of the angles and . The CRB with respect to and are plotted in Figs. 4 and 5 with ranging from 0 to 360 , and . The plots use the bull’s eye format diagram, a representation that is similar to a polar diagram; the only difference is that the distance from the center measures values of the angle between 0 and 180 . has two maxima in correThe plots in Fig. 5 shows that spondence of angles . When the target is located perpendicularly under or above the antenna, the measurements do not contain sufficient information to estimate the azimuthal angle . From a practical point of view, this uncertainty does not sensibly affect the error of a positioning algorithm. For example, in the proposed application scenario depicted in Fig. 1, , is knowing that the target is underneath the antenna, i.e., sufficient to determine its absolute position. The plots also shows the presence of minima for angular . The minima are a consequence of the arvalues rangement of the antenna elements and the gradients of the combination of their radiation patterns described by (11).

CIDRONALI et al.: ANALYSIS AND PERFORMANCE OF SA FOR 2.45-GHz SINGLE-ANCHOR INDOOR POSITIONING

25

Fig. 7. Elliptical slit disc antenna on pentagonal ground prototype (rear view, left), quotes are in millimeter (right); substrate: FR4, 1.6-mm thickness, 17-m copper thickness.

Fig. 5. Bull’s eye representation of the CRB computed in decibels.

Fig. 8. Measured antenna element matching, in the two CP states between 2.3–2.6 GHz. RHCP: continuous line. LHCP: dashed line. The data are measured at the SPDT input port.

Fig. 6. Photograph of the semidodecahedron switched beam antenna prototype under test.

are inversely proportional to the derivative of the gain patterns in , respectively, and thus they peak in the direction where the derivatives have a zero. This analytical result is interesting from both a theoretical and practical point of view because it assesses that the discrimination of the target position is enhanced by the antenna elements exhibiting a radiation pattern zero in that particular direction. This result suggests that DoA estimation can be improved by having radiation patterns with high directivity and possibly sharp nulls; this conclusion cannot be derived by the previous general analytical treatment presented in [7]. IV. SWITCHED BEAM ARRAY ANTENNA PROTOTYPE The design principles discussed in Section II were exploited to develop a prototype suitable for communication at 2.45 GHz and compatible with the bandwidth requirements of the IEEE 802.15.4 standard. A photograph of the prototype antenna is shown in Fig. 6. Sections IV-A–C describe the implementation choices made to build the prototype. A. Array Element As mentioned in Section III-D, CP antennas have proven useful in reducing multipath propagation in reflective environments [13], [14], and in improving indoor localization [15]. In

our case, the CP antenna element is designed by perturbating the fundamental radiation mode at the center of the disk to achieve two degenerated modes. The resultant quasi-symmetrical shape can sustain two geometrically orthogonal LP and frequency overlapping modes. By an appropriate placement of the probe in an intermediate position between the axes of the two detuned modes, the generated LP fields combine in quadrature generating a CP far-field. A prototype was designed mm) with a concentric on an FR4 substrate ( ellipse serving as a perturbation shape. We traded off dimension and performance to be the most compact possible. The ground plane of each antenna element was taken only slightly larger than the patch resonator dimension, although its limited extension leads to unavoidable coupling among the antennas. Fig. 7 (right) shows the final design, which was optimized by numerical analysis conducted with a commercial full-wave computer-aided design (CAD). The patch central symmetry allows two possible feed positions, and, in turn, the excitation of RHCP or LHCP. B. Polarization Diversity Control The two antenna pins used to excite the RHCP or LHCP are selected by a nonreflective SPDT. Since the whole CP mechanism is ruled by a degeneration condition, it is mandatory to preserve the modal current density. This means that alternatively the terminating impedance should be either 50 or an open circuit. Both the physical dimensions and the parasitics of a SPDT switch cannot provide the exact open circuit for the off-state pin; therefore, we compensated for the nonideality of the device by tuning the electrical length of the transmission lines between the SPDT switch and the two antenna feeders.

26

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 9. Radiation patterns corresponding to the six antenna states in LHCP measured for 

Fig. 10. Gain patterns of element #1 in LHCP and RHCP, and cross-polarizaand  ranging from 175 to 175 . tion measured for 

=0

0

The insertion of the SPDT introduces losses that decrease the overall antenna gain. To minimize such losses, we adopted the Skyworks AS179 reflective switch that exhibits a nominal 0.4-dB insertion loss and more than 20 dB of isolation. The printed circuit board (PCB) with the CP diversity selector circuit is assembled on the rear face of the antenna, as shown in Fig. 7(left). The same figure also shows the transmission lines of proper length, the SPDT switch, and the miniaturized coaxial connector used to interface the dual CP antenna to the antenna selector. Fig. 8 shows the input impedance of the antenna prototype in the two polarization states. The two cuspids around the center frequency, which are effects of the mode degeneration, reflects the generation of the CP. The experimental characterization of the CP quality exhibited an axial ratio less than 5 dB with a phase error less than 5 in a 60-MHz bandwidth about 2.45 MHz.

= 90

and  ranging from

0175

to 175 .

Fig. 11. Transmission coefficients for co-polarized and cross-polarized links for antenna element #1 for  and  .

=0

=0

C. Beam Diversity Control The beam diversity is actuated by feeding one out of the six antenna elements, as described in Fig. 2(right). Our antenna prototype adopts the HMC252QS24 GaAs SP6T nonreflective switch with a nominal insertion loss of 1.5 dB and an isolation in excess of than 30 dB at 2.45 GHz. The adoption of this kind of switch minimizes the interaction between elements. In fact, the matched loads connected to the idle antennas permit to dissipate the coupled signal between elements rather than reirradiate it back, thus resulting in a minimal corruption of the pattern when compared to the isolated element case. V. CHARACTERIZATION MEASUREMENT OF THE SWITCHED SIX-BEAM ANTENNA PROTOTYPE The prototype in Fig. 6 was subjected to extensive measureadopted in (1), which ments to derive the gain patterns

CIDRONALI et al.: ANALYSIS AND PERFORMANCE OF SA FOR 2.45-GHz SINGLE-ANCHOR INDOOR POSITIONING

Fig. 12. Radiation patterns corresponding to the antenna, 4 solid angle.



27

G (; ) operating in the six states, for LHCP at 2.45 GHz and measured for  and  covering the entire

are the basis for the CRB analysis and DoA estimation. We measured the radiation patterns of the prototype antenna inserted in a laboratory anechoic chamber. The antenna rotor, measurement system, and antenna mode diversity control were synchronized by a proper equipment control software. The data collected at the center frequency of 2.45 GHz are organized against a referis defined by the axis of ence system in which the angle antenna #1, while is the azimuthal angle with its zero at the junction of antenna elements #2 and #5 (see Fig. 1 and 6). is reported in Fig. 9; The first set of data acquired for note that this elevation angle is not the one of maximum radiation. The graphs show that the combined radiation spans the enangle; the single beams irradiates in five equally spaced tire sectors, with similar maximum gains and pattern shapes. In particular, the 3-dB angle spans about 120 as expected from the typical values achieved for single patch antennas. The patterns’ slight asymmetries are due to corresponding geometry asymmetries arising from the arrangement of the antenna elements, the fabrication uncertainty, and the interaction with the control electronics surrounding the prototype during the measurements. The data were acquired for ranging from 175 to 175 due to limitation of the antenna rotor control system. When the control units selects the #1 antenna element, the pattern resembles that of an omnidirectional antenna, and for this elevation angle the radiation pattern has a comparable lower and the same antenna magnitude. The gain patterns for element #1 activated in both the polarizations are reported in Fig. 10. From the graphs is observed a broad maximum for for both the LHCP and RHCP, which is the direction

pointing toward the floor in the operative conditions. This graph also reports the gain measured in cross-polarization condition. are 1.46 and 1.87 dB for the RHCP and The gain at LHCP, respectively. A further set of measurements was used to describe the ability of the antenna to reject multipath interferences. The test measured the transmission parameters for a link between the switched beam antenna and a second device equipped with an antenna identical to one of the six patches. The devices were arranged in the anechoic chamber with the antenna element #1 facing the test antenna, which operates in LHCP. The gain was measured when face #1 operated in either LHCP and RHCP, determining a co-polarized and cross-polarized link. The result of the comparison is reported in Fig. 11 for the frequency range from 2.3 to 2.6 GHz. The graphs, which have been generated by removing the link losses, show a deep minimum equal to 35 dB at 2.45 GHz. In operative conditions, this figure is important because it reflects the capability of the antenna to reject reflected signal components with inverted polarization [16]. Additional measurements in the anechoic were taken to reconstruct the 3-D pattern necessary for DoA estimation. The gain of each antenna element was measured along the two main orthogonal planes. Using this limited set of measurements to deis a challenging termine the gain for any pair of angles task that requires careful interpolation of the missing points. The far-field pattern in fact has to be considered a 2-D function of the two directional variables and only. A canonical 2-D spline interpolation operating on the principal cuts (the ones

28

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 13. Calculated CRB by using the reconstructed 3-D antenna radiation pattern in a bull’s eye representation.

containing the direction of maximum radiation) was adopted to determine the entire surface, which was enough because of the regular pattern behavior of the antenna element. Taken these limitations into account, using an approach similar to [17], we have calculated the 3-D gain patterns for the antenna prototype operating in any of the six states, and covering the entire solid angle. The for a pair of angles gains for the LHCP are shown in Fig. 12 using the bull’s eye representation previously described. When element #1 is active, the , which correspond to maximum of radiation occurs for the direction pointing underneath the antenna (see Fig. 1). In the other five states, the maxima are distributed in an annular , and with an azimuthal separation of region at about . The maximum positions are slightly irregular due about to the different gain of the antenna elements, the tolerance of the fabrication process, and to the approximations in reconstruction method. A similar characterization was obtained for both the RHCP. On the basis of the reconstructed 3-D radiation pattern , we calculated the CRB in the two spherical coordinates. The results are reported in Figs. 13 and 14 for and , respectively. Different from the CRB computed with ideal gains (see Figs. 4 and 5), the plots computed using the measured data exhibit a less regular behavior; nevertheless, the regions where the antenna perform better are still identified, and in particular, distributed in an annular region at an elevation angle approximately equal to 116.6 . that origIn Fig. 13, note the pentagonal pattern of inates from the geometrical configuration of the antenna elements. The same figure also shows an almost uniform minimum uncertainty when estimating the component in directions with constant angle and variable . The same uniform behavior is not noticeable in Fig. 14, where considering a similar locus defined above (e.g., varying for constant circa 45 ) we observe exhibits maxima and minima. Again, for a region where , the uncertainty reaches a maximum, especially for . A positioning system will not be able to correctly estimate the angle when the target is underneath the antenna.

Fig. 14. Calculated CRB using the reconstructed 3-D antenna radiation patterns in a bull’s eye representation.

Fig. 15. Experimental laboratory setup adopted to evaluate the accuracy and to compare the calculated and experimental uncertainties.

Section VI will show experimental evidences of these observations. VI. INDOOR TARGET LOCALIZATION PERFORMANCE One of the key element of the proposed solution is its ability of the messages transmitted by a to compute the DoA target node. In this section, we discuss two experiments. The first aims at establishing a qualitative link between the calculated uncertainty of the DoA estimation and the corresponding experimental data. The second presents the results of the localization performance in a proof-of-concept operative conditions. The two experiments adopt the same DoA estimation algorithm. According to the Friis’ equation, the received power deof pends on the target’s distance and antenna gains define each antenna element , where the pair of angles the DoA of the target’s messages (see Fig. 1). Given the small antenna dimensions, all the antenna elements are at about the same distance from the target; therefore, the differences in received power (in dBm) between two elements and will only depend on their gains: , as anticipated in Section III-B. Once the RSS measurements have been collected, the DoA of the target’s messages can be estimated via array signal processing algorithms [18]. Our implementation uses the Multiple Signal Classification (MUSIC) ap-

CIDRONALI et al.: ANALYSIS AND PERFORMANCE OF SA FOR 2.45-GHz SINGLE-ANCHOR INDOOR POSITIONING

Fig. 16. Spectrum of the MUSIC algorithm calculated for five positions. (a)   . The maxima represent the estimated DoA. (e) 

= 270 = 15

proach, which applies spectral decomposition to the covariance matrix of the power readings on each face to produce an estimated DoA. Notably, this approach improves over RSS ranging techniques that requires knowledge of the propagation model parameters and need measurements from three anchor nodes. This approach also extends previous solutions exploiting beacons with directional antennas located on the target’s plane [19], [20], which requires two anchors for absolute 2-D localization.

=0

. (b) 

=0

;  = 15

. (c) 

29

= 90 ;  = 15

. (d) 

= 180 ;  = 15

.

TABLE I DoA ESTIMATIONS STATISTIC DATA

A. Accuracy and Comparison Between Calculated and Experimental Uncertainties by Laboratory Setup The first experiment is based on a laboratory setup consisting of a target antenna, the SA introduced hereinabove, and a twoport network analyzer. The network analyzer is connected to the two antennas to acquires the calibrated transmission coefficient at their connectors. Fig. 15 shows a photograph of the laboratory setup. The measured received power is normalized with respect to the transmitted power, thus resulting in measurements consistent with the model in (2). The target antenna was placed at five different positions, one in the axial position with respect to the , while the others were uniformly distributed with SA . The distance between the two antenna was a constant kept constant and equal to 2.5 m. In the above described setup, neither the effect of multipath, nor the possible interferences due to the surrounding equipment, although certainly present, were modeled and taken into account. The results of our measurements are reported in Fig. 16. The figure shown the spectrum of the MUSIC algorithm corresponding to each of the five positions considered. The graphs reveal that the system is able to estimate the DoA with a good accuracy for the angle , while it shows an almost constant bias for the . We believe that this bias could be reduced with a procedure of calibration by known positions, but this investigation is at presently out of the scope of this work. Differently from what had been expected, the error is not constant varying , in , which is certainly due to particular, it increases for some reflection or intereference due to the setup, making the DoA estimation accuracy asymmetric. This suggest that a better and uniform estimation of the angle is to be expected if the SA is far from obstacles and in presence of a quasi-symmetric environment. The experiments were repeated ten times for statistical purposes. Table I reports the average estimations in terms of , along with the standard deviations of the the angles

Fig. 17. Standard deviations calculated from CRB for 

= 15

.

error distribution for each position. We can observe that the standard deviations for the estimation, , is smaller than the corresponding , and the latter shows a much more variable behavior (see Fig. 17). When comparing these results with the theoretical values reported in Figs. 13 and 14, it is necessary to dBm, point out that the calculated CRBs assume a while during the measurements, we observed different values corresponding to different positions, as reported in the last column of Table I. B. Target Localization Example The next example refers to an application scenarios with the antenna installed on the ceiling of a room, the pair of angles uniquely identify the 2-D position of a target moving on the floor underneath the antenna, having defined the height of the antenna (see Fig. 1). We have evaluated the localization results in a proof-of-concept application implemented in a large classroom with rows of desks and chairs [5]. In this case, the absolute target positioning using the single anchor node is enabled

30

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

1.7 m; hence, making the system suitable for fine-grained indoor localization systems. ACKNOWLEDGMENT The authors want to thank S. Maurri, University of Florence, Florence, Italy, for his assistance during the prototyping. REFERENCES Fig. 18. Indoor positioning error versus planar coordinate of the room [5].

by implementing a protocol where the user’s device exchanges messages with the SA; this function was implemented by using by the CC2420 transceivers at both the target antenna and SA with proper firmware capable of managing the communication and the antenna elements switching. The RSS traces were collected on a 6 4 grid measuring 7.2 m 8 m using a target node equipped with an antenna similar to one of the six antenna elhave been estimated, ements. After the pair of angles the position of the user on the plane underneath the antenna can be computed by applying trigonometric functions. Fig. 18 plots the error between the estimated and expected positions. The average error in the positioning of the target over the entire plane was estimated in 1.69 m.

VII. CONCLUSION This paper introduced a new SA based on the concept of switched beam and CP diversity capable of operating with IEEE 802.1x.y wireless devices in the 2.45-GHz band. We have described in detail the design approach that was aimed at developing an antenna suitable for wireless positioning systems based on DoA estimation. The minimum variance on the DoA estimates has been computed using the CRB, which confirmed the effectiveness of the antenna concept, and suggested that DoA estimation can be improved by having radiation patterns with high directivity and possibly sharp nulls. A prototype of the SA was fabricated in FR4 and COTS semiconductor switches and extensively characterized. The CRB computed using the measured 3-D patterns has been compared with the one computed using the simulated patterns and has proved the consistency of the design. Finally, two indoor measurement setups were shown and discussed. The results from the first experiments show that the SA exhibits a good accuracy and precision for the component of the DoA, while the estimation accuracy degrades for the component. This results is consistent with the theoretical outcomes drawn in the paper, and it reveals that a better and uniform estimation of the DoA is to be expected if the SA is far from obstacles and in the presence of a quasi-symmetric environment. The second experiment respect this latter condition, and has shown satisfactory localization results in an operative indoor environment. By using an array signal processing algorithm, we have achieved an average localization error as low as

[1] D. Piazza, N. Kirsch, A. Forenza, R. Heath, and K. Dandekar, “Design and evaluation of a reconfigurable antenna array for MIMO systems,” IEEE Trans. Antennas Propag., vol. 56, no. 3, pp. 869–881, Mar. 2008. [2] Y. Zhang, A. Brown, W. Malik, and D. Edwards, “High resolution 3-D angle of arrival determination for indoor UWB multipath propagation,” IEEE Trans. Wireless Commun., vol. 7, no. 8, pp. 3047–3055, Aug. 2008. [3] A. Cidronali, S. Maddio, G. Giorgetti, I. Magrini, S. K. S. Gupta, and G. Manes, “A 2.45 GHz smart antenna for location-aware single-anchor indoor applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009, pp. 1553–1556. [4] T. Xia, Y. Zheng, Q. Wan, and X. Wang, “Decoupled estimation of 2-D angles of arrival using two parallel uniform linear arrays,” IEEE Trans. Antennas Propag., vol. 55, no. 9, pp. 2627–2632, Sep. 2007. [5] G. Giorgetti, A. Cidronali, S. Gupta, and G. Manes, “Single-anchor indoor localization using a switched-beam antenna,” IEEE Commun. Lett., vol. 13, no. 1, p. 1, Jan. 2009. [6] P. Stoica and N. Arye, “Music, maximum likelihood, and Cramer–Rao bound,” IEEE Trans. Acoust., Speech, Signal Process., vol. 37, no. 5, pp. 720–741, May 1989. [7] A. Gershman and J. Bohme, “A note on most favorable array geometries for DOA estimation and array interpolation,” IEEE Signal Process. Lett., vol. 4, no. 8, pp. 232–235, Aug. 1997. [8] Y. Gu, A. Lo, and I. Niemegeers, “A survey of indoor positioning systems for wireless personal networks,” IEEE Commun. Surveys, Tutorials, vol. 11, pp. 13–32, 2009. [9] M. Vossiek, L. Wiebking, P. Gulden, J. Wieghardt, C. Hoffmann, P. Heide, S. Technol, and G. Munich, “Wireless local positioning,” IEEE Microw. Mag., vol. 4, no. 4, pp. 77–86, 2003. [10] H. Liu, H. Darabi, P. Banerjee, and J. Liu, “Survey of wireless indoor positioning techniques and systems,” IEEE Trans. Syst., Man. Cybern. C, Appl. Rev., vol. 37, no. 6, p. 1067, Nov. 2007. [11] W. Ballance and A. Jaffer, “The explicit analytic Cramer–Rao bound on angle estimation,” in 22nd Asilomar Signals, Syst., Comput. Conf., 1988, vol. 1, pp. 345–351. [12] H. V. Poor, An Introduction to Signal Detection and Estimation. Berlin, Germany: Springer, 1994. [13] T. Manabe, Y. Miura, and T. Ihara, “Effects of antenna directivity and polarization on indoor multipath propagation characteristics at 60 GHz,” IEEE J. Sel. Areas Commun., vol. 14, no. 3, pp. 441–448, Apr. 1996. [14] F. Yildirim, A. Sadri, and H. Liu, “Polarization effects for indoor wireless communications at 60 GHz,” IEEE Commun. Lett., vol. 12, no. 9, pp. 660–662, Sep. 2008. [15] R. Szumny, K. Kurek, and J. Modelski, “Attenuation of multipath components using directional antennas and circular polarization for indoor wireless positioning systems,” in Eur. Microw. Conf., Oct. 2007, pp. 1680–1683. [16] A. Kajiwara, T. Cente, and T. JDA, “Line of sight indoor radio communication using circular polarized waves,” IEEE Trans. Veh. Technol., vol. 44, no. 3, pp. 487–493, Aug. 1995. [17] T. Vasiliadis, A. Dimitriou, and G. Sergiadis, “A novel technique for the approximation of 3-D antenna radiation patterns,” IEEE Trans. Antennas Propag., vol. 53, no. 7, pp. 2212–2219, Jul. 2005. [18] H. Krim, M. Viberg, and C. MIT, “Two decades of array signal processing research: The parametric approach,” IEEE Signal Process. Mag., vol. 13, no. 4, pp. 67–94, Jul. 1996. [19] J. Ash and L. Potter, “Sensor network localization via received signal strength measurements with directional antennas,” in Proc. Allerton Commun., Control, Comput. Conf., 2004, pp. 1861–1870. [20] D. Niculescu and B. Nath, “VOR base stations for indoor 802.11 positioning,” in Proc. 10th Annu. Int. Mobile Comput. Network. Conf., New York, NY, 2004, pp. 58–69, ACM.

CIDRONALI et al.: ANALYSIS AND PERFORMANCE OF SA FOR 2.45-GHz SINGLE-ANCHOR INDOOR POSITIONING

Alessandro Cidronali (M’89) received the Laurea and Ph.D. degrees in electronics engineering from the University of Florence, Florence, Italy, in 1992 and 1998, respectively. In 1993, he joined the Department of Electronics Engineering, University of Florence, where he became an Assistant Professor in 1999. He teaches courses on electron devices and integrated microwave circuits. From 1999 to 2003, he was a Visiting Researcher with the Motorola Physics Science Research Laboratory. From 2002 to 2005, he was a Guest Researcher with the Non-Linear Device Characterization Group, Electromagnetic Division, National Institute of Standards and Technology (NIST). Under the frame of the IST-EU FP6 Network TARGET (IST-1-507893-NOE), he was the Workpackage Leader for the transmitters modeling/architectures for wireless broadband access work packages. His research includes the study of analysis and synthesis methods for nonlinear microwave circuits, design of broadband monolithic microwave integrated circuits (MMICs), and the development of computer-aided design (CAD) and numerical modeling for microwave devices and circuits. Dr. Cidronali was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES from 2004 to 2006. He was recipient of the Best Paper Award presented at the 61st ARFTG Conference.

Stefano Maddio was born in Florence, Italy, on September 3, 1978. He received the Laurea degree in electronic engineering (cum laude) and Ph.D. degree in RF, microwave, and electromagnetics from the University of Florence, Florence, Itay, in 2005 and 2009, respectively. His doctoral thesis was entitled “Circularly Polarized Smart Antennas for Wireless Sensor Network Devices.” He then joined the staff of the Microelectronic Laboratory, Department of Electronics and Telecommunications, University of Florence. His research activities cover the analysis and design of radiative system for microelectronics, especially in the field of SA systems for wireless applications with a particular emphasis on the challenging issues of wireless localization.

31

Gianni Giorgetti received the Laurea degree in computer science from the University of Florence, Florence, Italy, in 2003, and the Ph.D. degree in electrical engineering under a joint program between the University of Florence and Arizona State University, Tempe, in 2007 and 2009, respectively. His research interests include low-power sensor networks, remote monitoring, mobile computing, RF-based collaborative positioning, and angle of arrival (AOA) estimation using directional antennas.

Gianfranco Manes (M’01–SM’02) is currently a Full Professor with the Electronics and Telecommunication Department, University of Florence, Florence, Italy. He is active in the field of microwave engineering and wireless technology, including wireless sensor networks. He is the founder and Head of the MIDRA Consortium, a joint venture between the University of Florence and Motorola SpA and the Head of the Research Centre for ICT for Food Quality and Safety, taking scientific responsibility for leading both national and international research projects. He holds key positions in major European Union (EU) projects of the six FWs, namely, the Network of Excellence TARGET and the Integrated Project GoodFood. He has authored or coauthored over 150 paper published in books, society journals, and referenced international conferences. Prof. Manes has been a Technical Program Committee (TPC) member and session chairman since 2002 to 2005 for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). He is currently an IEEE MTT-S transactions reviewer, an European Microwave Week reviewer, and a TPC member of the IEEE Radio and Wireless Symposium.

32

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

High-Efficiency Single-Ended Class-E/F2 Power Amplifier With Finite DC Feed Inductor Fei You, Student Member, IEEE, Songbai He, Member, IEEE, Xiaohong Tang, Member, IEEE, and Xiangke Deng

Abstract—In this paper, a single-ended class-E/F2 amplifier with a finite dc feed (FDC) inductor is proposed. In comparison to the other types of class-E amplifiers, a second harmonic parallel resonator at the drain node and an FDC feed inductor are its distinguished characteristics. The optimum operations for theoretical 100% drain efficiency are analyzed and simulated to show the performance improvements of this configuration on power output capability, maximum frequency, and load resistance compared to some previously published results. Design equations and parameters are given in lookup tables using numerical results. An example amplifier is given as a quick design guide, and from the measured results it offers 2.36-W output power, 86.6% drain efficiency, and 19.7-dB gain at 61.44 MHz. Index Terms—Class-E amplifier, finite dc (FDC) feed inductor, high-efficiency power amplifier (PA), inverse class-F amplifier.

I. INTRODUCTION

H

IGH-EFFICIENCY power amplifiers (PAs) are the solutions to save the energy consumption and cost of transmitters. A switch-mode PA can play an important role for highefficiency amplification in some transmitter architectures, such as envelope, elimination, and restoration (EER) [1], linear amplification using nonlinear components (LINC) [2], and polar transmitters [3]. A class-E amplifier, a type of switch-mode PA, is of simple topology and high efficiency [4]. Some new class-E amplifier configurations were constantly studied in order to improve the amplifier performance on load resistance, maximal frequency , and power output capability . Among them, the harmonic tuning method can effectively improve the amplifier performance by adopting the similar resonance networks of a class-F or an inverse class-F amplifier [5], [6]. For example, in [7], the class-E/F family was defined and analyzed, and the push/pull topology was proposed to short the odd harmonic like an inverse class-F PA. This configuration can lower the maxas the authors concluded. imum drain voltage and improve In [8], the configurations of class-E/F and E/F were proposed

Manuscript received January 27, 2009; revised September 01, 2009. First published November 24, 2009; current version published January 13, 2010. This work was supported by the Hi-tech Research and Development Program of China under Grant 2007AA01Z283. The authors are with the School of Electronic Engineering, University of Electronic Science and Technology of China (UESTC), Chengdu, Sichuan 611731, China (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2035872

by inserting a series second or third harmonic resonator between the drain node and ground, respectively. The similar configuration can be found in [9], and the amplifier performance can be improved at the cost of slightly complicated circuits. Besides the harmonic tuning method, some class-E amplifier configurations, which are of some resonance networks tuned at nonharmonic frequencies, can also help to improve the amplifier performance. For example, a class-E amplifier with a finite dc (FDC) feed inductor, which includes an equivalent parallel resonator constituted of the FDC feed inductor and an external parallel drain capacitor tuned at nonharmonic frequency, can be used to improve amplifier performance [10]–[13]. In [14], the same thread was followed, and the nonharmonic resonance frequency was adopted in the series-parallel (SP) resonator at the drain node, and similar performance improvements were obtained and verified. By combining the two aforementioned methods, a singleended class-E/F amplifier with an FDC feed inductor is proposed and analyzed in this paper. For the notation of class-E/F , “/F” means the inverse class-F type resonator, and the subscript “2” means that high impedance is gained for the second harmonic at the drain node. This paper is organized as follows. Section II analyzes the basic theories of the proposed configuration and gives its performance by numerical results in comparison to other configurations. In Section III, an experimental example is given to show the design steps, and the measured results are given in comparison to the simulated results. Conclusions are given in Section IV. II. THEORETICAL ANALYSIS AND SIMULATIONS OF THE SINGLE-ENDED CLASS-E/F PA WITH FDC FEED INDUCTOR A. Circuit Analysis The circuit configuration of the proposed class-E/F ampliis the fier with an FDC feed inductor is shown in Fig. 1. FDC feed inductor, and is the shunt capacitor, which is the sum of the transistor’s output capacitance and the external cais an ideal dc block capacitor. and are pacitance. the parallel resonator tuned at the fundamental frequency , while and consist of a parallel resonator tuned at . is the excess inductor. Due to the parallel resonator network , under specified conditions, the harmonic components of the drain voltage and transistor current of the proposed configuration can be controlled like the inverse class-F amplifier based upon the second harmonic. The following circuit analysis is done based on two important boundary conditions, one is the zero voltage switching (ZVS)/ zero voltage derivate switching (ZVDS) condition of class-E

0018-9480/$26.00 © 2009 IEEE

YOU et al.: HIGH-EFFICIENCY SINGLE-ENDED CLASS-E/F PA WITH FDC FEED INDUCTOR

where voltage across

33

and , the current

. By differentiating the can be given by (6)

. According to Kirchhoff’s Current Law where . By substi(KCL), can be solved as tuting (3)–(6) into this relation, (7) Fig. 1. Circuit configuration of the proposed single-ended class-E/F amplifier with FDC feed inductor.

operations, and the other one is the current/voltage continuity during the ON/OFF state transition instant. Combining the two conditions with energy conservation relation, a series of equations can be conducted to describe the operation of the proposed class-E/F amplifier. is asIn the analysis, the loaded quality factor of sumed to be infinite, the output current is purely sinusoidal

where .

coefficients to be determined. According to the ZVS and ZVDS conditions, the drain must satisfy the following boundary conditions voltage during the OFF/ON state transition instant: (8) Due to the charging characteristics of capacitor , the initial voltage during the ON/OFF transition instant is zero, i.e.,

(1) and the node voltage

and and are the

(9) Combining (3), (4), and (7), the load network current during the OFF state can be obtained from the KCL relation as

can be expressed as (2)

where , , , , and . The duty ON ratio is , and it is assumed that the switch is OFF when and it is ON when . In the following analysis, the transistor is assumed to be an ideal switch, which is lossless and instantaneous. 1) Circuit Analysis During OFF State and the Conditions of Voltage Continuity: When the switch is OFF, the currents of each branch shown in Fig. 1 can be derived as follows. According to through is the characteristics of capacitors, the current (3) . where According to the characteristics of inductors, the current through is

(10) From (5) and (7), the current by

during the OFF state is given

(11) 2) Circuit Analysis During ON State and the Conditions . of Current Continuity: When the switch is ON, during the ON state can be The load network current obtained by summing up the branch current of and ,

(4) (12) where , is the dc supply voltage, and By integrating the voltage across inductor , the current can be obtained as

.

(13) through the inductor, According to the current continuity of the following boundary conditions are met: (5)

(14)

34

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

By integrating the voltage across , the current the ON state can also be figured out as

during

(15) and two other equations related to the current continuity of during the switch state transition instant are given by (16) Obviously, there are two additional boundary equations related to according to the KCL relation, which are given by (17) (18)

Fig. 2. Typical waveforms of the proposed single-ended class-E/F amplifier with the FDC feed inductor as a function of (drain voltage v , solid line; transistor current, dashed line. The arrow indicates the direction of increasing V, P : ,D : . W, ), V

=1

=1

=05

=05

3) Frequency-Domain Analysis and the Energy Conservation is Conditions: As mentioned before, the output current purely sinusoidal without a cosinusoidal component so the funmust be equal to damental part of the load network and satisfy both the amplitude and phase equality relations siis expressed in (A-1), multaneously. The sinusoidal part of and the cosinusoidal part of is expressed in (A-2). On the other hand, the dc supply current is determined by the dc component of , and it is given by

Fig. 3. Typical waveforms of the proposed single-ended class-E/F amplifier with the FDC feed inductor as a function of D (drain voltage v , solid line; V, P W, : , : . transistor current, dashed line), V

=1

=1

=05 =15

numerical results are calculated using gradient search method, and they are given in Section II-B. (19) As the ZVS and ZVDS conditions are met in the proposed amplifier, the drain efficiency is 100%. The power conservation relation is expressed as (20) In the proposed class-E/F amplifier, the FDC feed inductor and shunt capacitance can be regarded as an equivalent parallel resonator. The parallel resonance frequency is an important design parameter, which can affect the amplifier performance. We denote the specified resonance frequency as , and (21) and the dc supply voltage are If the output power given, the unknown component values and amplifier performance can be figured out by solving the equation array comprised of (8), (9), (14), (16)–(21), (A-1), and (A-2). The

B. Numerical Results of the Amplifier Performance Compared to the conventional class-E amplifier (i.e., the classical ZVS class-E amplifier studied in [15]) and the other subclasses, the performance of the proposed amplifier can be imand the FDC feed inductor. proved by the resonator tuned at In this section, the performance of the single-ended class-E/F amplifier is shown in numerical results based on the combinations of in (7) and in (21). The typical waveforms of the proposed amplifier are shown in Fig. 2. From this figure, the transistor current waveform is strongly affected by the parameter . If is near 1, the current waveform is similar to the conventional class-E amplifier. If is near 1.5, the transistor current becomes a quasi-square wave. If is near 2, the transistor current is over twisted by the second harmonic current. The results show that the impedance of the second harmonic at the drain node is affected by the de, e.g., can be bypassed signed frequency parameter of low impedance at . by

YOU et al.: HIGH-EFFICIENCY SINGLE-ENDED CLASS-E/F PA WITH FDC FEED INDUCTOR

NUMERICAL RESULTS OF MAXIMUM DRAIN VOLTAGE V

TABLE I AND THE

TABLE II NUMERICAL RESULTS OF NORMALIZED LOAD RESISTANCE R

POWER OUTPUT CAPABILITY P (V

BASED ON AND (V

TABLE III NUMERICAL RESULTS OF  BASED ON AND (V

The waveforms of the drain voltage and transistor current for different duty ratios are shown in Fig. 3. If the duty ratio deviates from 0.5, either the peak drain voltage or the peak transistor current becomes high. In these cases, the transistor can be damaged. Thus, in the following simulations, the performance of the proposed amplifier will be studied for the general case of . From the numerical results, the maximal drain voltage and power output capability defined in (22) are found to and be only dependent on . The numerical results of when V, W are shown in Table I. The of the proposed class E/F amplifier is about 6.6% maximal higher than that of the conventional class-E amplifier, which is of the proposed amplifier is 0.098089 [16]. However, higher than that of the conventional one (22) is the maximum transistor current. where According to [16], the load resistance can be determined , where is the load resistance normalas V and W. The numerical results of ized to are listed in Table II. is not available in the gray table cells with a dashed line because of computation errors caused by a high negative component value of . From the results, the is 1.3786 , which is 2.39 times that of the conmaximal

35

= 1 V, P = 1 W)

= 1 V, P = 1 W)

= 1 V, P = 1 W)

ventional class-E amplifier [16]. Higher load resistance is beneficial to the amplifier efficiency improvement and the design of load matching network [17], [18]. The numerical results of phase is listed in Table III. The other normalized component values are listed, respectively, in Tables IV–VIII. The expressions of the practical component values of the proposed class-E/F amplifier using the table data are given by (23) (24) (25) From Table VI, is negative for some combinations of and . The negative inductance can either be realized by a capacitor of the same impedance or be absorbed into the load matching network. of a class-E ampliFrom [19], the maximum frequency fier is defined as (26) is the transistor output capacitance, and is the normalized maximum frequency. For the conventional class-E am-

36

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

NUMERICAL RESULTS OF B

TABLE IV BASED ON AND (V

= 1 V, P = 1 W)

NUMERICAL RESULTS OF X

TABLE V BASED ON AND (V

= 1 V, P = 1 W)

NUMERICAL RESULTS OF X

TABLE VI BASED ON AND (V

= 1 V, P = 1 W)

NUMERICAL RESULTS OF X

TABLE VII BASED ON AND (V

= 1 V, P = 1 W)

plifier, is 0.05069, and from Table IV, the maximal of the proposed amplifier is 0.08774 when and , which is 1.731 times that of the conventional one. For the proposed class-E/F amplifier, the two parameters and representing the corresponding resonance frequency are noninteger in the general case. As a matter of fact, the resonance network tuned at nonharmonic frequency functions as an impedance balance between the two adjacent harmonic frequencies, and the transient current or voltage waveform is changed due to the perturbations on fundamental and harmonic impedance. Thus, the tuned nonharmonic resonance network

can change the amplifier performance, as shown in the numerical results. The advantages of the proposed single-ended class E/F amplifier are summarized as follows. 1) The maximal power output capability is 6.6% higher than that of the conventional class-E amplifier. is 2.39 times 2) The maximal normalized load resistance that of the conventional one. is 1.731 times that of the conventional 3) The maximal one. The three advantages listed above cannot be simultaneously satisfied under a common operating condition. However, the selec-

YOU et al.: HIGH-EFFICIENCY SINGLE-ENDED CLASS-E/F PA WITH FDC FEED INDUCTOR

NUMERICAL RESULTS OF B

TABLE VIII BASED ON AND (V

TABLE IX COMPARISONS OF AMPLIFIER PERFORMANCE TO THE RELATED V, P W SUBCLASSES, V

=1

=1

tion range of the parameters and is wide enough to provide balanced overall amplifier performance, which is better and than some other configurations. For example, if , the performance of the proposed amplifier compared to the related subclasses is shown in Table IX. Some performance of the subclasses is approximately measured from the figures of the corresponding references, and it starts with a symbol of of this study is a little higher than the other config“ .” urations, but at least one of the performance of , , and is improved and concluded from this table. III. DESIGN EXAMPLE OF THE PROPOSED CLASS-E/F PA In this section, a design example is given to verify the feasibility of the proposed class-E/F PA. The design process of the initial component values of the experimental amplifier are listed as follows for a quick reference, MHz, the 1) Determine the operation frequency W, and the drain dc designed output power V. supply voltage 2) Select the proper resonance parameters of the proposed , in this example. is configuration. selected to shape the quasi-square wave transistor current, and is determined in order to offer high load resistance according to Table II. . 3) Calculate the optimum load resistance by is 1.0312 obtained from Table II, then . 4) Using (23)–(25) to calculate the component values, nH, pF, nH, and pF. nH, and the negative inductance can be replaced by a 130.7-pF capacitor of the same impedance. The normalized design parameters can be referenced to Tables IV–VIII.

37

= 1 V, P = 1 W)

5) Calculate the shunt parallel resonance components by the and , where equations is the designed loaded quality factor. In this design , then nH and pF. Until now, all the component values of the ideal single ended class-E/F amplifier are figured out. In fact, the load resistance should be matched to the 50- terminal. The load impedance matching network is designed according to a low-pass filter type in this experiment so it is beneficial for harof monic suppressions and it can lower the requirements of the parallel resonator . In some designs of the broadband microstrip class-E amplifier, the parallel resonator can be saved with the help of a low-pass load impedance-matching network [21]. The transistor used in this design is an LDMOS transistor MRF21010 from the Freescale Company, Austin, TX. For a practical transistor, which is not an ideal switch, some optimizations, considering the transistor output capacitance and the ON-resistance, should be done to obtain the maximal efficiency. The schematic after optimizations and load impedance matching is shown in Fig. 4. The photograph of the test board is shown in Fig. 5. The measured results show that this experimental amplifier can offer 33.73-dBm (2.36 W) output power, 86.6% drain efficiency, and 19.73-dB gain at 61.44 MHz when input driving dBm, gate dc-bias voltage V, and drain power dc supply voltage V. The measured output power is lower than the designed value due to the deviations of the available passive components in stock, especially for the resonance network. In this experimental amplifier, the load impedance for maximum efficiency using the available discrete components is higher than the theoretical results. The initial design conditions are not well kept for the lumped-element amplifier. Some detailed measured results are given below in comparison to the simulated results. The drain voltage waveforms are shown in Fig. 6. The transistor current waveform is not measured, and only the simulated results are given. The measured and simulated results of are nearly in the same trace, except that the measured peak is ver1.9 V lower than the simulated result. The waveform of ifies that the ZVS/ZVDS conditions of the proposed amplifier are almost met. and drain efficiency as The results of output power are shown in Fig. 7. Obviously, the measured a function of results follow the simulated results closely. It verifies the feasi, bility of this proposed configuration. In the entire range of

38

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 4. Schematic of the single-ended class-E/F amplifier with the FDC feed inductor, designed and optimized at 61.44 MHz, V

= 10 V, P = 5:33 W.

Fig. 5. Photograph of the test board.

(P ) and the drain = 14 dBm, and f =

Fig. 7. Measured and simulated results of the output power V, P efficiency  as a function of V . V : MHz.

61 44

()

=3

Fig. 6. Measured and simulated drain voltage waveforms and the simulated V, V V, P dBm, and transistor current waveform. V f : MHz.

= 61 44

= 10

=3

= 14

keeps higher than 82%, which shows that the effects of the nonlinear transistor output capacitance on efficiency degradation are not significant for this transistor at low frequency. and as a function of are shown in The results of dBm, the meaFig. 8. In the saturated region where sured results are close to the simulated results. While in the low input power range, a bit of significant difference occurs. The reason is that the practical input matching conditions and the signal source are not exactly the same with the simulated environment. The differences of the turn-on characteristics between the transistor model and the practical transistor can affect the gate bias conditions such as the ON-duty ratio and the OFF-state current, especially in the low input power range. In this experiment, the LDMOS transistor in the proposed class-E/F amplifier deviates from an ideal switch, which is

(P ) and the drain = 10 V, and f =

Fig. 8. Measured and simulated results of the output power efficiency  as a function of P . V V, V : MHz.

61 44

()

=3

assumed in the theoretical analysis. For a practical transistor, on-resistance, lead inductance, transistor output capacitance, and any other parasitic parameter can degrade the amplifier performance, but the theoretical results can still be regarded as the initial design rules, and the load network should be optimized for best performance. Otherwise, some modifications to the ideal design methods considering the nonideal transistor model must be studied to improve the amplifier performance for one shot design. However, if the switching characteristics of a transistor are sufficient for the application at the designed frequency range, i.e., low transition time, low on-resistance, and ignorable parasitic parameters, the transistor can be regarded

YOU et al.: HIGH-EFFICIENCY SINGLE-ENDED CLASS-E/F PA WITH FDC FEED INDUCTOR

39

as a quasi-ideal switch, such as that in the above experiments. The design example at 61.44 MHz shows the application of the proposed single-ended class-E/F amplifier, and the measured results verify that it can offer high-efficiency performance. IV. CONCLUSIONS The single-ended class-E/F amplifier with an FDC feed inductor has been proposed in this paper. The analysis shows that 100% drain efficiency in theory can be attained with ZVS and ZVDS boundary conditions. The maximal transistor current can be shaped flat topped as the inverse class-F amplifier. The power output capability, load resistance, and maximum frequency can be improved by selecting proper resonance parameters and . The simulations and measured results have verified the feasibility of the proposed amplifier. APPENDIX

(A-2)

(A-1)

REFERENCES [1] K. Ildu, W. Y. Yun, K. Jangheon, M. Junghwan, K. Jungjoon, and K. Bumman, “High-efficiency hybrid EER transmitter using optimized power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2582–2593, Nov. 2008. [2] S. S. Myoung, I. K. Lee, J. G. Yook, K. Lim, and J. Laskar, “Mismatch detection and compensation method for the LINC system using a closed-form expression,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 3050–3057, Dec. 2008. [3] L. Min-Chul, K. Tae-Woo, C. Bae-Kun, and C. Gyu-Hyeong, “A 4-W master–slave switching amplitude modulator for class-E1 edge polar transmitters,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 5, pp. 484–488, May 2008. [4] N. O. Sokal and A. D. Sokal, “Class E-A new class of high efficiency tuned single-ended switching power amplifiers,” IEEE J. Solid-State Circuits, vol. SSC-10, no. 6, pp. 168–176, Jun. 1975. [5] F. H. Raab, “Maximum efficiency and output of class-F power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1162–1166, Jun. 2001. [6] Y. Abe, R. Ishikawa, and K. Honjo, “Inverse class-F AlGaN/GaN HEMT microwave amplifier based on lumped element circuit synthesis method,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2748–2753, Dec. 2008.

40

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

[7] S. D. Kee, I. Aoki, A. Hajimiri, and D. Rutledge, “The class-E/F family of ZVS switching amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1677–1690, Jun. 2003. [8] Z. Kaczmarczyk, “High-efficiency class E, E/F , and E/F inverters,” IEEE Trans. Ind. Electron., vol. 53, no. 10, pp. 1584–1593, Oct. 2006. [9] A. Grebennikov, “High-efficiency class-FE tuned power amplifiers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 55, no. 11, pp. 3284–3292, Nov. 2008. [10] M. Acar, A. J. Annema, and B. Nauta, “Generalized design equations for class-E power amplifiers with finite DC feed inductance,” in 36th Eur. Microw. Conf., Manchester, U.K., Sep. 2006, pp. 1302–1305. [11] D. K. Choi and S. I. Long, “Finite DC feed inductor in class E power amplifiers—A simplified approach,” in IEEE MTT-S Int. Microw. Symp. Dig., 2002, pp. 1643–1646. [12] G. H. Smith and R. E. Zulinski, “An exact analysis of class-E amplifiers with finite DC-feed inductance at any output- ,” IEEE Trans. Circuits Syst., vol. 37, no. 4, pp. 530–534, Apr. 1990. [13] M. Acar, A. J. Annema, and B. Nauta, “Analytical design equations for class-E power amplifiers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 54, no. 12, pp. 2706–2717, Dec. 2007. [14] F. You, S. He, X. Tang, and T. Cao, “Performance study of a class-E power amplifier with tuned series-parallel resonance network,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 10, pp. 2190–2200, Oct. 2008. [15] F. H. Raab, “Idealized operation of class-E tuned power-amplifier,” IEEE Trans. Circuits Syst., vol. CAS-24, no. 12, pp. 725–735, Dec. 1977. [16] M. Albulet, RF Power Amplifiers. Atlanta, GA: Noble, 2001. [17] C. Yoo and Q. T. Huang, “A common-gate switched 0.9-W class-E power amplifier with 41% PAE in 0.25- m CMOS,” IEEE J. SolidState Circuits, vol. 36, no. 5, pp. 823–830, May 2001. [18] K. L. R. Mertens and M. S. J. Steyaert, “A 700-MHz 1-W fully differential CMOS class-E power amplifier,” IEEE J. Solid-State Circuits, vol. 37, no. 2, pp. 137–141, Feb. 2002. [19] M. K. Kazimierczuk and W. A. Tabisz, “Class C–E high-efficiency tuned power amplifier,” IEEE Trans. Circuits Syst., vol. 36, no. 3, pp. 421–428, Mar. 1989. [20] M. Acar, A. J. Annema, and B. Nauta, “Analytical design equations for class-E power amplifiers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 54, no. 12, pp. 2706–2717, Dec. 2007. [21] E. A. Jarvinen and M. J. Alanen, “GaAs HBT class-E amplifiers for 2-GHz mobile applications,” in IEEE Radio Freq. Integr. Circuits Symp., 2005, pp. 421–424.

Q

nication systems. The design method of class-E PAs at the microwave band, high-efficiency broadband dc modulator, and the digital predistortion linearization method for the digital polar transmitter are his current key research points.

Songbai He (M’08) received the Bachelor’s, Master’s, and Ph.D. degrees in electronic engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1995, 1998, and 2003, respectively. In 2004, he visited Chiba University, Chiba, Japan, where he was involved with research on high-efficiency switch-mode PAs. In 2005, he returned to UESTC, where he is currently a Professor. His current research plan of broadband high-efficiency linear transmitters is supported by the Hi-tech Research and Development Program of China. His research interests include RF/microwave (MW) circuits and systems, frequency synthesis, wireless communication, and nonlinear dynamic systems.

Xiaohong Tang (M’08) received the Bachelor’s and Ph.D. degrees in electromagnetics and microwave technology from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1983 and 1990, respectively. Since 1998, he has been a Professor with UESTC. His research interests include microwave/millimeter-wave frequency synthesis, microwave/millimeter-wave hybrid integrated circuits, millimeter-wave radars, RF front-ends of communication systems, and electronic magnetic



Fei You (S’07) received the Bachelor’s degree in electronic engineering and Master’s degree in circuits and systems from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2004 and 2006, respectively, and is currently working toward the Ph.D. degree in circuits and systems at UESTC. His research interests include high-efficiency power-amplifier design and its application in linearization transmitters. His research plan is to build a digital polar transmitter for broadband commu-

field theory.

Xiangke Deng received the Bachelor’s degree in electronic engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2007, and is currently working toward the Master’s degree at UESTC. His research interests include RF/MW analog circuit design and linearization techniques for high-efficiency PAs.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

41

S - and C -Band Ultra-Compact Phase Shifters Based on All-Pass Networks Masatake Hangai, Member, IEEE, Morishige Hieda, Senior Member, IEEE, Norihiro Yunoue, Yoshinobu Sasaki, Member, IEEE, and Moriyasu Miyazaki, Senior Member, IEEE

Abstract—Ultra-compact phase shifters are presented. The proposed phase-shifting circuits utilize the lumped element all-pass networks. The transition frequency of the all-pass network, which determines the size of the circuit, is set to be much higher than the operating frequency. This results in a significantly small chip size of the phase shifter. To verify this methodology, 5-bit phase shifters have been fabricated in the - and -band. The -band phase shifter, with a chip size of 1.87 mm 0.87 mm (1.63 mm2 ), has achieved an insertion loss of 6.1 dB 0.6 dB and rms phaseshift error of less than 2.8 in 10% bandwidth. The -band phase shifter, with a chip size of 1.72 mm 0.81 mm (1.37 mm2 ), has demonstrated an insertion loss of 5.7 dB 0.8 dB and rms phaseshift error of less than 2.3 in 10% bandwidth. Index Terms—All-pass network, compact size, monolithic microwave integrated circuit (MMIC), phase shifter.

I. INTRODUCTION

P

HASE shifters have been widely used in active phased array antennas (APAAs) for electronic beam steering [1]; phase shifters can be analog or digital. Analog phase shifters provide a continuously variable phase shift and demonstrate lower insertion loss when compared to digital [2]. Digital phase shifters provide a discrete set of phase shifts and are employed in many phased array applications. This is because they are more immune to their control voltage noise and temperature variation. Recently, compact monolithic microwave integrated circuit (MMIC) digital phase shifters have been developed for low-cost microwave applications [3]–[6]. The conventional digital phase shifters reported here are based on the high-pass filter [4], [5]. Theoretically, the cutoff frequency of the high-pass filter, determining the size of the phase shifters, is much lower than the operating frequency. Over -band, these phase shifters are quite easy to fabricate the in MMICs because the circuit elements are reasonably small enough [4], [5]. In a low-frequency - or -band, however, the phase shifters need a relatively large chip area, as the cutoff frequency of the high-pass filter is much lower than the - or Manuscript received April 22, 2009; revised August 21, 2009. First published November 24, 2009; current version published January 13, 2010. M. Hangai, M. Hieda, and M. Miyazaki are with the Information Technology Research and Development Center, Mitsubishi Electric Corporation, Kamakura, Kanagawa 247-8501, Japan (e-mail: [email protected]). N. Yunoue is with the Communication Systems Center, Mitsubishi Electric Corporation, Amagasaki, Hyogo 861-8661, Japan. Y. Sasaki is with High Frequency and Optical Device Works, Mitsubishi Electric Corporation, Itami, Hyogo 664-8641, Japan. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2036322

Fig. 1. Proposed phase-shifting circuit based on all-pass network.

-band. It does not seem an effective solution, therefore, to employ the conventional circuit in the view of cost reduction resulting from the size of the MMIC. To resolve this problem, phase-shifting circuits, based on an all-pass network, have been reported [7], [8]; these are suitable for microwave applications used in lower frequency bands. The transition frequency of the all-pass network, which determines the size of the circuit elements, can be set to be much higher than the operation frequency [8]. The phase-shifting circuit, though, inevitably has amplitude error and unwanted resonance near the operating frequency for a large phase shift in principle. This paper describes phase-shifting circuits based on an all-pass network, which have low amplitude error performance and can avoid unwanted resonance. The low amplitude error is achieved by employing a parallel resonance circuit comprised of a field-effect transistor (FET) and capacitor rather than a single capacitor. Further, an improved configuration which utilizes an FET in place of a fixed capacitor constructing all-pass network for avoiding unwanted resonance at the reference state is proposed. The design equations for circuit elements are derived and the fabricated results in the - and -band are presented. II. CIRCUIT CONFIGURATION AND DESIGN EQUATIONS Fig. 1 shows the proposed phase-shifting circuit based on an all-pass network. For the reference state shown in Fig. 2(a), is turned on and the is pinched off. To obtain low amplitude error, the capacitor of the parallel resonance circuit and . The reflection coefficient and is realized by at the reference state are exthe transmission coefficient pressed as

0018-9480/$26.00 © 2009 IEEE

(1)

42

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

expressed as

(7)

Fig. 2. Equivalent circuits of the proposed phase-shifting circuit. (a) Reference state. (b) Phase-shift state.

(8) (2)

where is the system impedance and is the on-state reand is the total capacitance of and sistance of . To achieve impedance matching, the inductor is set to at the operating frequency , have parallel resonance with expressed in the following equation:

where is the on-state resistance of and is the off-state capacitance of . The circuit depicted in Fig. 2(b) can be considered as the lumped-element all-pass network com, two inductors , and posed of the off-state capacitor , as long as is quite small. The impedance capacitor matching conditions are given as follows: (9)

(3)

as

Here, we define the transition frequency

(10) is much smaller than the reactance of , the cirWhen cuit depicted in Fig. 2(a) can be considered as a single series resistor, having effectively zero phase response and the inser. At operating frequency , (1) can be tion loss due to simplified using (3) (4) where it is assumed simplified as

Using (9) and (10), (8) can be simplified as (11) From (11), the phase response be calculated as

at the reference state can

. Likewise, (2) can be (12) The phase shift and (12) in the following:

can be calculated using (6), (9),

(5) From (5), the phase response calculated as

at the reference state can be (13) Setting pressed by

as the desired phase shift at can be exand from (13) in the following: (14)

(6) is pinched For the phase-shift state shown in Fig. 2(b), off and is turned on. The reflection coefficient and transmission coefficient at the phase-shift state are

where is determined according to only increases as decreases.

. The value of

HANGAI et al.:

- AND

-BAND ULTRA-COMPACT PHASE SHIFTERS BASED ON ALL-PASS NETWORKS

Using (9), (10), and (14), from the following equations:

and

43

can be designed

(15) and . To obtain Next, we derive the design equations for broadband phase-shift characteristics, it is required to satisfy the following condition: (16) Substituting (10), (13), and (14) into (3) and (16), we obtain the following equation: (17) (18) and are derived. Finally, the design equations for To yield low amplitude error, it is required that the transmission amplitudes at the reference state and phase-shift state are identical (19) Substituting (5), (8), (15), (17), and (18) into (19), we obtain (20) where

=

Fig. 3. Calculation results of the design example in the case of  ;Z ; and K : pF 1 . (a) Reflection amplitude. (b) Transmission amplitude. (c) Phase shift.

45

where it is assumed , and is constant, which depends on the fabrication process. From (17) and (20), the following equations can be obtained: (21)

(22)

From (16)–(18), (21), and (22), all circuit elements can be designed. For example, in the case of GHz, and pF , it is obtained that nH, nH, pF, pF, pF, and pF. The transition frequency ( GHz) is much higher than so the values of

= 50

= 04



the circuit elements are reasonably small. Therefore, employing the proposed circuit is effective in view of cost reduction resulting from the size of the MMIC. Fig. 3 shows the calcula. All of the circuit elements’ contion results for stants are obtained from the above equations. It is shown that the impedance matching and equal transmission amplitude conditions are obtained at the center frequency. Further, the flatness can be realized. Unwanted resonance occurs of phase shift at , though, for the reference state. This is due to at and inductor . The resonant frequency the capacitor is close to as increases. Fig. 4 shows the relationship between the unwanted resonance frequency and the phase shift . The limitation of the proposed circuit’s bandwidth can be found , some by using this chart. For the large phase shift improvement for avoiding the unwanted resonance is required. III. IMPROVED CIRCUIT FOR LARGE PHASE SHIFT At the reference state, the proposed circuit, based on the allpass network in Fig. 1, has unwanted resonance due to the fixed and inductor , as mentioned above. This rescapacitor onance has a serious effect when large phase shifts are required . To solve this problem, an improved phase-shifting

44

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 4. Relationship between unwanted resonance frequency and phase shift  .

Fig. 5. Improved phase-shifting circuit based on all-pass network.

Fig. 7. Calculation results of design example in case of  = 45 ; Z = 50 ; and K = 0:4 pF . (a) Reflection amplitude at the reference state. (b) Transmission amplitude at the reference state. (c) Phase shift. The dotted line is without FET . The solid line is with FET (improved circuit). 1

Fig. 6. Equivalent circuits of the improved phase-shifting circuit. (a) Reference state. (b) Phase-shift state.

circuit is proposed in Fig. 5. The difference between the circuit is employed rather than the fixed in Figs. 1 and 5 is that capacitor . For the reference state shown in Fig. 6(a), and are turned on and is pinched off. If it is assumed , which is the on-state resistance , does not affect electric property. The reflection and of transmission coefficient are then expressed as (4) and (5). and For the phase-shift state shown in Fig. 6(b), are pinched off and is turned on. The reflection and transswitches pomission coefficient are equivalent, except that , which is the off-state capacitance of . sitions with can then be designed from the following equation: (23) Fig. 7 shows the calculation results for the design example in the case of and pF . As shown in this figure, the improved circuit is useful in making the unwanted resonance disappear.

Fig. 8. Photograph of the S -band 5-bit MMIC phase shifter.

Generally, the capacitance value per unit area of the metal–insulator–metal (MIM) capacitor is much higher than that of the FET’s off-state capacitance. The improved circuit should be emin the view ployed for the large phase-shift circuit of size reduction. IV. MEASUREMENT RESULTS - and -band ultra-compact MMIC phase shifters are shown. The integrated circuits are fabricated by using 0.5- m pseudomorphic HEMT (pHEMT) technology with inductors a 0.1-mm-thick GaAs substrate and high– . Simulation data shown here are obtained

HANGAI et al.:

- AND

-BAND ULTRA-COMPACT PHASE SHIFTERS BASED ON ALL-PASS NETWORKS

45

TABLE I SUMMARY OF THE MEASURED RESULTS OF THE S -BAND 5-bit MMIC PHASE SHIFTER

Fig. 9. Measured input and output reflection amplitude in all 32 phase states.

Fig. 10. Measured transmission amplitude in all 32 phase states.

Fig. 14. Photograph of the C -band 5-bit MMIC phase shifter.

by using commercial simulation tools. FETs are modeled by the lumped-element equivalent circuit, while the peripheries employed in the phase shifters are 0.2-1 mm. A.

Fig. 11. Measured phase shift in all 32 phase states.

-Band Ultra-Compact 5-bit Phase Shifter

Fig. 8 shows a photograph of the fabricated -band 5-bit GaAs MMIC phase shifter. The chip size is 1.87 mm 0.87 mm (1.63 mm ). The 90 bit employs the proposed improved phaseshifting circuit based on the all-pass network, while the 45 and 25 bits employ the proposed circuit based on the all-pass network. The 180 bit is constructed using the switched highpass/low-pass topology [1] and the 11.25 bit is realized by the matched embedded FET phase-shifting circuit [9]. The measured characteristics of the phase shifter in all 32 phase states are shown in Figs. 9–11 with a control voltage of 5 V. The measured input and output return losses were 13.8 and 10 dB in the worst case, respectively, over a fractional bandwidth of 10% in the -band. The insertion loss was 6.1 dB 0.6 dB over the same frequency range. The rms amplitude error was 0.58 dB and the rms phase error was 2.8 in the operating frequency, as shown in Figs. 12 and 13. Table I shows the typical measured results of the -band 5-bit MMIC phase shifter.

Fig. 12. RMS amplitude error.

B.

Fig. 13. RMS phase shift error.

-Band Ultra-Compact 5-bit Phase Shifter

Fig. 14 shows a photograph of the fabricated -band 5-bit GaAs MMIC phase shifter [8]. The chip size is 1.72 mm 0.81 mm (1.37 mm ). The 90 bit employs the proposed improved phase-shifting circuit based on the all-pass network, while the 45 and 25 bits employ the proposed circuit based on the all-pass network. The 180 bit is constructed using the switched high-pass/low-pass topology [1]; the 11.25 bit is realized by the matched embedded FET phase-shifting circuit [9]. The measured characteristics of the phase shifter in all 32 phase states are shown in Figs. 15–17 with a control voltage of 5 V. The measured input and output return losses

46

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 15. Measured input and output reflection amplitude in all 32 phase states.

Fig. 20. Measured average output power and variation of insertion loss against input power in all 32 phase states. TABLE II SUMMARY OF THE MEASURED RESULTS OF THE 5-bit MMIC PHASE SHIFTER

C -BAND

Fig. 16. Measured transmission amplitude in all 32 phase states.

TABLE III COMPARISON OF COMPACT MMIC PHASE SHIFTERS

Fig. 17. Measured phase shift in all 32 phase states.

Fig. 18. RMS amplitude error.

were 14.5 and 13.5 dB in the worst case, respectively, over a fractional bandwidth of 10% in the -band. The insertion loss was 5.7 dB 0.8 dB over the same frequency range. The rms amplitude error was 0.37 dB and the rms phase error was 2.3 in the operating frequency, as shown in Figs. 18 and 19. The average output power in all 32 phase states is shown in Fig. 20. The 1-dB compression level (P1 dB) was much greater than 21 dBm. It is assumed then that the third-order input intercept point (IIP3) is greater than 31 dBm [10]. Table II shows the typical measured results of the -band 5-bit MMIC phase shifter. Table III shows a comparison of compact MMIC phase shifters. This study achieved a comparable small chip size with [3]–[5] in spite of lower frequency operation. V. CONCLUSION

Fig. 19. RMS phase-shift error.

The design techniques of ultra-compact phase-shifting circuits utilizing an all-pass network topology have been developed. The circuit topology can achieve low amplitude error and elimination of unwanted resonance in a conventional circuit.

HANGAI et al.:

- AND

-BAND ULTRA-COMPACT PHASE SHIFTERS BASED ON ALL-PASS NETWORKS

It has shown that the all-pass network enables us to design the phase shifter with small size by the fact that the transition frequency of the all-pass network can be higher than the operating frequency. The excellent performance of the fabricated MMIC phase shifters for - and -band, with a chip size of 1.87 mm 0.87 mm (1.63 mm ) and 1.72 mm 0.81 mm (1.37 mm ), respectively, have shown that the proposed design techniques are useful for low-cost phased-array applications. REFERENCES [1] R. V. Garver, “Broad-band diode phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 5, pp. 314–323, May 1972. [2] A. S. Nagra and R. A. York, “Distributed analog phase shifters with low insertion loss,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1705–1711, Sep. 1999. [3] J. Wallace, H. Redd, and R. Furlow, “Low cost MMIC DBS chip sets for phased array applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1999, vol. 2, pp. 677–680. [4] C. F. Campbell and S. A. Brown, “A compact 5-bit phase-shifter MMIC for -band satellite communication systems,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2652–2656, Dec. 2000. [5] M. Hieda, K. Miyaguchi, H. Kurusu, H. Ikematsu, Y. Iyama, T. Takagi, -band 5-bit MMIC phase shifter,” IEICE and O. Ishida, “A compact Trans. Electron, vol. E86-C, no. 12, pp. 2437–2444, Dec. 2003. [6] I. J. Bahl and D. Conway, “ - and -band compact octave bandwidth 4-bit MMIC phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 293–299, Feb. 2008. [7] D. Adler and R. Popovich, “Broadband switched-bit phase shifter using all-pass networks,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1991, pp. 265–268. [8] K. Miyaguchi, M. Hieda, M. Hangai, T. Nishino, N. Yunoue, Y. Sasaki, and M. Miyazaki, “An ultra compact -band 5-bit MMIC phase shifter based on all-pass network,” in Proc. 36th Eur. Microw. Conf., Sep. 2006, pp. 277–280. [9] E. Taniguchi, M. Hieda, H. Kurusu, M. Funada, Y. Iyama, and T. Takagi, “A -band matched embedded-FET phase shifter,” in Proc. 29th Eur. Microw. Conf., Oct. 1999, vol. III, pp. 357–360. [10] W. F. Egan, Practical RF System Design. New York: Wiley, 2003, pp. 102–103.

K

Ku L

Morishige Hieda (M’94–SM’04) received the B.E., M.E., and Ph.D. degrees in electronic engineering from Tohoku University, Sendai, Japan, in 1988, 1990 and 2004, respectively. In 1990, he joined the Mitsubishi Electric Corporation, Kamakura, Kanagawa, Japan, where he has been engaged in the research and development of millimeter-wave and microwave mixers, control circuits, and MMICs. Dr. Hieda is a Senior Member of the Institute of Electronics, Information, and Communication Engineers (IEICE), Japan. He was the recipient of the 53th OHM Technology Award presented by the Promotion Foundation for Electrical Science and Engineering of Japan.

Norihiro Yunoue received the B.E. and M.E. degrees in electrical engineering from Doshisha University, Kyoto, Japan, in 1997 and 1999, respectively. In 1999, he joined the Communication Systems Center, Mitsubishi Electric Corporation, Amagasaki, Hyogo, Japan, where he has been engaged in research and development of microwave circuits and active module component systems.

S

C

Ku

Masatake Hangai (M’04) received the B.E. and M.E. degrees in electronic engineering from Tohoku University, Sendai, Japan, in 2000 and 2002, respectively. In 2002, he joined the Information Technology Research and Development Center, Mitsubishi Electric Corporation, Kamakura, Kanagawa, Japan, where he has been engaged in research and development of microwave control circuits and MMICs. Mr. Hangai is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

47

Yoshinobu Sasaki (M’94) received the B.E. degree in electrical engineering from Waseda University, Tokyo, Japan, in 1982. In 1984, he joined the Mitsubishi Electric Corporation, Amagasaki, Hyogo, Japan, where he has been engaged in the research and development of GaAs MMICs. He is currently a Technical Staff Member with High Frequency and Optical Device Works, GaAs System MMIC Development Department.

Moriyasu Miyazaki (M’92–SM’95) received the B.E. degree in electrical engineering and M.E. and Ph.D. degrees in electric engineering from Chiba University, Chiba, Japan, in 1982, 1984, and 1997, respectively. In 1984, he joined the Information Technology Research and Development Center, Mitsubishi Electric Corporation, Kamakura, Kanagawa, Japan, where he has been engaged in the research and development of antenna feeds and microwave circuits. From 2007 to 2008, he was a General Manager with the ElectroOptics and Microwave Electronics Technology Department, Information Technology Research and Development Center, Mitsubishi Electric Corporation. He is currently a Manager with the Electronic Systems and Equipment Engineering Department, Communication Systems Center, Mitsubishi Electric Corporation. Dr. Miyazaki is a Senior Member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

48

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

3-D CMOS Circuits Based on Low-Loss Vertical Interconnects on Parylene-N Rosa R. Lahiji, Member, IEEE, Hasan Sharifi, Member, IEEE, Linda P. B. Katehi, Fellow, IEEE, and Saeed Mohammadi, Senior Member, IEEE Abstract—parylene-N is used as a dielectric layer to create ultra low-loss 3-D vertical interconnects and coplanar waveguide (CPW) transmission lines on a CMOS substrate. Insertion loss of 0.013 dB for a 3-D vertical interconnect through a 15- m-thick parylene-N layer and 0.56 dB/mm for a 50- CPW line on the parylene-N layer (compared to 1.85 dB/mm on a standard CMOS substrate) are measured at 40 GHz. L-shaped, U-shaped, and T-junction CPW structures are also fabricated with underpasses that eliminate the discontinuities arisen from the slot-line mode and are characterized up to 40 GHz. A 3-D low-noise amplifier using these postprocessed structures on a 0.13- m CMOS technology is also presented along with the investigation of parasitic effects for accurate simulation of such a 3-D circuit. The 3-D circuit implementation reduces the attenuation per unit length of the transmission lines, while preserving the CMOS chip area (in this specific design) by approximately 25%. The 3-D amplifier measures a gain of 13 dB at 2 GHz with 3-dB bandwidth of 500 MHz, noise figure of 3.3 dB, and output 1-dB compression point of 4.6 dBm. Roomtemperature processing, simple fabrication, low-loss performance, and compatibility with the CMOS process make this technology a suitable choice for future 3-D CMOS and BiCMOS monolithic microwave integrated circuit applications that currently suffer from high substrate loss and crosstalk.



+

Index Terms—Coplanar waveguide (CPW), low-noise amplifier (LNA), parylene-N, 3-D integration, vertical interconnect.

I. INTRODUCTION RANSMISSION lines and lumped passive components are essential parts of RF integrated circuits (RFICs) and monolithic microwave integrated circuits (MMICs). In standard CMOS and BiCMOS technologies, inductors and transmission lines utilized in active distributed circuits [1]–[3] suffer from losses due to energy dissipation in the low-resistivity silicon

T

Manuscript received May 09, 2009; revised September 16, 2009. First published November 24, 2009; current version published January 13, 2010. This work was supported in part by the National Science Foundation under Project ECCS 0802178. R. R. Lahiji was with the Electrical and Computer Engineering Department and the Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47906 USA. She is now with the Electrical Engineering and Computer Science Department, Case Western Reserve University, Cleveland, OH 44106 USA (e-mail: [email protected]). H. Sharifi was with the Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47906 USA. He is now with Hughes Research Laboratories, Malibu, CA 90265 USA (e-mail: [email protected]). L. P. B. Katehi was the Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign, Urbana, IL 61820 USA. She is now with the Department of Electrical Engineering, University of California at Davis, Davis CA 95616 USA (e-mail: [email protected]). S. Mohammadi is with the Electrical and Computer Engineering Department and the Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47906 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2036394

substrate. Several techniques have been used to overcome the high losses of passive components including the use of high-resistivity silicon substrate instead of a CMOS-grade substrate, 3-D out-of-plane inductors, and transformers [4], [5] and elevation of inductors and transmission lines over the CMOS-grade substrate through substrate removal or through a low loss and low dielectric constant layer [6]–[11]. Utilizing high-resistivity silicon substrate, substrate removal, and adopting out-of-plane passive structures reduces or eliminates the eddy currents in the substrate, and thus, the dielectric loss; however, they are not compatible with standard CMOS or BiCMOS processes. Applying a dielectric layer to elevate transmission lines away from the low-resistivity Si substrate reduces the substrate interactions with the transmission lines when a relatively thick dielectric layer is used (typically 10 m) [8]. Polyimide, benzocyclobutene (BCB)-based polymers, and SU-8 are used as dielectric layers [10]–[12].1 Processes based on these dielectrics are either expensive, require high processing/curing temperature, or are characterized with relatively high dielectric loss. In this study, we have used a thick (15 m) parylene-N layer with a frequency-independent dielectric constant of 2.35–2.4, and a of 6 10 up to 60 GHz very low loss tangent that deposits in a conformal fashion using a simple process at room temperature.2 The main drawback of parylene-N is its large thermal mismatch to Si (thermal expansion coefficient of 69 ppm/ C versus 3.2 ppm/ C for Si), which complicates its application for large area circuits under high operating temperature such as high-power electronics. A 3-D narrowband amplifier using parylene-N was previously implemented and experimentally characterized [13]. It was shown that it is necessary to account for various parasitic effects in a 3-D design environment to accurately simulate a true 3-D circuit. In this paper, we have demonstrated how the building blocks of this amplifier are implemented in such a 3-D design space. This is done through design, fabrication, and characterization of coplanar waveguide (CPW) transmission lines, 3-D vertical interconnects, and CPW-based discontinuities such as L-, T-, and U-shaped structures on a thick parylene-N layer using a CMOS compatible fabrication process. II. FABRICATION A. Vertical Interconnects and Transitions A low-resistivity silicon wafer with bulk resistivity of cm is coated with a 5- m-thick thermal silicon 10–20 dioxide. The bottom metal layer (Metal 1) is a 3.5- m-thick 1HYPERTEXT. 2Specialty

[Online]. Available: http://www.dow.com Coating Systems Company (SCS).

0018-9480/$26.00 © 2009 IEEE

LAHIJI et al.: 3-D CMOS CIRCUITS BASED ON LOW-LOSS VERTICAL INTERCONNECTS ON PARYLENE-N

49

the fabrication process is provided in [13]. Since patterns to be post-fabricated on this chip will extend all the way to the edges, and the chip is relatively small in one dimension, a carrier wafer is used to embed this chip in a self-aligned wafer level integration technology discussed in [16]. By employing this technique, handling of the chip becomes easier while the accuracy of the lithography is preserved due to a uniform thickness of photo-resist across the entire chip. With a wafer level processing this step may be relinquished. The CMOS chip is embedded in a low-resistivity Si substrate using poly-di-methyl-silicone (PDMS). To form decoupling metal–insulator–metal (MIM) capacitors, parylene-N is partially etched ( 14 m) in RIE to form a 1- m dielectric layer [17]. Through another lithography step, parylene-N is etched thoroughly to form vias for interconnection to the bottom metal layer. Sputtering a thin layer of Ti/Au followed by a lift-off process and 3- m gold electroplating to form the top metallization (Metal 2) completes the process. III. CPW TRANSMISSION LINE COMPONENTS A. Design

Fig. 1. (a) Cross-sectional view of the CPW lines on CMOS grade silicon substrate (Metal 1) and (b) on CMOS grade silicon substrate coated with parylene-N (Metal 2). (c) 3-D view of back-to-back vertical transition through parylene-N, labeled with the optimized dimensions. Not drawn to scale.

aluminum layer formed by evaporation and lift-off processes. This step forms the bottom layer CPW lines, thru-reflect-load (TRL) calibration standards including open, thru, and delay lines and required underpasses for ground equalization of top metal transmission lines. A set of measurements is performed at this stage to characterize the CPW lines fabricated using Metal 1 [see Fig. 1(a)]. Before parylene-N deposition, the samples are soaked in the adhesion promoter solution (2-Propanol: DI water: Silane (A-174) 100 mL: 100 mL: 1 mL) and air dried. A 15- m-thick parylene-N layer is then deposited using a chemical vapor deposition (CVD) process at room temperature. Details of the parylene-N deposition process are discussed in [14]. Vias are etched in a reactive ion etching (RIE) chamber with O plasma at 150 mtorr for about 45 min to completely etch through the parylene-N layer [15]. These vias are used to make contact for phase equalizing underpasses or to form vertical transitions. For best step coverage of the top metallization (Metal 2), a seed layer of titanium/gold is sputtered and then 3.5 m of gold is electroplated in a Orotemp gold electroplating solution. Finally, the photoresist is removed and the seed layer is etched away [see Fig. 1(b) and (c)]. B. 3-D Low-Noise Amplifier (LNA) This design is post-fabricated on an original prefabricated CMOS chip with dimensions of 2 mm 12 mm. Details of

Among various transmission lines that can be implemented on a silicon substrate, CPW lines have the advantage of simple one-metal layer fabrication, since signal and ground metallization are implemented using the same metal layer. Additionally, the impedance of CPW lines is not sensitive to variations in the substrate and dielectric layer thicknesses, but rather depends on dimensions of the CPW line metallization. Moreover, CPW lines provide a good short circuit with much lower parasitic inductance, as opposed to microstrip lines or slot-lines, which require vias to create a short circuit. For these reasons, a CPW architecture is employed to achieve ultra low-loss lines, vertical transitions, and 3-D circuits based on low-loss low- parylene-N dielectric material on top of a CMOS grade silicon substrate. To obtain various designs investigated in this study, two metal layers are used. The first metal layer, Metal 1, resembles the top Al metallization in a typical RF CMOS technology. Metal 1 has a thickness of 3.5 m and is fabricated on a low-resiscm) coated with a 5- m-thick tivity silicon substrate (10 SiO layer. The second metal layer, Metal 2, is made with Au with a thickness of 3.5 m and is fabricated on top of the parylene-N layer with a thickness of 15 m that is deposited on the Si/SiO substrate. Upon performing simulations with Ansoft Technologies’ High Frequency Structure Simulator (HFSS), a 50- CPW line on an Si/SiO substrate using a bottom metal (Metal 1) has signal line–gap–ground line dimensions of 45 m 54 150 m, respectively. A 50- CPW line on parylene-N using top metal (Metal 2) has signal line–gap–ground line dimensions of 90, 30, and 300 m. Fig. 1(a) and (b) demonstrates these two architectures. For a fair comparison, of both lines are chosen to be the same. In order to measure these lines, two different sets of TRL calibration standards are designed and fabricated separately using each of the respective metal layers. A vertical interconnect between the bottom layer 50 line (Metal 1) and the top layer 50 line (Metal 2) is also designed and simulated using HFSS. To access CPW ports for measure-

50

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 2. Simple CPW bend structure: (a) showing the effect of discontinuity caused by difference in the length of traveling path, (b) equalization using additional dielectric slab, and (c) equalization using air bridges.

ment purposes, back-to-back transitions are designed so that CPW contacts are available on the top metal (Metal 2), as depicted in Fig. 1(c), where the substrates are not shown for the purpose of clarity. Metal 1 and Metal 2 partially overlap around the transition and introduce a local parasitic capacitance that cancels the inductive effect of vias connecting the signal and ground traces. By choosing proper dimensions and tapering of the two metals to cancel parasitic capacitance and inductances, as shown in Fig. 1(c), one can reduce reflections and maintain a smooth transition for wideband application [17], [18]. Routing CPW transmission lines for circuit implementation on a planar substrate requires asymmetric CPW structures such as L- and U-shaped turns and T-junctions. Bending a transmission line results in extra parasitic components due to introduced discontinuities. A simple L-shaped CPW bent is depicted in Fig. 2(a). The dashed lines represent the propagation paths of the slot-line mode of the CPW line. As seen from the figure, the signal on the inner ground conductor has a shorter path to travel compared to the outer conductor. The imbalance causes degradation of insertion loss and return loss due to distortion in the phase of the wavefront. In order to minimize this imbalance and the radiating slot mode caused by different path length traversed by the magnetic current wave, traditionally bond-wires [19], dielectric slabs [see Fig. 2(b)] [20], air-bridges [see Fig. 2(c)] [21], underpass metals [22], or continuous top and bottom shielding [23] are used to achieve ground equalization. These techniques add to the local parasitic capacitance, inductance, and resistances, which should be included to obtain an accurate design model. A study performed by Dib et al. revealed that air-bridge approach shows better electrical performance prediction due to its relative small parasitic components compared to bond-wires even though air-bridge process is more complex and has lower yield [19]. Even though all the mentioned approaches minimize the discontinuity and help to balance the traveling wave on inner and outer slots, they have their own disadvantages in terms of their compatibility with the CMOS process, fabrication cost, complexity of the process, and fabrication yield. In this study, underpasses are chosen to eliminate the slot-line modes of CPW discontinuities because of their ease of fabrication and high yield. Underpasses are easily realized using Metal 1 to balance the phases for the transmission lines fabricated using Metal 2. Optimization to achieve minimum insertion loss and return loss over a maximum bandwidth is performed using HFSS to design L-, T-, and U- shaped CPW components. In the designed bent CPW lines, underpasses are utilized before and after each bend. The underpass width is 10 m and it connects to via posts

Fig. 3. Simulation results of 1-mm 50- CPW line with or without the effect of substrate loss (low-resistivity silicon), dielectric loss (parylene-N) and conductor loss (aluminum) and its comparison with measurement result.

with dimensions of 90 m 90 m that encircle vias with dimensions of 70 m 70 m. The width of the underpass is relatively small and given the 15- m height of the dielectric layer (parylene), it shows a negligible parasitic capacitance between the underpass and the conductors of the CPW line (less than 0.1 fF). Therefore, no local narrowing on the signal line is needed. Simulation results are verified through comparison with measurement of these components. In order to perform measurements, a back-to-back bent architecture is used with four underpasses to prevent the need for repositioning the probes at a right angle with respect to each other. Such design uses the same CPW signal–gap–ground dimensions as the original CPW on Metal 2 (90, 30, 300 m). B. Measurement and Analysis Measurements are done using on-wafer probing technique with 150- m-pitch ground–signal–ground (G–S–G) (CPW type) probes. An Agilent 8722 vector network analyzer (VNA) is calibrated from 1 to 40 GHz with two sets of TRL calibration kits for each metal layers separately using three different delay lines. Measurements are first performed on CPW lines on each metal layer to verify the accuracy of the designs and their proximity to the simulation results [17]. The insertion loss is a contribution of three different components: substrate loss (low-resistivity substrate), dielectric loss (parylene-N in this case), and conductor loss. In order to show the significance of each component in insertion loss, multiple simulations are performed, where in each case all, a few or only one of the loss contributors is present. In all these analyses, a fixed geometry CPW line (signal line–gap–ground line of 90, 30, 300 m) is simulated over a 15- m parylene-coated silicon wafer. The variable parameters are: bulk resistivity of silicon ( , 20, and 10 cm where the smaller value shows the higher doping for the substrate), conductor loss (perfect conductance ( S/m), or gold [4.1 10 S/m)] and the dielectric loss [parylene-N ) or no dielectric loss ]. Fig. 3 summarizes these simulations. Due to different substrate parameters, the evaluated characteristic impedances of the lines are slightly different and vary with frequency depending on the substrate, as summarized in Table I. Comparing the silicon subcm when all other loss components strates with 10, 20, and

LAHIJI et al.: 3-D CMOS CIRCUITS BASED ON LOW-LOSS VERTICAL INTERCONNECTS ON PARYLENE-N

51

TABLE I SUMMARY OF MEASUREMENT AND SIMULATION OF DIFFERENT CPW LINES

Fig. 4. (a) Microphotograph of back-to-back L-shaped with underpasses and its dimensions. (b) Measurement and simulation results with and without the presence of underpasses.

are present shows that there is a 0.25-dB reduction in insertion loss at 40 GHz when the substrate resistivity is increased from cm and an additional 0.35-dB reduction when 10 to 20 the substrate is not doped at all. Comparing this result with measurement shows some discrepancy. Hence, in simulations, a modified substrate is defined to match the measured response: cm the substrate is modified with a bulk resistivity of 20 everywhere, except 5 m (equal to the thickness of the oxide) underneath the oxide, where the resistivity is set to be 40 cm. This is due to the fact that during the process of growing a 5- m-thick oxide on top of a low-resistivity Si substrate (doped with boron), a thin segregated area just beneath the oxide is formed due to high segregation coefficient of boron at the oxide/silicon interface [24]. Measurement results of back-to-back 3-D vertical interconnects [architecture shown in Fig. 1(c)] shows an extremely low insertion loss of less than 0.013 dB per vertical transition for frequencies up to 40 GHz [17]. Fig. 4(b) depicts the measurement and simulation response of two back-to-back L-shaped bends shown in Fig. 4(a). The total length of the back-to-back bent design is 2440 m (2.44 mm). The distance between the two bent sections is 1.25 mm, while each underpass is located 120 m away from the bend, as depicted in Fig. 4(a). Measured total insertion loss of better than 1.3 dB up to 40 GHz is an indication of proper balancing of the signal paths. Higher insertion loss and return loss are simulated in Fig. 4(b) from an identical structure without ground balancing underpasses. Several U-shaped designs that use back-to-back L-shaped designs are also designed and fabricated, as depicted in Fig. 5(a).

All these designs include four back-to-back 90 bends and use eight equalizing underpasses, but they have slightly different geometrical parameters. Each design is based on the same 50CPW architecture with signal line–gap–ground line dimensions of 90, 30, and 300 m on the top metal (Metal 2), respectively. Table II summarizes the geometrical characteristics and performances of these designs. As the total length of CPW section is varied, the insertion loss is scaled accordingly. Fig. 5(b) and (c) demonstrates the measurement results for the insertion phase, insertion, and reflection coefficient of all four designs. Comparison among the measured phase of these designs with 1 mm of the straight CPW line shows that a relatively small phase lag is generated when lines are bent, which is expected due to the parasitic that the bend introduces on the signal path. Comparing the reflection coefficients of Designs 3 and 4 shows an additional valley around 21 GHz in Design 3. In Design 4, the ground is expanded , and hence, it creates an additional path for the traveling waves between points “A” and “B,” as denoted in Fig. 6(b). In Design 3, the only path between points “A” and “B” is the coupling through the substrate, which with presence of the parylene-N layer is negligible. This reduces the bandwidth of Design 4 compared to that of Design 3. Different loss components of these two designs are compared, as shown in Fig. 6(a), and prove to be very similar. The calculated “Loss,” as in (1), represents the radiation and resistive losses in the system Loss

(1)

Electromagnetic simulations in HFSS are carried out to calculate the magnetic current densities on both of these designs,

52

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 5. (a) Top view of the fabricated U-shapes: Design 1–4. (b) Insertion and reflection coefficient of the four depicted U-shapes. (c) Phase responses. TABLE II DETAILS OF U-SHAPED DESIGNS AND THEIR PERFORMANCE

as shown in Fig. 6(b). Even though the current density fades slightly in the midsection of the ground plane of Design 4, its magnitude remains relatively the same with that of the edges of the truncated ground plane (Design 3), and hence, is not completely negligible. This phenomena is important when bent CPW lines are laid out as part of distributed amplifiers. Furthermore, T-junctions using underpasses are designed fabricated and measured. Fig. 7(a) shows a photomicrograph and dimensions of this design with three underpasses. As this configuration has three ports, for measurement purposes, Port 3 is left open and the performance is measured through connecting Ports 1 and 2 to the two ports of the VNA. Leaving Port 3 open makes an open stub, and hence, presents frequency-dependent impedance at the junction. For a loss-less transmission mm away line, the impedance measured at distance from the open circuit is given by the following equation: (2) is the characteristic impedance of the line represents the speed of light, and is the relative dielectric constant of the substrate. Fig. 7(b) illustrates a simplified model for the three-port network. At the frequency where the length of the stub (1.05 mm) is equal to a quarter of the wavelength (38.4 GHz, in this case), the impedance seen at the junction will be a short circuit [in Fig. 7(c)]. Hence, the load seen from Port 1 is an open circuit and all the power is reflected. Fig. 7(d) shows good agreement between the measured and simulated -parameter response of the T-junction.

where

Fig. 6. (a) Radiation, reflection, and insertion losses of Designs 3 (red in online version) and 4 (green in online version). (b) Magnetic flux density on the signal and ground conductors of Designs 3 and 4 at 21 GHz.

LAHIJI et al.: 3-D CMOS CIRCUITS BASED ON LOW-LOSS VERTICAL INTERCONNECTS ON PARYLENE-N

53

Fig. 7. (a) Photomicrograph of the fabricated T-junction. (b) Model of the T-junction seen from Port 1 with Port 2 matched and Port 3 connected to a load with impedance of Z . (c) Simplified model of the T-junction shown in (a). (d) S -parameter measurement (solid lines) and simulation (symbolized lines) of the T-junction when Port 3 is left open.

Fig. 8. (a) Schematic of two overlapped U-shapes separated by 15-m parylene-N layer. (b) Insertion loss (S ) and coupling (S ). (c) Return loss responses at ports 1 and 2 of the top U-shape with and without the presence of the bottom U-shape and their relative locations.

IV. 3-D CIRCUIT DESIGN Vertical transition and CPW discontinuities can be utilized in the design of 3-D distributed circuits. Simulations of undesired coupling and cross-talk effects between two U-shapes shown in Fig. 8(a) were performed to investigate the importance of layout design in a 3-D circuit. Originally, the two U-shapes are located exactly on top of each other. Dimensions of the two structures are slightly different because each CPW structure corresponds to 50- characteristic impedance on their respective suband denote the relative displacement of the top strates. U-shape from its original position. Fig. 8(b) and (c) shows the insertion and return loss and coupling between ports obtained by HFSS simulations, where ports 1–3 are designated in Fig. 8(a). The following three different cases are investigated. Case 1) There is no bottom metallization underneath the top U-shape design. Case 2) Both U-shapes are stacked on top of each other. Case 3) The top U-shaped design is shifted 500 m in the -direction with respect to the bottom U-shaped design. For simplicity of analysis, the underpasses are not present. Case 1) represents the nominal response with insertion loss of about 4.8 dB and reflection of better than 17.5 dB up to 40 GHz. Adding bottom metallization in Case 2) creates extra parasitic capacitances unique to this configuration, which to some extent improves the insertion loss compared to Case 1) by 2.8 dB at 40 GHz. Since some part of energy is stored in this mode and not transferred to the output, the reflection loss increases. To

further justify this phenomenon, more detailed analysis has to be performed, which is not the focus of this study. On the other hand, in Case 3), the response gets even worse due to the existence of parallel-plate modes, which increase the insertion loss significantly. Fig. 8(b) also depicts the simulation response for the coupling between the top and bottom metal, Port 1 and 3, when the CPW lines are exactly on top of each other [Cases 2) and 3)]. Due to the thick low- dielectric layer (15 m of parylene-N) between the layers, the coupling is relatively small and less than 21.5 dB up to 40 GHz for Case 3). For Case 2), however, the coupling is higher by about 10 dB. It is, therefore, important to design the layout of multilayer CPW lines such that the lines are not exactly on top of each other. A. LNA Design An LNA with CMOS transistors is implemented based on the aforementioned 3-D design techniques [13]. For this demonstration, a chip with prefabricated cascode cells along with other circuitry built using 0.13- m CMOS is utilized. Two cascode cells, each using two transistors with 60 fingers and overall width of 120 m, is used. Note that the circuit layout has to be adapted to the shape and distance between the prefabricated cascode transistors. A two-stage amplifier is designed based on 50- characteristic impedance for CPW lines. Agilent Technologies’ Advanced Design System (ADS) is used to optimize the response to obtain a stable amplifier with optimum noise, gain, and input matching performance. The dimensions of pads

54

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

connected to the cascode devices were initially designed for characterization of the devices with 150- m-pitch probes and are 107 m 135 m. These pads introduce an extra parasitic capacitance of about 0.1 pF between the pad and substrate (with a 5- m-thick BEOL oxide). B. Parasitic Consideration, Measurement, and Analysis In order to better characterize the effect of the layout on the overall performance of the circuit, the parasitic effects of 3-D components that do not exist in a traditional 2-D CMOS design must be considered. The 3-D parasitic effects that are considered here are as follows. 1) Parasitic capacitance to substrate due to connection pads required for each active component (Fig. 9). 2) Parasitic inductance of the vertical interconnects through vias, as shown in Fig. 9(b). 3) Capacitive coupling between the top metal layer of the CMOS chip and metallization on parylene-N [see Fig. 9(b)]. 4) Capacitive coupling ( 1 pF with a 5- m-thick BEOL oxide and 3–7- m polyamide) introduced at the gate of second stage by the large decoupling capacitance [ with an area of 453 m 427 m and a value of 4.2 pF, Fig. 9(a)]. 5) Inductive and capacitive effects due to long and narrow metal trace of the fabricated dc-bias line connected to the gate of M3 [enlarged view shown in Fig. 9(a)]. 6) Capacitive parasitic loading due to parylene-N coating and continuous metallization over the active area of the chip, as shown in Fig. 9(b). 7) Capacitive and inductive effects of the underpasses, seen in Fig. 9(a), that are used for balancing the bends and T-junctions of the CPW transmission lines. These parasitic effects are simulated using HFSS and then added to the circuit design software (ADS). For HFSS simulation, the layout is broken into smaller pieces and each section is simulated individually. The effect of the top metallization of the CMOS chip is case dependent and unique to the design. In this case, due to complexity of these simulations, the results could not be integrated with other effects [mentioned above in 1)–7)]. However, based on these results, the ultimate measured response is predictable [25]. It is very important to consider this type of simulations to even out any unforeseen effects of such parasitic components. Fig. 10 summarizes these simulations and shows partial role of each parasitic components in the overall performance of the circuit. Plot “A” shows the near ideal response of the circuit if none of the 3-D parasitic effects mentioned above existed. The effects of the connection pads are included in this simulation, while ideal transmission lines are used in ADS for simulating this case. Next, the layout of the circuit is broken in to smaller sections including the underpasses and vertical vias through parylene-N. These sections are simulated individually using HFSS. By putting all the components together in ADS, the overall effect can be seen from curve “B.” These effects have caused a 750 MHz down shift in frequency as well as 4.5-dB additional loss in the overall gain of the amplifier from the ideal case. Next, the effect of the parasitic is integrated in the capacitance due to large metal plate of

Fig. 9. (a) Photograph of the fabricated 3-D tuned amplifier and its top view along with the enlarged section which shows the connections of CPW lines and dc-bias line to the CMOS cascode cell. (b) 3-D view of the CMOS cascode cell showing the connections pads (top metal layer of CMOS), vias (through parylene-N), and metallization involved in the process. From [13].

Fig. 10. Simulation response considering the effect of various parasitic components introduced each at a time: (1) pads, (2) vias, (3) top metallization of CMOS, (4) decoupling capacitance (CP), (5) dc bias line, (6) parylene-N and metal coating over active area, and lastly, (7) underpasses. From [13].

response, which shifts down the peak of the response by another 250 MHz while the gain is about 11.3 dB. On the other hand, the inductive dc-bias line and parasitic capacitance between the top metal on parylene-N and the active area of CMOS chip [shown in Fig. 9(b)] degrades the shape of the gain as shown by curve “D” of Fig. 10. Coupling between the top metal of the CMOS chip and the post-fabricated metallization also causes additional shift in the frequency response of the amplifier and brings the response closer to what have been measured as peak gain of

LAHIJI et al.: 3-D CMOS CIRCUITS BASED ON LOW-LOSS VERTICAL INTERCONNECTS ON PARYLENE-N

13 dB at 1.96 GHz [25]. These sets of simulations provide an excellent prediction of the response of the 3-D circuit. Under V, V, the bias conditions of: V, and mA, a forward gain of 13 dB at 1.96 GHz is measured. Total power dissipation is 37.4 mW. The 3-dB bandwidth of the amplifier is extended from 1.7 to 2.2 GHz (500 MHz). A minimum noise figure (NF) of 3.3 dB at 2 GHz is measured, while the input referred 1-dB compression point of 7.3 dBm, which corresponds to an output 1-dB compression point of 4.6 dBm is measured [13]. V. CONCLUSION In this study, parylene-N is used as a dielectric material to elevate the coplanar transmission lines away from the lossy CMOS substrate. An improvement of about 70% in the insertion-loss performance of the CPW line is achieved. This technology is fully compatible with CMOS processes and the dielectric deposition occurs at room temperature. It is shown that by using a simple air-bridgeless technique the performance of the bent transmission lines used in distributed MMICs is improved. A novel 3-D circuit is demonstrated in this study. 3-D design challenges, measurements, and fabrication techniques are discussed through implementing a 3-D LNA with a measured gain of 13 dB at 2 GHz and a 3-dB bandwidth of 500 MHz. The implemented 3-D LNA with distributed two-stage cascode architecture shows an NF of 3.3 dB and output-referred 1-dB compression point of 4.6 dBm at 2 GHz. Applying 3-D design techniques shown here fully utilizes the high performance of CMOS transistors without degradation due to substrate losses and crosstalk. This also yields a smaller footprint for the active devices, and hence, reduces the overall size and even the cost of the system despite an additional post-fabrication technology. REFERENCES [1] J. Aguirre, C. Plett, and P. Schvan, “A 2.4 Vp-p output, 0.045–32.5 GHz CMOS distributed amplifier,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2007, pp. 427–430. [2] H. Shigematsu, T. Hirose, F. Brewer, and M. Rodwell, “Millimeterwave CMOS circuit design,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 472–477, Feb. 2005. [3] A. Arbabian and A. M. Niknejad, “A broadband distributed amplifier with internal feedback providing 660 GHz GBW in 90 nm CMOS,” in IEEE Int. Solid-State Circuits Conf., Feb. 2008, pp. 196–198. [4] D. Weon, J.-I. Kim, J.-H. Jeon, S. Mohammadi, and L. P. B. Katehi, “High performance micro-machined inductors on CMOS substrate,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 1–4. [5] D. Weon and S. Mohammadi, “High performance 3-D helical RF transformers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1897–1900. [6] K. Hettak, M. G. Stubbs, K. Elgaid, and G. Thayne, “Design and characterization of elevated CPW and thin film microstrip structures for millimeter-wave applications,” in IEEE Eur. Microw. Conf., Oct. 2005, vol. 2, pp. 881–884. [7] Y. Kwon, H. T. Kim, J. H. Park, and Y. K. Kim, “Low-loss micromachined inverted overlay CPW lines with wide impedance ranges and inherent airbridge connection capability,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 59–61, Feb. 2001. [8] G. E. Ponchak, A. Margomenos, and L. P. B. Katehi, “Low-loss CPW on low-resistivity Si substrate with a micromachined polyimide interface layer for RFIC interconnects,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 866–870, May 2001.

55

[9] G. Six, M. Vanmackelberg, H. Happy, G. Dambrine, S. Boret, and D. Gloria, “Transmission lines on low resistivity silicon substrate for MMICs applications,” in IEEE Eur. Microw. Conf., Oct. 2001, vol. 1, pp. 1–4. [10] D. P. Newlin, A.-V. H. Pham, and J. E. Harriss, “Development of low loss organic-micromachined interconnects on silicon at microwave frequencies,” IEEE Trans. Compon. Packag. Technol., vol. 25, no. 3, pp. 506–510, Sep. 2002. [11] J. Jeon, E. J. Inigo, M. T. Reiha, T. Choi, Y. Lee, S. Mohammadi, and L. P. B. Katehi, “The effect of low-K dielectrics on RFIC inductors,” in IEEE Eur. Microw. Conf., Oct. 2003, vol. 1, pp. 53–56. [12] R. L. Hubbard, Z. Fathi, and I. Ahmad, “Low temperature curing of polyimide wafer coatings,” in IEEE Electron. Manuf. Technol. Symp., Jul. 2004, vol. 1, pp. 149–151. [13] R. R. Lahiji, H. Sharifi, L. P. B. Katehi, and S. Mohammadi, “Design and implementation of a novel three dimensional CMOS distributed LNA using parylene-N,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 589–592. [14] H. Sharifi, R. R. Lahiji, H.-C. Lin, P. D. Ye, L. P. B. Katehi, and S. Mohammadi, “Characterization of parylene-N as flexible substrate and passivation layer for microwave and millimeter-wave integrated circuits,” IEEE Trans. Adv. Packag., vol. 32, no. 1, pp. 84–92, Feb. 2009. [15] R. Callahan, G. Raupp, and S. Beaudoin, “Etching parylene-N using a remote oxygen microwave plasma,” J. Vac. Sci. Technol. B, Microelectron., vol. 20, no. 5, pp. 1870–1877, Sep. 2002. [16] H. Sharifi, T.-Y. Choi, and S. Mohammadi, “Self-aligned wafer-level integration technology with high-density interconnects and embedded passives,” IEEE Trans. Adv. Packag., vol. 30, no. 1, pp. 11–18, Feb. 2007. [17] R. R. Lahiji, H. Sharifi, S. Mohammadi, and L. P. B. Katehi, “Lowloss coplanar waveguide transmission lines and vertical interconnects on multi-layer parylene-N,” in Proc. IEEE Silicon Monolithic Integr. Circuits RF Syst. Top. Meeting, Jan. 2009, pp. 197–200. [18] R. R. Lahiji, K. J. Herrick, Y. Lee, A. Margomenos, S. Mohammadi, and L. P. B. Katehi, “Multi-wafer vertical interconnects for three dimensional integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2699–2706, Jun. 2006. [19] N. Dib, M. Gupta, G. Ponchak, and L. P. B. Katehi, “Effects of ground equalization on the electrical performance of asymmetric CPW shunt stubs,” in IEEE MTT-S Int. Microw. Symp. Dig., 1993, vol. 2, pp. 701–704. [20] R. N. Simons and G. E. Ponchak, “Modeling of some coplanar waveguide discontinuities,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1796–1803, Dec. 1988. [21] S. Visan, O. Picon, and V. F. Hanna, “3D characterization of air bridges and via holes in conductor-backed coplanar waveguide for MMIC applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 1993, vol. 2, pp. 709–712. [22] A. Siligaris, C. Mounet, B. Reig, and P. Vincent, “CPW and discontinuities modeling for circuit design up to 110 GHz in SOI CMOS technology,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2007, pp. 295–298. [23] A. A. Omar and Y. L. Chow, “Coplanar waveguide with top and bottom shields in place of air bridges,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 9, pp. 1559–1563, Sep. 1993. [24] J. W. Colby and L. E. Katz, “Boron segregation at Si–SiO2 interface as a function of temperature and orientation,” J. Electrochem. Soc., vol. 123, no. 3, pp. 409–412, Mar. 1976. [25] R. R. Lahiji, “3D integration and its application for distributed circuits and systems,” Ph.D. dissertation, Dept. Elect. Comput. Eng., Purdue Univ., West Lafayette, IN, 2009.

Rosa R. Lahiji (S’97–M’07) received the B.S. degree in electrical engineering from the University of Tehran, Tehran, Iran, in 2000, the M.S. degree from The University of Michigan at Ann Arbor, in 2003, and the Ph.D. degree from Purdue University, West Lafayette, IN, in 2009. She is currently a Research Associate with Case Western Reserve University, Cleveland, OH, and a Post-Doctoral Fellow with the West Wireless Health Institute, La Jolla, CA. Her research interests include RF and microwave circuits, microelectromechanical systems (MEMS), 3-D integration, advanced packaging techniques, and wireless circuits and systems for medical and health applications.

56

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Hasan Sharifi (S’05–M’06) received the Ph.D. degree in electrical engineering in the areas of microelectronics and nanotechnology from Purdue University, West Lafayette, IN, in 2007. He is currently a Member of Technical Staff with Hughes Research Laboratories (HRL Laboratories), Malibu, CA. He was a Research Staff Member with the Birck Nanotechnology Center, Purdue University. His research interests are in the areas of RF/microwave devices and circuits, 3-D integration and advanced microelectronic packaging, nanoelectronics, and nanofabrication technologies.

Linda P. B. Katehi (S’81–M’84–SM’89–F’95) is currently the Chancellor at the University of California at Davis. She has authored or coauthored over 600 papers published in refereed journals and symposia proceedings, as well as nine book chapters. She holds 13 U.S. patents. Her research is focused on the development and characterization of 3-D integration and packaging of integrated circuits with a particular emphasis on MEMS devices, high- evanescent mode filters, and the theoretical and experimental study of planar circuits for hybrid-monolithic and monolithic oscillators, amplifiers, and mixer applications.

Q

Prof. Katehi is a member of the National Academy of Engineering, the Nominations Committee for the National Medal of Technology, the Kauffman National Panel for Entrepreneurship, the National Science Foundation (NSF) Advisory Committee to the Engineering Directorate, and numerous other engineering and scientific committees. She has been the recipient of numerous national and international technical awards and to distinctions as an educator.

Saeed Mohammadi (S’89–M’92–SM’02) received the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 2000. He is currently an Associate Professor of electrical and computer engineering with Purdue University, West Lafayette, IN. His group is involved in research in RF devices and circuits, RF integration, and nanoelectronic technology. He has authored or coauthored over 100 refereed journal and conference papers in these areas. Dr. Mohammadi was an associate editor for the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS from 2006 to 2008.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

57

A Watt-Level Stacked-FET Linear Power Amplifier in Silicon-on-Insulator CMOS Sataporn Pornpromlikit, Jinho Jeong, Member, IEEE, Calogero D. Presti, Member, IEEE, Antonino Scuderi, and Peter M. Asbeck, Fellow, IEEE

Abstract—A single-stage stacked field-effect transistor (FET) linear power amplifier (PA) is demonstrated using 0.28- m 2.5-V standard I/O FETs in a 0.13- m silicon-on-insulator (SOI) CMOS technology. To overcome the low breakdown voltage limit of MOSFETs, a stacked-FET structure is employed, where four transistors are connected in series so that their output voltage swings are added in phase. With a 6.5-V supply, the measured PA achieves a small-signal gain of 14.6 dB, a saturated output power of 32.4 dBm, and a maximum power-added efficiency (PAE) of 47% at 1.9 GHz. Using a reverse-link IS-95 code division multiple access modulated signal, the PA shows an average output power of up to 28.7 dBm with a PAE of 41.2% while meeting the adjacent channel power ratio requirement. Using an uplink wideband code division multiple access modulated signal, the PA shows an average output power of up to 29.4 dBm with a PAE of 41.4% while meeting the adjacent channel leakage ratio requirement. The stacked-FET PA is designed to withstand up to 9 V of supply voltage before reaching its breakdown limit. This is the first reported stacked-FET linear PA in submicrometer SOI CMOS technology that delivers watt-level output power in the gigahertz frequency range with efficiency and linearity performance comparable to those of GaAs-based PAs. Index Terms—CMOS, code division multiple access (CDMA), power amplifier (PA), silicon-on-insulator (SOI), stacked transistors, wideband code division multiple access (WCDMA).

I. INTRODUCTION

N RECENT years, CMOS technology has become a desirable choice for research on single-chip radio transceivers due to its low cost and high-integration capability. Among other advantages, CMOS power amplifiers (PAs) offer the potential of reducing complexity and cost by enabling the combination of a complete transceiver and digital baseband circuitry on the same chip. However, designing a high-performance RF PA on CMOS remains a challenging task, especially with the ongoing technology scaling to deep submicrometer dimensions [1]–[3]. The critical issues for CMOS PA design are the low breakdown voltage and high knee voltage of the device, which limit

I

Manuscript received April 29, 2009; revised September 14, 2009. First published December 11, 2009; current version published January 13, 2010. S. Pornpromlikit, C. D. Presti, and P. M. Asbeck are with the Department of Electrical and Computer Engineering, University of California at San Diego (UCSD), La Jolla, CA 92093 USA (e-mail: [email protected]; asbeck@ece. ucsd.edu). J. Jeong is with the Department of Electronics and Communications Engineering, Kwangwoon University, Seoul 139-701, Korea. A. Scuderi is with STMicroelectronics s.r.l., 95121 Catania, Italy. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2036323

the allowable drain voltage swing. Various breakdown and degradation mechanisms in submicrometer CMOS include gate oxide breakdown, hot carrier degradation, punch-through, and drain–bulk breakdown, as discussed in [4] and [5]. The gate–oxide breakdown is a catastrophic effect that permanently damages the device while the hot carrier degradation can cause a gradual degradation in the device performance over time. These effects should be avoided by ensuring in the design that the transistor’s drain–gate, gate–source, and drain–source and ) do not exceed pre-specified voltages ( values [5]. To obtain high output power, a typical approach is to increase the device size for higher drain current swing, resulting in lower input impedance, and thus, more difficult input matching design. More severely, the required output impedance transformation ratio from the original load impedance to 50 becomes too high, especially for watt-level output power required in modern wireless applications, resulting in high loss in the output matching network, and thus, low efficiency. Several approaches have been proposed to overcome these limits of CMOS transistors, including cascode and self-biased cascode structures [4], the distributed active transformer [5], [6], and stacked field-effect transistor (FET) PAs [7]–[13]. In [8], a 900-MHz transformer-coupled stacked-FET PA was reported, where on-chip transformers were used in parallel to couple the input signals into series-connected FETs. A similar approach was also demonstrated in HBTs with series input feed [14]. In [9], [10], and [12], high-voltage/high-power (HiVP) PAs were proposed, where several transistors are connected in series to achieve high output voltage swing. Feedback shunt resistors together with appropriate capacitive loading of the gate nodes are used to control voltage swings at the gates of the stacked devices. In [11], a 20-dBm stacked-FET linear PA was demonstrated in a silicon-on-sapphire CMOS process without a feedback resistor. This paper reports a single-stage watt-level stacked-FET linear PA design using 0.28- m 2.5-V standard I/O FETs in a 0.13- m silicon-on-insulator (SOI) CMOS technology, based on a design approach extended from [11]. Several design issues are also discussed in details. In this study, the resistive voltage divider is used to provide proper gate biasing of stacked transistors without a feedback resistor from the drain of the top transistor. The gate biasing of the bottom transistor is also separated so that the gate-bias voltage of each stacked transistor can be optimized without affecting the ac operation. This paper is organized as follows. In Section II, the stacked-FET design concept is introduced and discussed. The circuit details and the layout approach are described in Section III. In Section IV, the experimental results are

0018-9480/$26.00 © 2009 IEEE

58

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 2. Effect of an external gate capacitance on a stacked FET’s source input impedance. TABLE I COMPARISON OF PARALLEL AND STACKED-FET CONFIGURATIONS

Fig. 1. Stacked-FET PA basic structure.

presented. In addition to the continuous-wave (CW) measurement results partially reported in [13], the PA was also tested under modulated input signals, meeting the linearity and the output power requirements with high efficiency for both the reverse-link IS-95 code division multiple access (CDMA) and the uplink wideband code division multiple access (WCDMA) standards. II. STACKED-FET DESIGN CONCEPT

for

A. Basic Operation The stacked-FET structure used in this study is shown in Fig. 1. The circuit is composed of a common-source input transistor and three stacked transistors connected in series so that their output swings are added in phase. Unlike in a cascode configuration where the gate of the common-gate transistor is RF grounded, a relatively small external gate capacitance and ) is introduced to allow an RF swing at the gate ( of each stacked transistor. The external gate capacitance and of each stacked transistor the gate-to-source capacitance form a capacitive voltage divider to produce the proper in-phase voltage swing at the gate and drain. This approach systematically reduces the drain–gate and drain–source voltage swings of both the common-source and common-gate transistors under large-signal operation, unlike in a cascode structure where the drain–gate voltage swing of the common-gate transistor becomes the bottleneck [4]. is As shown in a simplified model in Fig. 2, assuming of a stacked transistor is large, the source input impedance influenced by the value of the external gate capacitor relative to its gate–source capacitance according to

for

The small-signal voltage gain of the PA can be derived as

(1)

(2)

For a low-frequency design where the carrier frequency is much lower than the cutoff frequency of the device and are approximately purely resistive and all four . devices in series share the same constant current swing The values of external capacitors ( – ) should be set such and are and , respectively, that and the optimum output load impedance is . This ensures that each transistor has the same drain–source, drain–gate, and gate–source voltage swings while the absolute voltage swings with respect to ground increase as one moves up the ladder. For a high-frequency design, however, the reactive compo– ) due to becomes signent of the load impedance ( nificant and the gain is degraded somewhat as implied by (2). The four transistors will also see slightly different drain currents , resulting in more diffidue to currents leaking out through cult waveform shaping to achieve high-efficiency operation on all transistors simultaneously. B. Stacked-FET Versus Parallel Table I compares some important parameters of PAs using parallel and stacked-FET configurations. Compared to the -parallel-FET PA with the same output power and dc power, the -stacked-FET PA has times higher voltage gain and power gain. The input and output impedances are times and times higher, respectively, resulting in improved input and output matching bandwidths and less power loss in the output matching network.

PORNPROMLIKIT et al.: WATT-LEVEL STACKED-FET LINEAR PA IN SOI CMOS

59

and a short stub was used to transseries capacitor form the external 50- load to the optimum load. The on-board drain bias circuit included a quarter-wavelength microstrip line with a 39-pF shunt capacitor to ground, providing a short circuit at successive even harmonics. To achieve the highest drain efficiency when the PA is driven into saturation, the drain current can be shaped like a half-sine wave and the drain–source voltage can be shaped like a square wave such that the voltage and the current waveforms do not overlap, minimizing power dissipation in the device. This waveform-shaping scheme can be accomplished ideally by properly terminating odd harmonics with an open circuit and terminating even harmonics with a short circuit. It has also been demonstrated in the literature that properly terminating only the first few harmonics is sufficient to achieve high drain efficiency [16]–[18]. However, the efficiency improvement is limited in practice by the topmost transistor’s drain–source and the bond-wire inductance, which need to capacitance be absorbed properly, as well as the fact that each stacked transistor is presented with a different load impedance. Moreover, without proper harmonic terminations at the gate, the input [16]. signal is not purely sinusoidal due to the nonlinear Fig. 3. Circuit schematic of the single-stage stacked-FET PA.

III. STACKED-FET LINEAR PA DESIGN A single-stage stacked-FET deep class-AB PA was designed to operate primarily at 1.9 GHz using 0.28- m 2.5-V standard I/O FETs available in the STMicroelectronics 0.13- m SOI CMOS process. The overall circuit diagram is shown in Fig. 3. Four transistors are stacked in series, based on the topology presented in Section II. Each transistor has the total gatewidth of 5 mm so the total device gatewidth in the amplifier is 20 mm. To avoid the device breakdown, the drain-to-source voltage of each V), transistor should be limited to 4.5 V ( – allowing a maximum voltage swing of 18 V at the top drain node with a 9-V drain bias. For a higher margin of safety, the PA was designed to achieve linear amplification up to the required output power under a 6.5-V supply. The external gate capacitances ( – ) are 9, 2.6, and 2 pF, respectively, setting the optimum load impedances seen by each transistor. For flexibility, the gate-bias voltages of each stacked device are implemented by an off-chip resistive voltage divider – feedand applied through 1-k on-chip resistors. The back circuit is introduced to improve stability. The simulated Rollett stability factor is greater than 1 for all frequencies between dc and 50 GHz, except for the frequency band from 6.4 to 7.6 GHz, where stability is ensured in the simulation ( and ) for the designed input and output matching conditions [15]. in Fig. 1) is The optimum output load impedance ( 11.5 , which lies in a convenient range to match to 50 over broad bandwidth with high efficiency. The input impedance is 17.5 , a comparatively large value corresponding to that of a single 5-mm transistor. The input and output matching circuits are implemented off-chip in this work to allow opportunities for varying the tuning. A high-pass L-match section, consisted of a

A. Gate-Bias Setting Special consideration is needed when setting the gate bias – ) for a high-voltage voltages of the stacked devices ( design. The dc drain current is determined by the bottom device and increases with the RF power level due to the class-AB bias setting. Since the gate bias voltages are fixed by the resistive voltage divider, the source bias voltages of the stacked devices must decrease to accommodate higher dc current, resulting in an early breakdown in the topmost device and an early compression in the bottom device, as illustrated in Fig. 4(a). A simple solution is to offset the gate bias voltages of the stacked devices properly so that all four devices have equal voltage headroom close to the saturation point, as shown in Fig. 4(b), thereby improving operation. For an -stacked PA, the gate bias voltage of the th-stacked device should be set as (3) where is the dc gate-to-source voltage of the th-stacked device at the saturating power. Fig. 5(a) and (b) show the simulated drain, gate, drain-to-source, drain-to-gate voltage waveforms and dynamic load lines of each FET at the saturating output power under 6.5- and 9-V supply voltages, reand swings for the different spectively, illustrating that devices are close to one another and within the breakdown limit. Note that the gate-bias voltage of the bottom device is not a part of the resistive voltage divider and can be adjusted separately to improve gain flatness for better linearity. A deep class-AB PA can exhibit good linearity over a wide dynamic range with a “sweet-spot” gate bias voltage [18], where gain compression of AM–AM conversion due to decreasing conduction angle is properly compensated by gain expansion due to increasing drain-bias current.

60

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 4. Simulated dc gate-to-source voltage and dc drain-to-source voltage of each FET as a function of input power. (a) Without gate-bias offsets. (b) With proper gate-bias offsets.

Fig. 6. Simplified schematics of stacked NMOS transistors using different process technologies. (a) Partially depleted SOI CMOS used in this study. (b) Bulk CMOS. (c) Triple-well CMOS.

, the drain efficiency factor due to matching network loss can be estimated as (4) %

(5)

The on-chip output matching network for a conventional common-source design delivering the same output power level would yield a drain without device stacking efficiency factor of only 59.2%. The stacked-FET configuration is clearly an attractive technique for realizing a fully integrated PA in the absence of low-loss passive components. C. SOI CMOS Versus Standard CMOS

Fig. 5. Simulated drain, gate, drain-to-source, drain-to-gate voltage waveforms, and dynamic load lines of each FET at the saturated output power. : V. (b) V V. (a) V

=65

=9

B. Fully Integrated Design Possibility One of the main advantages in using the stack-FET technique is the much lower impedance transformation ratio relative to the conventional approach, which makes a low-loss on-chip matching network possible. As an example, if the output matching is done on-chip with an on-chip inductor

The partially depleted SOI CMOS technology used in this study is particularly attractive for the stacked-FET technique due to its lack of body effect and relatively small parasitic junction capacitance. As shown in a simplified model in Fig. 6(a), body effect can be avoided by connecting the p-well of each stacked transistor to its source. By contrast, in bulk CMOS, as illustrated in Fig. 6(b), source–body capacitance and body effect progressively reduce gain of the transistors in the upper sections of the stack. Moreover, the maximum allowable supply voltage is limited by the breakdown voltage of the drain–bulk junction . diode An alternative solution is to use a triple-well CMOS process, as shown in Fig. 6(c), where the p-well of each transistor can be tied to its source to avoid body effect. Obviously, the n-iso layer should also be tied to the source to prevent the p-well/n-iso

PORNPROMLIKIT et al.: WATT-LEVEL STACKED-FET LINEAR PA IN SOI CMOS

61

Fig. 9. Measured gain, PAE, and output power as a function of input power with a CW input at 1.9 GHz.

Fig. 7. Layout diagram of stacked-FET unit cells and interconnects.

Fig. 8. Microphotograph of the fabricated stacked-FET PA.

junction diode from turning on. Nevertheless, the maximum allowable supply voltage is still limited in this case by . the breakdown voltage of the n-iso/p-sub junction diode

Fig. 10. Measured and simulated gain, PAE, and dc current as a function of output power with a CW input at 1.9 GHz.

D. Layout Approach The partial layout diagram of unit cells and interconnects is illustrated in Fig. 7. One metal layer is dedicated as a ground plane to minimize on-chip ground inductance. A unit cell is composed of four gate fingers with a shared silicon active area, thus effectively producing four stacked FETs. Each finger has its body directly tied to its source. In this way, the body terminals float in phase with the respective sources, thus avoiding the drain–bulk breakdown as well. The drain of the top finger is then connected to one of the large drain lines, which flow from the input side to the output side of the chip in parallel with the gate distribution lines. On the other hand, the source of the bottom finger is connected directly to the ground plane through multiple vias. This distributed layout approach results in a highly compact layout with improved on-chip heat distribution and minimized parasitic components within the structure. A microphotograph of the fabricated PA is shown in Fig. 8, occupying an area of 0.43 mm 1.56 mm, including bond pads. The chip is made narrow to minimize drain line inductance and resistance, as well as to minimize the phase difference between the unit amplifier cells closer to the input side and the ones closer to the output side. IV. MEASUREMENT RESULTS For improved heat flow and reduced bond-wire inductance, the test chip was thinned down to approximately 150 m of substrate thickness. It was then mounted on a coplanar waveguide

Fig. 11. Measured PAE as a function of output power with supply voltage variation at 1.9 GHz.

printed circuit board (PCB) with substrate relative dielectric constant of 3.38. Eleven bond pads were used for wire bonding to the PCB ground. All measurements are referred to the PCB connectors. A. Continuous Wave (CW) Measurements The PA was tested under a CW input at 1.9 GHz and a supply voltage of 6.5 V. The input bias voltage was 0.42 V, resulting in a bias quiescent current of 54 mA, chosen for the best AM–AM characteristic. The measurement results are illustrated in Figs. 9 and 10 in line with the simulated results. The measured smallsignal gain is 14.6 dB, with a fairly flat gain roll-off. At the 1-dB compression point, the output power is 30.8 dBm with a 46.1% power-added efficiency (PAE). A maximum PAE as high as 47% is achieved at a 31.6-dBm output power, whereas the saturated

62

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 12. Measured drain efficiency and output power at saturation as a function of frequency.

Fig. 15. Measured IS-95 CDMA output spectra at P

= 28:7 dBm.

Fig. 13. Measured gain, PAE, and dc current as a function of output power with a CW input at 900 MHz.

Fig. 16. Measured ACLRs and PAE performance as a function of average output power using an uplink WCDMA input signal at 1.9 GHz.

Fig. 14. Measured ACPR and PAE performance as a function of average output power using a reverse-link IS-95 CDMA input signal at 1.9 GHz.

output power reaches 32.4 dBm. High power efficiency (PAE 40%) is also maintained over a wide range of supply voltages (4.5–9 V) with constant load impedance, as shown in Fig. 11. To test its robustness under a high supply voltage as designed, the PA was continuously operated at the peak output power, under a 9-V supply. No performance degradation was observed after one week. Fig. 12 shows that, with a fixed matching circuitry, the saturated output power is maintained above 31 dBm and the drain efficiency is above 40% for the entire digital communication system (DCS), personal communication system (PCS), and Universal Mobile Telecommunications System (UMTS) frequency bands. In addition, the PA was tested in the low-frequency band at 900 MHz with a reconfigured matching circuitry. As illustrated in Fig. 13, a small-signal gain of 19.3 dB is achieved with

Fig. 17. Measured WCDMA output spectra and ACLRs at P

= 29:4 dBm.

a saturated output power of 29.5 dBm and a maximum PAE of 53%. B. IS-95 Measurements Fig. 14 shows the measured adjacent channel power ratio (ACPR), alternate channel power ratio (ACPR2), and PAE performance of the PA as a function of average output power using a reverse-link IS-95 CDMA signal at 1.9 GHz. The ACPR and ACPR2 were measured at 885-kHz and 1.98-MHz offsets, respectively, from the center frequency. The modulated input signal has a chip rate of 1.2288 Mc/s and a peak-average power ratio (PAPR) of 4.5 dB. The measured ACPR is below the 42-dBc requirement up to an average output power of

PORNPROMLIKIT et al.: WATT-LEVEL STACKED-FET LINEAR PA IN SOI CMOS

63

TABLE II PERFORMANCE COMPARISON OF RECENTLY REPORTED WCDMA HANDSET PAs

28.7 dBm and a PAE of 41.2%. The measured output spectra dBm is shown in Fig. 15 where the PAPR is at reduced to 3 dB and the ACPR2 is below 58 dBc, meeting the 54-dBc requirement. C. WCDMA Measurements Fig. 16 shows the measured adjacent channel leakage ratios (ACLRs) and PAE performance of the PA as a function of average output power using an uplink WCDMA signal at 1.9 GHz. The ACLRs were measured at 5- and 10-MHz offsets from the center frequency. The modulated input signal has a chip rate of 3.84 Mc/s and a PAPR of 2.58 dB. The measured ACLR at -dBc requirement up to an av5-MHz offset is below the erage output power of 29.4 dBm and a PAE of 41.4%. The meadBm is shown in Fig. 17 sured output spectra at where the PAPR is reduced to 2.08 dB and the ACLR at 10-MHz offset is below 52 dBc, meeting the 43-dBc requirement. Table II compares the performance of recently reported WCDMA handset PAs. The implemented CMOS PA clearly exhibits efficiency and linearity performance comparable to those of GaAs-based PAs. V. CONCLUSION A single-stage stacked-FET linear PA has been demonstrated providing watt-level output power in the gigahertz frequency range using 0.28- m 2.5-V standard I/O FETs available in a 0.13- m SOI CMOS technology. To solve the low breakdown voltage problem, the stacked-FET technique is employed to allow the use of higher supply voltage, resulting in a much lower impedance transformation ratio. The implemented PA achieves 47% maximum PAE and delivers 32.4-dBm saturated output power at 1.9 GHz with a 6.5-V supply. Using a reverse-link IS-95 CDMA modulated signal, the PA shows an average output power of up to 28.7 dBm with a PAE of 41.2% while meeting the ACPR requirement. Using an uplink WCDMA modulated signal, the PA shows an average output power of up to 29.4 dBm with a PAE of 41.4% while meeting the ACLR requirement. The stacked-FET technique is clearly

an attractive solution for designing high-efficiency linear PAs in advanced deep-submicrometer CMOS technology where the breakdown limit is much lower than the standard supply voltage. ACKNOWLEDGMENT The authors would like to thank D. Kimball, CalIT2, La Jolla, CA, for his support. REFERENCES [1] R. Moazzami et al., “Projecting gate oxide reliability and optimizing reliability screens,” IEEE Trans. Electron Devices, vol. 37, no. 6, pp. 1643–1650, Jun. 1997. [2] Y. Taur et al., “CMOS scaling into the nanometer regime,” Proc. IEEE, vol. 85, no. 4, pp. 486–504, Apr. 1997. [3] M. Kimura, “Field and temperature acceleration model for time-dependent dielectric breakdown,” IEEE Trans. Electron Devices, vol. 46, no. 1, pp. 220–229, Jan. 1999. [4] T. Sowlati and D. M. W. Leenaerts, “A 2.4-GHz 0.18-m CMOS selfbiased cascode power amplifier,” IEEE J. Solid-State Circuits, vol. 38, no. 8, pp. 1318–1324, Aug. 2003. [5] I. Aoki, S. D. Kee, R. Magoon, R. Aparicio, F. Bohn, J. Zachan, G. Hatcher, D. McClymont, and A. Hajimiri, “A fully integrated quadband GSM/GPRS CMOS power amplifier,” IEEE J. Solid-State Circuits, vol. 43, no. 12, pp. 2747–2758, Dec. 2008. [6] I. Aoki, S. D. Kee, D. B. Rutledge, and A. Hajimiri, “Fully integrated CMOS power amplifier design using the distributed active-transformer architecture,” IEEE J. Solid-State Circuits, vol. 37, no. 3, pp. 371–383, Mar. 2002. [7] M. Shifrin, Y. Ayasli, and P. Katzin, “A new power amplifier topology with series biasing and power combining of transistors,” in IEEE Microw. Millimeter-Wave Monolithic Circuits Symp. Dig., Jun. 1992, pp. 39–41. [8] J. G. McRory, G. G. Rabjohn, and R. H. Johnston, “Transformer coupled stacked FET power amplifiers,” IEEE J. Solid-State Circuits, vol. 34, no. 2, pp. 157–161, Feb. 1999. [9] A. K. Ezzeddine and H. C. Huang, “The high voltage/high power FET,” in IEEE RFIC Symp. Dig., Jun. 2003, pp. 215–218. [10] A. K. Ezzeddine and H. C. Huang, “Ultra-broadband GaAs HIFET MMIC PA,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1320–1323. [11] J. Jeong, S. Pornpromlikit, P. M. Asbeck, and D. Kelly, “A 20 dBm linear RF power amplifier using stacked silicon-on-sapphire MOSFETs,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 12, pp. 684–686, Dec. 2006. [12] L. Wu, I. Dettmann, and M. Berroth, “A 900-MHz 29.5-dBm 0.13-m CMOS HiVP power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 9, pp. 2040–2045, Sep. 2008.

64

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

[13] S. Pornpromlikit, J. Jeong, C. D. Presti, A. Scuderi, and P. M. Asbeck, “A 33-dBm 1.9-GHz silicon-on-insulator CMOS stacked-FET power amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 533–536. [14] M.-F. Lei, Z.-M. Tsai, K.-Y. Lin, and H. Wang, “Design and analysis of stacked power amplifier in series-input and series-output configuration,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2802–2812, Dec. 2007. [15] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [16] D. Schmelzer and S. I. Long, “A GaN HEMT class F amplifier at 2 GHz with 80% PAE,” IEEE J. Solid-State Circuits, vol. 42, no. 10, pp. 2130–2136, Oct. 2007. [17] F. H. Raab, “Maximum efficiency and output of class-F power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 1162–1166, Jun. 2001. [18] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 1999. [19] V. Vintola, M. Matilainen, S. Kalajo, and E. Jarvinen, “Variable-gain power amplifier for mobile WCDMA applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2464–2471, Dec. 2001. [20] H. Jager, A. Grebennikov, E. Heaney, and R. Weigel, “Broadband high-efficiency monolithic InGaP/GaAs HBT power amplifiers for 3G handset applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 2002, pp. 1035–1038. [21] N. Srirattana, A. Raghavan, D. Heo, P. Allen, and J. Laskar, “A highefficiency multistage Doherty power amplifier for WCDMA,” in Proc. Radio Wireless Conf., Aug. 2003, pp. 397–400. [22] J. Deng, P. S. Gudem, L. E. Larson, and P. M. Asbeck, “A high averageefficiency SiGe HBT power amplifier for WCDMA handset applications,” IEEE Trans. Microw. Theory Tech., vol. 2, no. 11, pp. 529–537, Feb. 2005. [23] G. Zhang, S. Chang, S. Chen, and J. Sun, “Dual mode efficiency enhanced linear power amplifiers using a new balanced structure,” in IEEE RFIC Symp. Dig., Jun. 2009, pp. 245–248. [24] C. Wang, M. Vaidyanathan, and L. E. Larson, “A capacitance-compensation technique for improved linearity in CMOS class-AB power amplifiers,” IEEE J. Solid-State Circuits, vol. 39, no. 11, pp. 1927–1937, Nov. 2004.

>

Sataporn Pornpromlikit received the B.S. and M.Eng. degrees in electrical engineering and computer science from the Massachusetts Institute of Technology (MIT), Cambridge, in 2002 and 2004, respectively, and is currently working toward the Ph.D. degree at the University of California at San Diego (UCSD), La Jolla. From 2000 to 2003, he held several internships with the IBM T. J. Watson Research Center, Yorktown Heights, NY, where he was involved in the embedded low-power digital signal processor project. His current research interests include CMOS RF PAs, digital transmitters and low-power digital integrated circuits. Mr. Pornpromlikit was the recipient of the Second Place Best Student Paper Award presented at the 2009 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).

Jinho Jeong (S’00–M’05) received the B.S., M.S., and Ph.D. degrees in electrical engineering from Seoul National University, Seoul, Korea, in 1997, 1999 and 2004, respectively. From 2004 to 2007, he was with the University of California at San Diego (UCSD), La Jolla, as a Post-Doctoral Scholar, where he was involved with the design of high-efficiency PAs. Since 2007, he has been with the Department of Electronics and Communications Engineering, Kwangwoon University, Seoul, Korea. His research interests include millimeter-wave power combiners, large-signal modeling of microwave transistors and monolithic microwave integrated circuits (MMICs)/opto-electronic integrated circuits (OEICs), high-efficiency/high-linearity PAs, CMOS PAs, and digital transmitters.

Calogero D. Presti (S’05–M’08) was born in Caltanissetta, Italy, in 1981. He received the Laurea degree in electronics engineering (cum laude) and Ph.D. degree in electronics and automation engineering from the University of Catania, Italy, in 2005 and 2008, respectively, and the Diploma degree (cum laude) from the Scuola Superiore di Catania, Catania, Italy, in 2005. From 2004 to 2005, he was with the Center for Materials and Technologies for Information and Communication Science (MATIS CNR-INFM), Catania, Italy, where he was involved in the modeling of nanostructured materials and the design of 2-D photonic crystals for silicon-based opto-electronics. In 2005, he joined the Radio Frequency Advanced Design Center (RF-ADC), Catania, Italy, a joint research center supported by the University of Catania and STMicroelectronics s.r.l., where his doctoral research was focused on CMOS PAs, RF switches, device reliability, and digital predistortion. Since 2009, he has been a Postdoctoral Researcher with the High Speed Device Group, University of California at San Diego (UCSD), La Jolla, where he is involved with PAs for handset transmitters.

Antonino Scuderi was born in Catania, Italy, in 1972. He received the Laurea degree in electronics engineering (cum laude) and Ph.D. degree in electronics and automation engineering from the University of Catania, Catania, Italy, in 1997 and 2006, respectively. From 1999 to 2005, he was with the Radio Frequency Advanced Design Center (RF-ADC), a joint research center supported by the University of Catania and STMicroelectronics s.r.l., Catania, Italy, where he managed the STMicroelectronics RF PA advanced design team. Since 2006, he has been with STMicroelectronics s.r.l., where he is Sector Manager for RF power developments. He has authored over 30 scientific papers. He holds several industrial patents. His current research interest concern high-efficiency PAs, over-voltage protection techniques, voltage standing-wave ratio (VSWR) tolerant amplifiers, amplifier linearization techniques, and Si/SiGe bipolar/CMOS/SOI/GaN power devices.

Peter M. Asbeck (M’75–SM’97–F’00) received the B.S. and Ph.D. degrees from the Massachusetts Institute of Technology (MIT), Cambridge, in 1969 and in 1975. He was with the Sarnoff Research Center, Princeton, NJ, and Philips Laboratory, Briarcliff Manor, NY, during which time he was involved in the areas of quantum electronics and GaAlAs/GaAs laser physics. In 1978, he joined the Rockwell International Science Center, where he was involved in the development of high-speed devices and circuits using III–V compounds and heterojunctions. He pioneered efforts to develop heterojunction bipolar transistors based on GaAlAs/GaAs and InAlAs/InGaAs materials. In 1991, he joined the University of California at San Diego (UCSD), La Jolla, where he is the Skyworks Chair Professor with the Department of Electrical and Computer Engineering. He has authored or coauthored over 350 publications. His research interests are in development of high performance transistor technologies and their circuit applications. Dr. Asbeck is a member of the National Academy of Engineering (NAE). He has been a Distinguished Lecturer with the IEEE Electron Device Society and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He was the recipeint of the 2003 IEEE David Sarnoff Award for his work on heterojunction bipolar transistors.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

65

A 9-pJ/Pulse 1.42-Vpp OOK CMOS UWB Pulse Generator for the 3.1–10.6-GHz FCC Band Sylvain Bourdel, Yannick Bachelet, Jean Gaubert, Member, IEEE, Rémy Vauché, Olivier Fourquin, Nicolas Dehaese, and Hervé Barthélemy, Member, IEEE

Abstract—This paper presents the design of a fully integrated ultra-wideband (UWB) pulse generator for the Federal Communications Commission (FCC) 3.1–10.6-GHz band. This generator is reserved for medium rate applications and achieves pulses for an on–off keying (OOK) modulation, pulse position modulation, or pulse interval modulation. This UWB transmitter is based on the impulse response filter method, which uses an edge combiner in order to excite an integrated bandpass filter. The circuit has been integrated in an ST-Microelectronics CMOS 0.13- m technology with 1.2-V supply voltage and the die size is 0.54 mm2 . The pulse generator power consumption is 9 pJ per pulse and achieves a peak to peak magnitude of 1.42 V. The pulse is FCC compliant and the generator can be used with a rate up to 38 Mbs 1 with an OOK modulation. Based on the FCC power spectral density limitation, a sizing method is also presented. Index Terms—Federal Communications Commission (FCC), impulse radio (IR), pulse generation, pulse-shaping circuit, transmitters, ultra-wideband (UWB).

I. INTRODUCTION

S

INCE THE Federal Communications Commission (FCC) has authorized communication in the 3.1–10.6-GHz frequency band, several technologies have been developed to satisfy the communication market. These technologies are more or less suited to the numerous applications generally encountered. Typically, orthogonal frequency division multiplexing (OFDM) techniques appear to be good candidates for high speed data communication, whereas impulse radio (IR) is a good solution for low-cost systems or positioning systems. In the case of IR systems, due to the applications, the most limiting characteristics of the system are the circuit cost production, power consumption, and operating range. For the emission stage, those constraints mean that the transmitter must be designed using low-cost technologies such as CMOS and must consume a small amount of power while generating pulses with large magnitude.

Manuscript received July 13, 2009; revised September 25, 2009. First published December 01, 2009; current version published January 13, 2010. This work was supported in part by Aix Marseille University and by CNRS. S. Bourdel, J. Gaubert, R. Vauché, O. Fourquin, N. Dehaese, and H. Barthélemy are with the Micro and Nano Electronic Department, Aix-Marseille University, IM2NP, F-13451 Marseille, France, and also with CNRS, IM2NP (UMR 6242), F-13397 Marseille, France (e-mail: [email protected]; [email protected]; [email protected]; olivier. [email protected]; [email protected]; [email protected]). Y. Bachelet was with the Micro and Nano Electronic Department, Aix-Marseille University, IM2NP, F-13451 Marseille, France, and CNRS, IM2NP (UMR 6242), F-13397 Marseille, France. He is now with EDITAG SAS, 13590 Meyreuil, France (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2009.2035959

Ultra-wideband (UWB) IR designs often use a baseband pulse generator. Those baseband pulses are then used to produce more complex pulses to match regulation frequency masks. A first technique to generate a baseband pulse consists in using reflections in a transmission line (or a stub) to produce a pulse from a step function. It is difficult to integrate those generators in a CMOS technology due to the use of transmission lines or due to the need for discrete components such as step recovery diode (SRD) [1], [2]. Currently, delayed edge combiner (also called triangular generator) is well suited to achieve a baseband pulse and for UWB systems. Since it consists of combining two delayed logic signals using a simple logic gate, it can be fully integrated in CMOS [3], [4] and it consumes a small amount of power. Different methods have been presented to generate FCC pulses from one or several baseband pulses. A first technique is to use transversal filters achieved by artificial coupled transmission lines [5]. The baseband pulse propagates along the artificial line and is recombined at the output to achieve a more complex pulse. The artificial line is synthesized with lumped elements, which results in the use of a large number of inductors and limits the integration. A second method is to transpose a baseband signal in the frequency band of operation using a mixer and a local oscillator (LO). This method is attractive since it uses few inductors and it performs well when it is implemented using LO switching. In spite of LO leakage problems [6], this technique currently appears to achieve the best performances in terms of consumption and pulse peak magnitude [7], [8]. Other methods based on elementary pulse combination have been presented [9], [10] with good potential for the pulse tuning and for achieving antipodal pulses for binary phase-shift keying (BPSK) modulation (here, antipodal [16]). signals refer to two opposite signals: Today, those techniques achieve pulse magnitude around 1 Vpp, but their dc power consumption dramatically grows with the pulse duration and it exceeds the consumption of LO switching topologies [11], [12]. Another way to produce FCC-compliant pulses is to use filtered combined edges [13], [14]. This method is very attractive for its low power consumption potentiality, but is penalized by the filter implementation. However, due to the large width of the FCC frequency mask, the order needed for the shape filter is low, which leads to acceptable loss (around 3 dB), in standard CMOS technologies [15]. The pulse generation method presented in this paper is derived from a filtered combined edge technique and consists of simply exciting an integrated bandpass filter using a baseband pulse to generate its impulse response. The filter excitation is achieved using a digital edge combiner coupled

0018-9480/$26.00 © 2009 IEEE

66

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

is the where represents the power loss of the filter and alnormalized transfer function of the filter. Computing , which leads to FCClows the determination of and compliant pulses; however, knowing is not sufficient to size the baseband pulse magnitude ( ) and the emitted pulse peak to peak magnitude . Since these parameters impact the range of the transmission, they must be taken into account in the sizing of the generator. It is thus necessary to evaluate the average and peak PSD to determine and and other characteristics such as modulation type and bit rate must also be taken into consideration.

Fig. 1. Principle of pulse generation.

with a current driver and appears to be well suited for low-cost and low-power systems. To demonstrate the efficiency of this generation method, an UWB FCC pulse generator is designed in a 0.13- m CMOS technology for medium rate applications around 36 Mbs . The power consumption is 3.84 mW for a 100-MHz pulse repetition frequency (PRF) and the energy consumption is 9 pJ per pulse. The pulse generator produces FCC-compliant pulses having a measured voltage magnitude of 1.42 Vpp. The digital edge combiner used in the design is able to generate pulses with less than 50-ps width. It is designed with differential delay cell and high-speed logical gate. The bandpass filter is a third-order Bessel filter with less than 3-dB loss and the whole die size is 0.54 mm . Using a sizing method based on power spectral density (PSD) analysis, the generator is designed regarding the application needs. This generator can be used in on–off keying (OOK) modulation, pulse position modulation (PPM), or pulse interval modulation (PIM). In the OOK mode, the generator can operate up to 38 Mbs to cover a large variety of applications including video streaming. This paper is organized as follows. Section II deals with the theoretical concept of impulse response generation needed to compute the main parameters of the generator. This analysis is made for the FCC standard, taking into account the impact of the modulation type and the impact of the bit rate on the design. The design of the pulse generator is presented in Section III. The on-chip filter and the buffer, which consist of a current driver, are first described. The design of the digital edge combiner is then detailed. Simulation and measurement results are presented in Section IV.

II. PULSE-GENERATOR SIZING A. Pulse-Generation Principle The method of pulse generation is depicted in Fig. 1. It consists of applying a short pulse at the input of a filter to generate its impulse response . If we consider that, instead of a dirac, the filter is excited by a square function having a and its Fourier magnitude and a width , the output transform are given by (1) (2)

B. Average PSD is necessary to calculate the avThe Fourier transform erage PSD of the emitted signal. In the case of linear modulation such as OOK or BPSK, the emitted signal is given by (3) as follows: (3) is the symbol time and is the sequence of the where is transmitted symbols. In the case of OOK, the value of simply 0 or 1. The Fourier transform of the autocorrelation funcgives the PSD. If the symbols of the sequence tion of are independent, the PSD is then given by [16]

(4) where and are, respectively, the mean and the variance . For BPSK modulation, and of the sequence data . The PSD is then composed by a continuous spectrum given in V Hz. For OOK modulation, and . The PSD is then composed of a continuous spectrum given in V Hz and a discrete spectrum where the magnitude of the lines is directly given in V . For a given resolution bandwidth , equal to 1 MHz in the case of FCC regulation [17], between the spectral line level and the continuous the ratio spectrum displayed by a spectrum analyzer is given in (5) as follows: (5) and This ratio increases with the symbol rate , the spectral lines are for high rates higher than the continuous power spectrum. These spectral lines reduce the capability of the generator to achieve large pulses at a high rate because the only way to decrease the PSD (for a given pulse and a given rate) is to reduce the magnitude of the pulse. With (2) and (4), we can express the PSD as a function of the main parameters of the pulse generator ( the output

BOURDEL et al.: 9-pJ/PULSE 1.42-Vpp OOK CMOS UWB PULSE GENERATOR

67

impedance, , , , ) and taking into account the measurement method imposed by the FCC

emitted pulse filtered by the RBW filter. Under this condition, if the pulse spectrum is flat in the RBW and if the RBW filter is supposed to be ideal, the magnitude of the pulse at the RBW and its reverse filter output for which the spectrum are Fourier transform (9) (10) is the magnitude of , is a square where function having a width equal to the spectrum analyzer RBW . The peak power is then given by the level of as follows:

(6) Equation (6) gives the unilateral PSD as it would be displayed by a spectrum analyzer with its RBW set to 1 MHz. The continuous spectrum is given in W/MHz and the discrete spectrum in W. Moreover, it appears that for a given filter and a given base, which band width, the maximum value of the PSD is fixed to 41.3 dBm/MHz by the FCC, linearly depends on and . If the frequency for which the PSD is maximum is is maximum, the maxclose to the frequency for which imum value of allowed by the FCC , can be approxiand ) mated by using (6) in the case of BPSK ( and ) as follows: and OOK (

(11) If the frequency for which the PSD is maximum is close to the frequency for which is maximum, is given by (12) can be expressed as in (13) as a funcBy using (6), tion of . This enables the evaluation of the peak power, as in (14), as a function of the symbol rate in the case where the avand erage PSD complies with the FCC mask for BPSK ( ) and OOK ( and ) modulations (13)

(7) In these conditions, mainly depends on and is independent of the filter transfer function. , it is then possible to compute the maximum From imposed by the average PSD limitapulse magnitude tion for a given symbol rate. Since depends on the filter used and the baseband pulse , it linearly varies with and can easily be evaluated as follows: (8) where is normalized to . The coefficient represents the magnitude efficiency of the filter response to a square function of width . However, to avoid excessive peak magnitude, the FCC also limits the peak power. It is then recommended to verify the FCC compliance of the peak power of the generated pulse. C. Peak Power Limit The peak power limitation is specified by the FCC as follows: “there is a limit on the peak level of the emissions contained within 50-MHz bandwidth centered on the frequency at which the highest radiated emission occurs, . It is 0-dBm EIRP.” The FCC recommends the use of a spectrum analyzer with a 50-MHz RBW and a peak power detector. For rates lower than 50 MHz, this measurement corresponds to the peak power of the

(14) Equation (14) is only valid for rates lower than 50 MHz for which the RBW filter only responds to one pulse. Moreover, in the case where the rate is decreased to maximize the pulse magnitude, (14) gives the minimum rate below which further increasing the magnitude is prohibited. D. Generator Sizing First, the filter and the width of the baseband pulse must be dimensioned by using (2) or directly by computing the average PSD given by (6). A large variety of filters can be used to be compliant with the FCC standard if their bandwidth and center frequency are tuned to match the FCC mask, as shown on Fig. 2. Moreover, whatever the considered, a third order is sufficient to achieve FCC-compliant pulse due to the large width of the frequency mask. This limits the loss in the filter and enables integration in CMOS technologies. The choice of the filter relies on a complex compromise. First, the designer must bear in mind that this choice can be reoriented by the filter design presented later on, especially if the value of the filter components can not be achieved in the targeted technology. To choose the filter, it is relevant to consider the value of needed and the magnitude efficiency represented by . As shown in Fig. 2, where only third-orderfiltersareconsidered,pulse2achievesalmostthesame

68

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

. Moreover, depending on filter power loss and due to can be used in the application needs, the computation of a different way. For a given pulse magnitude fixed by the link budget, the maximum rate can be evaluated for OOK or BPSK modulation. Lastly, for an RBW equal to 50 MHz in the case where is , the peak power given by (14) is plotted in Fig. 3. set to Under this condition, the peak power limit is reached for OOK Mbs and it is not possible to further reduce the at symbol rate to increase . For BPSK, the limit is reached for kbs , but it leads to the same value of . For our case study where V, the peak power is 29 dBm. E. Generator Specifications

Gf

Fig. 2. Relative pulse spectrum (j ( )j ) comparison for different filters and baseband pulses.

A

Fig. 3. Maximum baseband pulse magnitude ( a function of for OOK and BPSK.

D

This theoretical section presents the specification of the main parameters of a pulse generator that uses filter impulse response technique in the case of linear modulation. It shows that a generator can be achieved with a low-order filter. A third-order Bessel filter is chosen here, especially because it has a good response to a baseband pulse that has in terms of magnitude a width equal to 75 ps. This lowers the constraint on the design in a 0.13- m CMOS technology. Moreover, to reach the 36-Mbs rate, OOK modulation can be used with a pulse magnitude of 1.73 V, leading to a good detection [18]. Using OOK modulation lowers the constraints on the generator design because it is not necessary to produce antipodal pulses. Indeed generating a pulse and its perfect opposite increases the circuit complexity even when delay-based techniques are used [3]. To V with 3-dB loss and ps, must achieve be equal to 2.56 V. Such a value cannot be directly obtained in . As a conthe technology targeted because sequence, the filter must be driven in the current mod,e as shown in Section III. Last of all, there is no limitation due to the peak for OOK modulation having V. power in III. PULSE GENERATOR DESIGN

) and peak power limit as

efficiency as pulse 3 with a wider baseband pulse, which relievestheconstraintontheedgecombinerdesign.Also,forsimilar filters (pulses 2 and 1) lowering decreases and increases the design constraint, which is not helpful. Moreover, pulse 4 shows that using large baseband pulses increases the sinc function influence, which degrades the pulse spectrum and also . Once the pulse is chosen, it is then possible to compute with (7) the maximum pulse magnitude allowed by the average PSD, regarding the modulation type and the rate. Fig. 3 reports as a function of for OOK and BPSK modulations. Fig. 3 is plotted for pulse 2 with 3-dB filter power loss. In the case of OOK modulation, for high rates, the maximum is value of PSD is given by the discrete spectrum. When , the discrete spectrum lower than is lower than the continuous spectrum and the maximum value of PSD is fixed by the continuous spectrum. In our case study, a rate of 36 Mbs is targeted. Such a rate can be achieved with OOK modulation if the baseband pulse magnitude does not V due to the 3-dB exceed 2.56 V, which leads to a

Fig. 4 shows the entire topology of the proposed pulse generator. The generator consists in a edge combiner that uses varying differential delay cells and a fast logic gate. A transistor is then used to drive the filter in current mode to produce the magnitude V at the filter input. needed A. On-Chip Filter Design The design of the pulse generator is mainly determined by the performance of the passive devices of the technology used and especially the inductors. Due to the metal thickness and the substrate loss, CMOS technology provides inductors with a low- factor. The low order needed to achieve an FCC-compliant pulse is a great advantage for the design of a filter with low- devices because it limits the power loss ( 3 dB). Moreover, the values of the factor and of the self frequency resonance (SFR) vary with . It is then necessary to evaluate the technology to determine which values of inductors achieve adfactor (around 10) in the overall bandwidth. This missible means that the range of inductor values to be used is limited. For the 0.13- m CMOS technology used for this design, the range is pH nH. Lower values of inductors leads around to inductor with and higher values of inductors leads

BOURDEL et al.: 9-pJ/PULSE 1.42-Vpp OOK CMOS UWB PULSE GENERATOR

69

Fig. 4. Pulse generator architecture.

TABLE I FILTER’S COMPONENT VALUES

Fig. 6. Compensation effect on the output pulse spectrum. Fig. 5. Electrical scheme of the driver and the filter.

TABLE II VALUES OF THE EXTRACTED NMOS TRANSISTOR HAVING 100-m WIDTH, 0.13-m LENGTH, AND BIASED TO V gs = 1:2 V AND V ds = 1:2 V

to SFR lower than 15 GHz. Due to the large relative bandwidth of the FCC mask, the dispersion on the inductor values needed to implement an FCC-compliant filter is low and filters can be achieved with such a narrow range. For the third-order Bessel considered in this design (which has normalized low-pass filter , , and elements, respectively, equal to [19]), the values of the bandpass filter components obtained for a central frequency of GHz and a fracare listed in Table I and are in the tional bandwidth range of possible values stated above. Moreover, different filter structures can be evaluated to find the best inductor values. In our design, as shown in Fig. 4, the filter topology used is a modified ladder structure. B. Filter Driver Design and Matching In our case study, the magnitude of the baseband pulse needed exceeds the voltage supply. Consequently, the filter is driven in current mode. The sizing of MN3 depends on the filter input

Fig. 7. Die photograph.

impedance and . In the case where is a square function , the current given by MN3 to with magnitude equal to the load during the time can be approximated using the MOS with . Under this assumption, current law the width of MN3 can be expressed as follows: (15) is the charge carrier effective mobility, is the where is the gate–oxide capacitor, is the threshold voltage, and filter input impedance and is equal to the load impedance in most of the passive filter if the loss is ignored. The current needed to

70

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 8. Time simulation of the baseband pulse for different control voltages. Fig. 11. Simulated and measured output spectrum.

Fig. 9. Comparison of the Fourier transform of the baseband pulse for different control voltages. Fig. 12. PSD of a 36-Mbs

OOK modulation using the proposed generator.

= 1:2 V.

Fig. 10. Time simulation and measurement of the pulse generator output.

Fig. 13. Simulation of the current consumption with V dd

drive the 50- impedance is then mA, which implies a large transistor. The output of such a wide transistor influences the filter transfer function and can involve of MN3, the matching loss. During the conduction time and ) can be approximated using small-signal output ( hypothesis leading to the electric scheme given in Fig. 5. To match the driver to the filter, MN3 must be sized to have equal to . Since both and depend on MN3 width , it is not possible, with such a simple topology, to size mA, and at the same time, . It MN3 to have is then necessary to make a tradeoff between the driving current , the matching ( ), and the power consumption . For the considered design, the width of the transistor is set to 100 m, which leads to the intrinsic characteristics given in Table II. Due to the mismatch ( instead of 50 ),

the value of is increased to 58 mA (instead of 51 mA) in order V. A wider transistor results in a lower to ensure that and better matches the filter, but increases the power consumption. can be easily cancelled by taking The effects of equal to its ideal value minus . As shown in Fig. 6, if is not compensated by , the transfer function of the filter is modified and the pulse spectrum can be affected. This especially increases occurs when a large transistor is used because with the transistor width. The drain of MN3 is biased using the first filter resonator to avoid the use of additional inductor. The gate is not biased to operate in C class. As a result, the filter does not consume dc power while no pulse is transmitted, resulting in good power consumption performance.

BOURDEL et al.: 9-pJ/PULSE 1.42-Vpp OOK CMOS UWB PULSE GENERATOR

71

TABLE III PERFORMANCE SUMMARY OF PROPOSED GENERATOR AND COMPARISON WITH PREVIOUS STUDIES

C. Digital Edge Combiner Design The technique used in the baseband pulse generator consists of propagating an edge through a delay cell. The pulse is then obtained by combining the input and the output of the delay cell using a logic function. Generation of accurate delay requires a phase-locked loop and is not suitable for low-cost systems. The delay variation mainly impacts , which is equivalent to additional loss. However, a small variation does not impact the output magnitude very much and only tunable delay cells are used in this design principally to compensate for process variation. The delay cell used is the modified differential MOS current mode logic inverter [20] depicted in Fig. 4. The con) and are, respectively, applied to pins trol voltage ( labbelled “RFN” and “RFP” in Fig. 4. In this configuration, and act like resistors and no additional capacitor is needed. and is set to 0.5 m. The output voltages The width of V and V and the delay achieved are by the cell varies from 17 to 300 ps, which gives the cell a large tuning capability. The combination of the two edges can be achieved by a large variety of logic gates. The speed of the gate is very important to generate short pulses having sufficient magnitude. The logic function used here needs only two transistors to operate, al, which lowing high-speed transition. The cell achieves generates pulses only on negative edges. Due to the difference between the transistor size of the delay cell and of the filter driver, buffers are needed to ensure the signal integrity. The buffers consist of serial inverters sized with the fan out law to make a smooth transition between the delay cell and the driver transistor sizes. IV. MEASUREMENT AND SIMULATION RESULTS The pulse generator has been designed with a 0.13- m standard CMOS technology from ST Microelectronics. The die is shown in Fig. 7 and its area is 0.54 mm . A. Digital Edge Combiner Results The performances of the triangular pulse generator depend on the load of the filter driver MN3 and accurate measurement is difficult to achieve. Simulations using the design kit and post layout extraction are presented here. The impulse output signal of the gate and its Fourier transform are depicted in Figs. 8 and 9 for different values of the delay cell control voltage. The

gate is able to generate impulse with a minimal width of 46 ps having 1.2-V voltage magnitude. For a 0.4-V control voltage, the pulsewidth is 75 ps and the Fourier transform is almost flat in the FCC band, which denotes good spectral efficiency and good pulse quality. B. UWB Pulse Measurement Measurement achieved with a 12-GHz real time oscilloscope (Agilent DSO81204B) agrees well with simulations, as shown in Fig. 10. Taking into account the cable loss, the peak to peak magnitude is 1.42 V instead of 1.73 V. This results in a lower transmission range, but increases the rate capability up to 43.8 Mbs . The time required for the pulse to reach 10% of the peak to peak voltage is 460 ps. After the main impulse, damped oscillation can be observed, which is due to mismatch, but little affects the pulse spectrum. As shown in Fig. 11, the compliance with FCC mask is good and the 10-dB bandwidth is 6.8 GHz. Due to this very large bandwidth, the PSD of the must be lower than the immodulated signal posed by the FCC to avoid emission in the forbidden frequency band around 1 GHz. This limits the rate to a maximum value of 38 Mbs . As shown in Fig. 12, the spectrum of a 36-Mbs OOK modulated signal complies with the FCC mask. We can notice that this generator is not physically limited to this rate and a maximum PRF of 500 MHz has been measured. C. Power and Energy Consumption The major interest of the presented structure is its very small amount of energy needed to generate large pulses. The energy consumed by a pulse is estimated to be 9 pJ, as represented in Fig. 13, which is a very good performance compared to results in the literature, as shown in Table III. This very good performance relies on two things. First, the pulse generated has a very wide spectrum, which leads to a very short duration and limits the time conduction of the transistors. Second, the filter driver, which consumes the major amount of power, operates in the C class mode and has no dc power consumption. The dc consumption is 3.2 mW and is mainly due to the differential delay cell. The total power consumption is 3.84 mW@100 MHz and is linearly dependent on the rate. Moreover, the dc power can easily be decreased to zero by using a classic CMOS logic gate in the edge combiner, especially for very low-power applications like RF identification (RFID) or sensor networks.

72

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

For low-cost systems that use energy detectors, an important criteria is the energy by pulses relative to the pulse magnitude (16) When the consumed energy is compared to the pulse magnitude, the presented generator also performs well since it achieves 6.4 pJ/pulses/V.

V. CONCLUSION The design of a low-power and high-voltage pulse generator has been presented. It uses a bandpass filter excitation technique for which a sizing method, based on the average PSD, has been presented. The generator is fully integrated in a 0.13- m CMOS technology on 0.54 mm . It consumes 9 pJ by pulses and generates a 1.42-Vpp pulse. It can be used in the FCC band with OOK modulation and rates up to 38 Mbs . This generator appears to be very well suited for low-cost and low-power applications.

REFERENCES [1] J. Han and C. Nguyen, “Ultra-wideband electronically tunable pulse generators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 112–114, Mar. 2004. [2] A. E.-C. Tan, M. Y.-W. Chia, and S.-W. Leong, “Sub-nanosecond pulse-forming network on SiGe BiCMOS for UWB communications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1019–1024, Mar. 2006. [3] D. D. Wentzloff and A. P. Chandrakasan, “A 47 pJ/pulse 3.1-to-5 GHz all-digital UWB transmitter in 90 nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 11–15, 2007, pp. 118–591. [4] H. Kim and Y. Joo, “Fifth-derivative Gaussian pulse generator for UWB system,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 12–14, 2005, pp. 671–674. [5] Y. Zhu, J. D. Zuegel, J. R. Marciante, and H. Wu, “A 0.18 m CMOS distributed transversal filter for sub-nanosecond pulse synthesis,” in IEEE Radio Wireless Symp., Jan. 17–19, 2006, pp. 563–566. [6] R. Xu, Y. Jin, and C. Nguyen, “Power-efficient switching-based CMOS UWB transmitters for UWB communications and radar systems,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3271–3277, Aug. 2006. [7] S. Sim, D.-W. Kim, and S. Hong, “A CMOS UWB pulse generator for 6–10 GHz,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 2, pp. 83–85, Feb. 2009. [8] A. T. Phan, J. Lee, V. Krizhanovskii, Q. Le, S.-K. Han, and S.-G. Lee, “Energy-efficient low-complexity CMOS pulse generator for multiband UWB impulse radio,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 55, no. 11, pp. 3552–3563, Dec. 2008. [9] H. Kim, Y. Joo, and S. Jung, “Digitally controllable bi-phase CMOS UWB pulse generator,” in IEEE Int. Ultra-Wideband Conf., Sep. 5–8, 2005, pp. 442–445. [10] D. D. Wentzloff and A. P. Chandrakasan, “A 47 pJ/pulse 3.1-to-5 GHz all-digital UWB transmitter in 90 nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 11–15, 2007, pp. 118–591. [11] S. Bourdel, Y. Bachelet, J. Gaubert, M. Battista, M. Egels, and N. Dehaese, “Low-cost CMOS pulse generator for UWB systems,” Electron. Lett., vol. 43, no. 25, pp. 1425–1427, Dec. 2007. [12] T. Norimatsu, R. Fujiwara, M. Kokubo, M. Miyazaki, A. Maeki, Y. Ogata, S. Kobayashi, N. Koshizuka, and K. Sakamura, “A UWB-IR transmitter with digitally controlled pulse generator,” IEEE J. SolidState Circuits, vol. 42, no. 6, pp. 1300–1309, Jun. 2007. [13] L. Smaini, C. Tinella, D. Helal, C. Stoecklin, L. Chabert, C. Devaucelle, R. Cattenoz, N. Rinaldi, and D. Belot, “Single-chip CMOS pulse generator for UWB systems,” IEEE J. Solid-State Circuits, vol. 41, no. 7, pp. 1551–1561, Jul. 2006. [14] B. Jung, Y.-H. Tseng, J. Harvey, and R. Harjani, “Pulse generator design for UWB IR communication systems,” in IEEE Int. Circuits Syst. Symp., May 23–26, 2005, vol. 5, pp. 4381–4384.

[15] Y. Bachelet, S. Bourdel, J. Gaubert, G. Bas, and H. Chalopin, “Fully integrated CMOS UWB pulse genrator,” Electron. Lett., vol. 42, no. 22, pp. 1277–1278, Oct. 2006. [16] J. G. Proakis, Digital Communications, 3rd ed. New York: McGrawHill, 1995. [17] “FCC rules and regulations,” FCC, Washington, DC, 2006, pt. 15. [18] J. Lee, Y. J. Park, M. Kim, C. Yoon, J. Kim, and K. H. Kim, “System on package ultra-wideband transmitter using CMOS impulse generator,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1667–1673, Apr. 2008. [19] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [20] K. Marsden, H.-J. Lee, D. Ha, and H.-S. Lee, “Low power CMOS re-programmable pulse generator for UWB systems,” in IEEE Ultra Wideband Syst. Technol. Conf., Nov. 16–19, 2003, pp. 443–447. [21] T. Norimatsu, R. Fujiwara, M. Kokubo, M. Miyazaki, A. Maeki, Y. Ogata, S. Kobayashi, N. Koshizuka, and K. Sakamura, “A UWB-IR transmitter with digitally controlled pulse generator,” IEEE J. SolidState Circuits, vol. 42, no. 6, pp. 1300–1309, Jun. 2007. [22] T.-A. Phan, J. Lee, V. Krizhanovskii, S.-K. Han, and S.-G. Lee, “A 18-pJ/pulse OOK CMOS transmitter for multiband UWB impulse radio,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 9, pp. 688–690, Sep. 2007. [23] D. Barras, F. Ellinger, H. Jackel, and W. Hirt, “Low-power ultra-wideband wavelets generator with fast start-up circuit,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2138–2145, May 2006.

Sylvain Bourdel received the Ph.D. degree in microelectronics from the National Institute of Applied Science (INSA), Toulouse, France, in 2000. He was with the LASS Laboratory, Toulouse, France, where he was involved with RF system modeling with a particular focus on spread-spectrum techniques applied to 2.45-GHz transceivers. In 2002, he joined the Integrated Circuit Design Team of IMNP, Marseille, France, where he is involved with CMOS RF integrated circuit (IC) design and integration. He is particularly involved with low-cost applications including RFID, UWB, and narrowband systems. His areas of interest also includes system-level specifications, system packaging, and interconnections.

Yannick Bachelet was selected for preparation for the competitive entrance examinations to the French Engineering Schools, Dijon, France, in 1999. He received the M.Eng degree in microelectronics and telecommunication from the Polytech’ Marseille, Marseille, France, in 2003, and the M.S. degree in microelectronics and nanoelectronics and Ph.D. degree in microelectronics and nanoelectronics from Aix-Marseille University, Marseille France, in 2004 and 2008, respectively. He is currently with EDITAG SAS, Meyreuil, France. His research interests include UWB radio transceiver design and RFID systems development.

Jean Gaubert (M’06) received the M.S. and Ph.D. degrees in applied physics from Paul Sabatier University, Toulouse, France, in 1985, and 1988, respectively. From 1989 to 2001, he was an Assistant Professor with the Ecole Nationale Supérieure de l’Electronique et de ses Applications, Cergy-Pontoise, France, where he was involved with high-speed GaAs and InP bipolar devices modeling. In 2001, he joined the University of Provence, Marseille, France, and the Institut Matériaux Microélectronique Nanosciences de Provence (IM2NP), Marseille, France. He has authored or coauthored over 60 refereed journal and conference papers. His research focuses on the design and integration of RF/microwave CMOS integrated circuits and systems for wireless communications.

BOURDEL et al.: 9-pJ/PULSE 1.42-Vpp OOK CMOS UWB PULSE GENERATOR

Rémy Vauché was born in Epinal, France, in 1985. He was accepted for preparation for the competitive entrance examinations to the French Engineering Schools, Metz, France, in 2003. He received the M.Eng degree in microelectronics and telecommunication from the Polytech’ Marseille, Marseille, France, in 2008, the M.S. degree in microelectronics and nanoelectronics from Aix-Marseille University, Marseille France, in 2008, and is currently working toward the Ph.D. degree at Aix-Marseille University. He is currently with the IM2NP Laboratory, AixMarseille University. His current research interests include UWB radio transceiver design in 0.13-m CMOS technology for low-power and low-cost applications.

Olivier Fourquin was selected for preparation for the competitive entrance examinations to the French Engineering Schools, Marseille, France, in 2003. He received the M.Eng degree in microelectronics and telecommunication from the Polytech’ Marseille, Marseille, France, in 2008, the M.S. degree in microelectronics and nanoelectronics from Aix-Marseille University, Marseille France, in 2008, and is currently working toward the Ph.D. degree at Aix-Marseille University. He is currently with the IM2NP Laboratory, AixMarseille University. His current research interests include CMOS UWB radio transceivers, RFIC packaging, and interconnections.

73

Nicolas Dehaese received the Ph.D. degree in microelectronics and nanoelectronics from Aix-Marseille University, Marseille France, in 2005. In 2006, he joined the Integrated Circuit Design Team, IMNP, Marseille, Frnace, where he is involved with CMOS RF IC design and integration. His research fields include UWB, 2.45-GHz RF systems, spread-spectrum techniques, and system modeling.

Hervé Barthélemy (M’96) received the M.Sc. degree in electrical engineering and Ph.D .degree in electronics from the University of Paris XI Orsay, Paris, France in 1992 and 1996, respectively, and the HDR degree from the University of Provence, Aix-Marseille I, Marseille, France, in 2002. From 1996 to 2000, he was an Assistant Professor with the Institut Supérieur d’Electronique de la Méditerranée (ISEN), Toulon, France. In 2000, he joined the University of Provence, where he has been a Full Professor since 2005. In 2007, he joined the University of Sud-Toulon-Var. Since 2005, he has headed the Integrated Circuits Design Team, IM2NP, Marseille, France. The team has 12 researchers and doctoral students involved in several research projects with industry. He has authored or coauthored multiple publications in international journals and conference proceeding. His research interests are mainly in the design of RF analog integrated circuits.

74

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

An Electronic Circuit System for Time-Reversal of Ultra-Wideband Short Impulses Based on Frequency-Domain Approach Huiqing Zhai, Shaoshu Sha, Varun K. Shenoy, Student Member, IEEE, Sungyong Jung, Senior Member, IEEE, Mingyu Lu, Senior Member, IEEE, Kyoungwon Min, Sungchul Lee, and Dong S. Ha, Fellow, IEEE

Abstract—In this paper, a compact and low-cost electronic circuit system is designed for time-reversal of ultra-wideband short impulses (with nanosecond and sub-nanosecond temporal durations). A frequency-domain approach is adopted to avoid high sampling rate in time. Specifically, the proposed system obtains the discrete spectra of input impulses first; then realizes time-reversal in frequency domain; and finally synthesizes the time-reversed impulses using discrete continuous wave elements. This system is composed of common and commercially available circuits, and hence, can embody a system-on-chip implementation. Its performance is verified by circuit-electromagnetic co-simulations using impulses with 3–10-GHz frequency band coverage. Advanced Design System and two full-wave Maxwell’s equations solvers are used for circuit and electromagnetic simulations, respectively, and their results are coupled and integrated. In the circuit part, most of nonidealities of realistic circuits are taken into account. It is shown by the simulation results that, although realistic circuits unavoidably introduce errors to time-reversal, such errors do not affect the “focusing” phenomena in the context of electromagnetic wave propagation. As a conclusion, the proposed system can be deployed in practical time-reversal communication and radar applications. Index Terms—Electronic circuit system, frequency-domain approach, short impulses, time reversal, ultra-wideband (UWB).

I. INTRODUCTION UE TO its capability of focusing waves in both space and time, time-reversal technique has attracted enormous interests from engineers, as well as physicists [1]–[3]. Specifically, time-reversal takes advantage of channel reciprocity to achieve “focusing,” which typically involves three steps. First, signals propagate through forward channel; second, the signals after passing the forward channel are reversed in time; and finally, the time-reversed signals are transmitted through reverse channel. Channel information is collected through the forward trip. If the forward and reverse channels share the same transfer functions (i.e., reciprocal channel), the channel is compensated during the reverse trip because time-reversal behaves as a space-

D

Manuscript received March 09, 2009; revised August 28, 2009. First published November 20, 2009; current version published January 13, 2010. This work was supported in part by UTA REP. H. Zhai, S. Sha, V. K. Shenoy, S. Jung, and M. Lu are with the Department of Electrical Engineering, The University of Texas at Arlington, Arlington, TX 76019 USA (e-mail: [email protected]). K. Min and S. Lee are with the Korea Electronics Technology Institute, Seoul, Korea. D. S. Ha is with the Electrical and Computer Engineering Department, Virginia Polytechnic Institute and State University, Blacksburg, VA 24061 USA. Digital Object Identifier 10.1109/TMTT.2009.2035883

time matched filter (time-reversal is equivalent to complex conjugate in frequency domain) [4], [5]. Time-reversal can be applied to both sound waves and electromagnetic waves. In acoustics, time-reversal has been studied extensively [6]–[9]. As a far from complete list, it was successfully implemented for underwater communication [8], [10] and target detection/imaging [11]–[13]. The studies of time-reversal in electromagnetics also have a long history; especially in recent years, a lot of research work on time-reversal for electromagnetic waves has been reported [14]–[24], which is largely driven by the advance of impulse-type ultra-wideband (UWB) technology [25]–[27]. It is demonstrated by many researchers that the combination of time-reversal and UWB makes it possible to develop various novel communication and radar systems [28]–[35] including, but not limited to, multiple-input multiple-output (MIMO) communication [36], indoor communication [37], [38], communications in forest environments [39], buried target detection [24], ground penetrating radar [22], [40], and breast cancer diagnosis [41], [42]. Indeed, the space-time focalization capability associated with time-reversal seems to have unbounded applications: innovative methods and systems based upon time-reversal keep being proposed by researchers in recent years. For instance, time-reversal enables sophisticated “compressed sensing” in radar detection, which allows far fewer measurements than traditional sensing technologies [43]. As another example, since time-reversal can effectively conquer highly dispersive channels, it has potential to realize high-speed wireless communication in complex environments like metallic boxes [44]. Relatively speaking, time-reversal is not so mature a technique in electromagnetics as in acoustics. Although numerous time-reversal UWB communication and radar systems have been proposed, their practical implementations remain challenging tasks. One of the critical difficulties is how to efficiently realize time-reversal of UWB impulses. Compared to sound waves, electromagnetic waves have high operating frequencies (for instance, 3.1–10.6-GHz band was allocated for UWB communication applications by the Federal Communications Commission). As a result, much faster sampling is required to directly sample the impulses and then reverse them. To experimentally investigate time-reversal of electromagnetic waves, some researchers had to resort to expensive high-speed analog-to-digital converters [45]–[52]. As an example, Tektronix TDS6604 digital storage oscilloscope with 20-GSamples/s was used in [46]. In some research efforts, time-reversal

0018-9480/$26.00 © 2009 IEEE

ZHAI et al.: ELECTRONIC CIRCUIT SYSTEM FOR TIME-REVERSAL OF UWB SHORT IMPULSES BASED ON FREQUENCY-DOMAIN APPROACH

75

Fig. 1. Block diagram of the proposed time-reversal system.

was realized using vector network analyzers, where data were collected in frequency domain initially and synthesized afterwards [53]–[58]. Microwave signal with 18-GHz bandwidth was time reversed in [59] with aids of up-conversion to optical carrier and nonlinear optical complex conjugate. Obviously, to accomplish practical time-reversal communication and radar systems, efficient and low-cost techniques to reverse UWB impulses are called for. In this paper, an electronic circuit system is designed to time reverse UWB impulses (with nanosecond and sub-nanosecond temporal durations). A frequency-domain approach is adopted to avoid high sampling rate in the time domain. Specifically, time-reversal is achieved in the following three stages. 1) Fourier transform, to obtain discrete spectra of input impulses. 2) Digital signal processing in frequency domain, to carry out complex conjugate of the spectra obtained in 1). 3) Inverse Fourier transform, to synthesize reversed impulses based on the processed spectra in 2). The proposed system is composed of common semiconductor electronic circuits, including oscillators, multipliers/mixers, bandpass filters (BPFs), amplifiers, phase shifters, and switches. Thus, it is compact and low cost; moreover, it can embody a system-on-chip implementation. It is worth noting that, the authors are not the first ones who attempt applying frequency-domain approaches to UWB signal generation and reception. Sub-band analysis [60], [61] and bank of passive resonators [62] were investigated before to relieve the high sampling rate problem in UWB receivers; and, Fourier synthesis was suggested for UWB signal generators as early as in 1997 [63]. However, to the best of the authors’ knowledge, the hardware architecture in this paper, which combines Fourier transform and inverse Fourier transform circuits to accomplish time-reversal of UWB signals, had never been reported. Basic concept of the system proposed in this paper was presented by the authors in [64]; here, complete description and verification are provided. The proposed circuit system is simulated by the Advanced Design System (ADS) with commercially available components; most of the nonidealities of realistic circuits are taken into account. Simulation results using impulses with around 1-ns temporal width and [3], [10] gigahertz spectral coverage demonstrate real-time and reliable time-reversal, even with the presence of strong noise. Moreover, performance of the proposed time-reversal circuit system is verified in the context of electromagnetic propagation. Circuit-electromagnetic co-simulations are carried out for two real-world problems (one is for wireless communication and the other is for radar detection). Two full-wave solvers, finite difference time domain (FDTD) [65] and method of moments (MoM) [66], are used to solve the Maxwell’s equations; and they are coupled with the circuit simulator. Electromagnetic propagation results with

ideal time-reversal and practical time-reversal (i.e., time-reversal using circuits in this paper) are compared. In both cases, desired “focusing” phenomena are observed. It is, therefore, concluded that, errors introduced by the time-reversal circuits are tolerable and the proposed system can be deployed in practical communication and radar applications. This paper is organized as follows. In Section II, the proposed time-reversal circuit system is described in detail. Section III presents results from both circuit simulation and circuit-electromagnetic co-simulation, to demonstrate the performance of the system in Section II. Finally, conclusions of this study are drawn in Section IV. II. DESCRIPTION OF THE PROPOSED TIME-REVERSAL SYSTEM The proposed time-reversal circuit system is described in this section. Section II-A presents the system design. Three major blocks of the system, which include Fourier transform, inverse Fourier transform, and digital signal processing, are discussed in Sections II-B–D, respectively. A. System Design Block diagram of the proposed time-reversal system is depicted in Fig. 1. The input is a periodic signal consisting of a series of short impulses (with nanosecond or sub-nanosecond temporal durations). The time-reversal system has three major blocks. 1) Fourier transform block obtains discrete spectrum of the input signal. 2) Digital signal processing block processes the spectrum obtained in 1). 3) Inverse Fourier transform block makes use of spectrum in 2) to synthesize the output, which is a periodic signal with each impulse in the input signal reversed. In addition to the three major blocks above, envelope detector block acquires the positions of impulses in the input signal to facilitate signal processing. has period and there It is assumed that the input signal is a short impulse within each period, as illustrated in Fig. 2. The has starting time and short impulse within interval ending time . Since is periodic, it can be represented by Fourier series as (1) where

and (2)

Also, it is assumed that the input signal’s discrete spectrum is virtually limited within minimum frequency and max-

76

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 2. Illustration of signals in the proposed time-reversal system.

imum frequency . That is, when or (Fig. 2). In the Fourier transform block of the proposed system, a is selected. The elements of this subset are subset of , and they are Fourier series of denoted located at , where

(3) is termed the undersampling ratio and is chosen as (4) and the two operators and find the nearest integers smaller and greater than arguments respectively. Next, complex conjugate is carried out onto the selected spectral samples , in the digital signal processing block. Finally in the inverse Fourier transform block, the processed spectral samples are used to construct (5) where is the complex conjugate of . Due to undersamis . Desired output can pling, temporal period of by removing unnecessary impulses. (In be obtained from is illustrated with and unnecessary impulses Fig. 2, are denoted by dashed lines.) The envelope detector block assists determining which impulses to remove. Detailed block diagram of the proposed system is given in Fig. 3. Among the four blocks, envelope detector block is the simplest. It follows a standard amplitude de-modulator design, . The output of low-pass-filter in where the envelope detector block of Fig. 3 contains narrow impulses and the rough locations of these impulses are measured to be and for . The other three blocks, Fourier transform, inverse Fourier transform, and digital signal processing, are discussed in Sections II-B–D, respectively.

B. Fourier Transform Block The Fourier transform block makes use of local oscillators to find spectral samples of the input signal. Specifically, the frequencies of local oscillators are . The mixers followed by oscillators behave as down-converters. After down-conversion by the th oscillator, the spectrum of ’s spectral the input signal is depicted in Fig. 4. Note that sample at is moved to location after down-converis relocated to . BPFs sion; and its sample at and with sufficiently small bandcentered at frequency . In Fig. 4, the BPF’s frewidth filter out spectral sample quency response is denoted by two impulses with dashed lines. Since the output of BPF is a low-frequency signal (i.e., oscil), its magnitude and phase can be easily lating at frequency . Apparently detected and are denoted as complex phasor (6) where is the phase of the th local oscillator. The above architecture is superheterodyne, where an intermediate frequency is processed after down-conversion. It is noted that superheterodyne processing is not the only option to analyze the input signal’s spectrum. In [62], passive resonators are used to catch the spectral lines directly. In [64], analog correlator integrator”) was exploited to reconfiguration (“multiplier alize the Fourier transform. The superheterodyne architecture is adopted here, because it offers two unique advantages. First, down-conversion avoids processing the input signal at high frequencies; in contrast, resonators with high quality factors must be built at gigahertz range with accurate resonant frequencies in [62]. Second, processing at intermediate frequency is much easier than at dc; for instance, the analog correlator design in [64] is sensitive to the isolation ratio in mixers while this issue is suppressed by the BPFs in the scheme in Fig. 3. A few accessory circuits are needed to secure desirable operation of the Fourier transform block; and they are explained in the remainder of this section. local oscillators must be locked to Frequencies of the preset values. Instead of regular phase-locked loops, a simple locking circuit is proposed for the system in this paper. As

ZHAI et al.: ELECTRONIC CIRCUIT SYSTEM FOR TIME-REVERSAL OF UWB SHORT IMPULSES BASED ON FREQUENCY-DOMAIN APPROACH

77

Fig. 3. Detailed schematic diagram of the proposed time-reversal system.

shown in Fig. 5, the th oscillator’s frequency is controlled by . This oscillator is mixed with a bias signal as ; and the mixer’s output goes to a BPF the input signal centered at and with a high quality factor (high ). Since the input signal is periodic, the output of BPF is maximized . Obviously, the narrower the BPF’s bandwidth when is, the smaller phase error there is. However, if the BPF has too

high a quality factor, a lot of time is needed for it to reach steady state, and consequently, the locking speed is low. In practice, a medium bandwidth should be chosen such that BPFs in Fig. 3 could capture desired spectral lines with sufficient accuracy. Phase of the first local oscillator, , is assumed to be zero; and phases of the other oscillators are obtained through phase detectors in Fig. 3. The block diagram of phase detector be-

78

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 4. Illustration of spectrum after down-conversion with the nth oscillator.

Fig. 5. Block diagram of the frequency locking circuit.

tween oscillators at and is shown in Fig. 6. The two continuous-wave signals are multiplied and only the difference frequency component survives after the BPF. It is noted that, the output after BPF is oscillating at frequency . When this signal is compared with an appropriately chosen threshold voltage, periodic pulses are resulted, as shown in Fig. 6. Apparently, the edges’ timings in the comparator’s output are directly related to phase difference

Fig. 6. Block diagram of phase detector circuit. (a) Circuit block diagram. (b) Illustrations of outputs of BPF and comparator.

(7) is the phase response of the BPF in Fig. 6 at frewhere . Composition of the time measurement block quency in Fig. 6 is plotted in Fig. 7. The rise/fall edge samples a ramp . signal and a digital counter. The ramp signal has period When the ramp signal is sampled, its output voltage is linearly . At the same time, proportional to a time between 0 and and the counter counts the clock shares the same period that have passed. Both the ramp signal and the number of clock are reset every . Consequently, the samplings of ramp signal and digital counter jointly measure the timing in the range . of In addition, isolators/buffers must be put between the input and every mixer to avoid couplings among the mulsignal tiple local oscillators.

Fig. 7. Block diagram of time measurement circuit.

where output

and are the two resistances in Fig. 8. The desired is obtained by multiplying by windowing function

(9) C. Inverse Fourier Transform Block The inverse Fourier transform block exploits the same set of oscillators as those in the Fourier transform block. The adder circuit in Fig. 8 combines the oscillators’ outputs. Magnitudes and phases of the continuous-wave elements are adjusted by variable gain amplifiers (Fig. 3). As a result, the synthesized signal after adder is (8)

and

elsewhere (10) Physically, multiplication with the windowing function is realized by a switch after the adder.

ZHAI et al.: ELECTRONIC CIRCUIT SYSTEM FOR TIME-REVERSAL OF UWB SHORT IMPULSES BASED ON FREQUENCY-DOMAIN APPROACH

79

Fig. 8. Block diagram of adder in inverse Fourier transform block.

D. Digital Signal Processing Block The digital signal processing block has two major funcand tionalities, which are: 1) to obtain coefficients 2) to find switching timings and . Comparison among (5), (6), and (8) yields that, for the inverse through (8), Fourier transform block to generate desired coefficients should be chosen as

Fig. 9. Illustration of algorithm to find t and t .

TABLE I PARAMETERS OF KEY COMPONENTS USED IN ADS SIMULATION

when (11) is a very narrow Equation (11) relies on the fact that window around time ; hence, when is close to . To find and , time-domain signal is reconstructed in obtained by the the digital signal processing block using Fourier transform block

are obtained by linear interpolation among results of the Fourier transform block.

, which are

(12) III. SIMULATION RESULTS Obviously, signal is the “undersampled version” of input . It has period . In other words, there are imsignal (the period of the input signal). The repulses within one lationship between and is illustrated in Fig. 9, when . To identify which one of the impulses coincides with the input signal, the following Fourier transform is performed:

(13) is a variable, and is the rough In the above, in the range . The location of the impulse of and value of that minimizes the relative error between is picked as , and . In this paper,

In this section, the time-reversal circuit system proposed in Section II is verified by circuit simulation and circuit-electromagnetic co-simulation. Results from circuit simulation and circuit-electromagnetic co-simulation are presented in Sections III-A and B, respectively. A. Circuit Simulation Results The system in Fig. 3 is simulated by ADS. Commercial products with practical parameters are used for all the major components including local oscillators, mixers, variable gain amplifiers, phase shifters, BPFs, and op-amps. Model numbers and key parameters of these components are listed in Table I. Most of the nonidealities of realistic circuits are taken into account. For instance, the mixers have 35-dB isolation in between the two input ports; the variable gain amplifiers have gain range about 30 dB and phase response fluctuation 30 ; and gain-bandwidth product of the op-amp is 12 GHz.

80

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 10. Input signal to the time-reversal circuit (without noise). Fig. 12. Output signal of the time-reversal circuit (without noise).

Fig. 11. Output of Fourier transform block (without noise).

Fig. 13. Input signal to the time-reversal circuit (with noise).

In the simulation results of this section, the input signal to the time-reversal system is periodic with ns and

where s, s, , and rad/s. Input signal in (14) is plotted in Fig. 10: it consists of two modulated Gaussian pulses, with total temporal duration about 1.4 ns and spectral coverage approximately from 3 to 10 GHz. In all the results in this section,

(14)

ZHAI et al.: ELECTRONIC CIRCUIT SYSTEM FOR TIME-REVERSAL OF UWB SHORT IMPULSES BASED ON FREQUENCY-DOMAIN APPROACH

81

Fig. 16. Geometry of the wireless communication example.

Fig. 14. Output of Fourier transform block (with noise).

Fig. 17. Comparison of ideal and practical time-reversal at one of the antenna elements in the communication example.

Fig. 15. Output signal of the time-reversal circuit (with noise).

, and rad/s. The output of Fourier transform block is shown in Fig. 11 and has excellent agreement with analytical spectrum of (14). The waveform

, is plotted in Fig. 12. after inverse Fourier transform block, It is observed that, the proposed system successfully achieves time-reversal of the input signal. Relative to the Fourier transform block, the inverse Fourier transform block has more error sources, most of which are from the phase fluctuations of variable gain amplifiers and adder. From our experience, when the phase fluctuation is within 40 degrees range, the ultimate time-reversed waveforms are usually acceptable. The proposed time-reversal system is also tested in noisy scenarios. In Fig. 13, the input signal is plotted when a pretty strong additive white Gaussian noise is added to it. The signal-to-noise ratio is about 0 dB. When the signal in Fig. 13 is input into the system, outputs of Fourier transform block and inverse Fourier transform block are shown in Figs. 14 and 15, respectively. With the presence of strong noise, the proposed system reliably fulfills the time-reversal job. As a matter of fact, since the input signal is periodic in time and noise has continuous spectrum, signal-to-noise ratio after the Fourier transform block is much better than 0 dB. To a large extent, it is due to the narrow bandwidth of BPFs in Fig. 3, which is chosen as 300 kHz in this section. B. Circuit-Electromagnetic Co-Simulation Results In this section, the proposed time-reversal system is evaluated in the context of electromagnetic wave propagation. Realistic

82

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 18. Signals received at the three users when there is a link between the base station and User 1. (The vertical axes are normalized E .) (a) At User 1. (b) At User 2. (c) At User 3.

Fig. 19. Signals received at the three users when there is a link between the base station and User 2. (The vertical axes are normalized E .) (a) At User 1. (b) At User 2. (c) At User 3.

time-reversal communication and radar problem configurations are studied, which involves wave propagation, signal reception, and time-reversal of signals. The electromagnetic waves’ propagation and reception are simulated by two Maxwell’s equations solvers: one is based on the FDTD method [65], and the other on the MoM [66]. The FDTD solver obtains solutions in time domain; while the MoM solver is carried out in frequency domain and its results are inverse Fourier transformed to time domain afterwards. It is noted that both the FDTD and MoM simulators are full-wave solvers: they incorporate all the electromagnetic coupling and interaction phenomena. In this section, the electromagnetic solvers are always executed for the following two cases. 1) Ideal time-reversal. In this case, the FDTD and MoM solvers are independent of the circuits in Section II. Time-reversal of signals is carried out internal to the electromagnetic solvers, i.e., ideally. 2) Practical time-reversal. In this case, the FDTD and MoM solvers are coupled with the circuit simulator in Section III-A. To be specific, the electromagnetic solvers provide input signals to the ADS simulator and receive time-reversed signals from the circuit solver. In all the results in this section, ns, rad/s, and there is no noise present. Two specific problem configurations are studied in this section. They are to simulate wireless communication and radar detection applications, respectively.

The wireless communication example is depicted in Fig. 16. Communication occurs in between a base station and three users. The base station is composed of 13 antenna elements; and the elements are geometrically arranged as in Fig. 16. There is one antenna at each user. All the antennas are assumed to be electrically small and behave as -direction-oriented Hertzian dipoles with omni-directional radiation pattern in the – -plane. The three users are located at (1.65 m, 1.65 m, 0), (1.125 m, 1.65 m, 0), and (1.65 m, 0.95 m, 0), respectively. The antenna element at the corner in the base station has coordinates (0.15 m, 0.15 m, 0); and the distance among elements is 0.06 m. A corner reflector made of two perfectly conducting plates is placed around the three users to make the problem configuration complicated. The two plates both have length 1.5 m and height 0.01 m. Tip of the corner reflector sits at (1.8 m, 1.8 m, 0). It is not an easy task to achieve space division multiple access in the environment in Fig. 16. Suppose a regular phased array is implemented at the base station. Even if the phased array is able to deliver a narrow beam to a specific user, that beam would be bounced by the conducting plates and reach other users. The intention of this example is to demonstrate that space division multiple access could be accomplished through time-reversal together with the circuit system proposed in Section II. To establish a wireless communication link between User 1 and base station, User 1 transmits periodic short impulse by a current source excitation A

when

(15)

ZHAI et al.: ELECTRONIC CIRCUIT SYSTEM FOR TIME-REVERSAL OF UWB SHORT IMPULSES BASED ON FREQUENCY-DOMAIN APPROACH

83

Fig. 20. Signals received at the three users when there is a link between the base station and User 3. (The vertical axes are normalized E .) (a) At User 1. (b) At User 2. (c) At User 3.

where

s s and rad/s. (The signal in (15) virtually has spectral coverage of 3–10 GHz.) The fields radiated by User 1 are collected by all the 13 elements in the base station. Next, all the 13 elements time reverse their received signals and re-radiate them. Signals after ideal time-reversal and practical time-reversal are compared with one another in Fig. 17, where only one impulse out of the impulse train at the corner antenna element is plotted. Basically, ideal time-reversal and practical time-reversal match each other. As will be shown next, the small difference between them is not significant in the context of this communication example. When the radiations from the 13 elements of base station arrive at the three users, they are constructive at User 1, but destructive at the other two users. The signals received at the three users (electrical field along the -direction and normalized by the maximum strength among the three users) are plotted in Fig. 18. Three sets of data are compared: 1) Results from FDTD solver with ideal time-reversal. 2) Results from MoM solver with ideal time-reversal. 3) Results from FDTD solver with practical time-reversal. The three sets of data have nice agreement, and they all show that the signal is strong at User 1, but weak at the other two users. It means that, a communication link between the base station and User 1 is established, with User 2 and User 3 excluded. Similar results are shown in Figs. 19 and 20 when the base station communicates with User 2 and User 3, respectively, both of which demonstrate space division multiple access phenomena. That is, when there is a link between base station and User 2, the other two users receive little signal; and similar phenomenon holds true for User 3. In Figs. 18–20, results from ideal time-reversal and practical time-reversal always match each other very well. Therefore, it is concluded that the time-reversal system proposed in Section II could realize space division multiple access in practical time-reversal wireless communication. The last example in this section is related to iterative time-reversal radar detection [4]. The problem geometry is given in Fig. 21. In the free space, there is one radar and two targets. Both targets are of cubic shape and made of a perfect conductor. The cube on the left has side length 0.03 m and its center is located at (0.135 m, 0.915 m, 0); the cube on the right is larger (side length 0.045 m) and its center is at (0.4575 m, 0.9225 m, 0). The radar consists of 13 antenna elements. As in the previous example,

Fig. 21. Geometry of the radar detection example.

each antenna behaves as a -direction oriented Hertzian dipole. The position of the left-most element is (0.21 m, 0.12 m, 0); and distance among elements is 0.015 m. To start the radar detection, the center element transmits periodic impulses to illuminate the two targets. The transmission is excited by a current source as in (15). The fields scattered by the two targets are then received by all 13 elements in the radar. Time-reversal is carried out at all the elements; and the reversed signals are radiated by all the antennas elements. The radiations from the 13 elements are focused onto the two targets. Since the two targets have different sizes, stronger fields are expected at the larger target. The above process could be repeated recursively. That is, the fields are scattered by the two targets; the scattered signals are received by the radar; the received fields are time-reversed and radiated to illuminate the targets again. According to [4], the contrast between the two targets would get larger and larger with more and more iterations. Such a phenomenon is also observed here. In m is plotted after the first, Fig. 22, field strength along second, and third iterations. Specifically, field strength is repin the time history at a certain resented by the maximum location. Also in Fig. 22, the field strength is normalized by the m. As in maximum value among all the locations along the previous example, three sets of data are compared with one another. 1) Results from FDTD solver with ideal time-reversal. 2) Results from MoM solver with ideal time-reversal. 3) Results from FDTD solver with practical time-reversal.

84

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 22. Radar detection results at y the third iteration.

= 0:9 m. (Vertical axes are normalized maximum

The three sets of data agree with one another, and they all clearly show the iterative focusing phenomena: after the first iteration, there are two focusing points; and, with more and more iterations, the left focal point gets weaker and weaker. It means that the time-reversal circuit system proposed in this paper can be applied to practical radar scenarios. IV. CONCLUSION In this paper, a low-cost electronic circuit system is designed to time reverse short UWB impulses. The proposed system obtains discrete spectra of input impulses first; then achieves time-reversal in the frequency domain; and finally synthesizes time-reversed impulses using discrete continuous wave elements. This architecture is composed of commercially available semiconductor circuits, and hence, embodies a system-on-chip implementation of real-time time-reversal. Circuit-electromagnetic co-simulations using ADS and full-wave Maxwell’s equations solvers demonstrate that the proposed system reliably accomplishes time-reversal of UWB impulses at the 3–10-GHz frequency band in the context of realistic electromagnetic wave propagation environments. On-board prototyping of the proposed system is currently ongoing. A major limitation of our present system is that the number of local oscillators is strictly dictated by the temporal duration of impulses. Schemes of using frequency sweeping to relieve this limitation are under investigation. ACKNOWLEDGMENT The authors would like to acknowledge the Texas Advanced Computing Center (TACC), Arlington, TX, for granting access to its computational facilities. REFERENCES [1] A. Fannjiang and K. Solna, “Superresolution and duality for time-reversal of waves in random media,” Phys. Lett. A, vol. 342, pp. 22–29, May 2005. [2] G. Lerosey, J. de Rosny, A. Tourin, and M. Fink, “Focusing beyond the diffraction limit with far-field time reversal,” Science, vol. 315, pp. 1120–1122, Feb. 2007. [3] J. de Rosny and M. Fink, “Focusing properties of near-field time reversal,” Phys. Rev. A, Gen. Phys., vol. 76, 2007, Art. ID 065801.

j

E j.) (a) After the first iteration. (b) After the second iteration. (c) After

[4] M. Fink, “Time-reversal of ultrasonic fields—Part I: Basic principles,” IEEE Trans. Ultrason., Ferroelectr., Freq. Control, vol. 39, no. 5, pp. 555–566, Sep. 1992. [5] F. Wu, J.-L. Thomas, and M. Fink, “Time reversal of ultrasonic fields—Part II: Experimental results,” IEEE Trans. Ultrason., Ferroelectr., Freq. Control, vol. 39, no. 5, pp. 567–578, Sep. 1992. [6] M. Fink, “Time reversed acoustics,” Phys. Today, vol. 50, pp. 34–40, Mar. 1997. [7] M. Fink and C. Prada, “Acoustic time-reversal mirrors,” Inverse Problems, vol. 17, no. 1, pp. R1–R38, 2001. [8] H. C. Song, W. S. Hodgkiss, W. A. Kuperman, W. J. Higley, K. Raghukumar, and T. Akal, “Spatial diversity in passive time reversal communications,” J. Acoust. Soc. Amer., vol. 120, no. 4, pp. 2067–2076, Oct. 2006. [9] S. G. Conti, P. Roux, and W. A. Kuperman, “Near-field time-reversal amplification,” J. Acoust. Soc. Amer., vol. 121, no. 6, pp. 3602–3606, Jun. 2007. [10] G. F. Edelmann, T. Akal, W. S. Hodgkiss, S. Kim, W. A. Kuperman, and H. C. Song, “An initial demonstration of underwater acoustic communication using time reversal,” IEEE J. Ocean. Eng., vol. 27, no. 3, pp. 602–609, Jul. 2002. [11] L. Borcea, G. Papanicolaou, C. Tsogka, and J. Berryman, “Imaging and time-reversal in random media,” Inverse Problems, vol. 18, pp. 1247–1279, 2002. [12] P. Blomberg, G. Papanicolaou, and H. K. Zhao, “Super-resolution in time-reversal acoustics,” J. Acoust. Soc. Amer., vol. 111, pp. 230–248, 2002. [13] E. Kerbrat, C. Prada, and D. Cassereau, “Ultrasonic nondestructive testing of scattering media using the decomposition of the time-reversal operator,” IEEE Trans. Ultrason., Ferroelectr., Freq. Control, vol. 49, no. 8, pp. 1103–1112, Aug. 2002. [14] R. Sorrentino, L. Roselli, and P. Mezzanotte, “Time reversal in finite difference time domain method,” IEEE Microw. Guided Wave Lett., vol. 3, no. 11, pp. 402–404, Nov. 1993. [15] M. Forest and W. J. R. Hoefer, “A novel synthesis technique for conducting scatterers using TLM time reversal,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 6, pp. 1371–1378, Jun. 1995. [16] Y. Chang, H. R. Fetterman, I. L. Newberg, and S. K. Panaretos, “Microwave phase conjugation using antenna arrays,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1910–1919, Nov. 1998. [17] Y.-H. Pan, T.-Y. Huang, and R.-B. Wu, “Steady-state response by timereversal FDTD method with Lanczos algorithm,” presented at the 2003 IEEE Int. AP-S Symp., Columbus, OH, Jun. 2003. [18] B. E. Henty and D. D. Stancil, “Multipath-enabled super-resolution for RF and microwave communication using phase-conjugate arrays,” Phys. Rev. Lett., vol. 93, Dec. 2004, Art ID 243904. [19] C. Oestges, A. D. Kim, G. Papanicolaou, and A. J. Paulraj, “Characterization of space-time focusing in time-reversed random fields,” IEEE Trans. Antennas Propag., vol. 53, no. 1, pp. 283–293, Jan. 2005. [20] D. H. Chambers and J. G. Berryman, “Analysis of the time-reversal operator for a small spherical scatterer in an eletromagnetic field,” IEEE Trans. Antennas Propag., vol. 52, no. 7, pp. 1729–1738, Jul. 2004.

ZHAI et al.: ELECTRONIC CIRCUIT SYSTEM FOR TIME-REVERSAL OF UWB SHORT IMPULSES BASED ON FREQUENCY-DOMAIN APPROACH

[21] L. Chiu, T. Y. Yum, W. S. Chang, Q. Xue, and C. H. Chan, “Retrodirective array for RFID and microwave tracking beacon applications,” Microw. Opt. Technol. Lett., vol. 48, no. 2, pp. 409–411, Feb. 2006. [22] G. Micolau, M. Saillard, and P. Borderies, “DORT method as applied to ultrawideband signals for detection of buried objects,” IEEE Trans. Geosci. Remote Sens., vol. 41, no. 8, pp. 1813–1820, Aug. 2003. [23] R. Carminati, R. Pierrat, J. De Rosny, and M. Fink, “Theory of the time reversal cavity for electromagnetic field,” Opt. Lett., vol. 32, no. 21, pp. 3107–3109, Nov. 2007. [24] M. E. Yavuz and F. L. Teixeira, “Space-frequency ultrawideband timereversal imaging,” IEEE Trans. Geosci. Remote Sens., vol. 46, no. 4, pp. 1115–1124, Apr. 2008. [25] J. C. Adams, W. Gregorwich, L. Capots, and D. Liccaardo, “Ultrawideband for navigation and communications,” presented at the 2001 IEEE Aerosp. Conf., Big Sky, MT, Mar. 2001. [26] G. R. Aiello, “Challenges for ultra-wideband (UWB) CMOS integration,” presented at the 2003 IEEE MTT-S Int. Microw. Symp., Philadelphia, PA, Jun. 2003. [27] D. Porcino and W. Hirt, “Ultra-wideband radio technology: Potential and challenges ahead,” IEEE Commun. Mag., vol. 41, pp. 66–74, 2003. [28] P. Kyritsi, G. Papnicolaou, P. Eggers, and A. Oprea, “One-bit time reversal for WLAN applications,” presented at the IEEE 16th Int. Pers., Indoor, Mobile Radio Commun. Symp., Berlin, Germany, Sep. 2005. [29] A. E. Akogua, R. C. Qiu, and N. Guo, “Demonstrating time reversal in ultra-wideband communications using time domain measurements,” presented at the 51st IEEE Int. Instrum. Symp., Knoxville, TN, May 2005. [30] C. Oestges, J. Hansen, S. M. Emami, A. D. Kim, G. Papanicalaou, and A. J. Paulraj, “Time reversal techniques for broad band wireless communication systems,” presented at the 34th Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 2004. [31] H. T. Nguyen, J. B. Anderson, and G. F. Pedersen, “The potential use of time reversal technique in multiple elements antenna systems,” IEEE Commun. Lett., vol. 9, no. 1, pp. 40–42, Jan. 2005. [32] R. C. Qiu, “A theory of time-reversed impulse multiple-input multiple-output (MIMO) for ultra-wideband (UWB) communications,” presented at the IEEE Int. Ultra-Wideband Conf., Singapore, Sep. 2007. [33] X. Liu, B. Z. Wang, S. Xiao, and J. Deng, “Performance of impulse radio UWB communications based on time reversal technique,” Progr. Electromagn. Res., vol. PIER 79, pp. 401–413, 2008. [34] R. C. Qiu, C. J. Zhou, J. Q. Zhang, and N. Guo, “Channel reciprocity and time-reversed propagation for ultra-wideband communications,” presented at the 2007 IEEE Int. Antennas Propag. Symp., Honolulu, HI, Jun. 2007. [35] D. Abbasi-Moghadam and V. T. Vakili, “Time reversal technique for ultra wideband (TR-UWB) communication systems and its performance analysis,” presented at the Int. Telecommun. Symp., Tehran, Iran, Aug. 2008. [36] A. C. Fannjiang, “Time reversal communication in Rayleigh-fading broadcast channels with pinholes,” Phys. Lett. A, vol. 353, pp. 389–397, 2006. [37] S. Q. Xiao, J. Chen, B. Z. Wang, and X. F. Liu, “A numerical study on time-reversal electromagnetic wave for indoor ultra-wideband signal transmission,” Progr. Electromagn. Res., vol. 77, pp. 329–342, 2007. [38] Z. Yun and M. F. Iskander, “Time reversal with single antenna systems in indoor multipath environments: Spatial focusing and time compression,” presented at the IEEE Int. Antennas Propag. Symp., Albuquerque, NM, Jul. 2006. [39] K. Sarabandi, I. Koh, and M. D. Casciato, “Demonstration of time reversal methods in a multi-path environment,” presented at the IEEE Int. Antennas Propag. Symp., Monterey, CA, Jun. 2004. [40] E. Slob and K. Wapenaar, “GPR without a source: Cross-correlation and cross-convolution methods,” IEEE Trans. Geosci. Remote Sens., vol. 45, no. 8, pp. 2501–2510, Aug. 2007. [41] P. Kosmas and C. M. Rappaport, “Time reversal with the FDTD method for microwave breast cancer detection,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2317–2323, Jul. 2005. [42] P. Kosmas and C. M. Rappaport, “A matched-filter FDTD-based time reversal approach for microwave breast cancer detection,” IEEE Trans. Antennas Propag., vol. 54, no. 4, pp. 1257–1264, Apr. 2006. [43] L. Carin, D. Liu, W. Lin, and B. Guo, “Compressive sensing for multi-static scattering analysis,” J. Comput. Phys., vol. 228, no. 9, pp. 3464–3477, May 2009.

85

[44] D. Singh, Z. Hu, and R. Qiu, “UWB channel sounding and channel characteristics in rectangular metal cavity,” presented at the IEEE Southeastcon, Huntsville, AL, Apr. 2008. [45] G. Lerosey, J. de Rosny, A. Tourin, A. Derode, G. Montaldo, and M. Fink, “Time reversal of electromagnetic waves,” Phys. Rev. Lett., vol. 92, no. 19, May 2004, Art. ID 193904. [46] G. Lerosey, J. de Rosny, A. Tourin, A. Derode, and M. Fink, “Time reversal of wideband microwaves,” Appl. Phys. Lett., vol. 88, 2006, Art. ID 154101. [47] X. Zhou, P. Kyritsi, J. Martinez, A. Adenet, C. Lemasson, and P. C. F. Eggers, “Assessment of MISO time reversal for short-range communications in the 5 GHz ISM band,” Wireless Pers. Commun., vol. 43, pp. 759–776, 2007. [48] P. Kyritsi, G. Papanicolaou, P. Eggers, and A. Oprea, “MISO time reversal and delay-spread compression for FWA channels at 5 GHz,” IEEE Antenna Wireless Propag. Lett., vol. 3, no. 1, pp. 96–99, 2004. [49] G. Lerosey, J. de Rosny, A. Tourin, A. Derode, G. Montaldo, and M. Fink, “Time reversal of electromagnetic waves and telecommunication,” Radio Sci., vol. 40, no. 6, Sep. 2005, Art. ID RS6S12. [50] H. T. Nguyen, J. B. Andersen, G. F. Pedersen, P. Kyritsi, and P. C. F. Eggers, “Time reversal in wireless communications: A measurementbased investigation,” IEEE Trans. Wireless Commun., vol. 5, no. 8, pp. 2242–2252, Aug. 2006. [51] R. C. Qiu, C. J. Zhou, N. Guo, and J. Q. Zhang, “Time reversal with MISO for ultrawideband communications: Experimental results,” IEEE Antennas Wireless Propag. Lett., vol. 5, no. 1, pp. 269–273, Dec. 2006. [52] S. M. Anlage, J. Rodgers, S. Hemmady, J. Hart, T. M. Antonsen, and E. Ott, “New results in chaotic time-reversed electromagnetics: High frequency one-recording-channel time-reversal mirror,” Acta Phys. Pol. A, vol. 112, no. 4, pp. 569–574, May 2007. [53] D. Liu, S. Vasudevan, J. Krolik, G. Bal, and L. Carin, “Electromagnetic time-reversal source localization in changing media: Experiment and analysis,” IEEE Trans. Antennas Propag., vol. 55, no. 2, pp. 344–354, Feb. 2007. [54] D. Liu, G. Kang, L. Li, Y. Chen, S. Vasudevan, W. Joines, Q. H. Liu, J. Krolik, and L. Carin, “Electromagnetic time-reversal imaging of a target in a cluttered environment,” IEEE Trans. Antennas Propag., vol. 53, no. 9, pp. 3058–3066, Sep. 2005. [55] D. Liu, J. Krolik, and L. Carin, “Electromagnetic target detection in uncertain media: Time-reversal and minimum-variance algorithms,” IEEE Trans. Geosci. Remote Sens., vol. 45, no. 4, pp. 934–944, Apr. 2007. [56] G. Bal, L. Carin, D. Liu, and K. Ren, “Experimental validation of a transport-based imaging method in highly scattering environments,” Inverse Problems, vol. 23, pp. 2527–2539, 2007. [57] J. M. F. Moura and Y. Jin, “Detection by time reversal: Single antenna,” IEEE Trans. Signal Process., vol. 55, no. 1, pp. 187–201, Jan. 2007. [58] J. M. F. Moura and Y. Jin, “Time reversal imaging by adaptive interference canceling,” IEEE Trans. Signal Process., vol. 56, no. 1, pp. 233–247, Jan. 2008. [59] F. Coppinger, A. S. Bhushan, and B. Jalali, “Time reversal of broadband microwave signals,” Electron. Lett., vol. 35, no. 15, pp. 1230–1232, 1999. [60] S. Hoyos and B. M. Sadler, “UWB mixed-signal transform-domain direct-sequence receiver,” IEEE Trans. Wireless Commun., vol. 6, no. 8, pp. 3038–3046, Aug. 2007. [61] A. Medi and W. Namgoong, “A high data-rate energy-efficient interference-tolerant fully integrated CMOS frequency channelized UWB transceiver for impulse radio,” IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 974–980, Apr. 2008. [62] R. Thirugnanam and D. S. Ha, “A feasibility study on frequency domain ADC for impulse-UWB receivers,” presented at the 4th Int. Circuits Syst. Commun. Conf., Shanghai, China, 2008. [63] G. S. Gill, “Ultra-wideband radar using Fourier synthesized waveforms,” IEEE Trans. Electromagn. Compat., vol. 39, no. 2, pp. 124–131, May 1997. [64] V. Shenoy, S. Sha, S. Jung, and M. Lu, “A circuit implementation for time-reversal of short impulses,” presented at the Asia–Pacific Microw. Conf., Hong Kong, China, Dec. 2008. [65] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method, 3rd ed. Norwood, MA: Artech House, 2005. [66] R. F. Harrington, Field Computation by Moment Methods. New York: Macmillan, 1968.

86

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Huiqing Zhai was born in Jilin Province, China. He received the B.S., M.S., and Ph.D. degrees in electromagnetic fields and microwave technology from Xidian University, Xi’an, China, in 2000, 2003, and 2004, respectively. In 2004, he joined the School of Electrical Engineering, Xidian University. From April 2005 to March 2008, he was with Tohoku University, Sendai, Japan, as a Research Fellow. He is currently a Postdoctoral Research Associate with The University of Texas at Arlington. His primary research interests include computational electromagnetics, microwave remote sensing, and microwave circuits and antennas for wireless communication. Dr. Zhai was the recipeint of the Japan Society for Promotion of Science (JSPS) Research Fellowship from April 2006 to March 2008. He was also the recipient of the Best Paper Award and Zen’iti Kiyasu Award presented by the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, 2008. Shaoshu Sha was born in Xuzhou, China, in 1984. He received the Bachelor of Science degree in information engineering from Southeast University, Nanjing, China, in 2003, and the Master of Science degree in electrical engineering from The University of Texas at Arlington, in 2009. From 2007 to 2009, he was a Graduate Research Assistant with the Department of Electrical Engineering in the University of Texas at Arlington. His research interests include antenna design, computational electromagnetics, and time-reversal electronic system design. Varun K. Shenoy (S’08) received the B.E. degree in electrical engineering from the Manipal Institute of Technology, Manipal, Karnataka, India, in 205, the M.S. degree in electrical engineering from The University of Texas at Arlington, in 2007, and is currently working toward the Ph.D. degree at The University of Texas at Arlington. His research interests include high-speed analog/RF CMOS circuits, UWB transceiver designs, and radar system designs. Sungyong Jung (M’02–SM’08) received the B.S. and M.S. degrees in electronics engineering from Yeungnam University, Kyeongsan, Korea, in 1991 and in 1993, respectively, and the Ph.D. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2002. From 2001 to 2002, he was an Advanced Circuit Engineer with Quellan Inc., Atlanta, GA. He is currently an Associate Professor with the Department of Electrical Engineering, The University of Texas at Arlington. His research interests include UWB wireless integrated circuit (IC) and system design for wireless communications and radar applications, high-speed CMOS analog and mixed-signal circuit design, opto-electronic IC design, and modeling of high-speed circuit parasitics. Mingyu Lu (M’03–SM’08) received the B.S. and M.S. degrees in electrical engineering from Tsinghua University, Beijing, China, in 1995 and 1997 respectively, and the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign, in 2002. From 1997 to 2002, he was a Research Assistant with the Department of Electrical and Computer Engineering, University of Illinois at Urbana-Champaign. From 2002 to 2005, he was a Postdoctoral Research Associate with the Electromagnetics

Laboratory, University of Illinois at Urbana-Champaign. In 2005, he joined the faculty of the Department of Electrical Engineering, The University of Texas at Arlington, as an Assistant Professor. His current research interests include radar systems, antenna design, computational electromagnetics, and microwave remote sensing. Dr. Lu was the recipient of the First Prize Award in the Student Paper Competition of the 2001 IEEE Antennas and Propagation (IEEE AP-S) International Symposium, Boston, MA. He was also the recipient of the 2008 Outstanding Service Award presented by the IEEE Fort Worth Chapter. Kyoungwon Min was born in Seoul, Korea, in 1971. He received the B.S. and M.S. degrees in electronics engineering from the Hongik University, Seoul, Korea, in 1993 and 1995, respectively, and is currently working toward the Ph.D. degree in electronics engineering at Yonsei University, Seoul, Korea. In 1995, he joined the Korea Electronics Technology Institute, Gyeonggi-Do, Korea. He is currently the Managerial Researcher of hybrid signal processing with the System-on-Chip (SoC) Center, Korea Electronics Technology Institute. His research interests include very large scale integration (VLSI) and SoC design for sensor and image signal processing. Sungchul Lee was born in Jeonju, Korea, in 1971. He received the B.S. and M.S. degrees from the School of Information and Telecommunication Engineering, Chonbuk National University, Jeonju, Korea, in 1993 and 1995, respectively, from, and the Ph.D. degree from the School of Electronics Engineering, Chonbuk National University, Jeonju, Korea, in 2008 . Since 1995, he has been with the Korea Electronics Technology Institute, Gyeonggi-Do, Korea, where he has been involved with research and development with the System IC Research and Development Division, where he currently is a Managerial Researcher and Team Leader. His research interests are in the areas of high-speed I/O, RF/analog IC design, and sensor signal processing. Dong S. Ha (M’86–SM’97–F’08) received the B.S. degree in electrical engineering from Seoul National University, Seoul, Korea, in 1974, and the M.S. and Ph.D. degrees in electrical and computer engineering from the University of Iowa, Iowa City, in 1984 and 1986, respectively. Since Fall 1986, he has been a faculty member with the Department of Electrical and Computer Engineering, Virginia Polytechnic Institute of and State University, Blacksburg. He is currently a Professor and Director of the Center for Embedded Systems and Critical Applications (CESCA). He supervises the VLSI for Telecommunications (VTVT) Group, Virginia Polytechnic Institute of and State University, which specializes in low-power VLSI design for various applications including wireless communications. Along with his students, he has developed four computer-aided design tools for digital circuit testing and CMOS standard cell libraries. The library cells and the source code for the four tools have been distributed to about 300 universities and research institutions worldwide. His research interests include structural health monitoring systems, energy harvesting circuits, wireless body area networks, power-line communications for self-contained systems, low-power analog and mixed-signal design, and RF IC design. Dr. Ha was general chair of the 2005 System-on-Chip Conference (SOCC) and Technical Program chair of the 2003 and 2004 SOCC.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

87

Frequency-Agile Dual-Band Direct Conversion Receiver for Cognitive Radio Systems Erick Emmanuel Djoumessi, Student Member, IEEE, Serioja O. Tatu, Member, IEEE, and Ke Wu, Fellow, IEEE

Abstract—A frequency-agile dual-band direct conversion receiver is proposed and experimentally validated for cognitive radio system applications. Two types of tunable receiver architectures are presented and analyzed in this work; one structure relying on a tunable bandpass filter, and a second system based on a varactor—based tunable six-port demodulator, with the latter showing better sensitivity and dynamic range. In order to demodulate phase-shift-keying modulated signals at multiple operating frequencies at a high bit rate of 40 Mb/s, the receiver is designed using a wideband power detector in connection with a high-speed quad comparator. An experimental prototype and a complete measurement test bench have been realized in order to obtain the bit error rate performance of the receiver in a more realistic application environment. In summary, the proposed electronically tunable system allows for an operation in multiband frequency ranges including global system for mobile communications cellular networks (1900 MHz) and IEEE802.11 a/b/g applications (2.4 and 5.8 GHz). Index Terms—Cognitive radios, digital direct conversion, phaseshift keying, six-port, tunable receiver, varactor diode. Fig. 1. Communication radio network and interference scenario.

I. INTRODUCTION

I

INTERFERENCE problems of signal among wireless standard communication radio transceivers are one of the major issues encountered by the wireless communications industry. Modern design platforms are equipped with more and more radio transceivers operating in parallel on a single chip, and those radio chipsets are thus highly susceptible to mutual interference if they operate simultaneously and at a close distance in a common frequency band. Moreover, the available wireless frequency spectra are largely occupied by these systems, specifically around the 2.4-GHz frequency band. Consequently, there are no completely “free” frequency bands for the next generation of wireless systems. In many cases, certain licensed or unlicensed frequency bands are congested while the others may stay idle for a period of time, which may create a special problem of spectrum usage efficiency. Fig. 1 shows a network interference scenario depicting Manuscript received May 12, 2009; revised September 24, 2009. First published November 17, 2009; current version published January 13, 2010. This work was supported by the Natural Sciences and Engineering Research Council of Canada (NSERC). E. E Djoumessi and K. Wu are with the Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada H3C 3A7 (e-mail: [email protected]). S. O. Tatu is with Énergie Matériaux et Télécommunications, Institut National de la recherche Scientifique, Montréal, QC, Canada H5A 1K6 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2035952

the case of the coexistence between a standard wireless cellular phone and a portable computer operating closely together at the same operation frequency. Therefore, intensive research activities to overcome these problems are focused on the development of software-defined cognitive radio (SDCR) systems, where RF front-end and baseband modules are based on multiband frequency agile devices. In [1]–[5], a static single and wideband direct conversion receiver, as well as a dual-band low-IF and heterodyne receiver architecture have been presented. Additionally, low-cost single-band six-port architectures for direct conversion receivers have been proposed in [6] and [7]. An extension to the single or dual-band direct conversion receivers is the tunable multiband receiver [8], where a dual-band operation frequency tunability has been achieved using standard silicon varactor diode. In this paper, the design and realization of two types of complete low-cost tunable dual-band direct conversion digital receiver (DCDR) systems are presented and analyzed; one architecture relying on a tunable bandpass filter, and the second type demodubased on a tunable dual-band six-port front-end lator. The bit error rate (BER), as well as a dynamic range analysis of the two proposed types of tunable DCDR systems, are presented in Section II. In both cases, except tunable devices, all others components guarantee broadband operation. Simulation results of both systems are also presented. Section III describes a complete measurement test bench setup of the tunable

0018-9480/$26.00 © 2009 IEEE

88

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 2. Block diagram of the proposed tunable direct conversion receiver based on: (a) a tunable bandpass filter and (b) a tunable six-port junction.

dual-band DCDR utilizing a tunable six-port demodulator. Measured quadrature phase-shift keying (QPSK) signal constellations and the BER at different operation frequencies have been carried out. In addition, the selectivity of the receiver has been measured. II. RECONFIGURABLE DIRECT CONVERSION RECEIVER SYSTEMS The architectures of the proposed tunable direct conversion receiver composed of a tunable bandpass filter and a tunable six-port (six-port interferometer) demodulator are presented in Fig. 2(a) and (b). As it is well known, these components mainly determine the receiver sensitivity and dynamic range. Thus, both receiver architectures are proposed and analyzed in terms of their BER performance. The first architecture employs a wideband six-port front-end integrated with a tunable bandpass filter, while the second system is based on a tunable dual-band six-port front-end integrated with a wideband bandpass filter.

Fig. 3. (a) Tunable dual-mode bandpass filter layout, and simulated and measured magnitudes of: (b) S and (c) S for different bias voltages.

A. Varactor-Tuned Dual-Mode Bandpass Filter Design Fig. 3(a) shows the architecture of a tunable dual-mode bandpass filter for mobile global system for mobile communications (GSM) and industrail–scientific–medical (ISM) bands (1900 MHz–2.4 GHz) [9]. It is composed of a meander loop dual-mode resonator and four loading capacitances. The perturbation of degenerate modes is achieved by combining a small square and an additional meander line resonator. Frequency tuning is implemented by modifying the electrical length of each of the orthogonal quarter-wavelength meander arms with a silicon varactor-diode capacitor. Fig. 3(b) and (c) shows the simulated and measured results for and the insertion loss of such the reflection coefficient

a filter prototype realized on an alumina substrate. It exhibits a center-frequency tunable 23% to GHz for a range from approximately varactor diode bias of and V. Moreover, it exhibits a constant 3-dB bandwidth of about 5.75% and an insertion loss between 3.1–7 dB over the tuning frequency range. The insertion loss degrades as the varactor capacitance increases (bias voltage decreases), which can be mainly attributed to mismatch problem and the equivalent series resistance of the diode. The measured out-of-band rejection in the tuning frequency range is better than 28 dB, which is an important criterion for the receiver in order to reject undesirable incoming RF signals.

DJOUMESSI et al.: FREQUENCY-AGILE DUAL-BAND DIRECT CONVERSION RECEIVER FOR COGNITIVE RADIO SYSTEMS

89

junction, numbered 1–4, are used to obtain the respective dc output signals. In [2], it has been demonstrated that the dc output voltage expressions with the assumption of using identical power detectors are given by (1) (2) (3) (4) In (1)–(4), is the amplitude of the input reference signal (LO), and represents the ratio between the dc output voltage and the RF signal at the input port of the power detector. In adrepresent the amplitude ratio and phase difdition, and ) and the RF ference between the receiver input RF signal ( reference signal (LO). The and output signals can then be easily obtained by using a subtractor (e.g., high-speed comparators), as shown in Fig. 2, based on the expressions given as (5) (6) where the voltages

to

are given by (1)–(4).

C. System Analysis of the Tunable Receiver

Fig. 4. (a) Prototype of the tunable six-port front-end including power detectors. (b) Measured magnitude of S (solid line) and S (dashed line) for V ; , and 25 V (; , and symbols).

=0 5

+

B. Varactor-Tuned Dual-Band Six-Port Front-End Design Fig. 4(a) shows the prototype of the proposed tunable dualband six-port front-end realized in microstrip technology. It is composed of a tunable dual-band six-port junction in connection with four RF power detectors. The junction consists of three tunable dual-band quadrature hybrid couplers [10] and a dual-wideband power divider [11]. The dual-band tunability of such hybrid couplers is achieved using the variable capacitance of four silicon varactor diodes MSV34 075 from Aeroflex Metelics, Sunnyvale, CA, which, in addition, offers a highly effective reduction in size. Linear and nonlinear analyses, as well as experimental scattering parameter, results of the tunable six-port junction are outlined in detail in [10]. Experimental -parameter results of the tunable six-port junction together with the power detectors are shown in Fig. 4(b). The graph presents the reflection and isolation coeffiand between the RF input port 6 ( ) and the cient RF reference port 5 [local oscillator (LO)] over both tuning freGHz to GHz quency ranges from GHz to GHz for varactor bias and voltage of and V, respectively. Similar measurements results have been achieved for the reflection coefficient . This gives a tunable range of approximately 69% and 8% for the lower and upper frequency band, respectively. The four power detectors connected to the output ports of the six-port

A detailed analysis for the BER of the two proposed defined cognitive radio (DCR) architectures, as mentioned above, has been carried out in this study. The first structure is composed of the above-designed tunable dual-mode bandpass filter together with a conventional wideband six-port front-end module. The second type is based on the above realized tunable dual-band six-port front-end in conjunction with a wideband bandpass filter circuit. In both cases, a wideband low-noise amplifier (LNA) is used to amplify the received RF signal for achieving a better sensitivity. A power detector circuit model (see Fig. 2) is used to provide the dc output signals of an unknown RF input ) in combination with a reference LO signal. A baseband ( circuit composed of two comparators modules is then used to generate the in-phase/quadrature phase (I/Q) signals. A simulation has been carried out using Agilent Technologies’ Advanced Design System (ADS) [12]. In both architectures, an I/Q modulator module in connection with two voltage sources generating pseudorandom pulse bits trains at 20 MHz (corresponding to a bit rate of 40 Mb/s in QPSK modulation) is used for the transmitter. The RF transmission power is set at dBm for the QPSK signal generation, and its operation frequency is maintained equal to the receiver operation . A line-of-sight (LOS) antenna link Friis model is frequency used to represent the propagation path between two antennas of 4-dBi gain with a propagation path of 1 m. A typical simulation schematic of the receiver based on a tunable six-port front-end is shown in Fig. 5. In addition, a similar simulation setup has been established for the first receiver type composed of a dual-mode bandpass filter. A LNA module with 20-dB gain is used for both receivers. Tunable elements in the receiver are included in the simulation as follows: the first structure makes use of the measured -parameters of the tunable filter at different biasing voltages

90

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 6. Simulated BER of the proposed tunable DCR based on a tunable bandpass filter (dashed lines) and a tunable six-port front-end (solid lines).

Fig. 5. Tunable DCR ADS schematic, including the six-port front-end EM model, varactor diode, and RF power detector nonlinear model.

(see Fig. 3); while the second architecture employs a full-wave electromagnetic (EM) simulation model of the tunable dualband six-port front-end (see Fig. 5). The physical dimensions mm, of the demodulator in Fig. 5 are given as mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm. and The varactor diodes and power detectors are modeled by nonlinear equations in order to take their nonlinearity into account. pF, The varactor diode parameters are found to be V, , and an equivalent series resistance . The power detector is represented by a nonlinear equation defined as (7) represent the input signal power and dc output where and voltage, respectively. Finally, a detailed analysis of both systems has been performed with a nonlinear envelope technique within the ADS framework. The operating center frequencies of the first receiver are selected equal to the multiband range counterparts of the tunable bandpass filter described in Section II-A (1.9 GHz at V, and 2.4 GHz at V), while the frequencies of the second receiver are selected equal to those of the V, 2.4 GHz tunable six-port EM module (1.9 GHz at at V, and 5.8 GHz at V). Fig. 6 shows the simulated BERs for different levels of the signal-to-noise-ratio (SNR). The SNR is defined as the ratio of the modulated avand the power spectral density . It erage bit energy

is observed that the BER of both receivers increases as the operation frequency decreases. This is due to the mismatch at the input port of the tunable components (filter and six-port demodand ulator) for decreasing bias voltages [see coefficients in Figs. 3(b) and 4(b)]. In addition, the first receiver system with the center-operation frequency at 1.9 GHz presents a high BER, which is mainly due to the high measured insertion loss (7 dB) of the tunable bandpass filter [see Fig. 3(c)]. The above BER analysis, confirmed by Fig. 3, clearly shows that the DCR receiver based on the tunable six-port module provides a better sensitivity. Furthermore, in order to observe the maximum input signal level the receiver can tolerate due to the nonlinearity of the varactor diode, the dynamic range of the second receiver is ana, lyzed for different center-operation frequencies GHz. Fig. 7(a) shows the simulated BER results versus and RF signal power level at the LNA port (see Fig. 5) with an LO (dBm). Dynamic ranges signal power level of of 48, 65, and 81 dBm (from 64 to 16 dBm, 61 to 4 dBm, and 71 to 10 dBm, respectively) are obtained for center-operation frequencies , and GHz, respectively (corresponding to a BER of 1E-6). These analyses show that the proposed tunable receiver presents a better dynamic range increases. The simwhen the center-operation frequency ulated minimum detectable signal level is around 70 dBm, while the maximum power level is related to the nonlinearity of the varactor diodes. Fig. 7(b) shows the simulated BER over the frequency of an incoming modulated QPSK signal, located close to the . As the frequency slightly center-operation frequency , the BER shifts away from the center-operation frequency rapidly increases. This result demonstrates the receiver selec(different tivity performance at different dc bias voltages center-operation frequencies). Based on the above simulated BER results, we can conclude that the DCDR architecture composed of a tunable six-port demodulator presents a better sensitivity and a wider tuning frequency range than the one with a tunable filter. Also note that the proposed DCDR is a very robust QPSK demodulator according to the high dynamic range of the input QPSK signal. In

DJOUMESSI et al.: FREQUENCY-AGILE DUAL-BAND DIRECT CONVERSION RECEIVER FOR COGNITIVE RADIO SYSTEMS

91

Fig. 7. Simulated BER results: (a) over RF power level (P ) at the LNA port in Fig. 5 and (b) versus the frequency f of an incoming modulated QPSK signal with different center-operating frequencies f = 1:9; 2:4, and 5.8 GHz (dash–dot, dash–dash, and solid line).

addition, the robustness of the receiver with a tunable six-port demodulator against out-of-band interference signals can be obtain by an additional tunable filter onto the RF input port. III. EXPERIMENTAL RESULTS OF THE DCR BASED ON THE TUNABLE DUAL-BAND SIX-PORT FRONT-END MODULE Fig. 8(a) shows the block diagram of the test bench, representing a more realistic receiver test environment. In these measurements, we do not include the wideband bandpass filter. The transmitter and receiver blocks are composed of two broadband planar quasi-Yagi antennas [13] and an Agilent E4438C vector signal generator is used together with a data pattern generator (Anritsu ME522A Tx) in order to create a standard QPSK mod1. For a ulation with a pseudorandom bit sequence (PSBR) 2 better receiver performance, all tests have been carried out with a synchronized LO obtained from the same signal generator. An HP346C noise source in connection with a wideband high gain

Fig. 8. (a) Block diagram and (b) photograph of the measurement test bench and (c) zoom view of the tunable DCR receiver block.

amplifier and a wideband power combiner [11] is used to combine different white noise levels with the transmit signal in order to measure the performances of the receiver in terms of signal to . To improve receiver sensitivity (i.e., noise ratio (SNR) its minimum detectable signal), the proposed tunable dual-band digital DCR module is composed of a wideband LNA amplifier (Gali 39 operating from dc to 7 GHz), followed by a tunable dual-band six-port junction in connection with four wideband surface mount logarithmic power detectors (AD8318, operating from 1 MHz to 8 GHz) [see Fig. 4(a)]. A high-speed quad comparator AD8564 (7 ns) is used to generate demodulated I/Q signals from the dc output voltage of the power detectors. The demodulated QPSK signal constellations can then be directly visualized on an oscilloscope corresponding to the complex vector with and signals given in (5) and expression (6). In addition, BER measurements can be performed with the

92

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 9. (a) Measured I/Q signals sequence over time at 1.9 GHz, and the demodulated QPSK signal constellations without added noise at operation frequencies of: (b) 1.9, (c) 2.45, and (d) 5.8 GHz.

Fig. 11. Measured BER against: (a) SNR (E =N ) and (b) a received QPSK signal frequency f without added noise of the tunable DCR receiver with operation frequency f = 1:9 GHz (V = 5 volt), 2.4 GHz (V = 15 V), = 0 V). and 5.8 GHz (V

Fig. 10. Measured demodulated QPSK signal constellations with E =N of 18 dB [(a), (c), and (e)], and 5 dB [(b), (d), and (f)], at operating frequencies of 1.9 GHz [(a) and (b)], 2.45 GHz [(c) and (d)], and 5.8 GHz [(e) and (f)].

PRBS data receiver module (Anritsu ME522A Rx) in conjunction with the synchronized clock signal of its transmitting PRBS data module. A photograph of the measurement test bench is shown in Fig. 8(b)–(c). Antennas are positioned at a distance of 0.6 m. The power generated by the vector signal generator is set to 22 dBm, corresponding to a measured minimum detectable signal of about 70 dBm at the input port of the LNA (Gali 39 ). Moreover, the attenuation for the variable attenuator has been fixed to be 30 dB. The varactors diodes have been equally

biased through a wideband bias-T network connected to the reference LO port. Receiver tests have been conducted with a PRBS rate of 40 Mb/s in a QPSK modulation. Fig. 9(a) shows the pseudorandom sequence of the demodulated I/Q output signals over the time for a receiver operating V). frequency of 1.9 GHz (i.e., a varactor bias of Fig. 9(b)–(d) presents the measured results for the demodulated signal constellations for multiband operation at 1.9 GHz V), 2.45 GHz ( V), and 5.8 GHz ( ( V) and show excellent performance. The demodulated QPSK signal constellations for difof 5 and 18 dB are presented in ferent SNRs Fig. 10(a), (c), (e) and (b), (d), (f), respectively. It is noted that a high constellation distortion is observed for an operation at low frequency [see Fig. 10(a) and (b) and (c) and (d), and (e) and (f)]. The sensitivity, as well as the selectivity of the proposed tunable direct conversion receiver at different center operation (i.e., different bias voltages), have been meafrequencies sured in terms of BER performance. Fig. 11(a) presents a good agreement between the simulated and measured BER results . The small difference observed between over SNR simulated and measured BER can be attributed to the test bench measurements errors, such as noise level measurement errors and the distance between the transmitting and the receiving

DJOUMESSI et al.: FREQUENCY-AGILE DUAL-BAND DIRECT CONVERSION RECEIVER FOR COGNITIVE RADIO SYSTEMS

antennas (that is not exactly as in the simulation). Confirming the above measured demodulated QPSK constellations (see Fig. 10), Fig. 11(a) shows the degradation of BER as frequency decreases. The simulated and measured BER degradation is due to the mismatch at the input with operation frequency ) as varactor bias voltage decreases [see Fig. 4(b)]. port ( In fact, the mismatch in this case is dynamic as a function of the applied voltage, which changes the input condition. of 1.9 GHz ( In addition, at different frequencies V), 2.4 GHz ( V), and 5.8 GHz ( V), the receiver selectivity has also been investigated by measuring the variation of the BER for different incoming QPSK signals with frequencies denoted by , and without any added noise [see Fig. 11(b)]. As confirmed in Fig. 7(b), a rapid increase of BER of the received modulated is observed when the frequency signal shifts away from the six-port demodulator frequency .

93

[6] J. F. Gagne, J. Gauthier, K. Wu, and R. G. Bosisio, “Low cost architecture of direct conversion digital receiver,” Microw. Antennas Propag., vol. 151, pp. 71–76, Feb. 2004. [7] E. Marsan, J.-C. Schiel, G. Brehm, K. Wu, and R.-G. Bosisio, “Highspeed carrier recovery circuit suitable for direct digital QPSK transceivers,” in Radio Wireless Conf., Aug. 2002, pp. 103–106. [8] E. E. Djoumessi and K. Wu, “Tunable multi-band direct conversion receiver for cognitive radio systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 601–604. [9] E. E. Djoumessi, M. Chaker, and K. Wu, “Varactor-tuned dual-mode bandpass filter for wireless applications,” in Radio Wireless Conf., Jan. 2009, pp. 646–649. [10] E. E. Djoumessi, S. O. Tatu, R. G. Bosisio, M. Chaker, and K. Wu, “Varactor-tuned multiband six-port front-end for wireless applications,” in Asia–Pacific Microw. Conf., Dec. 2008, pp. 1–5. [11] L. W. Zengguang, H. Yilmaz, and M. Berroth, “A dual-frequency Wilkinson power divider,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 278–284, Jan. 2006. [12] Advanced Design System (ADS). Agilent Technol., Palo Alto, CA, 2006. [13] N. Kaneda, W. R. Deal, Y. Qian, R. Waterhouse, and T. Itoh, “A broadband planar quasi-Yagi antenna,” IEEE Trans. Antennas Propag., vol. 50, no. 8, pp. 1158–1160, Aug. 2002.

IV. CONCLUSION A tunable dual-band direct conversion receiver has been proposed and investigated, as well as experimentally validated for its applications in GSM and wireless frequency bands (IEEE802.11 b,a). First of all, two complementary types of tunable DCR architectures have been analyzed in terms of their BER performance. It has been shown that the architecture based on a tunable six-port front-end module presents better performance in terms of sensitivity and a wider tuning frequency, but with dynamic range limited by the varactor diode nonlinearity. The direct conversion receiver based on a tunable six-port front-end has then been fabricated, and a complete test bench measurement, followed by experimental results for BER has been carried out in order to validate the tunability and selectivity concept of the proposed architecture. A good agreement between simulations and measurement results of the BER prove that the proposed reconfigurable receiver system presents a great potential for future wireless communication systems with cognitive capability. ACKNOWLEDGMENT The authors thank the technical personnel of the Poly-Grames Research Center, École Polytechnique de Montr´eal, Montr´eal, QC, Canada, in the fabrication and mounting of the receiver prototypes. REFERENCES [1] J. Li, R.-G. Bosisio, and K. Wu, “A six-port direct digital millimeter wave receiver,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1995, vol. 3, pp. 1659–1662. [2] S. O. Tatu, E. Moldovan, K. Wu, R. G. Bosisio, and T. A. Denidni, “ -band analog front-end for software-defined direct conversion receiver,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2768–2776, Sep. 2005. [3] F. Gatti, M. Bozzi, L. Perregrini, K. Wu, and R. G. Bosisio, “A new wide-band six-port junction based on substrate integrated coaxial line (SICL) technology,” in IEEE Melecon Conf., May 2006, pp. 367–370. [4] C. Carta, R. Vogt, and W. Bachtold, “Multiband monolithic BICMOS low-power low-IF WLAN receivers,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 9, pp. 543–545, Sep. 2005. [5] S.-F. R. Chang, W.-L. Chen, and S.-C. Chang, “A dual-band RF transceiver for multistandard WLAN applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 1048–1055, Mar. 2005.

Ka

Erick Emmanuel Djoumessi (S’08) received the Bachelor degree in engineering telecommunications and Master degree in electrical engineering from the École Polytechnique de Montréal, Montréal, QC, Canada, and is currently working toward the Ph.D. degree in electrical engineering at the École Polytechnique de Montréal. His research interests concern the design of multiband and reconfigurable microwave components and systems based on silicon and ferroelectric varactors for SDCR applications. Mr. Djoumessi was a finalist in the 2009 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) Student Paper Competition.

Serioja O. Tatu (M’05) received the B.Sc. degree in radio engineering from the Polytechnic University, Bucharest, Romania, in 1989, and the M.Sc.A. and Ph.D. degrees in electrical engineering from the École Polytechnique de Montréal, Montréal, QC, Canada, in 2001 and 2004, respectively. From 1989 to 1993, he was an RF Engineer and Head of the Telecommunications Laboratory, National Company of Telecommunications, Rom-Telecom, Bistrita-Nasaud, Romania, and from 1993 to 1997, he was a Technical Manager. He is currently an Associate Professor with Énergie Matériaux et Télécommunications, Institut National de Recherche Scientifique, Montréal, QC, Canada. His current research interests are millimeter-wave circuit design, hardware and software radio receivers, and radar systems.

Ke Wu (M’87–SM’92–F’01) is Professor of electrical engineering, and Tier-I Canada Research Chair in RF and millimeter-wave engineering with the École Polytechnique de Montréal, Montréal, QC, Canada. He holds the first Cheung Kong endowed chair professorship (visiting) at the Southeast University, the first Sir Yue-Kong Pao chair professorship (visiting) with Ningbo University, and an honorary professorship with the Nanjing University of Science and Technology, and the City University of Hong Kong. He has been the Director of the Poly-Grames Research Center and the founding Director of the Center for Radiofrequency Electronics Research of Quebec (Regroupement stratégique of FRQNT). He has also hold guest and visiting professorship with many universities around the world. He has authored or coauthored over 700 referred papers and a number of books/book chapters. He holds numerous patents. His current research interests involve substrate integrated circuits (SICs), antenna

94

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

arrays, advanced computer-aided design (CAD) and modeling techniques, and development of low-cost RF and millimeter-wave transceivers and sensors for wireless systems and biomedical applications. He is also interested in the modeling and design of microwave photonic circuits and systems. Dr. Wu is a member of the Electromagnetics Academy, the Sigma Xi Honorary Society, and URSI. He has held key positions in and has served on various panels and international committees including the chair of Technical Program Committees, international Steering Committees and international conferences/symposia. In particular, he will be the general chair of the 2012 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium. He has served on the Editorial/Review Boards of many technical journals, transactions, and letters as well as scientific encyclopedia as

an editor and guest editor. He is currently the chair of the joint IEEE chapters of MTT-S/AP-S/LEOS in Montréal. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006-2012 and serves as the chair of the IEEE MTT-S Member and Geographic Activities (MGA) Committee. He is a Fellow of the Canadian Academy of Engineering (CAE) and a Fellow of the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He is an IEEE MTT-S Distinguished Microwave Lecturer from Jan. 2009 to Dec. 2011. He was the recipient of many awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award, the 2004 Fessenden Medal of the IEEE Canada, and the 2009 Thomas W. Eadie Medal of the Royal Society of Canada.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

95

Adaptive Digital Predistortion of Wireless Power Amplifiers/Transmitters Using Dynamic Real-Valued Focused Time-Delay Line Neural Networks Meenakshi Rawat, Karun Rawat, Student Member, IEEE, and Fadhel M. Ghannouchi, Fellow, IEEE

Abstract—Neural networks (NNs) are becoming an increasingly attractive solution for power amplifier (PA) behavioral modeling, due to their excellent approximation capability. Recently, different topologies have been proposed for linearizing PAs using neural based digital predistortion, but most of the previously reported results have been simulation based and addressed the issue of linearizing static or mildly nonlinear PA models. For the first time, a realistic and experimentally validated approach towards adaptive predistortion technique, which takes advantage of the superior dynamic modeling capability of a real-valued focused timedelay neural network (RVFTDNN) for the linearization of thirdgeneration PAs, is proposed in this paper. A comparative study of RVFTDNN and a real-valued recurrent NN has been carried out to establish RVFTDNN as an effective, robust, and easy-to-implement baseband model, which is suitable for inverse modeling of RF PAs and wireless transmitters, to be used as an effective digital predistorter. Efforts have also been made on the selection of the most efficient training algorithm during the reverse modeling of PA, based on the selected NN. The proposed model has been validated for linearizing a mildly nonlinear class AB amplifier and a strongly nonlinear Doherty PA with wideband code-division multiple access (WCDMA) signals for single- and multiple-carrier applications. The effects of memory consideration on linearization are clearly shown in the measurement results. An adjacent channel leakage ratio correction of up to 20 dB is reported due to linearization where approximately 5-dB correction is observed due to memory effect nullification for wideband multicarrier WCDMA signals. Index Terms—Linearization, memory effect, neural network (NN), power amplifier (PA), third-generation (3G) wideband code-division multiple access (WCDMA) signals.

I. INTRODUCTION INEARIZATION of nonlinear power amplifiers (PAs) is an important issue with the growing use of advanced modulation and access techniques that utilize spectrally efficient modulation waveforms, such as orthogonal frequency-division multiplexing (OFDM), code-division multiple access (CDMA2000) and wideband code-division multiple access (WCDMA), in communication systems. A PA that works with

L

Manuscript received July 27, 2009; revised October 18, 2009. First published November 24, 2009; current version published January 13, 2010. This work was supported by the Alberta Informatics Circle of Research Excellence (iCORE), the Natural Sciences and Engineering Research Council of Canada (NSERC), the Canada Research Chair (CRC) Program, and TRLabs. The authors are with the iRadio Laboratory, Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada T2N 1N4 (e-mail:[email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2036334

these wideband signals causes out-of-band emission, also known as spectral regrowth, due to the nonlinear behavior of the PA. Furthermore, PAs are typically operated as close as possible to saturation to achieve maximum power efficiency and output power, which also means functioning in the most nonlinear region, implying significant distortion and spectral regrowth. As solutions to this efficiency-linearity dilemma, several techniques have been proposed in the literature for the linearization of RF PAs. Linearization techniques, such as feedforward [1]–[3], feedback [4], [5], analog predistortion [6], [7] and their optimized variations are based on analog correction: hence, the implementation of these techniques as a whole transmitter in the base station is cumbersome, due to complex circuitry, stability issues, and insufficient linearization. The digital predistortion (DPD) technique is now a widely accepted and proven linearization approach for base station transmitters and PAs, which is further enabled by recent advances in digital signal processors and digital-to-analog converters (DACs). Furthermore, DPD provides accuracy in synthesizing the predistortion function and in reconfiguration capability due to a software platform that makes it suitable for multistandard environments [8]. The DPD technique relies on the introduction of an exact inverse nonlinear characteristic element (predistorter) before the PA, which compensates for nonlinearity; therefore, the prime concern is a good inverse model. To address this issue, various techniques, are such as lookup table (LUT) [9], [10], Volterra series [11], Weiner Hammerstein [12], and polynomial models [13], [14] have been proposed in the literature and can be considered conventional techniques. Recently, the novel technique of neural networks (NNs) has attracted researchers in the field of PA modeling due to its successful implementation in pattern recognition, signal processing, system identification, and control [15]–[19]. The NN approach has also been investigated as one of the modeling and predistortion techniques for PAs and transmitters because of its adaptive nature and the claim of a universal approximation capability [20]–[25]. Different neural topologies and computation algorithms have been proposed; and, most of these have been individual efforts that have not been compared in order to establish an optimal model, in terms of robustness, convergence speed, and accuracy. Moreover, the efforts made to propose DPD techniques based on NN modeling lacked experimental validation with real PAs and have not considered memory effects while implementing the models.

0018-9480/$26.00 © 2009 IEEE

96

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

This paper presents a comparison of different NN topologies and training algorithms that lead to the identification of a model that could establish a suitable and optimal (in terms of fewer parameters and epochs) dynamic reverse model for RF PAs. The real-valued focused time-delay neural network (RVFTDNN) was found to be the most suitable, accurate, and robust model for DPD-based linearization of mildly, as well as strongly, nonlinear PAs. The DPD model based on the proposed dynamic NN is validated for linearizing a class AB amplifier and a Doherty amplifier. The proposed DPD model based on NN also considers the incorporation of memory effects, which is experimentally validated in terms of adjacent channel leakage ratio (ACLR) reduction and compared to the previously proposed NN-based static models that have not considered memory effects. Section II describes the previously proposed NN topologies and their limitations, leading to Section III, which discusses the selection procedure for a suitable training algorithm and the robustness of the selected RVFTDNN predistorter from a modeling and adaptation point of view. Section IV describes the predistortion scheme and experimental setup, and experimental results are reported in Section V. The robustness of the proposed model was also tested with various signals to report the backward compatibility of the model.

Fig. 1. Conventional real-valued NN topologies for PA modeling. (a) Two NN two-input topology. (b) Two NN one-input topology.

II. NNs FOR PA MODELING When an input signal is uniformly sampled, each sample instant is related to a time sample; and, in NNs, synaptic weights of each neuron are convolved with a sequence of input samples. In this way, the time-dependent structure of the input signal is embedded in the spatial structure of the network for long-term memory. PA modeling calls for a model that can extract amplitude and phase information from modulated complex waveforms. The most basic structure [20] proposed is a single-input single-output feedforward NN utilizing complex input/output. This topology introduces complex weight and activation outputs, which leads to cumbersome calculations. To address this issue, other topologies utilizing real-valued double-input double-output have been proposed. Fig. 1(a) presents an NN [21], [22] topology utilizing two uncoupled NNs that attempts to capture amplitude modulation (AM/AM) and phase modulation (AM/PM) responses separately. The main drawback of this topology is the asynchronous convergence of the two NNs, where both NNs do not converge to an optimal model at same time, leading to over- or under-training of one NN. A more effective approach, called the real-valued feedforward neural network (RVFFNN), is shown in Fig. 1(b), which takes advantage of the easy availability of the in-phase (I) component and quadrature (Q) phase component of the modulated waveform in the baseband, thereby saving preand post-processing activities and can be used as a common feedforward NN with two inputs and two outputs. Although this topology has been found effective for forward modeling of very nonlinear PAs, it falls short of expectations when the PA shows strong memory effects. For wideband signals, in particular, the role of memory effects cannot be neglected [26].

Fig. 2. (a) RNN signal processing scheme. (b) FTDNN signal processing scheme.

To take care of memory effects, two dynamic neural structures have been proposed in the NN literature. In the first, recurrent neural networks (RNNs) utilize feedforward and feedback signal processing. Reference [24] reported PA modeling using a fully connected RNN, which is shown in Fig. 2(a); however, it again uses single-input single-output complex waveforms, and hence, suffers from the drawbacks previously mentioned. The second technique is a focused time-delay neural network (FTDNN), which relies on the fact that, due to memory effects in the system, the output of the amplifier depends on present, as well as previous, input values. Thus, this technique avoids a feedback time-delay line and extracts information from present and past inputs [25]. The RVFTDNN is a combination of the two-input topology of the RVFFNN shown in Fig. 1(b) and the FTDNN technique given in Fig. 2(b), which was found effective in modeling PAs with strong memory effects. Therefore, due to their dynamic modeling capability, the realvalued recurrent neural network (RVRNN) and the RVFTDNN are considered here among all the other NN topologies as potential candidates for PA dynamic modeling. In Section III, a comparative study of RVRNN and RVFTDNN topologies is carried

RAWAT et al.: ADAPTIVE DPD OF WIRELESS PAs/TRANSMITTERS USING DYNAMIC RVFTDLNNs

97

Fig. 3. RVRNN schematic. Shaded portion is attributed to RVFTDNN.

out to establish one of them as the optimal NN model for DPD applications.

with input vectors of the order values of present and past inputs

-by- , including real

III. SELECTION OF REAL-VALUED NN DYNAMIC MODEL (4)

A. Training and Parameter-Extraction Procedure RVRNN: The significant difference between the RVRNN and RNN proposed in [24] is that the RVRNN is structured to take advantage of the easy availability of I and Q components of the complex waveform in the baseband signals. Furthermore, the training process becomes significantly faster with the use of real weights instead of the complex weights of the RNN. Fig. 3 shows a block diagram of RVRNN signal processing. At any moment of the training sequence, the NN is presented -by- , including past with input vectors of the order inputs and NN outputs

(1) where is the memory depth of the input vector and memory delay length of the feedback signal

is the (2) (3)

where and are functions modeled by RVRNN at any instant ( ). RVFTDNN: The RVFTDNN signal processing scheme is shown as the shaded portion in Fig. 3. The NN is presented

The delayed response is achieved by using as the delay is the unit delay operator that yields its operator, where when operating on . The tapped delayed version delay lines store values from the previous time step, which can be used in the current time step. The training procedure is similar for both models. When no initial knowledge is assumed, synaptic weights are chosen such that induced local fields of neurons lie at the transition between the linear and saturated parts of the sigmoidal activation. A very high value can drive the network into the saturation part of the activation function making the learning process slow while very small values may lead it to work on a flat region stopping the training for that neuron [16]. To satisfy these requirements, weights are initialized randomly within the interval of to avoid extremes values of 1 or 1 of the activation function. Gradually weights converge to their optimal values as the training proceeds. The hidden layers are fully connected, as shown in Fig. 3. The net at any layer is given by net

(5)

where denotes a neuron in hidden layer , and denotes the synaptic weight connecting the th input to the th neuron of layer .

98

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

The output of any layer is given as net

(6)

The output of any layer works as an input for the next layer. The output layer has a purelin activation function, which sums up the outputs of hidden neurons and linearly maps them at the output. The activation function chosen for two hidden layers is the tansig function, which maps nonlinearity between 1 and 1 and is mathematically equivalent to hyperbolic tangent given as (7) Training is carried out in batch mode, supervised with a backpropagation algorithm. Detailed descriptions of back-propagation can be found in [16] and [27]. During one epoch or iteration, two passes are made. During the forward pass, the cost function is calculated by

Fig. 4. AM/AM and AM/PM characteristics for Doherty PA.

(8) where and are the desired outputs, and and are the outputs from the NN. Based on the error signal in (5), backward computation is done to adjust the synaptic weights of the network in layer according to

TABLE I COMPARISON OF RVRNN AND RVFTDNN MODELS

(9) In (9),

denotes the weights at a previous time instant, is the updated weight, and is calculated using the 1-D Levenberg–Marquardt algorithm form [34] with the aim of minimizing the cost function. This algorithm was selected from among various algorithms for its fast convergence properties, as shown in Section III-B. For updated weights, the cost function is calculated in the forward pass; and, the whole procedure is carried out again until the desired performance is met or the NN starts failing the validation procedure, drifting away from the generalization criterion. B. Selection of Predistorter Model Based on RVRNN and RVFTDNN Performances The training procedure for the aforementioned networks is based on the realistic dynamic characterization of the PA fed with a modulated input signal. The device-under-test (DUT) was a highly nonlinear 54-dBm saturation power Doherty PA with a small-signal gain of 38 dB, driven with a third-generation (3G) signal (2.13–2.15-GHz band). 20 000 (20 K) data of a two-carrier WCDMA signal ( dB, chip Mc/s) was used for training. For validation, 40 000 rate (40 K) data, which were purposely selected from different segments of the overall collected test data, were used. Model identification and validation carried out in a MATLAB environment. The numbers of neurons in the two hidden layers, which were decided by the optimization process [16], were seven and 15 for the RVFTDNN and ten and 17 for the RVRNN. The output layer contains two linear neurons.

Fig. 4 shows the AM/AM characteristics of the actual Doherty amplifier, depicting its highly nonlinear behavior. Scattering in the gain and phase characteristics due to multiple values of gain and phase for a single value of input power indicate the presence of memory effects in the PA. The RVFTDNN model was found to be the more accurate model with a normalized mean square error (NMSE) of 38 dB compared to 29 dB achieved with RVRNN, as shown in Table I. On close inspection of Fig. 5(a), it is clear that the RVRNN is not capable of good modeling during the fast transition states of the waveform, while the RVFTDNN, as shown in Fig. 5(b), shows the capability for good modeling throughout the region. This difference is also visible in the time domain phase plot in Fig. 5(c) and (d). From Fig. 5(e), it can again be seen that the RVRNN has good modeling capability only for in-band data. These results corroborate those of the RNN reported in [24]. On the other hand, the RVFTDNN provides good accuracy in terms of power spectrum density for the full frequency range [see Fig. 5(f)]. The limited performance of the RVRNN can be understood from two facts. First, input to the RVRNN model is partially dependent on the model itself due to its recursive nature, which is evident from (1)–(3). This makes convergence slow during initial epochs when the NN is untrained. Second, the PA that we are trying to model here is part of an open loop transmitter system that does not have control feedback from output to input.

RAWAT et al.: ADAPTIVE DPD OF WIRELESS PAs/TRANSMITTERS USING DYNAMIC RVFTDLNNs

99

Fig. 5. Validation of: (a) RVRNN model, (b) RVFTDNN model for a WCDMA signal output voltage envelope in time domain, (c) RVRNN model for output phase in time domain, (d) RVFTDNN model for output phase in time domain, (e) power spectrum density plot of the RVRNN model output compared to the actual PA output, and (f) power spectrum density plot of the RVFTDNN model output compared to the actual PA output.

In this way, the RVFTDNN is closer to the physical analogy of the PA. It is worth mentioning that the RVRNN and RVFTDNN are based on feedforward NNs; and, due to the universal approxi-

mation theorem, the RVRNN may eventually be able to give as good a performance as the RVFTDNN if we optimize it further and use a very high number of epochs. However, this will use more time and resources, taking away some of the advantage

100

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

TABLE II PROMINENT BACK-PROPAGATION TECHNIQUES USED FOR NN TRAINING

Fig. 7. Performance of the NN model for different training algorithms for 250 epochs in terms of MSE.

Fig. 6. Convergence of the NN model for different training algorithms after 50 epochs in terms of MSE (dB).

of using real-valued weights. Furthermore, the introduction of the feedback connection and delay loops adds additional complexity and uncertainty during initial epochs, leading to greater time and memory consumption, which is not effective for its performance, as indicated from the results. It can be concluded that the RVFTDNN offers a better, simple, and effective solution for the dynamic forward and reverse modeling of the PA.

Fig. 8. Block diagram of measurement setup and predistorter implementation.

C. Selection of the Training Algorithm The extent of linearity improvement achieved by DPD depends mainly on the extraction of reverse characteristics of the PA, which implies that the error between the inverse characteristics and the output of the inverse model should be minimal. For a chosen topology, several training algorithms have been proposed in the NN literature [27]–[34], which enable the NN to achieve the required error minimization over some epochs. The adaptive predistortion puts a constraint on the number of epochs used for the whole learning process to achieve the optimal model, which, in turn, becomes a concern for the speed of convergence. Faster back-propagation algorithms fall into two categories. The first category uses heuristic techniques, which were developed from an analysis of the performance of the standard steepest descent algorithm [16]. One heuristic modification is the momentum technique (GDM) [16], which eliminates the risk of falling into a shallow local minimum, while other modifications are variable learning rate back-propagation (GDA, GDX) and resilient back-propagation (RP).

Fig. 9. Inverse modeling of a Doherty amplifier by RVFTDNN for the WCDMA11signal.

The second category of fast algorithms merical optimization techniques [32] that of numerical optimization techniques for conjugate gradients (CGF, CGP, CGB,

uses standard nucover three types NN training, i.e., SCG) [29]–[33],

RAWAT et al.: ADAPTIVE DPD OF WIRELESS PAs/TRANSMITTERS USING DYNAMIC RVFTDLNNs

101

Fig. 10. Predistorter performance in terms of ACLR correction for: (a) a class AB amplifier with a WCDMA 101 signal, (b) a Doherty amplifier with a WCDMA 101 signal, (c) a class AB amplifier with a WCDMA 111 signal, and (d) a Doherty amplifier with a WCDMA 111 signal.

quasi-Newton (BFG, OSS) algorithms and the Levenberg–Marquardt algorithm (LM) [34]. Table II summarizes the prominent back-propagation techniques used for NN training. These techniques were analyzed for fast and narrow error convergence. Data was extracted from a 54-dBm saturation power Doherty amplifier excited by a two-carrier WCDMA signal. All the algorithms were used for the NN according to RVFTDNN topology and were implemented in a MATLAB environment. Fig. 6 shows the mean square error (MSE) performance in decibels (dB) of the model utilizing various algorithms after 50 epochs. MSE was calculated as the average for ten trials with different initial conditions to convey a general trend of minimization capability. As seen from the graph in Fig. 6, the numerical optimization techniques, like LM, BFG, and CGB, are narrowed down to much smaller values than the conventional gradient descent methods.

For fast operation as an adaptive predistorter, the NN should be able to converge in the least number of epochs. Fig. 7 shows that the convergence rate of other methods was significantly less than the LM algorithm, leading to high epoch counts and greater time consumption to extract the optimal model. The LM back-propagation algorithm was chosen as the most suitable algorithm since it achieved an MSE performance of 1.76 10 within 15 epochs. IV. EXPERIMENTAL MEASUREMENT SETUP AND IMPLEMENTATION OF DPD BASED ON RVFTDNN For measurement and experimental validation purposes, the proposed RVFTDNN-based DPD model was implemented in a MATLAB/Advanced Design System (ADS) platform and used to linearize real PAs. Fig. 8 shows the experimental setup representing a linearized base station transmitter for 3G WCDMA signals.

102

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

TABLE III ACLR ACHIEVED WITH THE LINEARIZATION OF PAs

The generated predistorted signals were downloaded in a signal generator (Agilent, ESG4438C) and then modulated and up-converted to RF and fed to the PA. The signal generator itself acted as a baseband modulator and RF up-converter with an ADS/MATLAB based digital signal processing (DSP) platform used for generating complex modulated signals. Together with a vector signal analyzer (Agilent, PSAE4440), the same setup shown in Fig. 8 could be used for characterizing the PA to retrieve its nonlinear characteristics. The output of the PA was down-converted to IF and sampled to obtain the I and Q components of the complex modulated signal from the output of the PA. The input and output complex waveforms were then used to find the time delay by correlating the waveforms and to time adjust in order to derive the nonlinear complex gain characteristics of the PA, which were used to obtain the DPD nonlinear complex gain characteristics with the MATLAB/ADS software platform. In order to obtain the optimal back-off for the predistorter that would not change the peak and average power at which the PA characterization was performed, a power tracking scheme was implemented according to [35]. This ensured that the PA characteristics and the average power did not change significantly when the predistorter was introduced and that the peak-to-average power ratios (PAPRs) of the input and transmitted signals at the output of the linearized PA were approximately equal. A very small variation in average power could be tolerated, assuming the PA behavior herein was insensitive to power variation of less than 0.5 dB [26], [35]. The RVFTDNN was trained to extract the normalized inverse characteristics according to (10) where denotes the vector containing the I and Q components for the PA output at that instance and previous instances (the memory length was decided by an optimization process) after normalizing it with a small-signal complex gain (achieved denotes during the characterization process of the PA), and the vector containing the I and Q components of the input to the PA at that instance. Fig. 9 shows the inverse characteristics of a Doherty PA (PA characteristics shown in Fig. 4) obtained by the RVFTDNN. A fixed interval predistorter was updated with new weights and adjuster settings to make it more robust for the PA characteristics

TABLE IV MSE PERFORMANCE OF REVERSE MODEL WITH DIFFERENT SIGNALS

that slowly change due to environmental condition and temperature variations. The weight updating interval depends on the feedback loop training duration in the software being used to synthesize the predistorter. In light of this fact, the predistorter weights need to be updated every 5 min while processing in MATLAB and can be reduced to less than 1 min when efficiently implemented in a dedicated processor. The proposed predistorter was implemented in MATLAB, and predistorted signals were used to linearize a mildly nonlinear 1.96-GHz class AB amplifier and a strongly nonlinear 2.14-GHz Doherty amplifier with memory effects. The class AB PA had a saturation power of 40 dBm with a gain of approximately 42 dB. The Doherty amplifier had a saturated power of 54 dBm and a small-signal gain of approximately 38 dB. Both of the PAs were linearized for multicarrier WCDMA signals with a PAPR of 10.5 dB. V. MEASUREMENT RESULTS AND DISCUSSION The proposed predistortion scheme was first implemented with a 1.96-GHz class AB amplifier, which, in turn, was excited with WCDMA101 (three-carrier WCDMA signal with the center carrier off) and WCDMA111 (WCDMA signal with all three carriers present) signals. Fig. 10 shows power spectrum density curves for the Doherty and class AB amplifiers with various signals. Each graph shows the linearized PA output spectrum with and without DPD correction. The curves also indicate the effect of memory consideration in the proposed model in terms of ACLR reduction. A static DPD indicates the RVFTDNN structure with no tapped line. It

RAWAT et al.: ADAPTIVE DPD OF WIRELESS PAs/TRANSMITTERS USING DYNAMIC RVFTDLNNs

103

for a WCDMA111 signal kept its performance for signals with fewer carriers. In light of this new fact, the predistorter weight updating interval was modified to update the weights before the predefined fixed interval if signal was switched with a high-carrier signal. The practical measurement results also corroborated this fact, as shown in Fig. 11. As mentioned in Section IV, all WCDMA signals had PAPRs of about 10.5 dB, and the output power of the linearized PA was approximately the same for all signals used. The linearization was achieved for an output power back-off (OPBO) relative to the saturation point which is equal to the PAPR of the signal plus the gain expansion of the predistorter (which shall be equal to the gain compression of the PA). In such conditions, clipping and overdriving the linearized PA are avoided. For the Doherty PA, the linearized PA was operated at 13.5-dB OPBO, equal to the sum of 10.5-dB PAPR of the signal and about 3 dB of a gain compression. The quasi-perfect nonlinearity cancellation was achieved, requiring the increase of the OPBO by 3 dB. In contrast, based on Fig. 4, operating the PA in far back-off (30 dB or deeper for the average power) to ensure linear operation for the whole dynamic range of the signal led to a comparable linearity performance, but with a significant measured power efficiency reduction to less than 5% as compared to 20% for the linearized PA. VI. CONCLUSION

Fig. 11. Predistorter performance in terms of ACLR correction for: (a) effect of training signal on linearization tested with a WCDMA111 signal and (b) effect of training signal on linearization tested with a WCDMA101 signal.

This paper presents an adaptive predistortion technique based on an RVFTDNN for the linearization of 3G baseband PA. The appropriate neural network topology was selected by comparing the RVFTDNN and an RVRNN. A comparative study of various training algorithms was carried out to select the most appropriate algorithm to incorporate fast and accurate convergence. Finally, for the first time, to the best knowledge of the authors, a predistortion scheme based on the RVFTDNN was validated using a real test-bed environment. The linearization capability of the RVFTDNN predistorter with a real PA was validated for a class AB PA, as well as for a highly nonlinear Doherty amplifier, where the amplifier was excited with both single-carrier and multicarrier WCDMA signals. The measurement results confirm the influence of memory effect on the overall predistorter performance in the context of a real-valued NN. ACKNOWLEDGMENT

is clear from Fig. 10 that the performance of the predistorter was significantly affected by its dynamic modeling capabilities. The best performance was achieved for the delay line with memory depth 3. Table III summarizes the ACLP achieved for different amplifiers and signals at 5-, 10-, and 15-MHz frequency offset. The robustness of the model was also validated by applying different signals that were not used in the training procedure for the models. Table IV gives the MSE performance of the inverse model (trained with different signals) output for the different signals and the actual output signal for which it was trained. From this table, the models were observed to be backward compatible in the sense that the model trained with a WCDMA1 signal was not optimal when used with other signals, while the model trained

The authors would like to acknowledge the team at the iRadio Laboratory, University of Calgary, Calgary, AB, Canada, and its sponsors for their support. REFERENCES [1] P. B. Kenington, High-Linearity RF Amplifier Design. Boston, MA: Artech House, 2000. [2] E. E. Eid, F. M. Ghannouchi, and F. Beauregard, “Optimal feedforward linearization system design,” Microw. J., vol. 38, no. 11, pp. 78–86, 1995. [3] Y. W. Young, Y. Youngoo, Y. Jaehyok, N. Joongjin, H. C. Jeong, and K. Bumman, “Feedforward amplifier for WCDMA base stations with a new adaptive control method,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, vol. 2, pp. 769–772. [4] Y. Kim, Y. Yang, S. H. Kang, and B. Kim, “Linearization of 1.85 GHz amplifier using feedback predistortion loop,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, 1998, pp. 1675–1678.

104

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

[5] A. K. Ezzeddine, H. A. Hung, and H. C. Huang, “An MMAC C -band FET feedback power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 4, pp. 350–357, Apr. 1990. [6] K. A. Morris and J. P. McGeehan, “Gain and phase matching requirements of cubic predistortion systems,” Electron. Lett., vol. 36, no. 21, pp. 1822–1824, Oct. 2000. [7] W. Woo, M. D. Miller, and J. S. Kenney, “A hybrid digital/RF envelope predistortion linearization system for power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 229–237, Jan. 2005. [8] P. B. Kennington, “Linearized transmitters: An enabling technology for software defined radio,” IEEE Commun. Mag., vol. 40, pp. 156–162, Feb. 2002. [9] K. J. Muhonen, M. Kavehrad, and R. Krishnamurthy, “Look-up table techniques for adaptive digital predistortion: A development and comparison.,” IEEE Trans. Veh. Technol., vol. 49, no. 9, pp. 1995–2002, Sep. 2000. [10] J. K. Cavers, “Amplifier linearization using a digital predistorter with fast adaptation and low memory requirements,” IEEE Trans. Veh. Technol., vol. 39, no. 4, pp. 374–382, Nov. 1990. [11] A. Zhu and J. C. Pedro, “Amplifier distortion evaluation of RF power amplifiers using dynamic deviation reduction based volterra series,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 965–968. [12] H. W. Kang, Y. S. Cho, and D. H. Youn, “Adaptive precompensation of wiener systems,” IEEE Trans. Signal Process., vol. 46, no. 10, pp. 2825–2829, Oct. 1998. [13] M. Ghaderi, S. Kumar, and D. E. Dodds, “Fast adaptive predistortion linearizer using polynomial functions,” Electron. Lett., vol. 29, no. 17, pp. 1526–1528, 1993. [14] D. R. Morgan, M. Zhenngxiang, L. Kim, M. G. Zierdt, and I. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [15] Q. J. Zhang and K. C. Gupta, Neural Networks for RF and Microwave Design. Norwood, MA: Artech House, 2000. [16] S. Haykin, Neural Networks: A Comprehensive Foundation. Upper Saddle River, NJ: Prentice-Hall, 1999. [17] Y. Bengio, Neural Networks for Speech and Sequence Recognition. New York: ITC Press, 1995. [18] K. S. Narendra and K. Parthasarathy, “Identification and control of dynamical systems using neural networks,” IEEE Trans. Neural Netw., vol. 1, no. 1, pp. 4–27, Mar. 1990. [19] J. J. Xu, M. C. E. Yagoub, R. T. Ding, and Q. J. Zhang, “Neural-based dynamic modeling of nonlinear microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2769–2780, Dec. 2002. [20] M. Ibnkahla, J. Sombrin, F. Castanie, and N. J. Bershad, “Neural networks for modeling nonlinear memoryless communication channels,” IEEE Trans. Commun., vol. 45, no. 7, pp. 768–771, Jul. 1997. [21] N. Benvenuto, F. Piazza, and A. Uncini, “A neural network approach to data predistortion with memory in digital radio systems,” in Proc. IEEE Int. Commun. Conf., Singapore, Nov. 1995, vol. 1, pp. 152–156. [22] N. Naskas and Y. Papananos, “Adaptive baseband predistorter for radio frequency power amplifiers based on a multilayer perceptron,” in 9th Int. Electron.. Circuits, Syst. Conf., 2002, vol. 3, no. 11, pp. 1107–1110. [23] Y. Quian and F. Liu, “Neural network predistortion technique for nonlinear power amplifiers with memory,” in 1st Int. Commun. Networking in China Conf., Oct. 2006, pp. 1–5. [24] D. Luongyinh and Y. Kwon, “Behavioral modeling of power amplifiers using fully recurrent neural networks,” IEEE MTT-S Int. Microw. Symp. Dig., pp. 1979–1982, Jun. 2005. [25] T. Liu, S. Boumaiza, and F. Ghannouchi, “Dynamic behavioral modeling of 3G power amplifiers using real-valued time-delay neural networks,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1025–1033, Mar. 2004. [26] J. Vuolevi, T. Rahkonen, and J. Manninen, “Measurement technique for characterizing memory effects in RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1383–1389, Aug. 2001. [27] R. Hecht-Nielsen, “Theory of the back propagation neural network,” in Proc. Int. Joint Neural Netw. Conf., Jun. 1989, Art. ID I-593-60. [28] R. Battiti, “First and second order methods for learning: Between steepest descent and Newton’s method,” Neural Comput., vol. 4, no. 2, pp. 141–166, 1992.

[29] E. M. L. Beale, “A derivation of conjugate gradients,” in Numerical Methods for Nonlinear Optimization, F. A. Lootsma, Ed. London, U.K.: Academic, 1972. [30] J. E. Dennis and R. B. Schnabel, Numerical Methods for Unconstrained Optimization and Nonlinear Equations. Englewood Cliffs, NJ: Prentice-Hall, 1983. [31] R. Fletcher and C. M. Reeves, “Function minimization by conjugate gradients,” Comput. J., vol. 7, pp. 149–154, 1964. [32] M. T. Hagan, H. B. Demuth, and M. H. Beale, Neural Network Design. Boston, MA: PWS Publishing, 1996. [33] M. F. Moller, “A scaled conjugate gradient algorithm for fast supervised learning,” Neural Netw., vol. 6, pp. 525–533, 1993. [34] M. T. Hagan and M. B. Menhai, “Training feedforward network with the Marquardt algorithm,” IEEE Trans. Neural Net., vol. 5, no. 6, pp. 989–993, Nov. 1994. [35] O. Hammi, S. Boumaiza, and F. M. Ghannouchi, “On the robustness of digital predistortion function synthesis and average power tracking for highly nonlinear power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1382–1389, Jun. 2007.

Meenakshi Rawat (S’09) received the B.Tech. degree in electrical engineering from Govind Ballabh Pant University of Agriculture and Technology, Pantnagar, Uttaranchal, India, in 2006, and is currently working toward the M.Sc. degree in electrical and computer engineering at the Schulich School of Engineering, University of Calgary, Calgary, AB, Canada. She was associated with the Telco Construction Equipment Company Ltd., Jamshedpur, India (2006–2007) and Hindustan Petroleum Corporation Limited (HPCL), Noida, India (2007–2008). She is now with the iRadio Laboratory, Schulich School of Engineering, University of Calgary, as a Student Research Assistant. Her current research interest is in the area of microwave active and passive circuit modeling using NNs. Karun Rawat (S’09) received the B.E. degree in electronics and communication engineering from Meerut University, Uttar Pradesh, India, in 2002, and is currently working toward the Ph.D. degree in electrical and computer engineering at the Schulich School of Engineering, University of Calgary, Calgary, AB, Canada. From 2003 to 2007, he was with the Indian Space Research Organization. He then joined the iRadio Laboratory, Schulich School of Engineering, University of Calgary, where he is a Student Research Assistant. His current research interests are in the areas of microwave active and passive circuit design and advanced transmitter and receiver architecture for software-defined radio applications. Fadhel M. Ghannouchi (S’84–M’88–SM’93–F’07) is currently a Professor and iCORE/CRC Chair with the Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada, and Director of the Intelligent RF Radio (iRadio) Laboratory. He has held numerous invited positions with several academic and Research institutions in Europe, North America, and Japan. He has provided consulting services to a number of microwave and wireless communications companies. His has authored or coauthored over 400 publications. He holds ten U.S. patents with three pending. His research interests are in the areas of microwave instrumentation and measurements, nonlinear modeling of microwave devices and communications systems, design of power and spectrum efficient microwave amplification systems, and design of intelligent RF transceivers and SDR radio systems for wireless and satellite communications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

105

Extraordinary Transmission Through Arrays of Slits: A Circuit Theory Model Francisco Medina, Fellow, IEEE, Francisco Mesa, Member, IEEE, and Diana C. Skigin, Member, IEEE

Abstract—Extraordinary transmission and other interesting related phenomena for 1-D periodic arrays of slits (compound diffraction gratings) have recently been the object of intense research in the optics and solid state physics communities. This case should be differentiated from the extraordinary transmission through arrays of small apertures on metal screens since small holes only support below-cutoff modes, whereas slits can also support transverse electromagnetic modes without cutoff frequency. In this paper, an equivalent-circuit approach is proposed to account for the most relevant details of the behavior of slit-based periodic structures: extraordinary transmission peaks, Fabry–Pérot resonances, and transmission dips observed in compound structures. The proposed equivalent-circuit model, based on well-established concepts of waveguide and circuit theory, provides a simple and accurate description of the phenomenon that is appropriate for educational purposes, as well as for the design of potential devices based on the behavior of the structures under study. Index Terms—Extraordinary transmission, diffraction gratings, impedance matching, surface plasmon polaritons (SPPs).

I. INTRODUCTION HE theoretical and experimental study of extraordinary optical transmission through periodic arrays of electrically small apertures in a metal screen has been a very popular research topic since the phenomenon was first reported ten years ago [1]. The reader can find accurate descriptions of the phenomenon and the state of the art of the research on it in a couple of good and relatively recent review papers [2], [3]. Enhanced transmission has also been reported for 2-D quasi-periodic distributions of subwavelength apertures [4]. Another rel-

T

Manuscript received February 24, 2009; revised July 16, 2009. First published December 11, 2009; current version published January 13, 2010. This work was supported by the Spanish Ministerio de Educación y Ciencia and European Union FEDER funds under Project TEC2007-65376 and Consolider-Ingenio 2010 “Engineering Metamaterials” Project CSD2008-00066, and by Junta de Andalucía under Project TIC-253. The work of D. C. Skigin was supported in part by the Consejo Nacional de Investigaciones Científicas y Técnicas under CONICET Grant PIP 5700, by the Universidad de Buenos Aires under UBA Grant X283 and UBA Grant X208, and by the Agencia Nacional de Promoción Científica y Tecnológica under ANPCYT-BID Grant 802/OC-AR03-14099. F. Medina is with the Microwaves Group, Department of Electronics and Electromagnetism, Faculty of Physics, University of Seville, 41012-Seville, Spain (e-mail:[email protected]). F. Mesa is with the Microwaves Group, Department of Applied Physics ´ atica, University of Seville, 41012-Seville, Spain 1, ETS de Ingeniería Inform (e-mail:[email protected]). D. C. Skigin is with the Applied Electromagnetics Group, Physics Department, University of Buenos Aires, Ciudad Universitaria, C1428EHA Buenos Aires, Argentina, and also with the Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET), C1033AAJ, Buenos Aires, Argentina (e-mail:[email protected]). Digital Object Identifier 10.1109/TMTT.2009.2036341

evant situation corresponds to enhanced transmission through single apertures around which the surface of the screen is periodically structured with corrugations (see, e.g., [5]–[8] or [3]). Transmission through holes that are not electrically small has also been object of attention ([3], [9], and [10] among many others), but this case is closer to the study of the frequency-dependent radar cross section of objects whose size is on the order of the wavelength [11], [12]. The original experiments [1] and most of the published literature [2], [3] deal with the transmission through 2-D arrays of small holes. Surface plasmon polaritons (SPPs) excited on the periodically structured surface of the metal screen can explain the transmission peaks observed in the experiments. SPP-Bloch waves of this type—also called spoof plasmons, as those theoretically studied in [13] and [14] and experimentally demonstrated in [15] and [16]—would explain the phenomenon both at optical and microwave/millimeter-wave [17] frequencies (note that metals behave as plasmas at optical frequencies, but rather as perfect conductors at microwave frequencies). Surface waves supported by periodically structured surfaces (mostly in the 1-D case) are well known in the microwave field theory discipline [18], and they have also been studied in depth in the optical regime [19]. Although the explanation of extraordinary transmission in terms of the excitation of surface waves at both sides of the perforated screen gives an adequate account of the phenomenon, some alternative theories suggest that this approach could be incomplete [20]–[22]. Parallel to this controversy, a different point of view has recently been proposed by some of the authors of this paper. In this new approach, an equivalent-circuit model based on the concept of perfect impedance matching explains all the details of the observed/calculated transmission spectra in the case of 2-D arrays of holes [23], [24] with a high degree of accuracy for typical geometries reported in the literature. This model is based on standard concepts of waveguide theory and gives many easily-derived qualitative and quantitative predictions about the behavior of perforated screens. Moreover, the model predicts extraordinary transmission in some systems where surface plasmons and periodicity are absent [24]. Simple equivalent circuits account for the essential details of transmission spectra on the basis of a central idea: impedance matching is required for perfect or almost perfect transmission and this is possible in different structures thanks to the frequency-dependent behavior of the electrical parameters of the higher order TM modes. A similar point of view has been independently developed by Gordon et al. [25], [26] (for infinitesimally thin screens and without circuit model). Another approach that is close to the classical theory of waveguides and resonators, as well as frequency-selective surfaces can be found in an excellent paper by Kirilenko and Perov [27], which was preceded by

0018-9480/$26.00 © 2009 IEEE

106

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

[28]. The set of papers [24], [25], [27] defines a different paradigm (based on the concept of impedance matching) for the explanation of extraordinary transmission that avoids the possible controversies associated with SPP Bloch-like theories. However, the topic of this paper is not transmission through 2-D periodic structures, but through 1-D diffraction gratings. It is worth to mention that some of the first theoretical explanations of extraordinary transmission considered a simplified situation where the 2-D array of circular holes was substituted by a 1-D array of infinitely long slits [30]. The results reported in [30] and subsequent papers [20], [31] show that large transmission peaks occur at wavelengths close to the period of the 1-D periodic grating provided the screen was not infinitesimally thin. In contrast to 2-D structures, the transmission peak disappears as the screen thickness of the slit-based structure tends to zero. A single isolated peak is also predicted for 1-D nonzero thickness gratings instead of the couple of closely spaced peaks obtained for 2-D arrays of holes in thick screens [2]. Nevertheless, what is radically different from the 2-D case is the existence of Fabry–Pérot-like resonances in the case of electrically thick screens (around half-wavelength or more) [31], [32]. These resonances are not related to the periodicity, but to the thickness of the screen. They are absent in 2-D arrays of small holes (thick screen case) because the modes inside the holes are evanescent. However, propagating TEM waves are always present inside the slits. Hence, Fabry–Pérot-like resonances are possible even for a single slit, a rather expected fact that has been studied again in the frame of extraordinary transmission research [33]–[35]. In addition to the appearance of Fabry–Pérot resonances, another interesting phenomenon arises when compound gratings (slits systems with several slits per period) are analyzed. In this case, phase resonances have been theoretically predicted [32], [36], [37] and experimentally observed [38]–[40]. These resonances give place to very narrow transmission zeros (dips) allocated near the center of relatively wide Fabry–Pérot transmission bands (making the structures behave as highly selective notch filters). It has been brought to the authors’ attention [41] that the physics of electrically thin and thick 1-D gratings was already dealt with by former Soviet Union scientists [42]–[44] in the 1960s/1970s and that the published results covered the essential contributions reported in the most recent Western literature on the topic. It is our purpose in this paper to adapt the analysis method and concepts introduced in [24] (and, to a some extent, in [25] and [27]) to account for the above-mentioned transmission phenomena of 1-D simple/compound gratings. A first attempt of using the methodology in [24] to deal with 1-D gratings was reported in [29]. Now the ideas just sketched in [29] will be explained in detail, and a number of extensions and improvements will be introduced. More specifically, the equivalent-circuit model of simple diffraction grating is improved, a new equivalent circuit is introduced for compound gratings, and losses will also be incorporated in the circuit model. One of the relevant features of the proposed approach is that the circuit model is very useful for design purposes and, of course, gives a different perspective on the physical phenomenon. Moreover, it provides a unified framework for extraordinary transmission both in 1-D and 2-D periodic structures.

Fig. 1. (a) Lateral view of a perfect conducting screen with periodic array d; screen thickness h; slit width a. of slits. Dimensions: period (b) Longitudinal and transversal views of the unit cell for normal incidence. The width, W , of the unit cell is arbitrary. (c) Equivalent circuit of the discontinuity problem. e.w. denotes electric wall, m.w. denotes magnetic wall.

=

=

=

II. TRANSMISSION THROUGH SIMPLE GRATINGS The simplest situation will be considered first: an infinite periodic array of narrow and infinitely long slits made on a perfect metal screen of finite thickness . The lateral view of this structure is shown in Fig. 1(a). The slits may be filled with a di. A TEM plane wave with the electric of permittivity electric field polarized along the direction perpendicular to the slits and parallel to the screen surface ( -direction) impinges on the structure. Due to the periodic nature of the structure along the -direction and the assumed excitation, it is apparent that only the unit cell shown in Fig. 1(b) requires our attention (this figure shows the longitudinal and transversal views of the unit cell). Thus, the original problem is reduced to the computation of the scattering parameters of a TEM mode supported by a parallel-plate transmission line (the one having charin Fig. 1(b), with acteristic admittance being the characteristic impedance of TEM waves in free space) when a finite section of length of another parallel-plate transmission line is inserted [the line having charin Fig. 1(b)]. Note acteristic admittance of the parallel-plate transmission line can that the width be arbitrarily chosen since no variations of the fields along the -direction are allowed. In this situation, only TEM and TM (transverse magnetic) modes (with respect to the propagation direction, ) can be excited at the discontinuities (here, and due to the symmetry of the problem under study, only even TM modes will be considered). As is well known [18], the influence of below cutoff TM modes can be accounted for by an equivalent capacitance, which leads to the equivalent circuit shown in

MEDINA et al.: EXTRAORDINARY TRANSMISSION THROUGH ARRAYS OF SLITS

Fig. 1(c). In this circuit, the capacitance can be considered almost frequency independent as long as the working frequency is far from the cutoff frequency of the TM modes supported by the transmission line with characteristic admittance . It will correspond to a frequency regime below the onset of the first grating lobe; namely, below the onset frequency of the first TM mode). This specific range will be denoted as mode (the Fabry–Pérot regime and will be considered in Section II-A. A. Fabry–Pérot Regime The Fabry–Pérot regime corresponds to frequencies well below the cutoff frequency of the first TM mode of the external waveguide. Due to the symmetry of the equivalent circuit in Fig. 1(c), the application of even/odd excitation analysis easily leads to the following scattering parameters. depicted 1) Even ( ) Excitation: In this case, the plane in Fig. 1 is a magnetic wall. Therefore, the reflection coefficient , is given by for even excitation, (1) 2) Odd (o) Excitation: In this case, the plane depicted in Fig. 1 is an electric wall, and the reflection coefficient for odd , is given by excitation, (2) In the above expressions, is the electrical length of the transmission line section of physical length ( with being the wavenumber of the dielectric inside the slits). Now applying the superposition principle, the scattering parameters for the structure under study can be written as (3) (Papers published in optics and other physics journals usually employ power-referred quantities such as reflectivity and transand the transmissivity; the reflection coefficient is then .) Obviously, total transmission is mission coefficient is obtained for those frequencies at which . This total transmission has been called extraordinary transmission in the literature on the subject.1 The condition for total transmission derived from the circuit model in Fig. 1(c) is then given by the following equation: (4) The condition (4) corresponds to Fabry–Pérot resonances of the transmission line section of length with characteristic admitand loaded at both ends with the capacitance . tance 1The term “extraordinary” seems to be commonly used because the slit width

a is much smaller than the corresponding wavelength. However, it must be rec-

ognized that, although the subwavelength size of the holes is important in the case of 2-D periodic arrays of holes, the presence of subwavelength slits is not an issue for transmission because TEM modes are allowed inside the slits at any wavelength. Due to this reason, we will not follow this common practice and, instead, “total transmission” will be used for the transmission associated with Fabry–Pérot resonances. “Extraordinary transmission” will be reserved for the transmission peak located near the onset of the first grating lobe.

107

These resonances are observed if the screen is electrically thick (approximately half wavelength or more). The effect of is just to shift the resonance peaks to frequencies lower than ; those expected if the edge effects were neglected ( in such a case, transmission peaks would be obtained for values of exactly equal to integer multiples of ). In the frequency range considered in this section (far from the onset of the first means that condition (4) grating lobe), the typical values of can always be satisfied for values of not far, but always below . Since is almost frequency independent in this regime, its value can be closely estimated from a purely can be obtained from the solution of electrostatic analysis ( is known, a 2-D Laplace problem in the -plane). Once a simple Newton–Raphson scheme could be used to obtain the solutions of (4) (a graphical method would provide more physical insight about the nature of the expected solutions). can alternatively be viewed as the edge The capacitance capacitance of the parallel-plate capacitor formed by the two (as conductors defining the slit region. Provided that usually happens in the slit problems treated in the literature, ) and that is not too small, it is possible to where interpret the result in (4) saying that the transmission line of has an equivalent length given by characteristic admittance its actual physical length , plus an excess length associated , where is the with the edge capacitances speed of light in the dielectric inside the slits. The use of equivalent lengths is a common practice in microwave engineering. Following this rationale, the total transmission frequencies would be given by (5) The above point of view is consistent with the results reported in [34] for a single slit made on a thick aluminum screen. in (5) perfectly accounts for the wavelength shift (with respect the theoretical value of the Fabry–Pérot wavelength) studied in [34]. B. Extraordinary Transmission Regime In the case of periodic gratings (but not for single slits), there is still another possibility to satisfy (4), which is not related to Fabry–Pérot resonances. In the frame of our model, strongly dethis possibility comes from the fact that pends on frequency when the free-space wavelength is close hardly to the period of the periodic system (note that changes with frequency in the simple case of a single slit treated in [33]–[35]). This strong frequency dependence can readily be explained from conventional waveguide theory. The (with being the speed of light in frequency free space) will denote the cutoff frequency of the first TM mode supported by the parallel-plate transmission line with (namely, the mode). This characteristic admittance frequency also corresponds to the onset of the first grating lobe of the diffraction grating. As is well known [18], the input admittance associated with a TM mode below cutoff is imaginary and becomes singular at its corresponding cutoff frequency. This behavior can be incorporated in the equivalent circuit of with Fig. 1(c) by means of an equivalent capacitance

108

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

the following singular behavior reported in [24, eqs. (2) and (3)]: (6)

The (almost) frequency-independent capacitance accounts for all the higher order TM modes, and the frequency-dependent contribution is associated with the dominant TM mode of mainly depends the external waveguide. The coefficient on the ratio and can be estimated from a mode-matching becomes inanalysis using very few modes. Note that , which means that our circuit model predicts finite at total reflection (with phase ) at that frequency. This transmission zero is the so-called Wood–Rayleigh anomaly, a phenomenon well known in the areas of diffraction gratings and/or frequency-selective surfaces. It must be mentioned here that zero transmission should not be expected for wide slits in the same way that the edge capacitance in our circuit model would not exactly account for the actual electromagnetic problem (more sophisticated circuit models should then be used, following the guidelines reported in [45] and [46]). Anyhow, for not too wide slits, (almost) zero transmission Wood–Rayleigh anomalies will occur at the onset of any TM mode (the first two zero transmission Wood anomalies can be clearly observed in the results reported in [42] and [43]). It is worth mentioning that the onset of a new TM mode in this model is equivalent to the onset of a new grating lobe when the problem is viewed from the diffraction theory perspective. Coming back to our extraordi, nary transmission problem, but considering now that no Fabry–Pérot it is found that for frequencies around resonances are allowed (these would occur at much higher fre, some useful approximations can be done quencies). If in (1) and (2) (apart from the obvious ). Denoting and the per unit length (pul) capacitance and inductance of the transmission line of characteristic admittance , respectively, and defining and as the quasi-static capacitance and inducastance of the short section of transmission line of length sociated with the slit region, respectively, can be written that and . These approximations simply account for a lumped-element modeling of the transmission line section in Fig. 1(c) (very short in the present case). Under these simplifications, condition (4) for total transmission can be written as (7) It can be checked that (7) is always satisfied for a frequency as long as . As stated before, the reason is below will monotonically increase up to infinity at that frethat are quency in such a way that all the possible values of . Thus, there is always a single freswept before reaching quency value for which condition (7) is satisfied. Note that the and total transmission frequency is usually very close to that this frequency is not related to the thickness of the screen [as in (5)], but to the period of the structure. For the above

reasons, the associated total transmission phenomenon is what actually deserves to be called extraordinary transmission in the 1-D grating case [30]. It is worth mentioning here the resemblance of this reasoning with the theory reported in [31], where a different language is used but the same physics is described. As previously mentioned, similar results were obtained more than 40 years ago using different methods [42], [43]. It is important to notice that our approach predicts a single transmission peak (instead of the double peak appearing in 2-D structures with thick screens), which is fully consistent with full-wave numerical simulations and experiments. On the other hand, (7) predicts the absence of the transmission peak if ( cannot take negative values). This means that zero thickness metallic gratings cannot exhibit extraordinary transmission peaks, in contrast with 2-D arrays of holes in zero thickness screens. This qualitative prediction is again in perfect agreement with experience and full-wave numerical data. The different behavior for zero-thickness screen between 1-D and 2-D gratings is explained by the absence of evanescent TE (transverse electric) modes excited by the discontinuity analyzed in this paper (a capacitive iris in the terminology of microwave engineers). In the 2-D case, both TM and TE modes are scattered by the diaphragm in such a way that a nonzero inductance is always provided by the below-cutoff TE modes of the external waveguide even if the thickness of the screen is zero (i.e., even if there is no inductance associated with the inner hole). C. Numerical Results In this section, the quantitative predictions of our model will be compared with numerical data obtained via a full-wave in mode-matching scheme. The value of the capacitance the model is obtained using a Laplace’s solver and its frequency dependence near the onset of the first TM mode is estimated from a few low-frequency values of the scattering parameters (as was done in [24]). These values are obtained using the mode-matching method reported in [32]. Alternatively, the mode in the evanescent spectrum relative weight of the of the fields scattered by the slit [i.e., the value of in (6)] can be estimated from a low-order mode matching. Fig. 2 plots the transmittance through simple arrays of parallel slits for two sets of geometrical parameters. The results obtained with the rigorous numerical method employed in [32] and with the proposed circuit model agree very well in the whole band. This figure shows two relatively wide transmission peaks associated with inner Fabry–Pérot resonances. These peaks are accurately computed without considering the frequency , but another narrow peak can be observed dependence of . The almost perfect very close to the Wood’s anomaly agreement between the numerical and circuit model results has been verified for many sets of geometrical parameters. It can then be concluded that our simple proposed model catches all the essential features of the phenomenon, which clearly proves the validity of our approach for 1-D gratings (as it was already proved for 2-D perforated screens [24]). Moreover, this good matching is carried out without resorting to either surface plasmons or the plasmonic behavior of metals at optical frequencies.

MEDINA et al.: EXTRAORDINARY TRANSMISSION THROUGH ARRAYS OF SLITS

109

Fig. 3. (a) Unit cell of a perfect metal screen with groups of three symmetrically located slits per period (example of compound grating). (b) Equivalent circuit model for this structure and normal incidence. Fig. 2. Comparison of the transmittance obtained with full-wave simulation following the method in [32] (solid lines) and the circuit model in this work (dots). Dimensions are d 5 mm and h = 6 mm with a = 2 mm or a = 0:5 mm (see Fig. 1 for notation). Using W = 1 m (arbitrarily chosen), the values of the electrical parameters used in circuit simulation are: (top) Y = 0:531 ; Y = 1:327 ; C = 1:586 pF and = 5:285 pF. The values (bottom) Y = 0:53 ; Y = 5:308 ; C of C are valid, except near f , where the frequency-dependent behavior in (6) must be considered.

=

III. TRANSMISSION THROUGH COMPOUND GRATINGS In addition to the study of simple gratings, increasing attention has recently been paid to the analysis of more complex geometries, the so-called compound gratings. These structures are 1-D periodic gratings having more than one slit per unit cell. The compound gratings exhibit interesting transmission properties that have been studied in depth, first via full-wave rigorous numerical methods [32], [36], [37] and later experimentally [38]–[40]. A first effect reported in [32] was that the addition of slits to the period leads to a widening of the transmission maxima (when compared to the single slit case), but a second more interesting phenomenon that is also found is the appearance of sharp dips in the transmission response associated with certain phase resonances. This kind of transmission dips were reported in [44] 30 years ago in a similar system and some of the authors of this paper have reported on a microstrip circuit exhibiting similar transmission spectra [47]. From the results in [32], it is clear that the number of dips is related to the number of slits per period. For normal incidence, at least three slits per period are required to observe transmission dips. For three or four slits per period, a single dip is obtained for every Fabry–Pérot transmission band. Two dips are possible when five or six slits per period are present. The number of dips per transmission band increases, following this rule, as the number of slits per period increases.

It is worth investigating if our capacitively loaded transmission line model also accounts for the above peculiar phenomenology. For this purpose, the example case shown in Fig. 3(a) will be considered. In this case, the compound transmission grating has a unit cell containing three closely spaced slits. The slits can be empty (as in [32], [36] and [38]–[40]), but they can also be filled with some isotropic linear dielectric materials to increase control on the phenomenon (the case treated in [37]). Nevertheless, the geometry and distribution of in Fig. 3(a) the dielectrics must be chosen so that the plane is a symmetry plane. For normal incidence, assuming that the impinging electric field is polarized along the direction normal to the slits, this plane is a virtual electric wall. This problem was considered previously by the authors in [29] assuming empty slits. However, the model in [29] needs some improvements to account accurately for the details of the observed transmission spectra. Following the same rationale as in Section II, the equivalent circuit proposed for the structure is shown in Fig. 3(b). The two potentially resonant transmission line sections loaded with a caand ) pacitive network (involving the capacitances have characteristic admittances given by and , while the characteristic admittance . It should be of the input and output lines is noted that this model is different from that proposed in [29] due and the to the addition of the mutual coupling capacitance presence of a dielectric filling the slits. The consequences of including the coupling capacitance will be discussed later. The equivalent circuit includes two transmission lines (and not three) to model the three slits system because of the electric wall inplane. It is worth duced by the symmetry with respect to the mentioning that, for normal incidence, the same equivalent circuit would be valid for the case of four slits per period since

110

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 4. 2-D electrostatic problem used to determine the capacitances of the model in Fig. 3.

the symmetry plane makes it possible to consider only two different slits per unit cell for both cases. From a qualitative point of view, this means that the same effects should arise in systems following the notation in [32]) and with with three slits ( four slits . Thus, the same number of dips should be and . Similarly, the case obtained for the cases (two identical slits per period) should not offer special novelties with respect to the simple grating studied in Section II; . namely, no transmission dips are expected for the case The extension of the circuit model in Fig. 3(b) to higher values of is rather obvious: transmission lines should be used for or , and these lines should be loaded with a capacitive network similar to the one depicted in Fig. 3(b), but considering edge capacitances (one for each of the involved transmission lines) plus mutual capacitances accounting for all the possible capacitive coupling. However, for the sake of (or ) simplicity, we will concentrate on the case since the essential points of the model are clearly stated using this case. The physical meaning of the capacitances shown in Fig. 3(b) and , to is somewhat evident: two edge capacitances, account for the stray electric fields near and (mostly) outside the slits plus a nonnegligible coupling capacitance to account for the close proximity between the slits. If the working frequency is close to the onset frequency of the first TM mode in the input and output waveguides, the edge capacitances would behave as in (6), having a singular behavior at the Rayleigh–Wood anomaly frequency. However, when Fabry–Pérot resonances are the relevant phenomenon, these capacitances will be almost frequency independent for frequencies below and far from the above onset frequency (although an extraordinary transmission peak near the onset of the first TM mode is also expected for the compound grating structure). The values of the capacitances in our model can be extracted from the solution of the 2-D electrostatic problem posed in Fig. 4. The region where Laplace’s equation has to be solved is bounded by two magnetic walls, ground conductor, and surface of conductors 1 and 2. In fact, thanks to the symmetry of the in Fig. 4, structure with respect to the magnetic-wall plane only the right half of that structure has to be considered. The three capacitances will then be obtained solving the electrostatic problem posed in Fig. 4 under two independent voltage excitations. In particular, one of the excitations imposes 1 V for conductor 1, while conductor 2 is grounded and the other excitation imposes 1 V for both conductors. From the total charge stored on each conductor in those two cases and from the values of the three ideal parallel-plate capacitors (namely, without edge capacitance) that can be identified in Fig. 4 (region

between conductor 1 and 2, region between conductor 2 and ground, and region between conductor 1 and ground outside the slits), it is a simple exercise to extract the values of and . It should be noticed that might be negative. Once the values of the capacitances are known, the scattering parameters of our equivalent circuit are trivially computed. It could be considered that the two transmission line sections loaded with shunt capacitances are series connected in such a way that the impedance matrix is just the summation of the impedance matrices of each section plus the loading shunt connection of a resistance (the characteristic impedance of the ) and the coupling capacitance output transmission line . However, in order to exploit symmetries, it is convenient to again use even/odd excitations. The symmetry of such excitations together with the symmetry of the structure leads to the appearance of electric (odd excitation) or magnetic (even exciin Fig. 3(b). tation) walls at the vertical symmetry plane plane 1) Odd Excitation Case: For this excitation, the is an electric wall. Thus, short-circuited transmission line secare shunt connected to the capacitances tions of length and . The resulting impedances are series connected and the . The whole is shunt connected to the coupling capacitance loading the input transmission odd excitation admittance line (characteristic admittance ) is then given by

(8) 2) Even Excitation Case: In this case, the middle vertical is a magnetic wall. Thus, open-circuited symmetry plane transmission line sections are shunt connected to the capaciand . The admittance loading the input transmistances sion line is now

(9) From transmission line theory, even/odd reflection coefficients can be defined as (10) which can be introduced in (3) to obtain the desired scattering parameters (reflection) and (transmission). The expressions (3) and (8)–(10) can now be used to reproduce the numerical and/or experimental results reported in previous publications. However, it should be highlighted that the novelty of the present approach does not lie in the development of a different numerical method to generate those results. Instead, our goal is the introduction of a new methodology capable of raising qualitative and semiquantitative predictions that are not obvious at all from the numerical approaches. In this new frame, it is then possible to know a priori how each geometrical parameter affects the transmission/reflection response

MEDINA et al.: EXTRAORDINARY TRANSMISSION THROUGH ARRAYS OF SLITS

111

of the grating. In order to illustrate the above fact, the transmission spectra reported in previous papers on the subject will be analyzed at the light of our equivalent-circuit model. It is obvious from (3) that total transmission can be attained prosince this condition implies , and vided . Conversely, all the imfrom power conservation, pinging power is reflected, thus giving place to transmission . From (10), these conditions can be turned dips if into the following requirements for the normalized values of the . even/odd loading admittances 1) Total transmission condition

the previous approximation cannot be true as long as the environment of each of the two slits in Fig. 3(a) is not identical. The use of a 2-D electrostatic solver to determine the values of and for the examples treated in [32] confirms that there is a significant difference between these capacitances. The situation can be better understood if the total reflection condition is written in the following explicit manner using (8), (9), and (12):

(11)

(14)

2) Total reflection (transmission dip) condition (12) given in (8) and (9) reveals A detailed examination of that these functions are monotonic functions whose zeros and poles alternate (Foster’s theorem), and this is essential to making sure that conditions (11) and (12) can be satisfied for an infinite number of discrete frequency points. Nothing more specific can be said about the distribution of those frequency points for arbitrary values of the relative permittivity of the dielectrics inside the slits. However, some important qualitative predictions can be made for empty slits. In particular, our studied in attention will focus on the three slits case [32]. The slits of this structure are empty in such a way that . The transmission spectrum reported in [32] is similar, in some aspects, to the spectrum corresponding to the single slit case. It has total transmission peaks at those frequencies for which Fabry–Pérot resonances are expected, but the simple transmission peaks observed in the single slit case are now substituted by two closely spaced total transmission peaks separated by a transmission zero. Next it will be shown how our model can anticipate this numerically predicted result. The inspection of the total reflection condition (12) tells us that this condition is unaffected by the . However, it is strongly dependent on the values value of and . As a first approximaof the ratios tion, we assume that the edge capacitances are proportional to the line admittances in such a way that the above ratios are identical. This is equivalent to say that the excess lengths associated with the two edge capacitances are identical. In this situation, simple algebraic manipulations leads to the following condition for total reflection: (13) It is important to note that this condition would also be obtained for any number of transmission lines in the model of Fig. 3(b) provided that the excess lengths for all the transmission lines are identical. Obviously, (13) has no real solutions, which means that no transmission dips would appear for any number of slits per period. Since numerical simulations and experiments do show transmission dips, there should be something wrong in our first assumption. A deeper look at the problem indicates that

. Note where empty slits have been considered that all the terms in (14) are real. In the frequency ranges where the electrical lengths of the lines take values around , we can use the concept of equivalent electrical length of the transmission lines, denoted here as , for the left-hand side (LHS) of (14). This equivalent electrical length is obtained taking into account that, for the frequency range of interest (around the zeros of the cotangent functions), it is possible to approximate the characteristic functions in the following way:

provided . The equivalent length is then the sum plus the excess of the physical electrical length ). Writing the LHS electrical length bracket in (14) now as

it can easily be seen that this expression has two relatively close and for which poles corresponding to those frequencies the equivalent electrical length of one of the two lines is exactly (or an odd number of times ). Clearly, in the frequency range between and , this LHS member ranges from to . In contrast with that behavior, in this same frequency range, the RHS member of (14) is a smooth function that takes relatively small values (due to the fact that the tangent functions appearing in this member will take large values in that frequency range). The combination of the above two behaviors will ensure that condition (14) is satisfied for a single frequency value between and , and more precisely, very close to . A similar situation occurs for electrical lengths around , but in this case, it will be the right-hand side (RHS) member in (14) of the function providing the two poles, whereas the LHS member will behave smoothly. In brief, since the equivalent electrical lengths of the two transmission lines are different, one transmission zero is ensured in the frequency region corresponding to each of the Fabry–Pérot orders. This argument can be extended to transmission lines in the model in Fig. 3(b) in such a way that, if all total reflection points the electrical lengths are different, should appear. To study the total transmission condition (11), for simplicity (as was implicitly done it will first be assumed that in [29]). In this case, the LHS member of (11) has the poles in

112

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

the region where the RHS member takes small values in such a way that two solutions to (11) should be found near the freand . Thus, the model without predicts two quencies total transmission peaks located around the transmission zero at approximately the same frequency distance below and above (see [29] for an example). However, numerical simulations and experiments yield total reflection frequency located somewhere and , but relatively far from [32], between [37]–[40]. The off-centered position of the transmission zero with respect to the theoretical Fabry–Pérot transmission band . The displacecomes in our approach from the presence of ment of the transmission peaks when is considered (redoes not affect the position of the total remember that flection points) can be toward higher or lower frequencies depending on the sign of this parameter. It can then be concluded that, although the existence of the transmission zeros can be explained on the basis of the differences of equivalent electrical lengths of the transmission lines involved in the model, the exact position of the transmission zeros with respect to the transmission maxima is related to the particular value of the mutual coupling capacitance. IV. VALIDATION RESULTS FOR LOSSLESS AND LOSSY COMPOUND GRATINGS In this section, the equivalent-circuit model previously proposed for compound gratings will be validated by comparing the transmission spectra provided by this circuit model versus the spectra obtained via mode-matching full-wave computations (for lossless structures), as well as measured transmission spectra. In this latter case, losses must be included in our model for an accurate comparison of both critical frequencies and transmission losses. Assuming that losses can be accounted for by the strong skin-effect approach (which is actually the case for the example used in this section), the introduction of losses in our model is relatively simple. First, the transmission lines involved in the model having characteristic admittances and must be considered lossy, which implies that now and are complex quantities to account for the addition of a resistance pul in the transmission line model. Since the involved transmission lines are simple parallel-plate waveguides, the pul resistance in each line is given by (15) with being the conductivity of the metal. For the dimensions considered in our examples, the effect of the internal inductance can be neglected. Obviously the propagation constants of the parallel-plate transmission lines that model the slits also become complex, as well as the electrical lengths of these transmission lines. The inclusion of (15) accounts for losses inside the slits, but additional losses will come from the current flowing on the vertical surfaces of the grating [see Fig. 3(a)]. Since the surface current density on this surface is uniform, its skin effect resistance is readily found to be (16)

Fig. 5. Transmission spectra for two lossless compound gratings. Dimensions 10 mm, h = 11:4 mm, a = 0:8 mm, are the same as in [32, Fig. 2]: d c = 0:8 mm. Solid lines: circuit model predictions; dashed lines: numerical data. The circuit parameters used to generate these curves are: (top) Y = 0:531 ; Y = 3:318 ; Y = 6:636 ; C = 3:79 pF, C = 10:95 pF, and C = 0:274 pF and (bottom) Y = 0:531 ; Y = Y = 3:318 ; C = 4:89 pF, C = 6:88 pF, and C = 1:307 pF.

=

0

This resistance is series connected with the input and output transmission lines (characteristic admittance ). After this element is included in the even and odd admittances in (8) and (9), which represent only a few additional lines in our computer code, our approach is ready to deal with lossy conductors under the skin-effect regime (this approximation should be adequately adapted to account for optical frequencies since, in that regime, metals are described by frequency-dependent complex permittivities rather than by real conductivities). A first numerical validation will be carried out for a lossless and perfect-conductor compound grating having with the dimensions used in [32]. Since in that paper the conductors were considered metals characterized by a complex refractive index, the numerical mode-matching code has been run again to obtain the transmission spectrum in the perfect conductor limit. For the structures under study, the capacitances to be employed in the equivalent-circuit model have been obtained using a 2-D electrostatic solver. The computed data from the numerical method are plotted in Fig. 5 along with those provided by the equivalent-circuit model. A good agreement is found for the Fabry–Pérot regime, including fine details about the relative position of the dips in the transmission bands. Note that the transmission dips are off centered. Displacement to lower , first Fabry–Pérot resonance) are due to wavelengths ( , whereas displacement to higher wavea positive value of lengths is related to a negative value of . The narrow

MEDINA et al.: EXTRAORDINARY TRANSMISSION THROUGH ARRAYS OF SLITS

113

Fig. 6. Transmission spectrum for the structure considered in [38, Fig. 2] obtained using the circuit model in this paper. Perfect agreement with the numerical simulations and measured data in [38] has been found.

transmission peak very close to is not captured by our circuit model simulation because we did not include the singular behavior of the capacitances in this simulation (this is the extraordinary transmission peak), although it can easily be carried out as already shown in Section II-B for the single slit case. For this same reason, the agreement between circuit theory model and . If full-wave mode matching results deteriorates near the Fabry–Pérot band corresponding to were not so close to the onset frequency of the first grating lobe (cutoff frequency of the first TM higher order mode), which would occur if were not so close to as in the example, the agreement would have been much better for this Fabry–Pérot order. More simulations for the lossless case have been carried out to check our model with very good results in all the cases. In Fig. 6, the experimental data reported in [38, Fig. 2] have been reproduced with our equivalent-circuit model. The lossy nature of the real conductors was introduced in our model via the conductivity value of aluminum in (15) and (16). The comparison of our data with the experimental results reported in [38] gives an excellent agreement even for the absorption level. The agreement is even better than in the previous example because now the thickness of the screen ( in this paper, but in [38]) is not so close to the period of the structure (in this case, ). This comparison also supports the validity of our model for the case of actual lossy metals, at least at microwave frequencies, when the skin regime is dominant. As a final example, it will be considered the compound gratings with dielectric-filled slits previously studied in [37]. The results reported in [37, Fig. 2] have been reproduced with our equivalent-circuit model in Fig. 7. In particular, the results in this plot correspond to the case having the central slit (out of the three identical slits per unit cell) filled with a dielectric with different relative permittivity. It can be verified that the agreement with the data in [37, Fig. 2] is excellent. The use of dielectric inside the slits was proposed in [37] as a method to manipulate

Fig. 7. Transmission spectrum for the structures considered in [37, Fig. 2] having a dielectric in the central slit. The electrical parameters are the same used in Fig. 5 (J = 3), except the characteristic admittance Y , which is " times Y in vacuum. Very good agreement with the numerical simulations in [37] is obtained.

p

the transmission pattern of the grating. Our equivalent-circuit approach provides a good tool to tailor (of course with limitations) the transmission spectrum since it can predict how each parameter will affect the distribution of maxima and minima. V. CONCLUSION The transmission of electromagnetic waves through simple and compound 1-D diffraction gratings has been analyzed at the light of conventional transmission line theory. The concepts reported in this paper provide a relatively simple explanation of an apparently complex physical phenomenon. The simplicity of the proposed theory would qualify the approach as a sound pedagogical contribution to the understanding of the phenomenon, but the most important benefit of the reported theory from an engineering point of view is that it provides a methodology to analyze structures and/or to conceive new devices based on the studied physical phenomenon. The design tasks can be considerably simplified since the effect of each of the elements of the equivalent-circuit model on the transmission response is qualitatively known a priori. From a scientific point of view, the model gives an alternative explanation based on conventional impedance matching concepts rather than on surface plasmon Bloch-like waves. Moreover, the treatment in this paper together with the model reported in [24] set up a unified treatment of the transmission through 2-D and 1-D arrays of holes or slits.

114

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

REFERENCES [1] T. W. Ebbesen, H. J. Lezec, H. F. Ghaemi, T. Thio, and P. A. Wolff, “Extraordinary optical transmission through sub-wavelength hole arrays,” Nature, vol. 391, pp. 667–669, Feb. 1998. [2] F. J. García-de-Abajo, “Colloquium: Light scattering by particle and hole arrays,” Rev. Mod. Phys., vol. 79, pp. 1267–1290, Oct.–Dec. 2007. [3] C. Genet and T. W. Ebbesen, “Light in tiny holes,” Nature, vol. 445, pp. 39–46, Jan. 2007. [4] A. Nahata, T. Matsui, A. Agrawal, and Z. V. Vardeny, “Transmission resonances through aperiodic arrays of subwavelength apertures,” Nature, vol. 446, pp. 517–521, 2007. [5] H. J. Lezec, A. Degiron, E. Devaux, R. A. Linke, L. Martín-Moreno, F. J. García-Vidal, and T. W. Ebbesen, “Beaming light from a subwavelength aperture,” Science, vol. 297, pp. 820–822, 2002. [6] A. A. Oliner and D. R. Jackson, “Leaky surface-plasmon theory for dramatically enhanced transmission through a subwavelength aperture—Part I: Basic features,” in IEEE AP-S Int. Symp., Jun. 22–27, 2003, vol. 2, pp. 1091–1094. [7] D. R. Jackson, T. Zhao, J. T. Williams, and A. A. Oliner, “Leaky surface-plasmon theory for dramatically enhanced transmission through a sub-wavelength aperture—Part II: Leaky-wave antenna model,” in IEEE AP-S Int. Symp., Jun. 22–27, 2003, vol. 2, pp. 1095–1098. [8] S. S. Akarca-Biyikli, I. Bulu, and E. Ozbay, “Enhanced transmission of microwave radiation in one-dimensional metallic gratings with subwavelength aperture,” Appl. Phys. Lett., vol. 85, no. 7, pp. 1098–2000, Aug. 2004. [9] F. J. García-de-Abajo, “Light transmission through a single cylindrical hole in a metallic film,” Opt. Exp., vol. 10, no. 25, pp. 1475–1484, 2002. [10] J. M. Brok and H. P. Urbach, “Extraordinary transmission through 1, 2 and 3 holes in a perfect conductor, modelled by a mode expansion technique,” Opt. Exp., vol. 14, no. 7, pp. 2552–2572, Apr. 2006. [11] G. F. Koch and K. S. Kolbig, “The transmission coefficient of elliptical and rectangular apertures for electromagnetic waves,” IEEE Trans. Antennas Propag., vol. AP-16, no. 1, pp. 78–83, Jan. 1968. [12] J. M. Jin and J. M. Volakis, “Electromagnetic scattering by and transmission through a three-dimensional slot in a thick conducting plane,” IEEE Trans. Antennas Propag., vol. 39, no. 4, pp. 543–550, Apr. 1991. [13] J. B. Pendry, L. Martín-Moreno, and F. J. Garcia-Vidal, “Mimicking surface plasmons with structured surfaces,” Science, vol. 305, pp. 847–848, Aug. 2004. [14] F. J. García-de-Abajo and J. J. Sáenz, “Electromagnetic surface modes in structured perfect-conductor surfaces,” Phys. Rev. Lett., vol. 95, pp. 233901-1–233901-4, Dec. 2005. [15] C. R. Williams, S. R. Andrews, S. A. Maier, A. I. FernándezDomínguez, L. Martín-Moreno, and F. J. García-Vidal, “Highly confined guiding of terahertz surface plasmon polaritons on structured metal surfaces,” Nature Photon., vol. 2, pp. 175–179, Mar. 2008. [16] M. J. Lockyear, A. P. Hibbins, and J. R. Sambles, “Microwave surfaceplasmon-like modes on thin metamaterials,” Phys. Rev. Lett., vol. 102, p. 073901, Feb. 2009. [17] M. Beruete, M. Sorolla, I. Campillo, J. S. Dolado, L. Martín-Moreno, J. Bravo-Abad, and F. J. García-Vidal, “Enhanced millimeter wave transmission through quasioptical subwavelength perforated plates,” IEEE Trans. Antennas Propag., vol. 53, no. 6, pp. 1897–1903, Jun. 2005. [18] R. E. Collin, Field Theory of Guided Waves. New York: IEEE Press, 1971. [19] W. L. Barnes, A. Dereux, and T. W. Ebbesen, “Surface plasmon subwavelength optics,” Nature, vol. 424, pp. 824–830, Aug. 2003. [20] M. M. J. Treacy, “Dynamical diffraction explanation of the anomalous transmission of light through metallic gratings,” Phys. Rev. B, Condens. Matter, vol. 66, pp. 195105-1–195105-11, 2002. [21] H. J. Lezec and T. Thio, “Diffracted evanescent wave model for enhanced and suppressed optical transmission through subwavelength hole arrays,” Opt. Exp., vol. 12, no. 16, pp. 3629–3651, Aug. 2004. [22] F. J. García de Abajo, R. Gómez-Medina, and J. J. Sáenz, “Full transmission through perfect-conductor subwavelength hole arrays,” Phys. Rev. E, vol. 72, pp. 016608-1–016608-4, 2005. [23] F. Medina, F. Mesa, and R. Marqués, “Equivalent circuit model to explain extraordinary transmission,” in IEEE MTT-S Int. Microw. Symp., Atlanta, GA, Jun. 15–20, 2008, pp. 213–216. [24] F. Medina, F. Mesa, and R. Marqués, “Extraordinary transmission through arrays of electrically small holes from a circuit theory perspective,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 3108–3120, Dec. 2008.

[25] R. Gordon, “Bethe’s aperture theory for arrays,” Phys. Rev. A, vol. 76, 2007, Art. ID 053806. [26] Y. Pang, A. N. Hone, P. P. M. So, and R. Gordon, “Total optical transmission through a small hole in a metal waveguide screen Bethe’s aperture,” Opt. Exp., vol. 17, no. 6, pp. 4433–4441, 2009. [27] A. A. Kirilenko and A. O. Perov, “On the common nature of the enhanced and resonance transmission through the periodical set of holes,” IEEE Trans. Antennas Propag., vol. 56, no. 10, pp. 3210–3216, Oct. 2008. [28] N. G. Don, A. A. Kirilenko, and S. L. Senkevich, “New type of eigenoscillations and total-transmission resonance through an iris with below-cutoff hole in a rectangular waveguide,” Radiophys. Quantum Electron., vol. 51, no. 2, pp. 101–108, Feb. 2008. [29] F. Medina, D. C. Skigin, and F. Mesa, “Extraordinary transmission through slits from a microwave engineering perspective,” in Proc. 38th Eur. Microw. Con., Amsterdam, The Netherlands, Oct. 2008, pp. 702–705. [30] J. A. Porto, F. J. García-Vidal, and J. B. Pendry, “Transmission resonances on metallic gratings with very narrow slits,” Phys. Rev. Lett., vol. 83, no. 14, pp. 2845–2848, Oct. 1999. [31] F. J. García-Vidal and L. Martín-Moreno, “Transmission and focusing of light in one-dimensional periodically nanostructured metals,” Phys. Rev. B, Condens. Matter, vol. 66, pp. 155412-1–155412-10, 2002. [32] D. C. Skigin and R. Depine, “Transmission resonances of metallic compound gratings with subwavelength slits,” Phy. Rev. Lett., vol. 95, pp. 217402-1–217402-4, 2005. [33] Y. Takakura, “Optical resonance in a narrow slit in a thick metallic screen,” Phys. Rev. Lett., vol. 86, no. 24, pp. 5601–5603, Jun. 2001. [34] F. Yang and J. R. Sambles, “Resonant transmission of microwaves through a narrow metallic slit,” Phys. Rev. Lett., vol. 89, no. 6, pp. 063901-1–063901-4, Aug. 2002. [35] J. R. Suckling, A. P. Hibbins, M. J. Lockyear, T. W. Preist, J. R. Sambles, and C. R. Lawrence, “Finite conductance governs the resonance transmission of thin metal slits at microwave frequencies,” Phys. Rev. Lett., vol. 92, no. 14, pp. 147401-1–147401-4, Apr. 2004. [36] D. C. Skigin and R. Depine, “Narrow gaps for transmission through metallic structured gratings with subwavelength slits,” Phys. Rev. E, vol. 74, pp. 046606-1–046606-6, 2006. [37] D. C. Skigin, H. Loui, Z. Popovic, and E. F. Kuester, “Bandwidth control of forbidden transmission gaps in compound structures with subwavelength slits,” Phys. Rev. E, vol. 76, pp. 016604-1–016604-6, 2007. [38] A. P. Hibbins, I. R. Hooper, M. J. Lockyear, and J. R. Sambles, “Microwave transmission of a compound metal grating,” Phys. Rev. Lett., vol. 96, pp. 257402-1–257402-4, 2006. [39] Y. G. Ma, X. S. Rao, G. F. Zhang, and C. K. Ong, “Microwave transmission modes in compound metallic gratings,” Phys. Rev. B, vol. 76, pp. 085413-1–085413-5, Aug. 2007. [40] M. Navarro-Cía, D. C. Skigin, M. Beruete, and M. Sorolla, “Experimental demonstration of phase resonances in metallic compound gratings with subwavelength slits in the millimeter wave regime,” App. Phys. Lett., vol. 94, pp. 091107-1–091107-3, Mar. 2009. [41] A. A. Kirilenko, private communication. [42] V. G. Sologub, V. P. Schestopalov, and G. G. Polovnikov, “Diffraction of electromagnetic waves on the grating with narrow slits,” (in Russian) J. Tech. Phys., vol. 37, no. 4, pp. 667–679, 1967. [43] V. G. Sologub and V. P. Schestopalov, “The resonance phenomena -polarized waves on the gratings at the diffraction of the of metal bars,” (in Russian) J. Tech. Phys., vol. 38, no. 9, pp. 1505–1520, 1968. [44] S. A. Masalov, Y. K. Sirenko, and V. P. Schestopalov, “The solution of the problem of the plane wave diffraction on the knife-type grating with compound structure of a period,” (in Russian) Radiotek. Electron., vol. XXIII, no. 3, pp. 481–487, 1978. [45] M. Guglielmi and A. A. Oliner, “Multimode network description of a planar periodic metal-strip grating at a dielectric interface—Part I: Rigorous network formulations,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 3, pp. 535–541, Mar. 1989. [46] M. Guglielmi and A. A. Oliner, “Multimode network description of a planar periodic metal-strip grating at a dielectric interface—Part II: Small-aperture and small-obstacle solutions,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 3, pp. 542–552, Mar. 1989. [47] A. Fernández-Prieto, F. Medina, and F. Mesa, “Microstrip circuit analog of a complex diffraction phenomenon,” Appl. Phys. Lett., vol. 95, pp. 021108-1–021108-3, Jul. 2009.

H

MEDINA et al.: EXTRAORDINARY TRANSMISSION THROUGH ARRAYS OF SLITS

Francisco Medina (M’90–SM’01–F’10) was born in Puerto Real, Cádiz, Spain, in November 1960. He received the Licenciado and Doctor degrees from the University of Seville, Seville, Spain, in 1983 and 1987 respectively, both in physics. From 1986 to 1987, he spent the academic year with the Laboratoire de Microondes de l’ENSEEIHT, Toulouse, France. From 1985 to 1989, he was an Assistant Professor with the Department of Electronics and Electromagnetism, University of Seville, where, in 1990, he became an Associate Professor of electromagnetism. He is currently a Full Professor of electromagnetism (since July 2009) and Head of the Microwaves Group. He is on the Editorial Board of the International Journal of RF and Microwave Computer-Aided Engineering. He is a reviewer for approximately 24 Institution of Electrical Engineers (IEE), U.K., and American Physics Society journals. His research interest includes analytical and numerical methods for guiding, resonant, and radiating structures, passive planar circuits, periodic structures, and the influence of anisotropic materials (including microwave ferrites) on such systems. He is also interested in artificial media modeling and design and extraordinary transmission phenomena. Dr. Medina is a reviewer for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, as well as other IEEE publications. He has been a member of the Technical Program Committees (TPCs) of several international and local conferences and has organized a few conferences and workshops. He is a Fellow of the Massachusetts Institute of Technology (MIT) Electromagnetics Academy. He was the recipient of a Spanish Ministerio de Educación y Ciencia (MEC) Research Scholarship and a French Ministére de la Recherche et la Technologie Scholarship.

Francisco Mesa (M’93) was born in Cádiz, Spain, in April 1965. He received the Licenciado and Doctor degrees in physics from the Universidad de Sevilla, Seville, Spain, in 1989 and 1991, respectively. He is currently an Associate Professor with the Departamento de Física Aplicada 1, Universidad de Sevilla. His research interests focus on electromagnetic propagation/radiation in planar structures. He is also involved with extraordinary transmission and metamaterials.

115

Diana C. Skigin (M’06) received the Licenciada en Ciencias Físicas (M.S.) degree in physics and Ph.D. degree in physics from the Faculty of Exact and Nature Sciences, University of Buenos Aires, Buenos Aires, Argentina, in 1992 and 1997, respectively. In 1992, she began teaching with the University of Buenos Aires, where she is currently a Professor. In 2001, she became a Researcher with the National Council for Scientific Research (CONICET), Buenos Aires, Argentina. In 1998 and 1999, she was a Visiting Scholar with the Electromagnetic Communication Research Laboratory, Pennsylvania State University. In February 2002, she was a Visiting Scholar with the Electric and Electronic Engineering Department, University of Colorado at Boulder. She has authored or coauthored over 40 papers in international journals such as Physical Review E, Physical Review Letters, Journal of the Optical Society of America A, Journal of Optics A: Pure and Applied Optics, Optics Communications, Journal of Modern Optics, the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, Nanotechnology, and Optik. She has also authored or coauthored numerous papers in international conferences (URSI-GA, MMET, IEEE Antennas and Propagation Society (IEEE AP-S) International Symposium, JINA International Symposium on Antennas, PIERS, etc.). Since 2002, she has been a Reviewer for numerous journals. She is currently a Topical Editor for Optics Letters. Her main research interest includes enhanced transmission, metamaterials, photonic crystals, scattering from periodic and nonperiodic rough surfaces, finite gratings, modal methods, resonances, superdirectivity, and diffraction. Dr. Skigin was the recipeint of the Young Scientist Award for the XXIV General Assembly of URSI in 1993 and 1996 and the Young Scientist Prize of the Fifth International Conference on Mathematical Methods for Electromagnetic Theory (MMET*94) in 1994. She was also the recipient of the 1998 Raj Mittra Travel Grant to attend the IEEE AP-S International Symposium and USNC/URSI National Radio Science Meeting in 1998, the ICO Fellowship to attend the XIX International Commission for Optics Conference in 2002, and a research grant to attend the Metamaterials International Conference in 2007.

116

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Construction of the Dirichlet to Neumann Boundary Operator for Triangles and Applications in the Analysis of Polygonal Conductors Thomas Demeester and Daniël De Zutter, Fellow, IEEE

Abstract—This paper introduces a fast and accurate method to investigate the broadband inductive and resistive behavior of conductors with a nonrectangular cross section. The presented iterative combined waveguide mode (ICWM) algorithm leads to an expansion of the longitudinal electric field inside a triangle using a combination of parallel-plate waveguide modes in three directions, each perpendicular to one of the triangle sides. This expansion is used to calculate the triangle’s Dirichlet to Neumann boundary operator. Subsequently, any polygonal conductor can be modeled as a combination of triangles. The method is especially useful to investigate current crowding effects near sharp conductor corners. In a number of numerical examples, the accuracy of the ICWM algorithm is investigated, and the method is applied to some polygonal conductor configurations. Index Terms—Dirichlet to Neumann (DtN) operator, iterative combined waveguide mode algorithm (ICWM), polygonal conductor, quasi-TM approximations, skin effect, triangle.

I. INTRODUCTION O ADEQUATELY address broadband signal integrity for board and package level interconnections, fully fledged RLGC transmission models are required. For the highest clock rates, this is now also the case for on-chip interconnections, as argued in [1]. The influence of the finite conductivity of the conductors and the associated frequency-dependent skin-effect losses and internal inductance (the so-called current crowding phenomenon) has received considerable attention in literature, see, e.g., [2] and [3] and the references therein. In [4], a single lossy line in the presence of a semiconducting substrate is analyzed in the quasi-TM limit. The conductor losses can even become dominant for narrow strip configurations [5]. In [6], this quasi-TM analysis is extended to multiconductor lines in the presence of a semiconducting substrate. To this end, we introduced the Dirichlet to Neumann (DtN) operator [7] to capture the current crowding phenomenon inside a good conductor. The frequency ranges from dc to tens of gigahertz, at which point the skin effect is fully developed and can be described by the familiar scalar surface impedance

T

(1)

Manuscript received April 06, 2009; revised August 03, 2009. First published November 24, 2009; current version published January 13, 2010. The authors are with the Department of Information Technology, Ghent University, B-9000 Gent, Belgium (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2009.2035881

with the conductivity, and the skin depth. The DtN operator is used to obtain a surface admittance relationship between the longitudinal electric field and the differential surface current with and on the circumference of the conductor’s cross section. To determine the per unit length inductance and resistance of a particular transmission line configuration, it now suffices to replace the conductors by their equivalent differential surface currents placed in the background medium. These differential, or so-called “equivalent,” surface currents are determined such that they exactly give rise to the original fields outside the conductor when this conductor is made transparent by replacing its material properties by those of the background medium. Combining this with an integral equation solution for the fields generated by these currents directly leads to the desired and matrices. In the quasi-TM approximation, this approach can be extended to the determination of the capacitance and conductance matrices and by again invoking the DtN operator, but now to obtain a relationship between the potential on the circumference of each dielectric and semiconductor, and its normal derivative . Invoking an integral equation for the potential as a function of the equivalent surface charges in combination with , and by exciting the this relationship between and conductors’ boundaries with a constant potential, allows for a determination of and , as demonstrated in [6] for coupled lines in the presence of semiconducting media. Although the DtN operator theory can in principle be applied to conductors with an arbitrary cross section, its practical application remained restricted to a rectangular cross section. This is due to the fact that the analytical determination of the DtN operator was based on its expansion in terms of the Dirichlet eigenfunctions. These functions are only known for the rectangle and the circle and because at least a few thousands of them are needed for a correct broadband analysis, their numerical determination for other shapes is excluded. However, more complex conductor shapes, provided they are formed by combining rectangles, can be handled as demonstrated in [8] and [9], e.g., to examine the effect of layered on-chip conductors. Effects such as underetching or electrolytical growth in the integrated circuit manufacturing process lead to conductors that are trapezoidal rather than rectangular. In [10], such lines are investigated using a combination of the finite-element method (FEM) and the method of lines (MoL). To investigate trapezoidal conductors, or more generally, the influence of sharp or obtuse conductor corners on the current crowding, by means of the fast boundary integral equation method presented in [6], the

0018-9480/$26.00 © 2009 IEEE

DEMEESTER AND DE ZUTTER: CONSTRUCTION OF DtN BOUNDARY OPERATOR FOR TRIANGLES AND APPLICATIONS

knowledge of the DtN operator for a triangular cross section is of paramount importance. Combining triangular cross sections with rectangular ones, as in [9] for rectangular cross sections, then allows one to approximate almost any cross-sectional shape. In Sections II and III, the DtN operator for the triangle is determined by a new method not depending on the Dirichlet eigenfunctions. As argued and demonstrated in [6] and [7], for piecewise homogeneous media, the use of the DtN operator allows to reformulate the complete problem in terms of a set of coupled boundary integral equations only requiring the discretization of the unknowns on the boundaries of the different subdomains. The use of the proper Green’s functions for each subdomain leads to the additional advantage that the skin effect can be captured in a very accurate way. First, the field on the circumference of the triangle is discretized, e.g., by using piecewise constant or linear basis funcsatisfies the diffusion equation. tions. Inside the triangle, inside the triangle in terms of Next, we choose to expand three sets of parallel-plate waveguide modes, each set with one of the triangle’s sides as the waveguide’s height. In theory using only a single complete set of parallel-plate waveguide modes would suffice, but the extra waveguide modes are introduced to avoid numerical inaccuracies as will be carefully substantiated at the end of Section II-A. This in turn leads to a numerically very stable determination of the normal derivative of on the circumference, as a function of its original discretized representation. In Section IV, some numerical examples demonstrate the accuracy of the method and the convergence properties of the iterative process to determine the DtN operator. Furthermore, the resistance of a single conductor composed of triangles is determined for different conductor shapes. For a rectangle, results are compared with data available in literature. Next, the resistive and inductive properties of a multiconductor line with trapezoidal conductors are investigated and compared to the rectangular conductor case. Finally, a coplanar waveguide (CPW) above a nonplanar substrate is simulated and its characteristic impedance is compared with reference data. II. DETERMINATION OF THE DtN OPERATOR IN A TRIANGLE As introduced in [7] and further elaborated upon in [6], the required relationship between on a triangle’s boundary and the differential surface current is given by

as dictated by the quasi-TM approximations [6]. For a rectangular area, (3) was discretized by means of the Dirichlet expan, which is zero on the boundary . sion of For the complex capacitance problem , the required and the relationship between the equivalent surface charge on the boundary of dielectrics and semielectric potential conductors is given by (6) (7) in which satisfies the diffusion equation in the semiconductors, and Laplace’s equation in the dielectrics. The discretization of (7) requires the determination of the nondifferential DtN operator . Since a Dirichlet expansion cannot be used to represent a nonzero boundary function, an alternative expansion was used to discretize (7) on a rectangle [11] based on the superposition of the modal fields that exist in two perpendicular parallel-plate waveguides. A similar approach will be used here, but the contributions from the three parallel-plate waveguides, each perpendicular to one of the sides of the triangle, will interfere with one another, which was not the case for the rectangle. The algorithm introduced in this paper will lead to the DtN matrix , the discretized form of the nondifferential operator , defined for triangle with boundary by (8) for

satisfying (9)

A. Geometry of the Problem and Expansion of Consider triangle , shown in Fig. 1, defined by its corner , , and . Along the sides , , points and , we will use the normalized coordinate ranging from 0 to 1 in a counterclockwise direction along boundary of triangle such that (10) (11) (12)

(2) (3)

with the differential DtN operator. The actual electric have the same boundary value field and the fictitious field satisfies the diffusion equation, on , but inside triangle , whereas satisfies Laplace’s equation (4) (5)

117

The outward pointing normal unit vectors on each side are needed in the sequel as well. They are given by

with , , and The function tions

(13) being the lengths of the respective sides. inside is split up into three subfunc-

(14)

118

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

=1 2 3 ( )

Fig. 1. Triangle T with corners fp g and sides fc g (i ; ; ), placed in a Cartesian coordinate system with origin and axes x; y , and with a schematic , , and according to indication of the way on T is split up into parallel-plate waveguide modes in three directions.

O

with (15) (16) (17) The functions

are given by (18)

with and its square root chosen such . The upper limit in (15) is the number of that sine functions used to expand the -dependence of . can, with (15) and (18), be seen as an The function expansion of a longitudinal electric field into the eigenmodes of a parallel-plate waveguide, filled with the medium with wavenumber and directed vertically with the plates through and of triangle . The -dependence in (18) is corners the exact solution to (9) for each term in the sine expansion (and along , and is chosen such that its contribution at hence, at ) becomes zero. and can be written in a The functions similar way, but it is unnecessary to explicitly write them down . Instead, a in the same coordinate system used for different set of axes is associated with each side of the triangle. It has corner as its origin, and side as its -axis. The same triangle in three different orientations and for each of these coordinate systems is shown in Fig. 2. By this judicious choice of the axes, we only need (18) to express the contributions of the parallel-plate waveguides associated with sides and in the sense that (19) (20)

Fig. 2. Three different axes sets, each associated with a different orientation of triangle T . (a) Unprimed, with c underneath. (b) Primed, with c underneath c ,c c ,c c ). (c) Double primed, with c underneath (c c , (c c ,c c ). c

= =

= =

=

=

This means that, e.g., for , the same form as (18) is used, but with , , , , and replaced by , , , , , terms in the expansion of . and with in (18) for forms The sine expansion ) a complete set on , except for (theoretically, for the corner points where all sine functions are zero. This problem and some related issues will be addressed in Section III. An and . Due to the analogous argumentation is valid for specific form of (15) and (18), with a single multiplicative de(its coefficient gree of freedom for each basis function ), is fully determined over the complete triangle once for side and its boundary value on is fixed, and so are for . It would, alternatively, be possible to determine the coefficients and of only one complete set of waveguide modes written as (21) and such that , taking the place of in (14), satisfies prescribed boundary values on , , and (in principle, this is only true ). However, our method uses the combination of for three sets of expansion functions, which are, on their own, only sufficient to represent a prescribed boundary value on one of the sides, but altogether on the three sides. Although the introduction of two extra sets of waveguide modes is at first glance unnecessary, our method has the advantage that we can enforce to be zero at , in this way avoiding the exponential terms and ). in (18) to become large (and analogously for If, instead, (21) would be used, the exponential behavior of the parallel-plate waveguide modes in the direction perpendicular to would lead to ill conditioning and completely inaccurate results.

DEMEESTER AND DE ZUTTER: CONSTRUCTION OF DtN BOUNDARY OPERATOR FOR TRIANGLES AND APPLICATIONS

As for each boundary excitation, there exists a unique solu, it should be possible tion for the expansion coefficients to construct a set of equations that can be solved directly for these coefficients. This procedure needs to be repeated as many times as there are discretization segments, and would lead to very long calculations. Therefore, the authors have opted for an iterative approach to determine the unknown entries of the DtN matrix. This method has two major advantages. First of all, it is possible to construct a very good initial guess to start the iteration (assuming at first there is no interaction between the sides), and furthermore, the iterative method has an exponential convergence behavior (as explained in the sequel), which leads to an accurate solution within a very limited number of iteration steps. B. Discretization of

and Iterative Procedure

The following paragraphs describe the iterative procedure to of (14)–(17), which determine the expansion coefficients in the sequel will be called the iterative combined waveguide mode (ICWM) algorithm. Before giving some more mathematical details, we start with a brief physical description of the method. On each side, the unknown function is first expanded in a set of nonuniform basis functions, typically pulses or hat functions. The complex amplitudes of these functions are collected in a column vector . Next, this representation is recast in the form (15)–(18) necessitating the introduction between and the coefficients in of a mapping matrix (15)–(17) collected in the column vector . To be able to determine , three additional matrix operations are needed, i.e., , , and . and transform the original basis expansion on each side into Fourier series expansions. matrices are then defined that project the Fourier series coefficients from one side of the triangle onto another side. These matrices account for the “overlap,” i.e., for the way in which the three sets of parallel-plate waveguide modes influence each other. For a better readibility of this text, the explicit form of several of these matrices is not given, but can be found in the Appendix. The proposed method is based on improving an initial guess for the expansion coefficients, by cycling through the sides until the required accuracy is reached. Suppose we start from side . in sine functions yields a first approximaThe expansion of tion for . The notation will be used to denote a certain value after iteration cycle . Subtracting the contribuon from the actual boundary value , and tion of expanding this result in sines, i.e., calculating the coefficients , yields . For the third side, the contributions of both and are subtracted from , before ex. This is the end of the panding it into sines, yielding first iteration cycle. From now on, both previous contributions from the expansions on two of the sides to the third side are subtracted from the actual boundary value, and the remainder is expanded into sines. In each iteration cycle, the contribution of the on each of the sides constitute a continually better three . In Section IV, approximation of the actual boundary value the convergence properties of the method are numerically illustrated. We now first go into some of the mathematical details. In order to discretize the function on side , the side is di, vided into segments using a number of discretization points

119

with and the corner points. A uniform distribution of these points along the sides is not required. They can be chosen at will so as to assure an optimal . The normalized representation of the continuous function on side are defined by , discretization points and analogously on the other sides. With these, we get for side (with ) (22) with can be a conThe functions stant pulse on the interval (corresponding, e.g., on , to ), a piecewise linear “hat” function on , or any other basis function. are taken together into one column The coefficients vector as (23) in which . The expansion coefficients from (15)–(17) are taken together into column vectors . The purpose of the ICWM algorithm is the determination of the matrices (with ) defined by (24) Once these matrices are known, the expansion (14)–(17) is fully determined for any boundary function , and can be , determined from the normal derivative of the functions , and . , two different types of In order to calculate the matrices interactions have to be worked out. On the one hand, we need to transform the coefficients of a discretized function on into its sine expansion. On the other hand, we need to determine the expansion coefficients that result from expanding subfunction , but evaluated on side into sines on side . In order to simplify the notations used in the sequel, some auxiliary functions are defined here, related to the evaluation of and its derivatives on sides and (25) (26) (27) (28) These functions will be used frequently in the sequel, though without each time referring to (25)–(28). For example, evaluated on can now be compactly written as (29) First, the transformation matrices and the scaling matrices are defined. They transform the coefficients with the indicating the contribution of only into its superscript

120

sine expansion coefficients

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

. The expansion of

on side

(30)

from and . Evaluation of (14) coefficients with the left-hand side (LHS) discretized using (22), evaluated with (10), and with the insertion of expansion (15) and on (18) gives

(31) is weighted with the set coefficients together in the vectors

and

(43)

. Taking the leads to Weighting (43) with the set

yields

(32) (44) with the explicit form of the matrix and the diagonal matrix given in the Appendix. For the other sides and , the primed and double primed quantities can be used, as indicated in (19) and (20). We schematically write this as (33)

The analogous relationships as (39), but involving allow to write (44) as

and

, (45)

Define the matrix

(34)

(46)

The expansion matrices are used to calculate the coeffiin the sine expansion on of subfunction decients fined by its coefficients . Evaluating on and on and expanding it into sines on these sides, yields, with (11), (12), (15), and (18),

for an zero matrix. Inserting using the notation the relationships of (24) leads from (45) to

(35)

(48)

(47) Analogous calculations show that

(49) (36) with

Weighting (35) and (36) with the set yields (38) with the set

(37)

(50) (51)

(38)

The update (47)–(49) forms the core of the th iteration cycle of the ICWM procedure.

, and (37) and (39) (40)

with the matrix and the matrix specified in the Appendix. Performing the same operations for the rotated geometries shown in Fig. 2(b) and (c) leads to the other required matrices (41) (42) The ICWM procedure as outlined above is an iterative procedure to determine the matrices. Initially, all three matrices are supposed as zero. We now describe cycle of the iteration. Suppose after iteration cycles we want to determine the

C. Normal Derivative Calculation The outward pointing normal derivative cretized on side as

is dis-

(52) The purpose is to determine the coefficients, taken together per side into the vector such that (53) contains the coefficients in the contribution in which to the total normal derivative on . Hence, with (24) from into , we find and by grouping the vectors (54)

DEMEESTER AND DE ZUTTER: CONSTRUCTION OF DtN BOUNDARY OPERATOR FOR TRIANGLES AND APPLICATIONS

with

The remaining

matrices are defined in the Appendix. For the matrices, we can immediately write

(55)

which is the discretized form of (8), i.e., the matrix representaonto . tion of the DtN operator, mapping are determined by calculating the outward The matrices on side , and weighting the result normal derivative of along that side. with the basis functions On , is written as (56) (57) and leads to (58) On

, the normal derivative of

becomes, with (13), (59) (60)

121

(67) (68)

III. ELIMINATION OF THE GIBBS EFFECT For the nondifferential DtN operator, as defined by (8), the Gibbs phenomena at the corners of the triangle are considerable , which are zero in the corner due to the expansion functions points, and hence, not apt to represent a nonzero corner value. As will become clear in Section IV from the numerical data, this Gibbs phenomenon corrupts the solution along the complete boundary. An accurate elimination of the Gibbs effect is, therefore, required for an arbitrary value of . The solution for the Gibbs effect presented in [11] for a rectangular cross section . A generalization is presented below. only deals with This generalization is only valid provided the boundary value of is continuous, but this is indeed the case both for the scalar electric potential and for the longitudinal electric field. The DtN operator (55) is only correct provided all corner values are zero. A function satisfying this requirement is obtained by subtracting from three analytically known functions that satisfy (9), have a nonzero corner value at , and are zero on the other corners. We start at corner . The proposed is defined by function (69)

(61) Weighting (60) and (61) with the basis functions leads to

on

(62) Analogously,

. It reaches its maximal amplitude with and . Analogous funcat , and is, as required, zero at and . We now have to tions are defined, associated with determine a matrix , which transforms into the normal with a correctly determined coefficient . derivative of is needed to reduce the original boundary Secondly, a matrix to those without the contribution of . The coefficients same argumentation can be followed to treat corners and with the introduction of analogous matrices. This results in (70)

becomes with (63)

(71) (64)

Compared to the original discretized form (55) of the DtN is its modified form, which no longer suffers from operator, and ( the Gibbs phenomenon. The expressions for ) can be found in the Appendix.

(65) IV. NUMERICAL RESULTS and leads to (66)

A few numerical simulations are presented to investigate the convergence and accuracy properties of the method, including an illustration of the effectiveness of the Gibbs phenomenon

122

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 3. Normal derivative @ =@n along the boundary of triangle T (see inset of (a), c c : mm) both without and with eliminamm, c , tion of the Gibbs effect for linear along the sides of T and with , . The simulations were performed at 100 kHz for: (a) T as a dielectric and (b) T as a conductor with  : MS/m, with only @ =@n shown.

=4 = = 01 =1 Re( )

= 25

=0

= 57 2

elimination, as described in Section III. In a few further examples, the inductive and resistive behavior of trapezoidal conductors is investigated. All simulations were done with a uniform piecewise constant approximation of the longitudinal electric field on the triangles, except for the last one, where we used a piecewise linear discretization on the boundaries. A. Numerical Accuracy and Convergence Properties of the Method In order to illustrate the effectiveness of the method described in Section III to eliminate the Gibbs effect at the triangles’ corners, we compare the normal derivative of a function along the boundary of a triangle without and with the use of the correction formula (71) instead of (55). is shown in the inset of Fig. 3(a), and has side Triangle mm and mm. lengths of, respectively, is chosen to be continuous along its The boundary value boundary, and linear on each side, with corner values , , and . For a high resolution of the displayed results along the boundary, the number of discretization intervals is chosen to be 300 along , and 188 along and . Obviously, for most applications, the results will be accurate enough with a coarser discretization. The number of sine functions used along each side amounts to 400 along and 250 along and . Fig. 3(a) displays the results for the dielectric case (neglecting the displacement currents in the quasi-TM case) with satisfying Laplace’s equation in . Without the Gibbs effect compensation, i.e., using only (55), the oscillations are huge and not even restricted to the corner areas. Note that corner does is exactly zero at . not introduce any Gibbs effect because With (71), the numerical result is almost indistinguishable from , namely, 1000 on , 650 on , and 950 on the exact . An analogous comparison is made in Fig. 3(b) for the same boundary value , but with satisfying the diffusion equation for a conductivity MS/m and at 100 kHz. (4) in

The Gibbs effect is especially strong near the corners now, but again totally eliminated by using (71). A peculiarity of the results in Fig. 3(b) is the behavior near the corners. This is worth some additional comments, given its general validity and importance for the high-frequency current dismm. tribution near an edge. At 100 kHz, the skin depth Based on a local plane wave approximation, will be exponentially damped, proportional to with the coordinate in the normal direction from a boundary point toward the inside of the triangle. This approximation does not hold if the distance along to the opposite side is smaller than a few times the skin depth. If, e.g., lays on at a distance from the corner (in point , then the distance from to the adjacent side (for a corner of the normal direction with respect to ) is 36.9 ). If starts to approach even closer, the diffusion term no longer plays a role, and we should, therefore, get the same result as in Fig. 3(a). The boundary interval of length centered around is indicated by the dashed vertical lines in Fig. 3(b). in this interval is indeed very simThe normal derivative ilar to the corresponding solution of Fig. 3(a), indicated via the dashed–dotted lines. This phenomenon is clearly visible for the sharp corners and . For the obtuse corner , however, this is not the case. The reason for that is that, for any boundary point close to , the diffusion term still plays a role, because in the normal direction, the adjacent side is not reached, let alone that near the corner. this distance becomes In a second numerical experiment, the convergence of the iterative method is investigated. As explained in Section II-C, is determined analytically from the normal derivative is, hence, the expansion of itself. The correctness of limited by the accuracy of the expansion of in , and more specifically, on its boundary, as each term in the expansion exactly satisfies the governing (9) inside . Therefore, it is investigated how the boundary value of the expansion after iteration steps becomes a better approximation of the exact for increasing . Again, consider the function over triangle , but now with a constant boundary value . Fig. 4 displays the relative error of with respect to for satisfying Laplace’s equation [see Fig. 4(a)] and the diffusion , the Gibbs effect equation [Fig. 4(b)], as in Fig. 3. As for in the calculation of is taken care of by subtracting an analytical part with the same corner values as , and then using the matrices , , and to calculate the expansion coefficients for the sine expansions on each side of the remaining part of , which now has zero corner values. It is clearly visible in both Fig. 4(a) and (b) that the error rapidly decreases with each iteration step. After a certain number of iteration steps (about eight in this case, and earlier and ), the relative error will no longer further on side decrease (but is already much smaller than 1/1000). This is not due to the limited accuracy of the expansion coefficients, as will be shown in a further numerical experiment. It is caused by the limited accuracy in the estimation of the corner values of that are further processed for Gibbs effect elimination. The convergence behavior of the iterative procedure to find depends much stronger on the triangle’s the expansion of in (9). It is expected shape than on the diffusion coefficient

DEMEESTER AND DE ZUTTER: CONSTRUCTION OF DtN BOUNDARY OPERATOR FOR TRIANGLES AND APPLICATIONS

Fig. 4. Relative error after n iterations, in the estimation of a constant boundary value for triangle T [see Fig. 3(a)]. The simulations were performed at 100 kHz for: (a) T as a dielectric and (b) T as a conductor with  : MS/m.

=

= 57 2

123

exponentially. In this example, we used 228 parallel-plate waveguide modes per side. After these convincing examples of the convergence behavior of the ICWM algorithm, the authors would like to add a few comments to indicate that the scheme will always converge. This is indeed the case, at least within the validity range of the , with dequasi-TM analysis. The reason is, that fined as for (18), is strictly negative. The function will consequently only have a small contribution to sides and , compared to its function value on . Generally speaking, a corof the functions of side rection of the coefficients will result in a smaller required correction of the coefficients on the other sides due to the fact, as menhas only an exponentially small contritioned above, that . As this is true for all sides bution on the other sides , the iterative procedure can be expected to converge exponentially and this is what is indeed observed numerically. on This explains why, even within the first iteration cycle Fig. 4 the approximation of on the boundary will be better on side than on side , which, in turn, is better than on . A more rigorous convergence analysis could be carried out by or by considering investigating the behavior of the matrices on sides and the contribution of one expansion function for the case of the highest possible coupling with side (in ). This other words, for the slowest exponential decrease of for “worst case scenario” is found for the first-order mode within the quasi-TM approxa dielectric material (with imation) and for sharp corners adjacent to . Even if the exponential decline is slow and can be approximated by a linear to and will still remain function, the contribution of small enough to ensure a good convergence because its function value is forced to zero on . B. Characterization of a Single Conductor

Fig. 5. Convergence behavior of matrix as a function of the number of iteration steps n for the isosceles triangle T with surface 1 mm (see inset). Simulations were performed at 100 kHz and with  : MS/m.

= 57 2

that the scheme converges slower, the sharper a corner of the triangle becomes, due to the increased interaction between the corresponding adjacent sides. Consider the triangular conductor (shown in the inset of Fig. 5) with conductivity MS/m is kept to and at 100 kHz. The area of the isosceles triangle 1 mm , whereas the top angle is varied from 60 to 3.75 . The convergence of matrix is presented in Fig. 5 by means of the normalized Frobenius norm of the difference between two and , i.e., by consecutive matrices

(72) , the accuracy is only limited by the floating point For precision within less than 20 iteration steps. The smaller becomes, the slower the iterative procedure converges, but even for the difference between steps and decreases

As a verification of the surface admittance matrix for triangles, the per unit of length (p.u.l.) resistance of a square copper conductor composed of two triangles is simulated, and compared to data available in the literature [7]. The conductor is placed in free space, and has a side length of 4.62 mm and a MS/m. The result is shown in Fig. 6. Exconductivity actly the same resistance is obtained with the square conductor composed of two triangles, as shown in the result from [7], determined with the surface admittance matrix of the square. To investigate the influence of the conductor’s shape, the resistance of a trapezoid (composed of two triangles, shown in the legend of Fig. 6) and a triangular conductor are shown in Fig. 6 as well. All conductors have the same area, and hence, exactly the same low-frequency resistance. At 10 kHz, the skin depth in copper is about 0.665 mm, and the major part of the current flows within a layer with thickness underneath the surface. One would, therefore, expect a resistance, roughly inversely proportional to the circumference, but this is not yet the case within the investigated frequency range. The trapzoid’s circumis higher than the square’s , ference yet the latter one has the lowest high-frequency resistance. A similar effect is noticeable for the triangular conductor with and a still higher resistance. The reason is that the effective length of the skin layer where the current flows is

124

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 6. Resistance (m =m) for a square copper conductor (s = 4:62 mm,  = 57:2 MS/m) formed by two triangles (solid line) versus the result from [7] (in x-markers), and compared to a trapezoid (dashed line) and a triangle (dashed–dotted line) with the same area.

Fig. 7. Structure with four trapezoidal copper conductors ( = 57:2 Ms/m) above a PEC ground plane (shown to scale). Dimensions are B = 1:5, b = 0:9, h = 0:3, 1 = 0:3, d = 2:4, D = 4, and H = 1:5, all in millimeters.

shortened due to the corner effect at the sharp corners. It is expected that, at still higher frequencies, the corner effect becomes less pronounced. A fully detailed investigation of the field behavior at the corners is outside the scope of this paper.

Fig. 8. Elements of the resistance matrix and inductance matrix for the configuration of Fig. 7. Full lines, with trapezoidal conductors; dashed lines, with rectangular conductors. (a) Self-inductance and resistance. (b) Coupling between the lines of each signal pair (1–2 and 3–4).

C. Multiconductor Line With Trapezoidal Conductors In a next numerical example, the inductive and resistive characteristics of a multiconductor line are investigated. The purpose is to get a better understanding of the (coupling) behavior of trapezoidal conductors rather than to simulate a more realistic structure with a substrate. The structure under investigation is shown in Fig. 7 and consists of two line pairs (1–2 and 3–4) with an opposite orientation with respect to the nearby perfect electric conducting (PEC) ground plane. All conductors have the conductivity of copper, Ms/m, and the dimensions are indicated in Fig. 7. The resistance matrix and the inductance matrix of the structure are determined over a frequency range from 1 kHz mm) up to 100 MHz (where (at the skin depth mm). The configuration of Fig. 7 is compared to an analogous configuration with rectangular conductors with the same , separated by the same area (with height , width distances , respectively, , and on the same height above the ground plane). The results for the trapezoidal conductors are presented in Fig. 8 with full lines, whereas dashed lines are used for the rectangular conductor case. Resistance and inductance values pertaining to the rectangular case will be denoted by and . , , , Fig. 8(a) displays the self-inductance elements and the resistance elements , , , and . At and the lowest frequencies, there is no difference between the resis-

tance elements (as all conductors have the same area), but toward the higher frequencies, the trapezoidal conductors display a higher resistance in accordance with the result from Fig. 6, except for the highest simulated frequencies, for which the corner effect becomes negligible. The difference between the self-inductance elements are the result of the detailed current distribution in the conductors, which are influenced by the corner behavior, which is clearly different for sharp and obtuse corners, by the position of these corners with respect to the ground plane, and by the proximity effect of the corners. The inductance values for the respective configurations, but with perfect electric conductors, are indicated as well (with the superscript PEC). A good convergence to this limit is observed for the high-frequency inductance of the copper lines. Fig. 8(b) shows the inductive and resistive coupling between lines 1 and 2, respectively, and 3 and 4, again compared with the rectangular conductor case. The mutual resistance elements are negative, but very small with respect to the resistance elements shown in (a) such that the resistance matrix remains positivedefinite. The mutual inductance elements are important due to the close vicinity of the conductors. D. Micromachined CPW The final presented example treats the CPW structure shown in Fig. 9. As a result of the etching process during the manufac-

DEMEESTER AND DE ZUTTER: CONSTRUCTION OF DtN BOUNDARY OPERATOR FOR TRIANGLES AND APPLICATIONS

125

APPENDIX This appendix displays the explicit forms of the relevant expansion matrices in the order of their introduction in Sections II and III. A. Submatrices Related to Expanding

Over Triangle

Fig. 9. CPW structure, enclosed by a PEC box (not shown to scale). The di,B ,h ,w ,s , and t , all mensions are A : , : , and  : Ms/m. in micrometers. Furthermore, 

= 320

(73)

= 500 = 300 = 50 = 45 =1 = 54 7 = 11 7 = 57 2

diagonal

(74)

(75)

Fig. 10. Characteristic impedance Z of the structure shown in Fig. 9.

turing of the CPW, the silicon substrate material is partly removed underneath the separation between the signal line and the reference conductors. The structure was taken from [10], where it was simulated for PEC conductors. The characteristic impedance of the line for the copper conductor Ms/m is shown Fig. 10, and at the highest case frequencies, approaches the PEC limit obtained from [10]. As claimed in Section I, this example shows that the DtN operator combined with the integral-equation techniques of [6] can handle nonplanar substrates including very thin conducting slabs. The dashed lines shown on the substrate in Fig. 9 denote its division in subregions for which the DtN operator is determined separately, i.e., four rectangles and three triangles. Of course, it was also necessary to determine the DtN operator for the three rectangular copper conductors.

V. CONCLUSION The presented ICWM algorithm leads to the DtN operator for an arbitrary triangle, which can be used to calculate the multiconductor transmission line parameters for polygonal conductor structures. The iterative method has good convergence properties and is accurate over a broad frequency range. As an illustration, the inductive and resistive behavior of trapezoidal conductors is investigated in a few numerical examples.

(76)

B. Submatrices Related to For the definition of , the auxiliary matrices and are defined as

(77)

(78)

and with these (79) With

and

, we find (80) (81)

126

with the defined as

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

matrix

and the

matrix

(95) and (82)

and

The

matrices

(96)

are written as (97)

(83)

with , the

unit matrix. Matrix

C. Submatrices Related to the Gibbs Effect Elimination

is split up as (98)

The following functions are introduced: (84)

with

(85)

(99)

(86) (87) The

matrices

(100)

are found from (101)

(88) for

and (89) (90)

and

(102)

(91) with , the -element row vector that selects from a good approximation of the corner value of at . Furthermore, (92) with (93)

(94)

REFERENCES [1] G. Manetas, V. N. Kourkoulos, and A. C. Cangellaris, “Investigation on the frequency range of validity of electroquasistatic RC models for semiconductor substrate coupling modeling,” IEEE Trans. Electromagn. Compat., vol. 49, no. 3, pp. 577–584, Aug. 2007. [2] G. Antonini, A. Orlandi, and C. Paul, “Internal impedance of conductors of rectangular cross section,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 979–985, Jul. 1999. [3] K. Coperich, A. Ruehli, and A. Cangellaris, “Enhanced skin effect for partial-element equivalent-circuit (PEEC) models,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1435–1442, Sep. 2000. [4] G. Plaza, R. Marques, and F. Medina, “Quasi-TM MoL/MoM approach for computing the transmission-line parameters of lossy lines,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 198–209, Jan. 2006. [5] J. J. Kucera and R. J. Gutmann, “Effect of finite metallization and inhomogeneous dopings on slow-wave-mode propagation,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 10, pp. 1807–1810, Oct. 1997. [6] T. Demeester and D. De Zutter, “Quasi-TM transmission line parameters of coupled lossy lines based on the Dirichlet to Neumann boundary operator,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1649–1660, Jul. 2008. [7] D. De Zutter and L. Knockaert, “Skin effect modeling based on a differential surface admittance operator,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2526–2538, Aug. 2005.

DEMEESTER AND DE ZUTTER: CONSTRUCTION OF DtN BOUNDARY OPERATOR FOR TRIANGLES AND APPLICATIONS

[8] T. Demeester and D. De Zutter, “Internal impedance of composite conductors with arbitrary cross section,” IEEE Trans. Electromagn. Compat., vol. 51, no. 1, pp. 101–107, Feb. 2009. [9] T. Demeester and D. De Zutter, “Modeling the broadband inductive and resistive behavior of composite conductors,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 4, pp. 230–232, Apr. 2008. [10] H.-H. Chen, “Finite-element method coupled with method of lines for the analysis of planar or quasi-planar transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 848–855, Mar. 2003. [11] T. Demeester and D. De Zutter, “Applications of the Dirichlet-to-Neumann boundary operator in transmission line modeling,” presented at the 20th Int. Electromagn. Compat. Symp., Zurich, Switzerland, Jan. 2009. Thomas Demeester was born in Ghent, Belgium, in 1982. He received the M.Sc. degree in electrical engineering from Ghent University, Gent, Belgium, in 2005, and is currently working toward the Ph.D. degree at Ghent University. He spent a one-year period with ETH Zürich, Zürich, Switzerland, for his master thesis in the field of time-domain electromagnetics. He is currently a Research Fellow of the Fund for Scientific Research, Flanders, Belgium. His research concerns electromagnetic field calculations in the presence of highly lossy media and the development of transmission line models for interconnects.

127

Daniël De Zutter (M’92–SM’96–F’01) was born in 1953. He received the M.Sc. degree in electrical engineering, Ph.D. degree, and a thesis leading to a degree equivalent to the French Aggrégation or the German Habilitation from Ghent University, Gent, Belgium, in 1976, 1981, and 1984, respectively. From 1976 to 1984 he was a Research and Teaching Assistant with Ghent University. From 1984 to 1996, he was with the National Fund for Scientific Research of Belgium. He is currently a Full Professor of electromagnetics with Ghent University. He has authored or coauthored over 150 international journal papers. Over the past four years, he has been Dean of the Faculty of Engineering, Ghent University. Most of his earlier scientific research concerned the electrodynamics of moving media. His current research now focuses on all aspects of circuit and electromagnetic modeling of high-speed and high-frequency interconnections, packaging, on-chip interconnects, and numerical solutions of Maxwell’s equations. Dr. De Zutter is an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

128

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Three-Dimensionally Nonorthogonal Alternating-Direction Implicit Finite-Difference Time-Domain Algorithm for the Full-Wave Analysis of Microwave Monolithic Circuit Devices Hong-Xing Zheng, Member, IEEE, Li-Ying Feng, and Qiu Sheng Wu

Abstract—An alternating-direction implicit finite-difference time-domain (FDTD) algorithm is applied to the full wave analysis of microwave integrated circuit devices. A 3-D multidomain method is developed in nonorthogonal coordinates. Nonorthogonal grids are only used for the anomalistic regions of a complex structure, whereas the standard FDTD lattice is used for the other regions. By using the Jacobian coordinate transformation, curvilinear coordinates can be converted into conventional FDTD format expediently. The perfectly matched layer is used to truncate the boundary. Accurate griddings using the new scheme are obtained, and the complexity of the algorithm is minimal. To illustrate the theory, a sinusoidal plane wave and a Gaussian pulse that propagate through a localized nonorthogonal grid space is used, and the stability of our code is examined. A newly developed compact microstrip bandpass filter is analyzed using the proposed method. The simulated results agree very well with measurements. As compared to other nonorthogonal FDTD (NFDTD) method, the proposed algorithm is much more efficient than other NFDTD counterpart when complex structures are analyzed. Index Terms—Alternating-direction implicit (ADI), compact bandpass filter, finite-difference time-domain (FDTD) algorithm, nonorthogonal coordinates, step-impedance resonator (SIR).

I. INTRODUCTION

T

ODAY, many communication systems are required to be small in size, which arouses the interest of using the stepimpedance resonator (SIR) filters in microwave monolithic integrated circuits. For example, the hairpin-shaped step-impedance slip-ring resonator was used to design for a compact and highly selective filter [1]. The hairpin comb filter has a folding structure [2], and can, therefore, be made smaller than the comb line counterpart. Traditionally, approximate methods based on

Manuscript received January 29, 2009; revised August 31, 2009. First published December 15, 2009; current version published January 13, 2010. This work was supported by the National Natural Science Foundation of China, the Foundation for Key Program of Ministry of Education, China, and the Natural Science Foundation of Tianjin Municipality, China, under Grant 60871026, Grant 207007, and Grant 07JCYBJC16800. H.-X. Zheng and L.-Y. Feng are with the Institute of Antenna and Microwave Techniques, Tianjin University of Technology and Education, Tianjin 300222, China (e-mail: [email protected]; [email protected]). Q. S. Wu is with the ZTE Corporation, Shenzhen 518000, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2035868

Fig. 1. SIR filter with coupled and feed line.

planar circuit concepts and transmission line models were applied to analysis of above monolithic integrated circuits. The solutions, however, cannot accurately take into account the effects of the fringing, coupling, and radiating fields. To accurately model these effects, an efficient full wave analysis should be carried out. The finite-difference time-domain (FDTD) method has been widely used to analyze planar circuits and monolithic integrated circuits [3]. However, the conventional FDTD method uses the Yee’s cell [4] is directly applicable to rectangular structures, and a staircase approximation is usually used to model the curved structure, such as the SIR shown in Fig. 1. This requires a very fine mesh to reduce the discretization error caused by the staircase approximation. Some techniques such as the conformal method [5], contour path method [6], and surface impedance boundary condition method [7] have been proposed to avoid this problem, but all these methods are still based on the staircase gridding. The nonorthogonal FDTD (NFDTD) method was developed to improve the accuracy [8]. In the early NFDTD studies, the time-stepping iterations of the generalized Yee algorithm are treated as a series of matrix vector multiplications [9], [10], leading to an efficient parallel algorithm. A gridding method using triangular pyramids was proposed in [11]. Later, a more robust technique that approximates each grid cell by a parallelepiped was also introduced [12], [13]. Nevertheless, these schemes are based on an explicit finite-difference algorithm, in which the Courant–Friedrich–Levy condition [3] must be satisfied. This means that if the object under investigation has fine grids, a small fine step has to be used and it will cause the computation time to increase dramatically.

0018-9480/$26.00 © 2009 IEEE

ZHENG et al.: THREE-DIMENSIONALLY NONORTHOGONAL ADI FDTD ALGORITHM

To eliminate the Courant–Friedrich–Levy stability constraint, the alternating-direction implicit (ADI) algorithm was introduced to the FDTD method [14], [15]. This method can greatly reduce the number of time iterations, and it is especially remarkable when the grids are fine. Therefore, researchers are interested in this topic, and useful techniques have been carried out. Using bilinear transform, perfectly matched layer was implemented for microwave device analysis [16]. The split step method was for low anisotropy [17]. Fourth-order accuracy was achieved in the time domain [18]. By adding artificial anisotropic parameters into the ADI-FDTD equations, a highly accurate result can be obtained without degrading the computational efficiency [19], [20], but these ADI-FDTD approaches are still based on the staircase Yee’s grid. More recently, the ADI-FDTD has been developed in the nonorthogonal coordinates. A 2-D nonorthogonal ADI-FDTD formula was introduced in [21]–[23], called the ADI-NFDTD, and time stability was validated, but the monolithic integrated circuits, such as the SIR here, are with a 3-D structure, and it cannot be analyzed using this method. A 3-D ADI-NFDTD method was presented in [24], founded on dually mapped tensor concepts, and modeling of realistic electromagnetic compatibility structures is introduced. Nevertheless, unnecessarily nonorthogonal grids are used around the computation region where the truncation boundary is rather difficult to treat. To solve problems of the monolithic integrated circuits with curved discontinuities efficiently, a 3-D ADI-NFDTD algorithm is developed in this paper. A locally ADI-NFDTD scheme is proposed to reduce the complex problems of integrated circuits analysis. Extended from [25], the proposed scheme is different from those presented in [21] and [24]. The proposed method needs to calculate only once to update the field equations without the need for using the higher order approximation. It is also needless to use the Fourier transform. Nonorthogonal grids are applied to modeling anomalistic regions only. Standard Yee’s grids are used around computational regions such as scattering field regions and the absorbing boundary. The two types of grids are linked up through the Jacobian transformation [26]. It is noteworthy that the standard perfectly matched layer [3] can be used to truncate the standard FDTD lattice without modification of the code before. This greatly simplifies the problem. The numerical stability of the proposed scheme was checked with numerical examples and good results were obtained. This method can be used to model complex structures that do not naturally conform to orthogonal grids. Our numerical results show that the proposed scheme is computationally very efficient for solving electrically large structures that contain minute parts. In Section II, the 3-D updating formulas for the ADI-NFDTD method are presented. Section III compares the proposed scheme with the NFDTD method. Based on design in [27], a new compact microstrip bandpass filter is developed, which has a smaller size, higher selectivity, and better suppression of higher resonant modes than in [27]. The new design uses a line-to-ring coupling fabric. Its construction unit is a variant of a step impedance slip-ring resonator with a slow-wave open-loop resonator [28]. The designed bandpass filter is simulated using our proposed method and calculations agree very well with our measurements.

129

II. FORMULATION To simplify the problem, it is assumed that the wave is propagating in an isotropic, uniform, and lossy media, and the Maxwell’s equations can be written as (1) are medium permittivity, permeability, where , , , and electric conductivity, and magnetic conductivity. If the operator is defined as (2) then we have (3) In a curvilinear coordinate system, the curl of the vector and in (3) can be found in Stratton’s manner [29], and we have the electric ( ) field equations (4)

( ) and is the deterwhere . Similarly, the magnetic minant of the metric with elements ( ) field equations can be obtained, which are omitted to save space. To solve a practical microstrip circuit, we assume the unit normal to the ground plane and perpendicular to the vector unit vectors and . The plane organized by the and is parallel to the plane of the circuit. The covariant components of the - and -field are placed on the cells in Holland’s manner and on [8]. In the FDTD method, the contravariant-like the left-hand sides of (4) must be converted to the covariant-like and . The transform matrix is simplified as (5) is defined in [8]. To implement the ADI-FDTD algowhere rithm, each explicit time step is changed to an implicit time step using two procedures. The first procedure is shown as follows:

(6a)

(6b)

130

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

where

, ,

, and . The similar equations of the second procedure are omitted to save space. It is emphasized that when the vector field equations are written as (6a) and (6b), we can solve the coupling between the - and -components. We have to note that none of these equations, in both the first and second procedures, can be used for numerical calculation directly because the synchronous variables are included in one equation on both the left- and right-hand sides. Thus, the modified equations must be derived. Substituting central differences from to the space derivatives, and then eliminating (6a) and (6b), we have the -field shown as follows in(7a):

quantities in (6b) can be obtained by similar approximations, shown in (7b) as follows:

(7b) Similarly, for the second procedure, we have (8) as follows:

(7a) where

, ,

and

, . The modified equations for can be obtained in the same way. The

, and -field

(8a)

ZHENG et al.: THREE-DIMENSIONALLY NONORTHOGONAL ADI FDTD ALGORITHM

131

Fig. 2. Cross section of the computation region. Nonorthogonal griddings are modeled around the curved region, whereas standard FDTD lattices are used in the remainder region for the ADI-NFDTD and NFDTD simulations.

), and as follows: (8b) ,

where ,

,

,

and . Other components can be obtained in the same way. Since the simultaneous linear equations (7a) and (8a) can be written in a tri-diagonal matrix form, they can be solved in an efficient way. The 3-D ADI-NFDTD code is implemented for both the inhomogeneously lossy media and nonuniform cells. The numerical verification and practical simulations will be given in Section III. III. NUMERICAL RESULTS In order to demonstrate the propriety of the above algorithm, some numerical examples are presented. Both the sinusoid and pulse waveform are used as the excited sources. This technique is the same as that used in the conventional NFDTD method. Numerical simulations are carried out using both the ADI-NFDTD method and the conventional NFDTD method for comparisons. A. Validating the Stability and Absorbing Boundary To validate the time stability and efficiency of the absorbing boundary, the sinusoid wave, as a first example, is assumed to propagate in the 3-D free space truncated by the perfectly matched layer [3]. A spherical model, , , , , is located at the center of the space, where is the wavelength of the incident wave. Nonorthogonal grids are modeled around the sphere surface. The other region is meshed with cube cells. A cross section of this model, , is shown in Fig. 2. It is noted that the mesh in at the immediate vicinity of the exterior boundaries must be orthogonal for the perfectly matched layer absorbing boundary to be stable. The computational domain is 35 35 35 cm 100 100 100 cells . An excitation source is located at ( ,

. The excited function is shown

(9) where ns. The time step is set as 80.1 ps for the ADI-NFDTD method and 7.3 ps for the NFDTD method. Both methods use the same space cells. The latter method is determined as follows by the limitation of the proper generalized 3-D Courant–Friedrich–Levy condition:

(10) where is the Jacobian and the indices are the grid locations. plane, the -field distribution of the comOn the putational domain is described by the contour lines in Fig. 3 in which the time is ended at 2.5 s after being excited. The results of the ADI-NFDTD method and those of the NFDTD method -field by are in good agreement in stability. The normalized , , ) a maximum value at observation point ( is shown in Fig. 4. These results also agree very well. In the case of the ADI-NFDTD method, the time-step size can be set ten times larger than the one in the NFDTD method, and the total time steps can be reduced to 1/5. The CPU time is also reduced to 1/4. The required memory is about 1.06 times the NFDTD method, and the memory increase is due to the necessity of extra electromagnetic-field array storage. From Figs. 3 and 4, the distortionless sine curve and homocentric circles indicate that the proposed algorithm is very stable, and that the perfectly matched layer works very well. A second example is now presented to study the stability of the pulse response. The ADI-NFDTD is compared with the conventional NFDTD solutions. To this end, the excitation source is a differential Gaussian pulse and it is written as (11)

132

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

TABLE I COMPUTER RESOURCES USED FOR THE NFDTD AND PROPOSED ADI-NFDTD METHODS

Fig. 3. Normalized field distribution for E , distortionless homocentric circles indicate the perfectly matched layer absorbing boundary works very well.

Fig. 6. Improved SIR unit. A line-to-ring coupling structure is used.

TABLE II SIR AND OTHER STUB DIMENSIONS (UNIT: MILLIMETERS)

= 036 = 026

Fig. 4. Normalized H -field at the observation point (i ,j , k ) in the time domain. Distortionless sine curve indicates the code works very stably.

=0

Fig. 7. Improved filter. The SIR unit is embedded.

Fig. 5. Gaussian pulse response of the scattering fields from a perfectly con. Both the ADI-NFDTD and NFDTD methods are ducting sphere with r with the same results, but with different computer resources.

=

Scattering fields from a perfectly conducting sphere is calculated in the time domain. The sphere, with the same geoms/m, is meshed as shown in etry above, but Fig. 2 (cross section), where nonorthogonal grids model around

the sphere surface, and orthogonal grids are used in other region. Fig. 5 depicts the computation results. Up to 4000 time steps were checked. As can be observed from the figure, the ADI-NFDTD results are as accurate as those computed by using the NFDTD, but 65% of the CPU time can be saved by using the proposed method, while memory increases 1.7% due to array storage. These results indicate the proposed method with higher efficiency, the code is very stable, and the perfectly matched

ZHENG et al.: THREE-DIMENSIONALLY NONORTHOGONAL ADI FDTD ALGORITHM

133

Fig. 8. Simulation and measurement results of an improved SIR filter. (a) jS j. (b) jS j. In the passband, the minimum insertion loss is 1.08 dB at 1.55 GHz, 3-dB bandwidth is 135 MHz, and 15-dB rejection bandwidth is 170 MHz. Both the proposed ADI-NFDTD and NFDTD algorithm have been used to compare the computer resources consumption.

layer works very well. The computer resources involved for this example are listed in Table I. B. A New Designed Compact Microstrip Bandpass Filter A new designed compact microstrip bandpass filter is specified as follows: • center frequency: 1.55 GHz; • 3-dB bandwidth: 100 MHz; • 15-dB rejection bandwidth: 200 MHz. First, a 1.55-GHz SIR is designed a variant of traditional SIR so that the traditional SIR scheme can be used. As shown in Fig. 1, a line-to-ring coupling structure is utilized here. In order to improve the matching characteristics between the resonator and feed lines, the coupled lines have their linewidths reduced toward the two ends of the lines gradually. A pair of unsymmetrical T-stubs is then added at the central point of the SIR, as shown in Fig. 6. Similar to the principle in [30], two transmission zeros and a transmission pole are achieved. The T-stubs replace the open-ended stubs for more compact size. Two rings with 120 angle are connected by a microstrip line, which shifts slightly away from the center axis. The ends of the T-stubs are sharpening in order to reduce the coupling between both T-stubs. Therefore, we can change one of the transmission zeros independently. The SIR and other stub dimensions are given in Table II, and the total size of the filter is 17.3 mm 12.8 mm, as shown in Fig. 7. This device is fabricated on an RT/Duroid 6010.5 substrate with a thickness of 1.27 mm. C. Numerical Validity of the Microstrip Bandpass Filter Finally, a new designed compact microstrip bandpass filter illustrated by Fig. 7 is modeled using the proposed ADI-NFDTD method. Simulations, as shown in Fig. 8, agree very well with measurements. From this figure, the minimum insertion loss in the passband is 1.08 dB at 1.55 GHz, and the 3-dB bandwidth is 135 MHz. The 15-dB rejection bandwidth is 170 MHz. The results satisfy the requirement. Compared to the filter in [30] where a half-wavelength resonator and two open-ended stubs are used, this improved bandpass filter has the advantage over both compact size and suppres-

Fig. 9. Simulation by using the proposed ADI-NFDTD method and measurement results of the improved SIR filter with different width W . The bandwidth of the filter can be controlled by changing the stubs’ dimensions.

sion of higher resonant modes. Meanwhile, the new designed filter has the same specification as in [30], although different types of fabric were used. Moreover, the simulation result implies that the filter has better suppression of 15 and 22.5 dB at 3.1 and 4.65 GHz, respectively. Furthermore, the size of the new filter is only 17.5% of that in [30]. It is very interesting that Pole 1 can be shifted by changing , while Pole 2 remains the dimension of stub 1 the same, and vice versa. Fig. 9 shows the simulation results . By changing and , the maximum 3-dB of different bandwidth of the filter is 190 MHz when mm and mm. As a result, we can control the bandwidth by changing the stubs’ dimensions. The 3-D mesh modeling the new designed filter consisted of 65 824 hexahedron. This leads to roughly 412 200 degrees of freedom. The CPU times required to perform over 3000 iterations on a PC/3.4 GHz with 4-GB extending memory are recorded in Table III. These are also compared with the CPU ps and times required by the NFDTD algorithm. ps for the proposed ADI-NFDTD and NFDTD method, respectively. For this example, both the ADI-NFDTD and NFDTD algorithms have comparable CPU memory. Inter-

134

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

TABLE III COMPUTER RESOURCES FOR THE COMPACT SIR FILTER SIMULATIONS BY USING THE NFDTD, PROPOSED ADI-NFDTD, AND CONVENTIONAL ADI-FDTD METHODS

estingly, the ADI-NFDTD method needs much shorter computation time than the NFDTD method. As a comparison, the conventional ADI-FDTD lattice had a , where mm, dimension of mm, mm, and ps. This is the largest mesh size found that would provide an accurate model of the complex geometry using an orthogonal grid. The ADI-FDTD algorithm was also executed for 8000 time iterations, and required 3792 CPU seconds to solve on the same computer, which is roughly thrice the CPU time as compared to the ADI-NFDTD algorithm. The CPU time recorded is also given in Table III. From the table, results indicate the proposed algorithm is the most efficient among three. Using a regular orthogonal grid in the ADI-FDTD, the modeling task was much more time consuming. Even though we have automated this task, it is still difficult to realize an accurate description of complex geometries such as the compact SIR filter. Furthermore, to accurately model structures with highly detailed geometries, highly refined grids become necessary, which impacts the global grid size of the FDTD algorithm and subsequently the CPU time and memory requirements. IV. CONCLUSION This paper has introduced a compact bandpass filter with two transmission zeros using a SIR and T-stubs. One of the transmission zeros can be easily tuned, while the other one remains unchanged. The filter can suppress higher order resonant modes efficiently. To develop this useful linear circuit, a 3-D ADI-FDTD scheme is proposed in nonorthogonal coordinates. As the method is free from Courant–Friedrich–Levy condition restraint, it requires fewer computer resources such as CPU time. Since nonorthogonal lattice can model the curved surface accurately, the proposed method is very efficient. Numerical simulation shows the advantages of the new algorithm, and the results agree very well with those of other conventional FDTD methods. REFERENCES [1] G. L. Matthaei, N. O. Fenzi, R. J. Forse, and S. M. Rohlfing, “Hairpincomb filters for HTS and other narrow-band applications,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 8, pp. 1226–1231, Aug. 1997. [2] T. N. Chang and J.-H. Li, “Hairpin-shaped step-impedance slip-ring filters,” in IEEE Radio Wireless Conf., Aug. 2002, pp. 253–256. [3] A. Taflove and S. C. Hagness, Computational Electrodynamics—The Finite-Difference Time-Domain Method. Norwood, MA: Artech House, 2000. [4] K. S. Yee, “Numerical solution of initial boundary value problems in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, no. 5, pp. 302–307, May 1966. [5] H.-X. Zheng, X.-Q. Sheng, and E. K.-N. Yung, “Computation of scattering from anisotropically coated bodies using conformal FDTD,” Progr. Electromagn. Res., vol. PIER-35, pp. 287–297, 2002.

[6] J. Chen and J. Wang, “A new method to avoid reduction of time step in the CP-FDTD method,” IEEE Trans. Antennas Propag., vol. 55, no. 12, pp. 3613–3619, Dec. 2007. [7] H.-X. Zheng and K. W. Leung, “FDTD implementation and application of high order impedance boundary condition using rational functions,” IEEE Trans. Antennas Propag., vol. 57, no. 8, pp. 2397–2408, Aug. 2009. [8] R. Holland, “Finite-difference solution of Maxwell’s equations in generalized nonorthogonal coordinates,” IEEE Trans. Nucl. Sci., vol. NS-30, no. 6, pp. 4589–4591, Dec. 1983. [9] J. A. Roden, “Broadband electromagnetics analysis of complex structures with the finite-difference time-domain technique in general curvilinear coordinates,” Ph.D. dissertation, Dept. Elect. Comput. Eng., Univ. Kentucky, Lexington, KY, 1997. [10] S. D. Gedney and J. A. Roden, “Well posed non orthogonal FDTD methods,” in IEEE AP-S Int. Symp. Dig., 1998, vol. 1, pp. 596–599. [11] R. Schuhmann and T. Weiland, “FDTD on nonorthogonal grids with triangular fillings,” IEEE Trans. Magn., vol. 35, no. 3, pp. 1470–1473, May 1999. [12] Y. Hao and C. J. Railton, “Analyzing electromagnetic structures with curved boundaries on cartesian FDTD meshes,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 1, pp. 82–88, Jan. 1998. [13] M. Cinalli and A. Schiavoni, “A stable and consistent generalization of the FDTD technique to nonorthogonal unstructured grids,” IEEE Trans. Antennas Propag., vol. 54, no. 5, pp. 1503–1512, May 2006. [14] F. Zheng, Z. Chen, and J. Zhang, “Toward the development of a three-dimensional unconditionally stable finite-difference time-domain method,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1550–1558, Sep. 2000. [15] T. Namiki, “3-D ADI-FDTD method—Unconditionally stable timedomain algorithm for solving full vector Maxwell’s equations,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 10, pp. 1743–1748, Oct. 2000. [16] X. Dong, W.-Y. Yin, and Y.-B. Gan, “Perfectly matched layer implementation using bilinear transform for microwave device applications,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 10, pp. 3098–3105, Oct. 2005. [17] M. Kusaf and A. Y. Oztoprak, “An unconditionally stable split-step FDTD method for low anisotropy,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 4, pp. 224–226, Apr. 2008. [18] E. L. Tan and Y. H. Ding, “ADI-FDTD method with fourth order accuracy in time,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 5, pp. 296–298, May 2008. [19] H.-X. Zheng and K. W. Leung, “An efficient method to reduce the numerical dispersion in the ADI-FDTD,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2295–2301, Jul. 2005. [20] A. P. Zhao, “Improvement on the numerical dispersion of 2-D ADI-FDTD with artificial anisotropy,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 6, pp. 292–294, Jun. 2004. [21] W. Song, Y. Hao, and C. G. Parini, “ADI-FDTD algorithm in curvilinear co-ordinates,” Electron. Lett., vol. 41, pp. 1259–1261, Nov. 2005. [22] H.-X. Zheng and L.-Y. Feng, “Unconditionally stable ADI-FDTD formulations in nonorthogonal coordinates,” in IEEE Asia–Pacific Microw. Conf. Symp. Dig., Dec. 2008, Art. ID F5-09. [23] H.-X. Zheng and K. W. Leung, “A nonorthogonal ADI-FDTD algorithm for solving two dimensional scattering problems,” IEEE Trans. Antennas Propag., vol. 57, no. 12, pp. 3891–3902, Dec. 2009. [24] N. V. Kantartzis, T. T. Zygiridis, and T. D. Tsiboukis, “An unconditionally stable higher order ADI-FDTD technique for the dispersionless analysis of generalized 3-D EMC structures,” IEEE Trans. Magn., vol. 40, no. 2, pp. 1436–1439, Mar. 2004. [25] H.-X. Zheng, “3-D nonorthogonal ADI-FDTD algorithm for the fullwave analysis of microstrip structure,” in IEEE AP-S Int. Symp. Dig., Jul. 2006, pp. 1575–1578. [26] N. K. Nikol’skij, Functional Analysis I: Linear Functional Analysis. New York: Springer-Verlag, 1992. [27] Q. S. Wu, Q. Xue, and C. H. Chan, “Bandpass filter using microstrip ring resonators,” Electron. Lett., vol. 39, pp. 62–64, Jan. 2003. [28] J. S. Hong and M. J. Lancaster, “Theory and experiment of novel microstrip slow-wave open-loop resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2358–2365, Dec. 1997. [29] J. A. Stratton, Electromagnetic Theory. New York: McGraw-Hill, 1941. [30] L. Zhu and W. Menzel, “Compact microstrip bandpass filter with two transmission zeros using a stub-tapped half-wavelength line resonator,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 1, pp. 16–18, Jan. 2003.

ZHENG et al.: THREE-DIMENSIONALLY NONORTHOGONAL ADI FDTD ALGORITHM

Hong-Xing Zheng (M’01) was born in Yinchuan, Ningxia Hui Autonomous Region, China. He received the B.S. degree in physics from Shaanxi Normal University, Xi’an, Shaanxi, China, in 1985, and the M.S. degree in physics and Ph.D. degree in electronic engineering from Xidian University, Xi’an, Shaanxi, China, in 1993 and 2002, respectively. From 1985 to 1989 and 1993 to 1998, he was a Lecturer with the Ningxia Institute of Technology, Yinchuan, Ningxia Hui Autonomous Region, China. From 2001 to 2002 and 2004 to 2005, he was a Research Assistant and Research Fellow with the Department of Electronic Engineering, City University of Hong Kong, Kowloon, Hong Kong, respectively. In 2003, he was a Post-Doctoral Research Fellow with the College of Precision Instrument and Opto-Electronics Engineering, Tianjin University. He is currently a Professor with the Institute of Antenna and Microwave Techniques, Tianjin University of Technology and Education, Tianjin, China. He has authored six books and book chapters and over 100 journal papers and 50 conference papers. He holds three China patents issued in 2004. His recent research interests include modeling of microwave circuit and antenna and computational electromagnetics. Dr. Zheng is a Senior Member of the Chinese Institute of Electronics (CIE). He was the recipient of the 2008 Young Scientists Awards presented by the Tianjin Municipality, China.

135

Li-Ying Feng was born in Yutian, Hebei, China. He received the B.S. degree in electronic engineering and the M.S. degree in microelectronics from the Hebei University of Technology, Tianjin, China, in 2001 and 2004, respectively. He is currently a Lecturer with the Institute of Antenna and Microwave Techniques, Tianjin University of Technology and Education, Tianjin, China. His recent research interests include antenna and microwave circuit design and computational electromagnetics.

Qiu Sheng Wu was born in JianYang, Fujian, China. He received the B.S. and M.S. degrees in electronic engineering from the University of Electronic Science and Technology of China, Chengdu, China, in 1999 and 2002, respectively. From 2002 to 2003, he was a Research Assistant with the Department of Electronic Engineering, City University of Hong Kong, Kowloon, Hong Kong. In 2004, he joined the ZTE Corporation, Shenzhen, China, where he is currently an Engineer. His recent research interests include RF circuits of WiMAX and CDMA2000.

136

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Space Mapping Design Framework Exploiting Tuning Elements Qingsha S. Cheng, Senior Member, IEEE, John W. Bandler, Life Fellow, IEEE, and Slawomir Koziel, Senior Member, IEEE

Abstract—Inspired by the ideas of “simulator-based” tuning, implicit space mapping, and surrogate optimization, we propose an implementable microwave design framework. In this framework, we alter an electromagnetic (EM) model by embedding suitable tuning elements. The resulting tuning model is aligned with the original unaltered EM model. We then designate the aligned tuning model as surrogate for design optimization purposes. We illustrate our tuning space mapping framework using a simple microstrip line example. Several microwave examples, including a low-temperature co-fired ceramic filter demonstrate the framework’s implementation and robustness. Index Terms—Computer-aided design (CAD), design tuning, electromagnetic (EM)-based design, engineering optimization, space mapping, surrogate models.

I. INTRODUCTION

E

LECTROMAGNETIC (EM) simulation is accurate, but CPU intensive; hence, using a full-wave EM simulator to optimize a complex structure may be prohibitive. An approach is to use decomposition, i.e., to break down an EM-based model and combine the components within a circuit simulator in the hope of reducing CPU demand. Co-simulation or co-optimization of EM/circuits [1]–[7] is a common industry solution to blend EM-simulated components into circuit models. These methods embed parameterized EM or interpolated EM components in a circuit simulator to form a surrogate for optimization. Swanson et al. [8], [9] and Rautio [10] propose a “simulator-based” tuning method in which circuit-theory-based tunable elements are embedded in an EM simulator through internal ports created in the EM model. The resulting surrogate can be optimized. Manuscript received May 13, 2009. First published December 15, 2009; current version published January 13, 2010. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada under Grant RGPIN7239-06 and Grant STPGP336760-06, by Bandler Corporation, and by the Reykjavik University Development Fund under Grant T09009. Q. S. Cheng is with the Simulation Optimization Systems Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1 (e-mail: [email protected]). J. W. Bandler is with the Simulation Optimization Systems Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1, and also with Bandler Corporation, Dundas, ON, Canada L9H 5E7 (e-mail: [email protected]). S. Koziel is with the Engineering Optimization and Modeling Center, School of Science and Engineering, Reykjavík University, IS-103 Reykjavík, Iceland (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2036395

In this paper, we extend the idea of “simulator-based” tuning and discuss some variations and combine them into one framework. We define multiple auxiliary ports within a structure, e.g., in the manner of the “co-calibrated” [11] internal ports of Sonnet em1 and the un-calibrated internal ports of Agilent Momentum.2 Elements can then be incorporated into the structure using such ports. EM-simulator-based tuning techniques [9], [12]–[14] apply tunable (tuning) elements across or between these internal ports [10], [15]. The resulting model (which we call “tuning model”) becomes a surrogate for design or modeling purposes in the context of tuning space mapping [12]–[14]. We define two ways of embedding the tuning elements into the EM model: we call them “Type 0” and “Type 1.” By “Type 0” embedding we refer to inserting tuning elements across “infinitesimal” gaps between the internal ports, as in [12] and [13]. The so-called “Type 1” embedding refers to replacing an entire section of design interest between the internal ports with a tuning element. In [14], the tuning model is formed using Type 1 embedding. The embedded tuning elements are normally selected from equivalent-circuit components available in a circuit simulator. These tuning elements can be further divided into two categories, “direct” and “indirect.” Direct tuning elements are equivalent-circuit substitutes with parameters consistent with the original EM model parameters, e.g., an equivalent circuit of a microstrip line. Indirect tuning elements are equivalent circuits usually with a set of (indirect) tuning parameters perhaps different than its EM counterpart, e.g., an ideal transmission line specified by electrical length and characteristic impedance. Type 0 embedding as described in [12] and [13] has minimal disturbance to the EM model. However, it has a limited tuning capacity. At times, negative valued tuning elements may be required since they are initialized as zero. Although not a deterrent to Agilent ADS3 this might pose difficulties to circuit simulators. Often an indirect tuning element has to be employed to represent the physical structure. The indirect tuning parameter values require translation to the physical design parameter values. Extra calibration steps are necessary to determine the translation formula [12], [13]. Furthermore, the tuning space mapping with Type 0 embedding presented in [12] and [13] does not easily handle certain cross-sectional parameters such as widths and substrate heights of a microstrip line. 1Sonnet

em, version 12.54, Sonnet Software Inc., North Syracuse, NY, 2009. 2008U1, Agilent Technologies, Palo Alto, CA,

2Agilent Momentum, version

2008. 3Agilent ADS, version 2008U1, Agilent Technologies, Palo Alto, CA, 2008.

0018-9480/$26.00 © 2009 IEEE

CHENG et al.: SPACE MAPPING DESIGN FRAMEWORK EXPLOITING TUNING ELEMENTS

137

Utilizing Type 1 embedding [14] in the tuning space mapping, we address these issues. Instead of inserting a tuning element into the gap between adjacent internal ports, we replace an entire section of design interest with a tuning element. Such tuning elements, likely equipped with direct and positive tuning parameter values, are able to model cross-sectional parameters. Replacing large sections of the original structure also allows significant tuning capacity. Couplings among and within the remaining structure are maintained. With suitable adjustments of the relevant tuning element, the responses of the resulting tuning model can match those of the original structure. With Type 1 embedding, a large number of equivalent circuits with direct tuning parameters (such as coupled microstrip line) in the component library of the circuit simulator become useful. Therefore, we could rely less on indirect tuning elements than for Type 0 embedding. However, our new technique allows such indirect tuning elements to be embedded in the Type 1 tuning model. In this case, the required translation (or so-called calibration) of the indirect tuning element parameters to the design parameters is simpler than for Type 0 embedding, as described in [12] and [13]. In this paper, we propose a space mapping design framework that is capable of dealing with Type 0 and/or Type 1 embedding and direct and/or indirect tuning elements. II. TUNING SPACE MAPPING FRAMEWORK Space mapping [16], [17] shifts the CPU burden from an expensive EM simulator (fine model) to a fast equivalent-circuit model (coarse model). We consider simulation-based tuning [8], [10] within the scope of space mapping [13]. In our tuning space mapping approach, a tuning model of the fine model is constructed by either attaching tuning elements to internal ports or replacing portions of designable interest between ports by suitable tuning elements. The parameters and/or preassigned parameters of these elements are chosen to be tunable. In each iteration, the tuning model is matched to the fine model by optimizing the preassigned parameters or/and the tuning parameters. This process takes little CPU effort as the tuning model is typically implemented within a circuit simulator. With optimal preassigned parameters or/and the tuning parameters thus obtained, an updated tuning model is available for design prediction. The prediction is fed back to fine model simulator after simple calibration. This process is repeated until the fine model response is sufficiently close to the design target. III. TUNING SPACE MAPPING ALGORITHM We are concerned with the optimization problem (1) where denotes the response vector of a fine model of the device of interest, is a merit function (e.g., a minimax function or a norm), is a vector of design parameters, and is the optimal solution to be determined. In [12] and [13], tuning space mapping involves Type 0 embedding in which tuning elements are attached to internal ports of the fine model [10] [see Fig. 1(a)]. If a tuning-to-design-parameter conversion is not available, for calibration

Fig. 1. Tuning space mapping and tuning model. (a) Type 0 embedding. (b) Type 1 embedding of a single tuning element. (c) Type 1 embedding of multiple tuning elements. Type 0 embedding normally involves only one cut and one port on each side of the cut in the fine model. Type 1 embedding usually involves two or more cuts in the fine model, and the number of ports is twice the number of cuts.

purposes we require an additional full-system coarse model or the simulation of a perturbed fine model. For simplicity, our present framework excludes the method that requires the additional full-system coarse model. In [14], we replace certain designable sub-section(s) with suitable Type 1 embedding [see Fig. 1(b) and (c)]. In most of our examples, they have direct tuning parameters, i.e., distributed microwave circuit elements with physical dimensions corresponding to those of the fine model. In a simple parameter extraction procedure, or, rather, an alignment procedure, we match the tuning model with the fine model (the original structure without internal ports). We assume that certain fine-model couplings are preserved in the tuning model. Thereby we normally obtain a good surrogate of the fine model that is subsequently tuned to satisfy our goals by changing its tuning parameters. The obtained tuning parameter values are converted to design parameter values that become our next fine model iterate (design). Our algorithm produces a sequence of points (design variable . The iteration of the algorithm convectors) sists of three steps, which are the: 1) alignment of the tuning

138

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

TABLE I COMPARISON OF TUNING MODELS

model with the fine model; 2) optimization of the tuning model; and 3) calibration of the tuning parameters to the design parameters. In our first step, based on data from the fine model (with in, the current tuning model is built ternal ports) at point with appropriate Type 0 or Type 1 embedding. The tuning model response may not agree with the response of the original fine at . We align these models by the following promodel cedure [17]:

Fig. 2. Tuning space mapping with direct calibration of: (a) Type 1 embedding and (b) Type 0 embedding of parameterized tuning elements.

(e.g., microstrip line models as tuning elements and actual microstrip line lengths as design variables). We demonstrate the tuning space mapping process with direct calibration in Fig. 2 using a 1-D microstrip line design example.

(2) B. Analytical Calibration where denotes the response vector of the tuning represents the preassigned parameters of the tuning model, model, and represents the initial tuning parameters of the detuning model. We may only need to extract either or pending on the type of tuning model. We compare different tuning models in Table I. to have it meet the deIn the second step, we optimize sign specifications. We obtain the optimal values of the tuning parameter as (3) , we perform the calibration procedure to deHaving termine the values of the design variables that yield the same change in the tuning model response as goes to . The new design is obtained through the calibration step [13] (4) is a real vector and denotes a component-wise mulwhere tiplication. For direct tuning elements, . Oth. erwise a calibration step follows to calculate Step 3 can be realized in various ways by calibration [13]. We discuss two simple possibilities here. A complex calibration that involves a full coarse model is described in detail in [13]. A. Direct Calibration This is the simplest calibration method where the relation between the design variables and the parameterized tuning parameters is assumed to be an identity function, i.e., we have . This calibration method is used if the tuning components are directly related to the elements of the microwave structure represented by the design variables

Analytical calibration deals with an indirect tuning parameter. In certain cases there exists an explicit analytical relation between the design variables and the non-parameterized tuning parameters so that (4) is replaced simply by applying this relation. In most cases, the calibration formula may be a linear function as in (4). We show a 1-D example in Fig. 3. For the Type 1 tuning model, is obtained by dividing the extracted tuning parameter by the physical dimension of the replaced section, as shown in Fig. 3(a). For the Type 0 tuning model, is obtained by dividing the (extracted) tuning parameter value difference by the design parameter value difference of the fine model before and after perturbation [see Fig. 3(b)]. IV. ILLUSTRATIONS AND EXAMPLES To illustrate and clarify our tuning space mapping algorithm, we use a microstrip transmission line [13]. The fine model is implemented in Sonnet em (Fig. 4) and the fine model response is the inductance of the line as a function of the line’s length. The mm original length of the line is chosen to be (400 mil) with a width of 0.635 mm. We use a cell size of mm 0.01 0.635 mm. A substrate with thickness and is used. Our goal is to find a length of line such that the corresponding inductance is 6.5 nH at 300 MHz. The gives the value of 4.52 nH, i.e., Sonnet em simulation at nH. We apply the tuning space mapping algorithm of Section III. is developed by dividing We show that the tuning model the structure in Fig. 4 into two or three parts and adding the tuning ports at the edges of the separated parts, as shown in Fig. 5(a) and (b). A Type 0 tuning element (e.g., a small inductor or a microstrip line segment) can now be attached between ports 3 and 4 in Fig. 5(a). A Type 1 tuning element can replace the section between ports 3 and 6 in Fig. 5(b). Note that the new

CHENG et al.: SPACE MAPPING DESIGN FRAMEWORK EXPLOITING TUNING ELEMENTS

139

Fig. 3. Tuning space mapping with analytical calibration of: (a) Type 1 and (b) Type 0 tuning model, where t and x are value differences in the tuning and design parameters before and after perturbation.

Fig. 4. Original structure of microstrip line in Sonnet.

Fig. 5. Microstrip line under test after being cut, and with co-calibrated ports prepared for: (a) Type 0 tuning and (b) Type 1 tuning.

Fig. 6. Microstrip line design problem: tuning model and its ADS implementation. (a) Type 0 embedding with direct tuning element. (b) Type 1 embedding with direct tuning element. (c) Type 0 embedding with indirect tuning element. (d) Type 1 embedding with indirect tuning element.

version of Sonnet em allows so-called co-calibrated ports [11]. The ports allow an “infinitesimal” gap (normally one cell size wide) to be inserted into a microwave structure. A pair of such ports can then be mounted on the edges of the gap. The multiport structure is then simulated electromagnetically in Sonnet em and connected in the circuit simulator. The partition and gaps are compensated by Sonnet em so that the impact on the simulation results is negligible. Type 0 Embedding With Direct Tuning Element: The tuning model is implemented in Agilent ADS and shown in Fig. 6(a). The model contains fine model data at the initial design in the form of an S4P element (“4” being the number of ports), as well as the attached tuning element (microstrip line segment). First, mm that the tuning model matches the we find with fine model pretty well. Next, we optimize the tuning model to meet our target of mm. 6.5 nH. This yields

Now, because the tuning element is a microstrip line segment, we use direct calibration, i.e., we assume that , which gives mm and a corresponding fine model response of 6.59 nH. The second iteration mm, and the corresponding line inductance gives reaches our goal of 6.50 nH. Type 1 Embedding With Direct Tuning Element: The model in Fig. 6(b) contains fine model data at the initial design in the form of an S6P element, as well as a Type 1 embedding of a direct tuning element (microstrip line segment). We start with mm (here mm). After matching, mm. This initialization process compensates for errors introduced by the tuning elements and/or co-calibrated ports. Next, we optimize the tuning model to meet our target 6.5 nH, mm. Direct calibration gives which yields mm and 6.58 nH. The second iteration yields

140

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

TABLE II TRANSMISSION LINE ILLUSTRATION FIRST ITERATION SUMMARY

Fig. 8. Importing the six-port Agilent Momentum coupled microstrip line model into Agilent ADS. The adjacent internal port pairs are connected to obtain its corresponding two-port S -parameters.

Fig. 7. Coupled microstrip line Agilent Momentum model with internal ports.

mm. The corresponding line inductance reaches our goal of 6.50 nH. Type 0 Embedding With Indirect Tuning Element: See Fig. 6(c). After initial matching, nH. After optimization of the tuning model we have nH. For the calibration step, we propose a linear depenand the inductance dence between the microstrip length of the tuning element . The proportionality coefficient is mm/4.517 nH mm nH . Thus, we have , which mm. The fine model response at gives obtained by Sonnet em is 6.51 nH, which is already acceptable. After another two iterations, tuning space mapping gives mm; the corresponding line inductance is again 6.50 nH. Type 1 Embedding With Indirect Tuning Parameter: See nH. After optiFig. 6(d). After initial matching, nH. mization of the tuning model, we have For the calibration step, we use a linear dependence between the microstrip length and the inductance of the tuning element . The proportionality coefficient is mm nH . Thus, we have , which gives mm. The fine model reobtained by Sonnet em is 6.54 nH. After another sponse at mm; two iterations, tuning space mapping gives the corresponding line inductance is again 6.50 nH. Table II summarizes the first iterations of our illustration. A. Coupled Microstrip Line We demonstrate our tuning method in the 2-D design of a coupled microstrip line using Agilent Momentum. We create the structure with internal ports P3, P4, P5, and P6, as in Fig. 7. The length of the coupled lines is 105 mil and the gap between the lines is 5 mil. We obtain a six-port -parameter file from Agilent Momentum. To obtain the corresponding two-port -parameters, we import the -parameter file to Agilent ADS and connect the adjacent port pairs, as shown in Fig. 8. Our tuning model is based on Fig. 8 (representation of the fine model in ADS). We leave open ports 5 and 6 and insert an equivalent-circuit microstrip coupled line MCLIN (Type 1

Fig. 9. Tuning model: an equivalent-circuit coupled microstrip line (Type 1 embedding with direct tuning element) replaces the portion of design interest. (a) Illustration of the tuning model; the hatched area marks what remains in the SNP file. (b) Agilent ADS implementation.

embedding) between ports 3 and 4 and obtain the tuning model shown in Fig. 9. In the alignment process, we calibrate the dielectric constant and substrate height of the inserted coupled line MCLIN such that the two-port -parameters of the tuning model match that of the fine model. After alignment calibration, we obtain 10.14 mil for the substrate height and 10.10 for the dielectric constant (originally 10 mil and 9.9, respectively). The match between the fine model and tuning model is good. For illustrative purposes, we select our target as an Agilent Momentum -parameter response (1–10 GHz) for the structure shown in Fig. 10. We optimize the surrogate of Fig. 9 to match this target and obtain a mil good estimate of the design parameter values as mil in one iteration. and Note that in Type 1 embedding, if the piece being replaced is the entire structure of the fine model (i.e., whole structure between ports 1 and 2 in Fig. 7), the tuning model becomes a standard coarse model and tuning space mapping becomes standard space mapping.

CHENG et al.: SPACE MAPPING DESIGN FRAMEWORK EXPLOITING TUNING ELEMENTS

141

Fig. 10. Coupled microstrip line in Agilent Momentum as our target.

Fig. 12. Three-section 3 : 1 microstrip impedance transformer Sonnet em responses: initial (1 1 1  1 1 1) and final (0  0) after two iterations.

Fig. 11. Three-section 3 : 1 microstrip impedance transformer. (a) Structure and dimensions. (b) Sonnet em model with co-calibrated ports. (c) Tuning model: replacing the designable components with the ADS model. The hatched area marks what remains in the SNP file.

B. Three-Section Transformer Example In this example, we demonstrate that our method is capable of designing structures that involve cross-sectional parameters, in this case, widths. This was not easily implemented by the method described in [12] and [13]. Here we demonstrate a three-section transformer example that involves the design of physical widths and lengths, as shown in Fig. 11(a). The design specifications are dB for

GHz

GHz

The model is simulated using Sonnet em. We insert co-calibrated ports inside the structure, as demonstrated in Fig. 11(b). The ten-port -parameter S10P file is then imported into ADS. The ADS microstrip transmission line models replace the sections between ports 1 and 4, between 5 and 8, and between 9 and 2, as in Fig. 11(c) in the form of Type 1 embedding with direct tuning elements. This should be distinguished from inserting disconnected fine model segments into an equivalent circuit. The tuning model in the ADS schematic is then calibrated against the fine model response by optimizing the preassigned parameters, i.e., the dielectric constants and substrate heights of the microstrip lines. In this example, each tuning element is assigned an independent set of preassigned parameters. After

Fig. 13. Open-loop ring resonator bandpass filter: physical structure with co-calibrated ports. Structures outside the hatched area are to be replaced by Type 1 embedding with direct tuning elements.

the model is calibrated, we keep the preassigned parameters as constants and optimize the model in Fig. 11(c) to obtain the next predicted values of the design parameters. In just two iterations, we obtain a good result, as in Fig. 12. C. Open-Loop Ring Resonator Bandpass Filter Our third example is the open-loop ring resonator bandpass filter [18] shown in Fig. 13. Here, the design parameters are mm. Other parameter values are mm and mm. The design specifications are dB for dB for dB for

GHz GHz GHz

GHz GHz GHz

In this example, the fine model is simulated in Sonnet em, the tuning model is constructed and optimized in Agilent ADS. To construct the initial tuning model, in Sonnet em, we first divide the microstrip structure and insert co-calibrated port pairs on the cut edges, as shown in Fig. 13. The EM structure with the ports is then simulated and the resulting SNP data file (50 ports) is imported into the SNP -parameter file component in ADS. Equivalent-circuit microstrip lines and coupled line components replace sections of the physical structure in Fig. 14. A new tuning model is now available in the ADS circuit simulator. The design parameters are the same as those of the original demm. sign problem The initial guess is mm. We show the response of our tuning model, fine model,

142

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 14. Open-loop ring resonator bandpass filter in ADS using Type 1 embedding with direct tuning elements.

Fig. 17. LTCC second-order bandpass filter [19].

Fig. 15. Initial responses: tuning model (—), fine model (), and fine model with co-calibrated ports (- - -).

Fig. 18. LTCC second-order bandpass filter with co-calibrated ports.

iterations. The optimized tuning model and the corresponding fine model responses are shown in Fig. 16. D. LTCC Second-Order Bandpass Filter Fig. 16. Responses after two iterations: the tuning model (—) and corresponding fine model ().

and fine model with co-calibrated ports in Fig. 15. We compensate the deviation between the tuning model and the fine model by calibrating the preassigned parameters, dielectric constant, and substrate height of the tuning elements. In this example, all tuning elements share one set of preassigned parameters in the calibration process. The tuning model is optimized in ADS with respect to the design parameters. The new design parameters are then assigned to the fine model. The optimal values obtained with (3) are mm after two

We design a compact low-temperature co-fired ceramic (LTCC) lumped-element second-order bandpass filter [19]. The filter is shown in Fig. 17. The multilayer LTCC structure is not easily designable before our introduction of Type 1 embedding. The design parameters are mil and the specifications are for for for

GHz GHz GHz

GHz GHz GHz

In order to plug in tuning elements, we add 18 co-calibrated ports to the structure (Fig. 18).

CHENG et al.: SPACE MAPPING DESIGN FRAMEWORK EXPLOITING TUNING ELEMENTS

143

Fig. 19. LTCC second-order bandpass filter: the green (in online version) (or light) areas are to be replaced by Type 1 embedded tuning elements.

Fig. 21. LTCC second-order bandpass filter responses at the: (a) initial design and (b) final design.

of the substrate materials between the nonempty layers. They are not uniformly varied in all the components. We divide the tuning elements into three groups, which are shaded by different patterns shown in Fig. 20. Each group has its own multilayer substrate property values that can be varied during the alignment process. The initial guess of the design parammil and its eters corresponding response is shown in Fig. 21(a). In four iterations, we obtain a good fine model response as in Fig. 21(b) . with V. DISCUSSION

Fig. 20. Tuning model of the LTCC second-order bandpass filter in Agilent ADS is ready to be designed.

We now import the 20-port -parameter file S20P into Agilent ADS and replace the green area (in online version) with Type 1 embedded tuning elements (Fig. 19). Since the couplings between the layers have to be taken into consideration, we use multilayer coupled lines as tuning elements to replace the coupled multilayer structure. The tuning model (Fig. 20) in Agilent ADS is ready to be optimized. We apply our tuning space mapping algorithm. We and preassigned paramextract initial tuning parameters in the alignment process. The selected preassigned eters parameters are the dielectric constants and substrate heights

Performance-wise, our tuning approach is similar to our previous space mapping approach in that the optimization process is completed in just two or three iterations with one or two fine model simulations in each iteration. However, tuning space mapping may save setup time and effort for some engineers since it generally does not need a full-system coarse model as a base for building the surrogate. The tuning model or surrogate is built on an existing fine or EM model. VI. CONCLUSION We present a tuning space mapping framework featuring physically based tuning elements to facilitate simulator-based tuning design. Our new tuning space mapping framework consolidates and simplifies the approach described in [13]. The framework offers flexibility in choosing between direct and indirect tuning elements and between our so-called Type 0 and Type 1 embedding. It is accurate since the tuning model is embedded with fine-model couplings and discontinuity information, and aligned with the fine model. The tuning

144

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

elements facilitate direct or (simply calibrated) indirect access to the physical design parameters. Our procedure makes a larger variety of library circuit-based tuning elements usable. It effectively solves the problem of cross-sectional parameter design. ACKNOWLEDGMENT The authors thank Sonnet Software Inc., Syracuse, NY, for em, and Agilent Technologies, Santa Rosa, CA, for making ADS and Momentum available. The authors also thank Dr. J. C. Rautio for useful ongoing discussions. REFERENCES [1] R. V. Snyder and S. Shin, “Parallel coupled line notch filter with wide spurious-free passbands,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 253–256. [2] R. V. Snyder, “Practical aspects of microwave filter development,” IEEE Microw. Mag., vol. 8, no. 2, pp. 42–54, Apr. 2007. [3] S. Shin and S. Kanamaluru, “Diplexer design using EM and circuit simulation techniques,” IEEE Microw. Mag., vol. 8, no. 2, pp. 77–82, Apr. 2007. [4] S. Shin, “Co-simulation techniques using 3D EM and circuit simulators for microwave filter implementations,” presented at the IEEE MTT-S Int. Microw. Symp. Workshop, Long Beach, CA, Jun. 2005. [5] V. Rizzoli, A. Costanzo, D. Masotti, and P. Spadoni, “Circuit-level nonlinear/electromagnetic co-simulation of an entire microwave link,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 813–816. [6] J. Sercu and F. Demuynck, “Electromagnetic/circuit co-optimization of lumped component and physical layout parameters using generalized layout components,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, pp. 2073–2076. [7] A. Bhargava, “Designing circuits using an EM/circuit co-simulation technique,” RF Design, p. 76, Jan. 2005. [8] D. G. Swanson and R. J. Wenzel, “Fast analysis and optimization of combline filters using FEM,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jul. 2001, pp. 1159–1162. [9] D. Swanson and G. Macchiarella, “Microwave filter design by synthesis and optimization,” IEEE Microw. Mag., vol. 8, no. 2, pp. 55–69, Apr. 2007. [10] J. C. Rautio, “RF design closure—Companion modeling and tuning methods,” presented at the IEEE MTT-S Int. Microw. Symp. Workshop, San Francisco, CA, 2006. [11] J. C. Rautio, “Perfectly calibrated internal ports in EM analysis of planar circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, Jun. 2008, pp. 1373–1376. [12] J. Meng, S. Koziel, J. W. Bandler, M. H. Bakr, and Q. S. Cheng, “Tuning space mapping: A novel technique for engineering design optimization,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, Jun. 2008, pp. 991–994. [13] S. Koziel, J. Meng, J. W. Bandler, M. H. Bakr, and Q. S. Cheng, “Accelerated microwave design optimization with tuning space mapping,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 2, pp. 383–394, Feb. 2009. [14] Q. S. Cheng, J. W. Bandler, and S. Koziel, “Tuning space mapping optimization exploiting embedded surrogate elements,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2009, pp. 1257–1260.

[15] J. C. Rautio, “EM-component-based design of planar circuits,” IEEE Microw. Mag., vol. 8, no. 4, pp. 79–90, Aug. 2007. [16] J. W. Bandler, Q. S. Cheng, S. A. Dakroury, A. S. Mohamed, M. H. Bakr, K. Madsen, and J. Søndergaard, “Space mapping: The state of the art,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 337–361, Jan. 2004. [17] Q. S. Cheng, J. W. Bandler, and S. Koziel, “Combining coarse and fine models for optimal design,” IEEE Microw. Mag., vol. 9, no. 1, pp. 79–88, Feb. 2008. [18] S. Koziel and J. W. Bandler, “Space mapping with multiple coarse models for optimization of microwave components,” IEEE Microw. Wireless Compon. Lett., vol. 8, no. 1, pp. 1–3, Jan. 2008. [19] L. K. Yeung and K.-L. Wu, “A compact second-order LTCC bandpass filter with two finite transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 337–341, Feb. 2003. Qingsha S. Cheng (S’00–M’05–SM’09) was born in Chongqing, China. He received the B.Eng. and M.Eng. degrees from Chongqing University, Chongqing, China, in 1995 and 1998, respectively, and the Ph.D. degree from McMaster University, Hamilton, ON, Canada, in 2004. In 1998, he joined the Department of Computer Science and Technology, Peking University, Beijing, China. In 1999, he joined the Department of Electrical and Computer Engineering, McMaster University, where he is currently a Research Associate with the Department of Electrical and Computer Engineering and a Lecturer with the Faculty of Engineering. His research interests are surrogate modeling, computer-aided design (CAD), modeling of microwave circuits, software design technology, and methodologies for microwave CAD. John W. Bandler (S’66–M’66–SM’74–F’78– LF’06) studied at Imperial College, London, U.K. He received the B.Sc. (Eng.), Ph.D., and D.Sc. (Eng.) degrees from the University of London, London, U.K., in 1963, 1967, and 1976, respectively. In 1969, he joined McMaster University, Hamilton, ON, Canada, where he is currently a Professor Emeritus. He was President of Optimization Systems Associates Inc., which he founded in 1983, until November 1997, the date of its acquisition by the Hewlett-Packard Company. He is President of Bandler Corporation, Dundas, ON, Canada, which he founded in 1997. Dr. Bandler is a Fellow of several societies including the Royal Society of Canada. He was the recipient of the 2004 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Microwave Application Award. Slawomir Koziel (M’03–SM’07) received the M.Sc. and Ph.D. degrees in electronic engineering from the Gdan´sk University of Technology, Gdan´sk-Wrzeszcz, Poland, in 1995 and 2000, respectively, and the M.Sc. degree in theoretical physics. M.Sc. degree in mathematics, and Ph.D. degree in mathematics from the University of Gdan´sk, Gdan´sk, Poland, in 2000, 2002, and 2003, respectively. He is currently an Associate Professor with the School of Science and Engineering, Reykjavik University, Reykjavik, Iceland. His research interests include surrogate-based modeling and optimization, space mapping, circuit theory, analog signal processing, evolutionary computation, and numerical analysis.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

145

High-Dimensional Neural-Network Technique and Applications to Microwave Filter Modeling Humayun Kabir, Ying Wang, Member, IEEE, Ming Yu, Fellow, IEEE, and Qi-Jun Zhang, Fellow, IEEE Abstract—Neural networks are useful for developing fast and accurate parametric model of electromagnetic (EM) structures. However, existing neural-network techniques are not suitable for developing models that have many input variables because data generation and model training become too expensive. In this paper, we propose an efficient neural-network method for EM behavior modeling of microwave filters that have many input variables. The decomposition approach is used to simplify the overall high-dimensional neural-network modeling problem into a set of low-dimensional sub-neural-network problems. By incorporating the knowledge of filter decomposition with neural-network decomposition, we formulate a set of neural-network submodels to learn filter subproblems. A new method to combine the submodels with a filter empirical/equivalent model is developed. An additional neural-network mapping model is formulated with the neural-network submodels and empirical/equivalent model to produce the final overall filter model. An -plane waveguide filter model and a side-coupled circular waveguide dual-mode filter model are developed using the proposed method. The result shows that with a limited amount of data, the proposed method can produce a much more accurate high-dimensional model compared to the conventional neural-network method and the resulting model is much faster than an EM model. Index Terms—Computer-aided design (CAD), high-dimensional parametric modeling, microwave filter, neural network, optimization, simulation.

I. INTRODUCTION

N

EURAL networks have been recognized as useful alternatives for device modeling where a mathematical model is not available or time-consuming simulation is required. They can be utilized to model multidimensional nonlinear relationships. The evaluation time of a neural-network model is also fast. For these reasons, neural networks have been used for various modeling and design applications [1], [2] including passive microwave structures [3], [4], electromagnetic (EM) computer-aided design (CAD) [5], [6], transistors [7], amplifiers [8],

Manuscript received July 07, 2009; revised October 01, 2009. First published December 22, 2009; current version published January 13, 2010. This work was supported in part by Natural Sciences and Engineering Research Council of Canada, in part by COM DEV Ltd., and in part by the Ontario Centers of Excellence. H. Kabir and Q.-J. Zhang are with the Department of Electronics, Carleton University, Ottawa, ON, Canada K1S 5B6 (e-mail: [email protected]; [email protected]). Y. Wang is with the Faculty of Engineering and Applied Science, University of Ontario Institute of Technology, Oshawa, ON, Canada L1H 7K4 (e-mail: [email protected]). M. Yu is with COM DEV Ltd., Cambridge, ON, Canada N1R 7H6 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2036412

antennas [9], waveguide filters [10]–[12], microwave optimization [13], [14], library of models [15], etc. Neural networks have not only been used for developing microwave device models, but also have been used in optimization processes where the neural models are combined with full-wave simulation tools [16]–[18]. The general idea of neural-network-based CAD and optimization is that we develop neural-network models for EM structures and incorporate the models in circuit simulators. This allows circuit-level simulation speed with EM-level accuracy. In this paper, we focus on neural-network-based modeling of microwave filters. Accurate model is essential for the first pass design success. Conventional EM modeling method is the first option to obtain an accurate model. However, the model evaluation time of this method is long, especially when repetitive model evaluations are required. During design optimization, values of geometrical variables are required to be changed many times and each time a complete reevaluation of the model is required. For this reason, the EM model becomes too expensive. An alternative to the EM model is a neural-network model whose inputs are geometrical variables [1]–[3], [12], [19]–[24]. The neural-network model can provide solutions quickly for various values of geometrical input variables. Due to increasing complexity and variety of microwave structures, the number of design variables per structure is on the rise. In order to develop an accurate neural-network model that can represent EM behavior of filters over a range of values of geometrical variables, we need to provide EM data at sufficiently sampled points in the space of geometrical variables [1], [2]. The amount of data required increases very fast with the number of input variables of the model. For this reason, developing a neural-network model that has many input variables becomes challenging as data generation becomes too expensive. Therefore, we need an effective method to develop accurate high-dimensional neural-network models without requiring massive data. Various advanced neural-network structures have been investigated for microwave modeling such as knowledge-based neural networks [25], [26] for simplifying input–output relationship. It reduces the cost of neural-network training for highly nonlinear input–output modeling problems. However, it does not have the mechanism to address the challenge of high-dimensional modeling problems directly. Modular neural network is an interesting technique, which has the potential to address high-dimensional modeling problem because of neural-network decomposition. It has been investigated within the artificial neural-network community for applications such as face detection [27], [28], voice recognition [29], pattern recognition [30], [31], directional relay algorithm for power transmission line [32], problem simplification [33], etc. The modular concept has also been investigated for

0018-9480/$26.00 © 2009 IEEE

146

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

microwave optimization such as dielectric resonator filters [34], microstrip corporate feeds [35], power amplifiers [36], semiconductorprocesscharacterization[37],antennas[38],etc.Thistechnique decomposes a complex neural network into several simple sub-neural-network modules. The modular neural-network technique has been used to improve the learning capability of neural networks. However, the existing modular neural-network method is not directly suitable forhigh-dimensional neural-network modeling of microwave filters because it has not been formulated to accommodate the knowledge of microwave filter formulas. Another problem with the existing neural-network decomposition is the absence of connections between neural-network decomposition and microwave filter decomposition. Recently, microwave filters have been modeled and designed using neural-network techniques [11]. In [11], we decomposed the filter structures into substructures and then developed inverse submodels for the substructures. The inputs of the inverse submodels are coupling parameters and outputs are geometrical parameters. These submodels produce filter dimensions from given coupling values. The main objective of [11] was to produce neural-network inverse models of filter components. The advantage of the method is that we can obtain filter dimensions for a given coupling matrix without repetitive EM model evaluation. In this paper, we propose a new method to obtain a complete forward model for filters that have many geometrical variables. We decompose a filter structure into substructures and develop forward submodels. The inputs of the submodels are geometrical dimensions and outputs are coupling parameters. These submodels are combined with filter equivalent-circuit model to produce an approximate solution of the entire filter. A mapping model is trained and used to make the high-dimensional model as accurate as the EM model. The main objective is to develop a high-dimensional neural-network model, which is too expensive to develop using a conventional neural-network approach. The new method is used to develop complex filter models that hold many input variables. Results show that using the proposed method, we can develop accurate high-dimensional neural-network models in an inexpensive way. The evaluation time of the proposed neural-network model is faster than that of the EM model. This makes the proposed method effective and useful for design optimization where many geometrical design variables need to be changed and EM behavior needs to be evaluated repetitively.

where defines the input–output relationship and is a neuralnetwork internal weight vector. In this approach, we use a multilayer perceptron or a radial-basis-function neural network [2] to represent the entire function of (1) with represented by input neurons and represented by output neurons. This conventional approach is suitable for developing simple filter models where the number of input variables is small. On the other hand, when a filter model has many input variables, a massive amount of data are required for neural-network model training to achieve good accuracy. This massive data generation and model training become too expensive and impractical. To overcome this limitation, we propose to use the decomposition approach to simplify the high-dimensional problem into a set of small subproblems. represent simple subfunctions, which deLet from to fine the input–output relationships of a set of simple functions of (1). Each representing various partial information of of the subfunctions is defined by small number of input variables and the input–output relationship becomes simpler than the overall high-dimensional function. In this way, cost of data generation and model development is reduced. However, the definition of partial information or the formulation of neuralnetwork submodels will not be effective unless we combine the filter decomposition concept with neural-network decomposition. Furthermore, the question of how to recombine the submodels to form the final overall filter model and recover the missing information between subproblems must be answered for the neural-network decomposition. B. Neural-Network Submodel and Filter Decomposition We formulate neural-network decomposition together with filter decomposition. A filter with many design variables is decomposed into several substructures, each representing a specific part of the filter. Neural-network submodels are then developed to represent the substructures. Let us assume that a filter is decomposed into types of substructures. Let be a vector containing the design variables of the th substructure and be a vector containing the output parameters of the th substructure. As an example, the input vector contains geometrical parameters such as length and width of an iris, and the output vector contains electrical parameters such as coupling coefficients of the iris. A neural-network submodel for the substructure is defined as (2)

II. PROPOSED HIGH-DIMENSIONAL MODELING APPROACH A. Problem Statement The main objective is to obtain fast parametric models for filters that hold many design variables, which are mainly geometrical parameters. Let us assume to be an -vector containing all the input variables of a model, e.g., iris length, cavity length, bandwidth, etc. for a filter. Let be an -vector containing output parameters such as an -parameter of the filter. A conventional neural-network model for the problem is defined as (1)

where defines the geometrical to electrical relationship of the th submodel, is a vector containing neural-network weight . The parameters for the th submodel, and vector is a subset of the overall input vector and is expressed as

(3) where is a selection matrix containing 1’s and 0’s in order to pick corresponding inputs of submodel from the overall input vector .

KABIR et al.: HIGH-DIMENSIONAL NEURAL-NETWORK TECHNIQUE AND APPLICATIONS TO MICROWAVE FILTER MODELING

In order to formulate meaningful submodels for filter applications, we need to combine the filter decomposition concepts with the submodel of (2) and (3). In microwave waveguide filters, the electrical couplings between various sections of the filter is dominantly determined by the physical/geometrical parameters of the corresponding parts of the filter structure, and slightly affected by the geometrical parameters of other sections matrix to se[10], [39]. Based on this concept, we use the lect the geometrical parameters of the relevant part of the filter ignoring other parts, and use to represent the electrical coupling between the selected parts of the filter. Data for each submodel is generated using an EM simulator and neural-network submodels are then trained. Let us assume to be the number of training samples required to develop the neural-network submodel . The submodel is developed by optimizing the internal weight vector to minimize the error between outputs and training data. The training error of submodel is expressed as (4)

where vector is the th sample of the training data for input neurons of the th submodel, which contains the values of geometrical parameters of the th substructure, and vector is the th sample of the training data for output neurons, which contains the EM solution of the th substructure. Data generation for submodels becomes less expensive than that for the overall filter model because the submodels contain fewer input variables than the overall filter model and the input–output relationships of the submodels become simpler than that of the overall filter model. C. Integration of Neural-Network Submodels With Empirical/Equivalent-Circuit Model The neural-network submodels should be recombined to form the overall filter model. Here, we formulate an approach where a filter empirical/equivalent-circuit model is used to obtain the solution of the overall filter by using the outputs from the neural-network submodels. Some of the neural-network submodels may be used multiple times as the same junction may appear several times in the overall model. For example, in a four-pole -plane filter there are three internal irises. We can develop one model of the internal iris and use it three times. Since the iris submodel is trained with a range of values of length, different iris submodels can be represented with the same neural-network iris submodel with different values of . Multiple uses of submodels become a big advantage of the proposed method. In this way, we can obtain all the submodels needed for an overall filter model by training only be the number of a few neural-network submodels. Let neural-network submodels needed to form the overall filter model. The equivalent-circuit model is expressed in terms of the outputs of the neural-network submodels as

(5)

147

is a vector containing approximate values of the outwhere represents the empirical/equivaputs of the overall filter, are electrical parameters oblent-circuit function, and to tained from submodels. The type of operation in (5) is simple and insignificant in terms of computational cost. Thus, an approximate model of the overall filter is obtained by combining the neural-network submodels and the empirical/equivalent-circuit model. D. Neural-Network Mapping Model The outputs from the neural-network submodels provide values of the electrical parameters (e.g., coupling matrix for a filter), which are approximate since effects of high order modes are lost due to decomposition of the overall filter. Thus, the solution obtained from the empirical/equivalent-circuit model is also approximate. Here, we propose an additional neural-network model, called the neural-network mapping model, to map the approximate solution to the accurate EM solution of the overall filter. Samples of the overall filter are generated to obtain the training data for the mapping model. Based on the concept of prior-knowledge input [26], we formulate the inputs of the mapping model using the approximate solution , and the input variables of the overall filter, . The outputs are the accurate solution of the overall filter that corresponds to . Thus, the neural-network mapping model is defined as (6) defines the input–output relationship of the mapwhere is a vector containing neural-network inping model and ternal weight parameters. Let us assume that we need samples of the overall filter to train the mapping model accurately. The neural-network mapping model is developed by minimizing the error between EM data and neural-network output by optimizing neural-network internal weight parameters. The training error of the mapping model is expressed as

(7)

is the th sample of training data for the output neuwhere rons and which is the EM solution of the overall filter. The mapping model works well even though the number of its inputs is higher than the original number of inputs because the input–output relationship (mapping task) of the mapping model becomes simple since an approximate solution is placed as a part of the model’s inputs. This makes the optimization of the neural-network internal weight parameters straightforward during training of the mapping model. For this reason, the mapping model can be developed accurately with a few samples of the overall filter. In this way, the number of expensive EM simulation of the overall filter is reduced. As a result, data generation and model training in the proposed method become feasible. The mapping model can be a single model or a set of models each representing an individual output parameter of the overall model.

148

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 1. Diagram of the proposed high-dimensional modeling structure.

E. Overall Modeling Structure An accurate high-dimensional model representing the overall filter is constructed by combining the neural-network submodels, circuit model, and neural-network mapping model. The diagram of the overall high-dimensional modeling structure is presented in Fig. 1. The neural-network mapping model, as defined in (6), can be expressed in terms of the equivalent-circuit model of (5) as (8) We can further express (8) in terms of the neural-network submodels defined in (2) as

(9) Substituting the relationship of (3) in (9) yields

(10) which is equivalent to (11) where is a vector containing neural-network internal weight parameters of the high-dimensional neural-network model. to contain weight parameters of In (10), the vectors contains the neural-network submodels and the vector weight parameters of the neural-network mapping model. These vectors are optimized during neural-network training of is opthe submodels and the mapping model. The vector to . When timized after the optimization of the vectors the overall high-dimensional model is constructed combining the trained neural-network submodels and mapping model, the to and all together become equivalent to vectors of (11). the vector

The relationship of (11) is equivalent to that of (1), except (11) is a combination of several simple submodels each with few input variables, whereas (1) is a single complicated model with many input variables. The vector of (1) is equivalent to of (11). The difference is that the vector is optithe vector mized step by step through neural-network submodels and mapping model training. Thus, in the proposed method, a combination of several low-dimensional submodels, circuit model, and neural-network mapping model produces the overall high-dimensional model. In the proposed method, a few expensive data of the overall filter are needed for the neural-network mapping model, as explained earlier. On the other hand, in the conventional method, many expensive data are required to achieve a reasonable accuracy because of two reasons, which are: 1) the model is a single function of many input variables as defined in (1) and 2) the relationship of (1), which relates geometrical to circuit parameters directly, is complicated. Let represent data generation time per sample of an overall filter. Let represent the number of samples of data of the overall filter required for the neural-network model in the conventional approach. The cost of data generation in the conventional method is expressed as (12) Let represent data generation time per sample for submodel . and represent the number As defined before, we assume of samples of data required to develop neural-network submodel and mapping model, respectively. The cost of data generation in the proposed method is expressed as (13) where and is the number of types of substructures decomposed from an overall structure, as defined earlier in Section II-B. Data generation time per sample of the overall filter is much more expensive than that of a submodel, i.e., . The proposed method requires much less data of the overall filter, i.e., . For these reasons, the data generation becomes less than that of cost of the proposed method , i.e., . Training time the conventional method increases with the number of model input variables, number of hidden neurons, and number of training data. The number of input variables for submodels is low. The input–output function is also simple, which translates into a low number of hidden neurons. For these reasons, the training time for the submodel becomes short. Thus, the total model training time of the proposed becomes much less than that of the conventional method , i.e., . The relationship of the total model method generation cost of the proposed and the conventional method is expressed as (14) This describes how the total time for data generation and model training of the proposed method is much less than those of the conventional method.

KABIR et al.: HIGH-DIMENSIONAL NEURAL-NETWORK TECHNIQUE AND APPLICATIONS TO MICROWAVE FILTER MODELING

149

H

Fig. 3. Diagram of a four-pole -plane filter. The filter model holds eight input variables including five geometrical dimensions, bandwidth, center frequency, and frequency.

Fig. 2. Flow diagram of the proposed high-dimensional neural-network modeling approach.

III. ALGORITHM FOR PROPOSED HIGH-DIMENSIONAL MODEL DEVELOPMENT We describe an overall high-dimensional modeling algorithm. The flow diagram of the algorithm is presented in Fig. 2. The steps are described as follows. Step 1) Identify the parts of an overall filter that can be used as substructures. For a waveguide filter, discontinuities can be decomposed into substructures. Decompose the overall filter into substructures. Step 2) Generate training data of the decomposed substructures using EM simulations. Standard sampling approach can be employed for this purpose. Step 3) Train and test neural-network submodels for all the decomposed substructures. Step 4) If the submodels are accurate, go to Step 5). Else, generate some more data of the substructures by sampling intermediate points using EM simulation, add those to the existing data, and go to the Step 3). Step 5) Generate a few data of the overall filter using EM and obtain simulation. Sweep the input variables of the overall corresponding output solutions filter.

Step 6) Combine the neural-network submodels and the empirical/equivalent-circuit model. to Step 7) Supply the samples of the input variables the combined neural-network submodels and empirical/equivalent-circuit model to obtain samples of of the overall filter. approximate solution Step 8) Using the concept of prior knowledge input [26], assemble training data for the mapping model. Use of Step 7) as the data for the samples of and the input neurons. Use the samples of that corresponds to the samples of as the data for the output neurons. Train the neural-network mapping model using some of the assembled data. Test the mapping model with the rest of the data. If accuracy is satisfied, go to Step 9). Else, generate a few more data of the overall filter, add those to the existing data of the overall filter and go to Step 7). Step 9) Combine the neural-network submodels, empirical/ equivalent-circuit model, and neural-network mapping model, as described in Section II-E, to obtain the overall model of the filter. IV. EXAMPLES A. Proposed Modeling Technique for

-Plane Filters

We illustrate the proposed modeling method through a fourpole -plane filter model development. The diagram of the filter is shown in Fig. 3. The filter model has eight variables as inputs, , , which include five geometrical variables: iris widths , cavity lengths and , and three electrical variand ables: bandwidth , center frequency , and frequency . The and . Thus, the input and filter outputs are -parameters output vector of the filter model is (15) (16) We first decompose the waveguide filter into two types of substructures: input–output iris and internal coupling iris. We will develop two neural-network submodels of the two substructures in the next step. Each submodel contains two input and center frequency . We use variables: width of iris

150

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

coupling and phase length as the output parameters of the submodels [10]. Thus, the input and output vectors of the submodels are (17) (18) where , , and represent approximate values of coupling parameter and phase length of the th submodel. Notice that the number of input variables of each submodel, as expressed in (17), is less than that of the overall model, as expressed in (15). In this step, we develop two neural-network submodels for the two types of irises. We generate training data by simulating the substructures using an EM simulator based on the modematching method. Each substructure is composed of a rectangular iris and connecting waveguide sections. It can be rigorously analyzed since it only contains two rectangular-to-rectangular waveguide junctions [40]. The -parameters are then used to calculate the coupling values and phase lengths following the same steps and equations presented in [10]. For example, the equivalent circuit for the internal coupling iris is an impedance and series reactance inverter having a shunt reactance and insertion phase length on each arm (see [39, Fig. 14.18]). The following equations relate the -parameter from EM simulation to circuit parameters [39]:

(19) is the impedance value of the inverter and is the where insertion phase length. The coupling value is then obtained by , where and multiplying by a factor of are the free-space and guided wavelength. We generate 35 751 samples, which cover a large range of iris width and center frequency for each submodel. A standard is data generation scheme is followed where iris width was varied over a held constant and the center frequency and vary pre-specified range. Next we assign a new value for over the pre-specified range. This process is continued until is covered. Data generation time per sample the range of for each of the submodels is 0.6 s, which is inexpensive as the input–output relationships are simple and the submodels hold only two input variables each. Training time for each submodel is less than 1 min. The average errors of the submodels are less than 1%. Automatic model generation module of NeuroModelerPlus [41] is used to develop the two neural-network submodels. Following Step 5) of the modeling algorithm in Section III, we generate data of the overall filter using an EM simulator. EM data are generated simulating 46 different filters. In the next step, we combine the neural-network submodels and filter equivalent-circuit model, as shown in Fig. 4, to obtain the approximate -parameter of the filter. By comparing Fig. 3 with

H

Fig. 4. High-dimensional modeling structure for the four-pole -plane filter. Two neural-network submodels: input–output iris model (IO iris) and internal coupling iris model (Co iris) are developed decomposing the filter. Five submodels required by the overall filter, as shown in this figure, are obtained by training only two neural-network submodels. An equivalent-circuit model of a filter are used to obtain the approximate S -parameter. A neural-network mapping model is then used to obtain the accurate S -parameter of the four-pole H -plane filter.

Fig. 4, we can see that the input–output iris model is used to represent the irises at the input and output ports of the four-pole filter of Fig. 4. The three internal coupling irises of Fig. 4 are represented by the internal coupling iris model. Thus, the two types of neural-network submodels are concatenated to represent the and IO iris 2 produces four-pole filter. The IO iris 1 produces . The three coupling iris models produce , , and . These coupling parameters are then used for producing approximate -parameters of the four-pole filter using the filter equivalent-circuit equation of (20). Note that the input–output iris model is used twice and the internal coupling iris model is used three times to represent the overall four-pole filter, i.e., . In other words, the five submodels required in the filter are obtained by training only two submodels. The neural-network submodels produce approximate coupling matrix and subsequently, the circuit model generates approximate -parameters of the four-pole filter using the following equation [39]:

(20) , is the filter order, in which in this case, is a identity matrix, is the and approximate coupling matrix, is a matrix with and , and all entries zero, except and are approximate values of the filter’s input and output coupling parameters, respectively. In Step 7), we supply the geometrical values of the 46 filters used in Step 5) to the combined neural-network submodels and filter empirical/equivalent model and obtain approximate -parameter by sweeping frequency from 10.95 to 13.05 GHz with a 1-MHz step. The center frequency is held constant at 12 GHz and bandwidth is swept from 50 to 500 MHz with a 10-MHz step. The model outputs at this stage are

KABIR et al.: HIGH-DIMENSIONAL NEURAL-NETWORK TECHNIQUE AND APPLICATIONS TO MICROWAVE FILTER MODELING

151

TABLE I COMPARISON OF TEST ERRORS OF FOUR-POLE -PLANE FILTER MODELS DEVELOPED USING CONVENTIONAL AND PROPOSED HIGH-DIMENSIONAL MODELING APPROACH

H

Fig. 5. Comparison of approximate solution with accurate EM solution of a four-pole -plane filter. The approximate solution is obtained without using the mapping model of the proposed method. The similarity between the solutions confirms that a simple mapping using a few training data of overall filter can : cm, L map the y to accurate EM solution. Filter geometry: L : cm, W : cm, W : cm, W : cm, and f GHz.

H

1 52

(21) where the superscript denotes that the values are approximate. As described in Step 8) of the modeling algorithm in Section III, we assemble training data for the input neurons of the mapping model using the input samples of Step 5) obtained in Step 7) of and approximate output samples, the 46 filters. The training data for the output neurons are the accurate -parameter of the 46 filters generated using EM simulation in Step 5). These data are then used to train and test neural-network mapping model, which maps the approximate -parameter to the accurate -parameter. Four different sets of training and testing data, as shown in Table I, are used to develop four mapping models. In Set 1, we use data of 23 filters for training and data of 23 other filters for testing. Training samples are reduced and testing samples are increased in the subsequent sets. The training error of the mapping models are less than 0.5%. After the mapping model is trained, we construct the complete model of a four-pole filter using the neural-network submodels, circuit model, and mapping model in NeuroModelerPlus, as shown in Fig. 4. The model is then used for testing purposes. For comparison, we develop four neural-network models following the conventional method and using the same four sets of data used in the proposed method. In the conventional method, the neural-network model is trained to learn the complicated relationship between geometrical variables and -parameter directly. The results are summarized in Table I, which show that the proposed method produces a more accurate result than the conventional method. The amount of data is not enough to produce the 8-D parametric model of the -plane filter in the conventional method. On the other hand, the proposed method converts the overall model into a set of simple submodels, and thus is able to produce the accurate model with those limited training data. The error of Table I is calculated using the least square error method [1]. The error is calculated taking the normalized differences between the magnitudes of the -parameter (real and imaginary parts) of the neural-network model and the magnitudes of the -parameter (real and

= 0 94

= 0 58

= 0 53

= 1 37

= 12

=

imaginary parts) of EM simulation. The worst case error is the worst error among all the test structures of a particular data set. In Fig. 5, we compare the approximate -parameter of an -plane filter with its accurate -parameter. The approximate solution obtained from the neural-network submodels and empirical/equivalent-circuit model combined is fairly close to the accurate EM solution. For this reason, the input–output relationship of the mapping model becomes simpler than the original modeling relationship between geometrical variables and -parameters. Fig. 6 shows four-pole filter responses from the conventional neural-network model, proposed model and EM simulation of two different geometrical configurations. In both cases, the proposed method produces a more accurate result than the conventional method. B. Proposed Modeling Technique for Side-Coupled Filters We apply the proposed high-dimensional modeling method to develop a neural-network model of a complex filter known as a side-coupled circular waveguide dual-mode filter [42], [43]. Fig. 7 shows a physical diagram of the filter. Unlike the conventional longitudinal end-coupled configuration, the filter input–output coupling and coupling between the circular cavities are realized at the sides of the circular cavities. This type of filter offers significant performance improvement and finds its applications in the satellite multiplexers with extremely stringent mass, size, and thermal requirements. However, the design and simulation becomes more difficult due to the structural complexity [43]. The filter contains 15 design variables including 12 geometrical parameters, bandwidth, center frequency, and frequency. By using a conventional neural-network approach to represent this 15-D problem, i.e., 15 input neurons, data generation, and neural-network training would be prohibitive. Here we apply the proposed neural-network decomposition method to simplify the high-dimensional modeling problem into a set of low-dimensional modeling problems. As will be shown in the following, for such complex filters, responses based on submodels alone are not satisfactory. Instead of direct mapping of -parameters of the EM simulator and neural-network model, a circuit model based on the coupling matrix is adopted as the modeling objective. In doing so, the difficulty in the alignment or mapping of

152

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 6. Comparison of S -parameter of conventional neural network and proposed model of a four-pole H -plane filter. (a) Filter geometry 1: L : cm, L : cm, W : cm, W : cm, W : cm, and f : GHz. (b) Filter geometry 2: L : cm, L : cm, W : cm, W : cm, W : cm, and GHz. Output of the conventional model is not accurate because the f amount of data used for training is not enough for the conventional method. However, the same data is enough for the proposed method.

= 1 32 = 0 56 = 1 52 = 12

= 1 47 = 11 8 = 0 94

= 0 97 = 0 58

= 0 66 = 1 37 = 0 53

full EM and neural-network model responses is significantly reduced, enabling the accurate modeling of complex filters with a minimum number of full EM simulations. Once the accurate coupling matrix is achieved, a circuit simulator can be used to obtain the accurate -parameter for any frequency range. Thus, the input and output vectors of the model are, respectively,

(22) and (23) and represent lengths of input iris and output In (22), , , and represent lengths of iris, respectively, three screws of cavity 1, , , and represent three represents the length of the sequential screws of cavity 2, represents the length of the cross-coupling coupling iris, and represent the lengths of cavity 1 and cavity 2, iris, respectively, represents bandwidth, represents the center and frequency, and represents the frequency. In (23), represent input and output coupling bandwidth, to are self-coupling bandwidths, and , , , and represent sequential and cross-coupling bandwidths. In the first step, we decompose the filter into three types of , called the input–output iris, internal substructures coupling iris, and coupling and tuning screw [10] for which three neural-network submodels will be developed. The inputs

Fig. 7. Diagram of a side-coupled filter showing various dimensional variables of the filter. (a) Perspective view, (b) side view, and (c) top view of a side-coupled circular waveguide dual-mode filter.

of the input–output iris model are iris length and . The outrepresenting the puts are coupling bandwidth and phase loading effect of the internal coupling iris. The inputs of the internal coupling iris model are lengths of the sequential coupling , cross coupling iris and , and outputs are sequeniris , cross coupling , and phases and . tial coupling Phases and are the loading effect of the internal coupling irises on the two orthogonal modes, respectively. The inputs of , , coupling and tuning screw model are screw lengths and . The outputs are coupling bandwidth for and , , and . Note that the number of input variables of each substructure is much less than that of the overall filter. Next we combine neural-network decomposition with the side-coupled filter decomposition scheme. Following Step 2) of the modeling algorithm, we generate training data to develop neural-network submodels for each of the substructures. Since each of the substructures has few design variables, e.g., the input–output iris has only two variables, we can generate many data in a short time. This allows us to develop very accurate submodel. Each substructure is simulated using EM simulator based on mode-matching method, as described in [42]. For example, the substructure representing the input iris is composed of a rectangular-to-rectangular waveguide junction and a

KABIR et al.: HIGH-DIMENSIONAL NEURAL-NETWORK TECHNIQUE AND APPLICATIONS TO MICROWAVE FILTER MODELING

circular-to-rectangular side-coupled waveguide T-junction. The rigorous mode-matching technique for simulating circular to multiple off-center rectangular side-coupled waveguide T-junctions presented in [42] is used for analyzing this structure. The filter input–output couplings are obtained using the group-delay method and the inter-resonator couplings are calculated using eigenvalue calculation [39]. We generate 423 samples of data for the input–output iris model and the model testing error is 0.5%. We also generate 4930 data samples to develop the internal coupling iris model and less than 0.2% average testing error is achieved for this model. For the coupling and tuning screw model, we generate 36 015 samples of data and average model testing error is 0.51%. Training times of the three submodels are less than 1 min, approximately 3 min, and 2 h, respectively. The submodels are trained using the automatic model generation module of NeuroModelerPlus [41]. In Step 5), full EM data are generated by simulating the entire side-coupled filter with 64 different combinations of geometrical values. The bandwidth and center frequency are varied from 27 to 54 MHz and 11 to 11.7 GHz, respectively. As mentioned earlier, instead of using the -parameters generated using the EM simulator directly, coupling parameters are used as the modeling objectives. We extract 64 coupling values using the -parameter extraction technique, as presented in [44]. In Step 6), we combine the neural-network submodels to represent the filter structure. Both the input–output iris model and coupling and tuning screw model are used twice and the internal coupling iris model is used once to represent the filter, . The neural-network submodels are used to proi.e., duce cross-couplings and empirical models are used to compute self-couplings. As described in Step 7) of the modeling algorithm in Section III, we produce approximate coupling values using the same samples of geometrical parameters of Step 5). Following the procedure as described in Step 8), we assemble training data of the mapping model. Since individual coupling parameters are a function of specific geometrical dimensions rather than a function of all the dimensions, we produce a separate mapping model for each of them. Thus, ten mapping models for the ten coupling parameters, as described in (23), are developed. The mapping models are defined as

(24) represents the th coupling parameter, repwhere resents the th approximate coupling parameter obtained is a subset of , from the neural-network submodel, . Four different sets of EM data of the overall filter, as listed in Table II, are used to develop four sets of mapping models. In Set 1, data from 44 filter geometries are used for training and data from 20 other filter geometries are used for testing. The number of filter geometries is reduced for training in the subsequent three sets and listed in Table II. Training time of the ten neural-network mapping models are less than 5 min. We construct an accurate model of the side coupled filter by connecting the ten neural-network mapping models with the

153

TABLE II COMPARISON OF TEST ERRORS OF SIDE-COUPLED CIRCULAR WAVEGUIDE DUAL-MODE FILTER MODELS DEVELOPED WITH CONVENTIONAL AND PROPOSED HIGH-DIMENSIONAL MODELING APPROACH

submodels and empirical models used to produce an approximate coupling matrix. The overall model is then tested using the test data, as listed in Table II. For comparison, four neural-network models are also trained using the same four data sets in the conventional method, which relates geometrical variables to the coupling matrix directly. The average errors of Table II are calculated taking the differences between the magnitudes of the -parameter (real and imaginary part) from the neural networks and the magnitudes of the -parameter (real and imaginary part) of the EM simulation. The worst case error is obtained by selecting the worst average error among all data of respective test data set. Table II compares the model error between the two methods, which shows that the proposed method is much more accurate than the conventional method for all data sets. By using the proposed method, we can produce good accuracy with a limited amount of data because the mapping function becomes simple after obtaining approximate couplings from submodels (trained with inexpensive data) and the empirical circuit model. On the other hand, the conventional method is inaccurate because the amount of training data is insufficient to produce a 15-D side-coupled filter model. If we were to improve the accuracy of the conventional method, we would have to use a lot more data, which would be expensive and difficult to generate. In Fig. 8, we plot responses of two different filter configurations obtained from the proposed model. It shows that the model can be used to obtain responses for various filter geometries. Fig. 9 shows the effectiveness of the mapping model. The approximate filter response, which is generated from the approximate coupling matrix without using the proposed mapping models, is not satisfactory. The mapping models then provide accurate couplings, which leads to the response very close to the accurate EM response. Fig. 10 shows a plot of the average model test error versus the number of filter geometry used for model training. The plot shows that the model test error of the proposed method is low and decreases consistently with the number of filters used for training. On the other hand, the error of the conventional method stays high at approximately 20%. To reduce the error of the conventional method, we need to use massive training data.

154

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

TABLE III COMPARISON OF CPU TIME OF EM AND NEURAL-NETWORK MODEL OF A SIDE-COUPLED CIRCULAR WAVEGUIDE DUAL-MODE FILTER

Fig. 8. Reflection coefficients of two different side-coupled circular waveguide dual-mode filters obtained using the proposed model. Geometry 1: L : cm, L : cm, L : cm, L : cm, : cm, L : cm, L : cm, L : cm, L : cm, L : cm, L : cm, L : cm, L B : GHz. Geometry 2: L : cm, MHz, f : cm, L : cm, L : cm, L : cm, L : cm, L : cm, L : cm, L : cm, L : cm, L : cm, L : cm, B L MHz, : GHz. f

= 0 909 = 0 236 = 0 134 = 27 = 0 923 = 0 140 = 0 967 = 11 627

= 0 910 = 0 134 = 0 96 = 11 627 = 0 129 = 0 162 = 4 491

= 0 086 = 0 138 = 4 499 = 0 239 = 0 897 = 4 490

= 0 224 = 0 825 = 4 497 = 0 921 = 0 243 = 0 160 = 35

Fig. 9. Reflection coefficient of a side-coupled circular waveguide dual-mode : GHz showing the effectiveness of the filter with B MHz, f neural-network mapping in the coupling parameter space.

= 54

= 11 627

In order to develop an accurate model, e.g., less than 2% of model testing error, using the conventional method, we need to sample sufficiently the specified range for all input variables. For example, if we sample three values for each of the ten geometrical variables, seven values for , and four values for , we need a total samples of the overall filter. The data generation time per sample of the overall min. The total data generation time for this 15-D filter is neural-network model of the conventional method using (12) is min years, which is estimated to be using the massive too expensive. The model training time training data would also be too expensive. We now calculate data generation time of the proposed method. Data generation time per sample of input–output iris, internal coupling iris, and coupling and tuning screw substrucs, s, and s, respectively. tures are To cover the same range of the input geometrical space that samples of the is used in this example, we need samples of the internal coupling input–output iris, samples of the coupling and tuning screw iris, and substructures. In order to achieve less than 2% of model testing error using the proposed method, we also need approximately samples of the overall filter for the training of the mapping model. The total data generation time of the proposed method using (13) is calculated to be min h

Fig. 10. Comparison of average model test error versus the number of filter geometry used for model training in conventional and proposed method of the side-coupled circular waveguide dual-mode filter.

In Table III, we list the model evaluation time of two commonly used EM modeling methods and compare it with the evaluation time of the proposed high-dimensional neural-network modeling method. Full EM simulation of the entire filter needs approximately 6 min using a mode-matching-based EM simulator [42] and 45 min using a finite-element-based EM simulator such as the High Frequency Structure Simulator (HFSS) [45]. The comparison clearly shows that the proposed method is significantly faster than the EM methods, enabling fast design and optimization.

s (25)

of three submodels and ten mapThe model training time ping models all together is less than 10 min and is, therefore, insignificant. Thus, an accurate neural-network model of the side-coupled filter, which is very expensive to develop using the conventional neural-network method, becomes feasible using the proposed method. It is worth mentioning that the methodology is not limited to waveguide filters. It can be readily applied to other types of filters such as coaxial cavity, dielectric, and planar circuit filters. Take the well-known capacitive-gap coupled microstrip filter as an example [39]. Neural-network submodels will be developed to model capacitive gaps, which can be represented by admittance inverters. The capacitive discontinuity can be simulated using a method-of-moment-based EM simulator and the simulation results are then related to parameters of the equivalent circuit, i.e., an admittance inverter. Development of the complete filter model follows the general steps and flow diagram in Section III. Therefore, the implementation for each type of filter will only differ in how the overall filter is decomposed,

KABIR et al.: HIGH-DIMENSIONAL NEURAL-NETWORK TECHNIQUE AND APPLICATIONS TO MICROWAVE FILTER MODELING

the EM method suitable for the type of structure, and the empirical/equivalent model of choice. V. CONCLUSION We have proposed an effective neural-network modeling technique for filters that hold many design variables. It is impractical to develop a neural-network model for such structures in the conventional neural-network approach. We propose a new formulation to integrate neural-network decomposition with filter structure decomposition and then incorporate circuit knowledge to obtain a complete filter model. The filter structure is decomposed into substructures, which reduces the number of variables per submodel. Neural-network submodels are then developed for each of the substructures. Empirical/equivalent-circuit models are combined with neural-network submodels to produce an approximate solution of the filter. Another neural-network model is then trained to map approximate solution to the accurate solution of the filter. The result shows that the proposed method can be used to produce high-dimensional models with few full EM training data, which are usually expensive to generate, compared to the conventional neural-network technique. The method is very useful for developing neural-network models of microwave filters that have many design variables. The developed neural-network models become very useful for fast design optimization of those filters. REFERENCES [1] Q. J. Zhang, K. C. Gupta, and V. K. Devabhaktuni, “Artificial neural networks for RF and microwave design—From theory to practice,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1339–1350, Apr. 2003. [2] Q. J. Zhang and K. C. Gupta, Neural Networks for RF and Microwave Design. Boston, MA: Artech House, 2000. [3] P. M. Watson and K. C. Gupta, “Design and optimization of CPW circuits using EM-ANN models for CPW components,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2515–2523, Dec. 1997. [4] J. W. Bandler, M. A. Ismail, J. E. Rayas-Sánchez, and Q. J. Zhang, “Neuromodeling of microwave circuits exploiting space-mapping technology,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2417–2427, Dec. 1999. [5] P. Burrascano, S. Fiori, and M. Mongiardo, “A review of artificial neural networks applications in microwave computer-aided design,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, pp. 158–174, May 1999. [6] S. Bila, D. Billargeat, M. Aubourg, S. Vereyme, and P. Guillon, “A full electromagnetic CAD tool for microwave devices using a finite element method and neural networks,” Int. J. Numer. Modeling, vol. 13, no. 2–3, pp. 167–180, Mar.–Jun. 2000. [7] B. Davis, C. White, M. A. Reece, M. E. Bayne, Jr., W. L. Thompson, II, N. L. Richardson, and L. Walker, Jr., “Dynamically configurable pHEMT model using neural networks for CAD,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, vol. 1, pp. 177–180. [8] M. Isaksson, D. Wisell, and D. Ronnow, “Wide-band dynamic modeling of power amplifiers using radial-basis function neural networks,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3422–3428, Nov. 2005. [9] J. P. Garcia, F. Q. Pereira, D. C. Rebenaque, J. L. G. Tornero, and A. A. Melcon, “A neural-network method for the analysis of multilayered shielded microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 309–320, Jan. 2006. [10] Y. Wang, M. Yu, H. Kabir, and Q. J. Zhang, “Effective design of crosscoupled filter using neural networks and coupling matrix,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 2006, pp. 1431–1434. [11] H. Kabir, Y. Wang, M. Yu, and Q. J. Zhang, “Neural network inverse modeling and applications to microwave filter design,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 867–879, Apr. 2008.

155

[12] F. Nunez and A. K. Skrivervik, “Filter approximation by RBF-NN and segmentation method,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, vol. 3, pp. 1561–1564. [13] V. Rizzoli, A. Costanzo, D. Masotti, A. Lipparini, and F. Mastri, “Computer-aided optimization of nonlinear microwave circuits with the aid of electromagnetic simulation,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 362–377, Jan. 2004. [14] V. K. Devabhaktuni, M. C. E. Yagoub, and Q. J. Zhang, “A robust algorithm for automatic development of neural-network models for microwave applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2282–2291, Dec. 2001. [15] F. Wang, V. K. Devabhaktuni, and Q. J. Zhang, “A hierarchical neural network approach to the development of a library of neural models for microwave design,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2391–2403, Dec. 1998. [16] H. J. Delgado and M. H. Thursby, “A novel neural network combined with FDTD for the synthesis of a printed dipole antenna,” IEEE Trans. Antennas Propag., vol. 53, no. 7, pp. 2231–2236, Jul. 2005. [17] Y. Lee and D. S. Filipovic, “ANN based electromagnetic models for the design of RF MEMS switches,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 823–825, Nov. 2005. [18] E. K. Murphy and V. V. Yakovlev, “RBF network optimization of complex microwave systems represented by small FDTD modeling data sets,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 7, pp. 3069–3083, Jul. 2006. [19] J. E. Rayas-Sanchez, “EM-based optimization of microwave circuits using artificial neural networks: The state-of-the-art,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 420–435, Jan. 2004. [20] G. Fedi, A. Gaggelli, S. Manetti, and G. Pelosi, “Direct-coupled cavity filters design using a hybrid feedforward neural network—Finite elements procedure,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, pp. 287–296, May 1999. [21] J. M. Cid and J. Zapata, “CAD of rectangular-waveguide -plane circuits by segmentation, finite elements and artificial neural networks,” Electron. Lett., vol. 37, pp. 98–99, Jan. 2001. [22] A. Mediavilla, A. Tazon, J. A. Pereda, M. Lazaro, I. Santamaria, and C. Pantaleon, “Neuronal architecture for waveguide inductive iris bandpass filter optimization,” in Proc. IEEE-INNS-ENNS Joint Int. Neural Networks Conf., Como, Italy, Jul. 2000, vol. 4, pp. 395–399. [23] P. Burrascano, M. Dionigi, C. Fancelli, and M. Mongiardo, “A neural network model for CAD and optimization of microwave filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 1998, vol. 1, pp. 13–16. [24] A. S. Ciminski, “Artificial neural networks modeling for computer-aided design of microwave filter,” in Int. Microw., Radar, Wireless Commun. Conf., Gdansk, Poland, May 2002, vol. 1, pp. 96–99. [25] F. Wang and Q. J. Zhang, “Knowledge-based neural models for microwave design,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2333–2343, Dec. 1997. [26] P. M. Watson, K. C. Gupta, and R. L. Mahajan, “Applications of knowledge-based artificial neural network modeling to microwave components,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, no. 3, pp. 254–260, May 1999. [27] J. Urias, D. Hidalgo, P. Melin, and O. Castillo, “A new method for response integration in modular neural networks using type-2 fuzzy logic for biometric systems,” in Proc. Joint Int. Neural Networks Conf., Orlando, FL, Aug. 12–17, 2007, pp. 311–315. [28] L. Wang, S. A. Rizvi, and N. M. Nasrabadi, “A predictive residual VQ using modular neural network vector predictor,” in IEEE Int. Joint Neural Networks Conf., Montreal, QC, Canada, Jul. 31–Aug. 4 2005, pp. 2953–2956. [29] G. Martinez, P. Melin, and O. Castillo, “Optimization of Modular neural networks using hierarchical genetic algorithms applied to speech recognition,” in Proc. Joint Int. Neural Networks Conf., Montreal, QC, Canada, Jul. 31–Aug. 4 2005, pp. 1400–1405. [30] P. Melin, A. Mancilla, M. Lopez, and O. Castillo, “Pattern recognition for industrial monitoring and security using the fuzzy sugeno integral and modular neural networks,” in Proc. Joint Int. Neural Networks Conf., Orlando, FL, Aug. 12–17, 2007, pp. 2977–2981. [31] Y. Li, K. Wang, and T. Li, “Modular neural network structure with fast training/recognition algorithm for pattern recognition,” in IEEE Int. Granular Comput. Conf., Hangzhou, China, Aug. 26–28, 2008, pp. 401–406. [32] U. Lahiri, A. K. Pradhan, and S. Mukhopadhyaya, “Modular neural network-based directional relay for transmission line protection,” IEEE Trans. Power Syst., vol. 20, no. 4, pp. 2154–2155, Nov. 2005.

H

156

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

[33] R. Anand, K. Mehrotra, C. K. Mohan, and S. Ranka, “Efficient classification for multiclass problems using modular neural networks,” IEEE Trans. Neural Netw., vol. 6, no. 1, pp. 117–124, Jan. 1995. [34] S. Bila, Y. Harkouss, M. Ibrahim, J. Rousset, E. N’Goya, D. Baillargeat, S. Verdeyme, M. Aubourg, and P. Guillon, “An accurate wavelet neural-network-based model for electromagnetic optimization of microwave circuits,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, pp. 297–306, Dec. 1999. [35] T.-S. Horng, C.-C. Wang, and N. G. Alexopoulos, “Microstrip circuit design using neural networks,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, 1993, pp. 413–416. [36] Y. Harkouss, J. Rousset, H. Chehade, E. Ngoya, D. Barataud, and J. P. Teyssier, “The use of artificial neural networks in nonlinear microwave devices and circuits modeling: An application to telecommunication system design,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, pp. 198–215, 1999. [37] G. L. Creech and J. M. Zurada, “Neural network modeling of GaAs IC material and MESFET devices characteristics,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, pp. 241–253, May 1999. [38] S. Selleri, S. Manetti, and G. Pelosi, “Neural network applications in microwave device design,” Int. J. RF Microw. Comput.-Aided Eng., vol. 12, pp. 90–97, 2002. [39] R. J. Cameron, C. M. Kudsia, and R. R. Mansour, Microwave Filters for Communication Systems: Fundamentals, Design and Applications. New York: Wiley, 2007. [40] H. Patzelt and F. Arndt, “Double-plane steps in rectangular waveguides and their application for transformers, irises, and filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 5, pp. 771–776, May 1982. [41] Q. J. Zhang, NeuroModelerPlus. Depart. Electron., Carleton Univ., Ottawa, ON, Canada. [42] J. Zheng and M. Yu, “Rigorous mode-matching method of circular to off-center rectangular side-coupled waveguide junctions for filter applications,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2365–2373, Nov. 2007. [43] M. Yu, D. J. Smith, A. Sivadas, and W. Fitzpatrick, “A dual mode filter with trifurcated iris and reduced footprint,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, vol. 3, pp. 1457–1460. [44] M. A. Ismail, D. Smith, A. Panariello, Y. Wang, and M. Yu, “EMbased design of large-scale dielectric-resonator filters and multiplexers by space mapping,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pt. 2, pp. 386–392, Jan. 2004. [45] Ansoft HFSS. ver. 11, Ansoft Corporation, Pittsburgh, PA, 2007. Humayun Kabir received the B.Sc. degree in electrical and electronic engineering from the Bangladesh University of Engineering and Technology, Dhaka, Bangladesh, in 1999, the Masters degree in electrical engineering from the University of Arkansas, Fayetteville, in 2003, and the Ph.D. degree in electrical and computer engineering from Carleton University, Ottawa, ON, Canada in 2009. From 2001 to 2003, he was a Research Assistant with HiDEC, where he was involved with microwave passive and active circuit design, fabrication, and testing. In 2005, he was a Co-Op Student with COM DEV Ltd. He was also a Teaching and Research Assistant with the Department of Electronics, Carleton University. He is currently a Research Assistant with Carleton University. His research interests include RF/microwave modeling, design, and optimization. Ying Wang (M’05) received the B.Eng. and Masters degrees from the Nanjing University of Science and Technology, Nanjing, China, in 1993 and 1996, respectively, and the Ph.D. degree in electrical engineering from the University of Waterloo, Waterloo, ON, Canada, in 2000. From 2000 to 2007, she was with COM DEV Ltd., where she was involved in development of CAD software for design and simulation of microwave circuits for space application. In 2007, she joined the Faculty of Engineering and Applied Science, University

of Ontario Institute of Technology, Oshawa, ON, Canada, as an Assistant Professor. Her research interests include RF/microwave CAD, microwave circuits design, and radio wave propagation modeling. Ming Yu (S’90–M’93–SM’01–F’09) received the Ph.D. degree in electrical engineering from the University of Victoria, Victoria, BC, Canada, in 1995. In 1993, while working on his doctoral dissertation part time, he joined COM DEV Ltd., Cambridge, ON, Canada, as a Member of Technical Staff. He was involved in the design of passive microwave/RF hardware from 300 MHz to 60 GHz for both spaceand ground-based applications. He was also a principal developer of a variety of COM DEV Ltd.’s core design and tuning software for microwave filters and multiplexers, including computer-aided tuning software in 1994 and fully automated robotic diplexer tuning systems in 1999. His varied experience also includes being the Manager of Filter/Multiplexer Technology (Space Group) and Staff Scientist of Corporate Research and Development (Research and Development). He is currently the Chief Scientist and Director of Research and Development, COM DEV Ltd. He is responsible for overseeing the development of the company’s research and development Roadmap and next-generation products and technologies, including high-frequency and high-power engineering, EM-based CAD and tuning for complex and large problems, and novel miniaturization techniques for microwave networks. He is also an Adjunct Professor with the University of Waterloo, Waterloo, ON, Canada. He has authored or coauthored over 90 publications and numerous proprietary reports. He holds eight patents with six pending. Dr. Yu is the vice chair of MTT-8 and served as chair of TPC-11. He is a member of the Editorial Board of many IEEE and IET publications. He is an IEEE Distinguished Microwave Lecturer from 2010 to 2012. He was the recipient of the 1995 and 2006 COM DEV Ltd. Achievement Award for the development of a computer-aided tuning algorithms and systems for microwave filters and multiplexers. He holds a Natural Sciences and Engineering Research Council (NSERC) Discovery Grant (2004–2013). Qi-Jun Zhang (SM’84–M’87–SM’95–F’06) received the B.Eng. degree from the Nanjing University of Science and Technology, Nanjing, China, in 1982, and the Ph.D. degree in electrical engineering from McMaster University, Hamilton, ON, Canada, in 1987. From 1982 to 1983, he was with the System Engineering Institute, Tianjin University, Tianjin, China. From 1988 to 1990, he was with Optimization Systems Associates (OSA) Inc., Dundas, ON, Canada, where he developed advanced microwave optimization software. In 1990, he joined the Department of Electronics, Carleton University, Ottawa, ON, Canada, where he is currently a Full Professor. He has authored or coauthored over 200 publications. He authored Neural Networks for RF and Microwave Design (Artech House, 2000). He coedited Modeling and Simulation of High-Speed VLSI Interconnects (Kluwer, 1994). He contributed to the Encyclopedia of RF and Microwave Engineering (Wiley, 2005), Fundamentals of Nonlinear Behavioral Modeling for RF and Microwave Design (Artech House, 2005), and Analog Methods for Computer-Aided Analysis and Diagnosis (Marcel Dekker, 1988). He was a Guest Co-Editor for the “Special Issue on High-Speed VLSI Interconnects” for the International Journal of Analog Integrated Circuits and Signal Processing (Kluwer, 1994), and a two-time Guest Editor for the “Special Issues on Applications of ANN to RF and Microwave Design” for the International Journal of RF and Microwave CAE (Wiley, 1999 and 2002). He is a member of the Editorial Board of the International Journal of RF and Microwave Computer-Aided Engineering and the International Journal of Numerical Modeling. He is an Associate Editor for the Journal of Circuits, Systems, and Computers. His research interests are microwave CAD, neural networks, and optimization methods for high-speed/high-frequency circuit design. Dr. Zhang is a Fellow of the Electromagnetics Academy. He is a member on the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He is a member of the Technical Committee on CAD (MTT-1) of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

157

Design and Analysis of Vertical Split Ring Resonator and Its Application to Unbalanced–Balanced Filter Masaya Tamura, Member, IEEE, Toshio Ishizaki, Senior Member, IEEE, and Michael Höft, Senior Member, IEEE

Abstract—We present a design method and analysis for a vertical split ring resonator (SRR). Furthermore, we propose an unbalanced–balanced filter using vertical SRRs. First, we explain vertical SRR with a stepped impedance resonator (SIR) using virtual ground. The resonance frequency is theoretically derived based on asymmetric coupled transmission lines. The resonance frequencies of vertical SRRs, calculated by our derived equation, are in good agreement with those of 3-D simulations and measurements. Next, this resonator is applied to an unbalanced–balanced filter based on low-temperature co-fired ceramic (LTCC). The attenuation poles of this filter are controlled by coupling between high-impedance lines similar to a two-pole filter with a SIR. The dimensions of the measured filter implemented with LTCC are 2.0 1.2 0.60 mm. The insertion loss is 2.80 dB in a 2.4-GHz band. Good agreement between measured and computed results is obtained. Index Terms—Low-temperature co-fired ceramic (LTCC), split ring resonator (SRR), stepped impedance resonator (SIR), unbalanced–balanced filter.

I. INTRODUCTION APID GROWTH of the cellular phone market requires miniaturized handsets for multifrequency operation. In particular, compact and high-performance RF filters are needed. Surface acoustic wave (SAW) filters are often used in cellular handsets for which operating frequencies are less than 2.1 GHz. However, new noncellular communication systems, such as Bluetooth, wireless local area network (WLAN), worldwide interoperability for microwave access (WiMAX), and ultra-wideband (UWB), are now in use. The operating frequencies of these systems are beyond 2.4 GHz, where SAW filters exhibit manufacturing difficulties. Thus, low-temperature co-fired ceramic (LTCC) filters [1]–[4] are commonly used. To avoid electromagnetic (EM) interference, balanced-type RF integrated circuits (RFICs) are normally used. On the other hand, antennas and LTCC filters are usually unbalanced. One solution for this problem is to use a balun [5], [6]. In this case, unbalanced filters with baluns are used for the front-end. The size

R

Manuscript received March 25, 2009; revised October 06, 2009. First published December 18, 2009; current version published January 13, 2010. M. Tamura is with the Corporate Components Development Center, Panasonic Electronic Devices Corporation Ltd., Kadoma City, Osaka 571-8506, Japan (e-mail: [email protected]). T. Ishizaki is with the Advanced Devices Development Center, Panasonic Corporation Ltd., Moriguchi City, Osaka 570-8501, Japan (e-mail: ishizaki. [email protected]). M. Höft is with the European Technology Center, Panasonic Electronic Devices Europe GmbH, D-21337 Lueneburg, Germany (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2036404

and insertion loss is the summation of both the filter and balun. The other solution for this problem is the application of an unbalanced–balanced filter. Since no additional balun is required, the size and insertion loss are small. Therefore, there has been a significant increase of interest in research and development of this type of filter [7]–[15]. To develop high-performance unbalanced–balanced filters, we have proposed a compact half-wave resonator with a high unloaded , named the vertical split ring resonator (SRR) [16]. However, a design method and analysis for the operation principle have not been reported. We present results on the design and analysis of our vertical SRRs. In particular, the effects of coupling between the high- and low-impedance sections are extensively investigated. First, the basic design concept of our vertical SRR is discussed. An equation determining the resonance frequency is then derived. The resonance frequencies for different setups are calculated by this equation and compared with 3-D simulation and measured results. Furthermore, the quality factor of different vertical SRR configurations is characterized. Finally, an unbalanced–balanced filter using our vertical SRRs is introduced. II. DESIGN OF VERTICAL SRR A. Principle of Vertical SRR Fig. 1 shows the structure of our vertical SRR [16]. The structure can be interpreted in several ways. One is that the vertical SRR consists of two folded stepped impedance resonators (SIRs) [17], which are connected with a via-hole at the shorted ends. Two high-impedance sections oppose each other, and the two low-impedance sections lie between them, and confront each other. One end of the high-impedance sections is connected by the first via-hole. The opposite ends of the high-impedance sections are connected to the adjacent low-impedance sections by the second and third via-hole. The remaining end of the low-impedance section is kept open. Hence, a large capacitor is formed between the low-impedance sections. The whole setup works as an SRR. Fig. 2 shows the cross sections of our vertical SRR and the electric and magnetic field distributions are illustrated. This structure is mirror symmetric along the dotted line 1-1 , as shown in Fig. 2. Thus, the plane indicated by line 1-1 acts as a virtual ground. The resonance frequency of the vertical SRR can be determined from the folded SIR, which is stacked vertically. The length of the SIR is shortened by the impedance step ratio , which is given by (1) and are the characteristic impedance of the lowwhere and high-impedance sections, respectively [17]–[19]. Along

0018-9480/$26.00 © 2009 IEEE

158

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 3. Asymmetric coupled lines.

Fig. 1. Basic structure of vertical SRR. Fig. 4. Equivalent circuit of vertical SRR.

Fig. 5. Capacitor assignment for asymmetric coupled lines.

Fig. 2. Cross sections of vertical SRR.

with the effect of the SIR, the effect of the SRR can further shorten the length of the resonator. As a result, the size of the vertical SRR becomes very small. In this structure, the electric field from the low-impedance section is mainly located between the low-impedance section and the virtual ground plane rather than the real ground plane. Hence, this part acts as the capacitor of the SRR. On the other hand, the electric field of the high-impedance section is partly shielded by the low-impedance section. It might be terminated either by the virtual ground plane or by the real ground plane depending on their distances.

The magnetic field distributions are almost canceled between the low- and high-impedance sections because the directions of the current on the striplines are opposite each other. However, the cancellation is not perfect. Since the current level of the lowimpedance section is different from that of the high-impedance section, the weak coupling affects the characteristic impedances of both sections. Therefore, the lengths of the first, second, and third via-holes might be set appropriately to control the characteristic impedances. B. Resonance Condition The resonance condition is calculated using a coupled lines equivalent model as follows [20], [21]. For the initial layout of a filter, the odd-mode resonance is relevant for the estimation of

TAMURA et al.: DESIGN AND ANALYSIS OF VERTICAL SRR

159

Fig. 6. Outline of vertical SRR structure. (a) Perspective view. (b) Cross-sectional view. (c) Layout.

the center frequency of the passband. The feeding and evenmode suppression are better studied using a more accurate simulation model instead. First, even- and odd-mode impedances are obtained for the asymmetric coupled lines. Fig. 3 shows a structure diagram of the asymmetric coupled section, which represents the central high- and low-impedance sections. When two lines with length are allocated in a homogeneous medium, the impedance matrix is obtained by the following equations [22]:

(2)

(3)

and are even- and odd-mode characteristic where and are even- and impedances of line a, while odd-mode characteristic impedances of line b, respectively. The wavenumber is designated by . is equal to due to the reciprocity of the passive structure. The resonance condition is derived using this impedance matrix by giving two boundary conditions at both ends of the coupled lines. One condition depends on the load impedance due to the connection of the lines by the second via-hole, and the other depends on the individual load conditions of the lines at the other end. Fig. 4 shows the equivalent circuit of the vertical SRR using the asymmetric coupled line shown in Fig. 3. The voltage and current from Ports 1 to 4 are given as and , respectively. If the inductance of the second via-hole is given by , the following equations hold for the and . first condition: due to It is assumed for the second condition that , where the loaded the open end at Port 1 and impedance between Port 2 and the ground is given by (4)

160

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 7. Comparison between calculated and simulated resonance frequency.

Fig. 8. Tendency of unloaded

Q factor.

where the inductance of the first via-hole is given by , and the part of the high-impedance section, which is not coupled with the low-impedance section, has characteristic impedance and length , as shown in Fig. 4. The admittance of the equivalent circuit at Port 2 in Fig. 4 is calculated from (2)–(4). When the calculated admittance is equal to zero, the resonance frequency is obtained. The resonance condition is given by

(5) Fig. 9. Unbalanced–balanced filter topology with SIRs.

where

(6) The impedances , following equations:

,

, and

are described by the

(7) (8) (9) (10) is the capacitance per unit length where as shown as Fig. 5, between line a and the ground, is the capaciis tance per unit length between line b and the ground, and the capacitance per unit length between lines a and b. The varidesignates the phase velocity. able C. Resonance Frequency and Unloaded To confirm the performance of our vertical SRR, a doubly loaded single resonator was designed and manufactured, as

shown in Fig. 6. The resonator is weakly capacitive coupled. The structural parameters of the setup are as follows. For the low-impedance section, the linewidth is 600 m, the line length is 775 m (via-hole to open-end: 700 m), and the conductor thickness is 10 m. For the high-impedance section, the linewidth is 200 m, the line length is 1000 m (via-hole to via-hole: 850 m), and the conductor thickness is 10 m. For the LTCC material, the dielectric constant is 57, and the loss tangent is 0.001. Different resonator types are investigated by varying the distance and height , as shown in Fig. 6(b). The distance between the low-impedance sections was set to 46 and 138 m. For both values, the height between the high-impedance secto 730 m. tions varied by five values ranging from Fig. 7 shows the comparison between the calculated, simulated, and measured results of the obtained resonance frequency. The simulation results were obtained by the frequency-domain interpolation sweep, performed with the High Frequency Structure Simulator (HFSS) from the Ansoft Corporation, the resonators were excited with the lumped-element port configuration, and the calculated results were derived from (5). The length in (5) was set to 700 m, which is the distance from the via-hole to the open-end of the low-impedance section. Length is the difference between the length from via-hole to via-hole in the high-impedance section and . The values and of the via-holes are approximated by the inductance of a short

TAMURA et al.: DESIGN AND ANALYSIS OF VERTICAL SRR

161

Fig. 10. Unbalanced–balanced filter with vertical SRRs. (a) Layout. (b) Photograph.

transmission line stub. The calculated capacitances, shown in (7)–(10), include a fringe effect [23]. As can be seen in Fig. 7, the calculated results are in good agreement with the simulated and measured results. To calculate with a higher degree of accuracy, new parasitic elements need to be included. The reason for the difference in the calculation, m in simulation, and measurement results at m is that the fringe field of the capacitor to the top ground electrode becomes too strong since the high impedance line is very close to it. When is larger, the resonance frequency shifts lower. The resonance frequency can be controlled by the length of the via-holes. m Fig. 8 shows the unloaded quality factor . For m, an optimum point for unloaded is observed. and The value of of m with an optimum unloaded is m. Hence, the design of our different from that of vertical SRRs must take into account the optimum set of and values.

III. APPLICATION TO FILTER We introduce an unbalanced–balanced filter in this section. The setup with vertical SRRs is explained with SIRs with virtual ground, as discussed in Section II. The filter is designed as an unbalanced–unbalanced filter with a SIR. First, we explain the design procedure. Next, we show the measured results.

TABLE I THICKNESS OF EACH LAYER

A. Circuit Layout Fig. 9 shows the unbalanced–balanced filter topology with SIRs. To achieve a good balance output, the differential phase and amplitude between Ports B and D are 180 and 0 dB, respectively. Port C is an open circuit. The vertical SRR is a compact half-wave resonator. It is possible to design an unbalanced–balanced filter with the vertical SRRs. The input impedance of all ports in this circuit model is 50 , which means 50 for the unbalanced port (Port A) and 100 for the balanced port (consisting of the two unbalanced ports, Ports B and D). To apply the filter to WLAN systems with cellular phone interferences at 1.5 GHz, the center frequency of the passband is set to 2.45 GHz and the bandwidth is set to 250 MHz. The dimensions are 2.0 1.2 0.6 mm. An attenuation pole is needed at 1.5 GHz with attenuation of 50 dB to suppress the interference from the Japanese cellular system. The basic design of the unbalanced–balanced filter

162

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 11. Comparison of scattering parameters between simulation and measurement. (a) Transmission characteristics of scattering parameters. (b) Transmission characteristics of differential and common modes. (c) Return loss in unbalanced port. (d) Return loss in balanced port.

with the vertical SRRs is determined by one of unbalanced–unbalanced filter with a quarter-wavelength SIR. Finally, the filter structure was fine tuned using the 3-D EM simulator HFSS. To obtain a good magnitude balance, the resonant frequency of vertical SRRs at the unbalanced port be designed to a lower frequency than those at other ports. The resulting filter layout and a photograph of the filter are shown in Fig. 10. This filter was manufactured using LTCC technology. Again, the permittivity is 57 and the dielectric loss tangent is 0.001. The electrodes are made of silver. This filter consists of ten layers. Layers 1 and 10 are contact electrodes. Layers 2 and 9 are ground planes, layers 3 and 8 are the high-impedance section of the vertical SRRs, layers 4 and 7 are for coupling capacitors, and layers 5 and 6 are the low-impedance section of the vertical SRR. The thicknesses of each layer are listed in Table I. For the vertical SRRs, the width and length of the low-impedance section are 782 and 669 m, and those of the high-impedance section are 158 and 959 m, respectively. and in Fig. 9 are calculated from the susceptance After slope of the SIR with a virtual ground based on the HFSS result of the vertical SRR shown in the above parameters, the capacitance values are adjusted using HFSS simulations. The capacitor size determined by the confronting low-impedance section is 500 300 m. The unbalanced and balanced ports are connected to the vertical SRRs by taps. In particular, taps in the balanced port are allocated from the position of the balanced ports, to obtain a 180 differential phase. To minimize the filter size, the taps are allocated in the same layer as the high-impedance sections of the vertical SRRs.

B. 3-D Simulation and Measured Result 3-D simulation was done using HFSS. The simulation setup is the same as explained in Section II-C. The comparison results between the 3-D simulation and measurement are shown in Figs. 11 and 12. For accurately measuring the scattering parameters of the filter, the thru-reflect-load calibration is used. Having calibrated the coplanar waveguide test jig with 50port impedance, the prototype filter was soldered on the jig and measured by a network analyzer (Agilent: 5071B). Since the section of transmission line in the jig is calibrated by the port extension method, the insertion loss of the filter should include the loss down the jig. First, unbalanced and balanced ports were measured without any external circuit. The transmission characteristics are shown in Fig. 11(a). The measured results show good agreement with the simulated one. In particular, the two transmission zeros are predicted by the simulation and confirmed by the measurement. Fig. 11(b) shows the transmission characteristics of the differential and common modes. In the differential mode, the insertion loss of the passband was about 3.5 dB (simulation: 2.8 dB) including a jig loss of 0.2 dB. The bandwidth of the measured results was 80 MHz wider than that of the simulation results. These discrepancies are due to the fact that the actual dielectric constant is lower than that used in the simulation and the dimension uncertainties, which are caused by the use of novel in-house LTCC ceramic tapes. The attenuation pole was observed at 1.5 GHz (simulation: 1.3 GHz). This is the same reason as that of the insertion loss. This attenuation pole is controlled by the distance between

TAMURA et al.: DESIGN AND ANALYSIS OF VERTICAL SRR

163

Fig. 12. Comparison of the magnitude, phase imbalance, and group delay between the simulation and the measurement. (a) Magnitude. (b) Differential phase. (c) Group delay. TABLE II COMPARISON OF PERFORMANCE AND SIZES

the high-impedance sections of the vertical SRRs in the same manner as an unbalanced–unbalanced filter with a SIR [2]. The common mode at the passband was suppressed to more than 22 dB. The return losses for the unbalanced and balanced ports are shown in Fig. 11(c) and (d), respectively. In the simulation results, both losses were better than 10 dB in the passband. However, in the measured results, both losses were less than 10 dB in the passband because of a fabrication error. The magnitude of the imbalance is shown in Fig. 12(a) and (b). An amplitude balance of 0.6 dB and a maximum phase imbalance of 12.5 were obtained within the passband because of high attenuation around the passband. The group delay in the passband was less than 1.34 ns, as shown in Fig. 12(c). Finally, Table II shows a comparison between our filter and other ones based on research done by Chen and Chung [9]. Our filter is smaller than others, but the insertion loss and imbalance of ours is larger. This is due to the transmission zero

near the passband because we wanted to obtain low insertion loss and high attenuation level, especially at the lower side of the passband. However, other researchers on filters remark only insertion loss. In fact, their passbands are wider than ours. Therefore, we believe it is difficult to compare them simply by insertion loss. Therefore, the factor is added in Table II. The values of the other filters are estimated by us interpreting the graphs of these studies. Our measured result was 30 due to manufacturing errors. On the other hand, it was determined to be 40 in the simulation. The others were about 30 in the simulated and measured results. Therefore, our filter is better than the others from the factor point of view. IV. CONCLUSION We described a design method and performance analysis for our vertical SRR, a novel compact half-wave resonator. The equation-derived resonance frequency of our vertical SRR was derived with an equivalent circuit including asymmetric coupled lines. The calculation results from this equation were in

164

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

good agreement with the 3-D finite-element simulation results obtained using a commercial field solver. It was found that the optimum unloaded is achievable by proper settings of the geometrical parameters of the low- and high-impedance lines of the vertical SRR. Finally, an unbalanced–balanced filter with the vertical SRR was introduced. Its dimensions are 2.0 1.2 0.6 mm and performance is a 2.8-dB insertion loss in a 2.4-GHz band. ACKNOWLEDGMENT The authors would like to thank H. Tamai and N. Yoshida, Corporate Components Development Center, Panasonic Electronic Devices Corporation Ltd., Osaka, Japan, for their support in making the trial samples. REFERENCES [1] T. Ishizaki, M. Fujita, H. Kagata, T. Uwano, and H. Miyake, “A very small dielectric planar filter for portable telephones,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 11, pp. 2017–2022, Nov. 1994. [2] T. Ishizaki, T. Uwano, and H. Miyake, “An extended configuration of a stepped impedance comb-line filter,” IEICE Trans. Electron, vol. E79-C, no. 5, pp. 671–678, May 1996. [3] H. Miyake, S. Kitazawa, T. Ishizaki, T. Yamada, and Y. Nagatomi, “A miniaturized monolithic dual band filter using ceramic lamination technique for dual mode portable telephones,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1997, pp. 789–792. [4] L. K. Yeng, K. L. Wu, and Y. E. Wang, “Low-temperature cofired ceramic LC filters for RF applications,” IEEE Microw. Mag., vol. 9, no. 5, pp. 118–128, Oct. 2008. [5] Y. C. Leong, K. S. Ang, and C. H. Lee, “A derivation of a class of 3-port baluns from symmetrical 4-port networks,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 1165–1168. [6] K. S. Ang, Y. C. Leong, and C. H. Lee, “Analysis and design of miniaturized lumped-distributed impedance-transforming baluns,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 1009–1017, Mar. 2003. [7] L. K. Yeng and K. L. Wu, “An LTCC balanced-to-unbalanced extracted-pole bandpass filter with complex load,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1512–1518, Apr. 2006. [8] C. C. Chuang and C. L. Wang, “Design of three-pole single-to-balanced bandpass filters,” in 36th Eur. Microw. Conf., Sep. 2006, pp. 1193–1196. [9] K. T. Chen and S. J. Chung, “A novel compact balanced-to-unbalanced low-temperature co-fired ceramic bandpass filter with three coupled lines configuration,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1714–1720, Jul. 2008. [10] C. H. Wu, C. H. Wang, S. Y. Chen, and C. H. Chen, “Balanced-tounbalanced bandpass filters and the antenna application,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2474–2482, Nov. 2008. [11] M. C. Park, B. H. Lee, and D. S. Park, “A laminated balance filter using LTCC technology,” in Proc. Asia–Pacific Microw. Conf., 2005, pp. 4–7. [12] L. K. Yeung and K.-L. Wu, “An integrated RF balanced-filter with enhanced rejection characteristics,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 713–716. [13] L. K. Yeung and K.-L. Wu, “An LTCC balanced-to-unbalanced extracted-pole bandpass filter with complex load,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1512–1518, Apr. 2006. [14] C.-C. Chuang and C. L. Wang, “Design of three-pole single-to-balanced bandpass filters,” in Eur. Microw. Conf., 2006, pp. 1193–1196. [15] C. L. Tsai and Y. S. Lin, “Analysis and design of new single-to-balanced multicoupled line bandpass filters using low-temperature co-fired ceramic technology,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2902–2912, Dec. 2008. [16] M. Tamura, T. Ishizaki, M. Hoeft, and H. Tamai, “Novel vertical split ring resonator fabricated in LTCC,” in IEEE Korea–Japan Microw. Conf., Nov. 2007, pp. 105–108. [17] M. Makimoto and S. Yamashita, “Compact bandpass filters using stepped impedance resonators,” Proc. IEEE, vol. 67, no. 16, p. 1568, Jan. 1979.

[18] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [19] J. T. Kuo and E. Shih, “Microstrip stepped impedance resonator bandpass filter with an extended optimal rejection bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1554–1559, May 2003. [20] E. M. T. Jones and J. T. Bolljahn, “Coupled-transmission-line filters and directional couplers,” IRE Trans. Microw. Theory Tech., vol. MTT-14, no. 7, pp. 75–81, Apr. 1956. [21] V. K. Tripathi, “Asymmetric coupled transmission lines in an inhomogeneous medium,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 9, pp. 734–739, Sep. 1975. [22] E. G. Cristal, “Coupled-transmission-line directional couplers with coupled lines of unequal characteristic impedances,” IEEE Trans. Microw. Theory Tech., vol. MTT-14, no. 7, pp. 337–346, Jul. 1966. [23] S. S. Bedair and M. I. Sobhy, “Open-end discontinuity in shielded mictrostrip circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 10, pp. 1107–1109, Oct. 1981. Masaya Tamura (M’07) received the B.E. and M.E. degrees in electrical and electronic engineering from Okayama University, Okayama, Japan, in 2001 and 2003. In 2003, he joined the Panasonic Electronic Devices Corporation Ltd., Osaka, Japan, where he has been engaged in research and development on microwave components including lightwaves, especially microwave filters, metamaterials, and plasmonics. Mr. Tamura is a member of the Institute of Electrical, Information and Communication Engineers (IEICE), Japan. He was the recipient of the Best Research Award of the 4th IEEE Hiroshima Student Symposium presented by the IEEE Hiroshima Section. Toshio Ishizaki (M’90–SM’99) received the B.S., M.S., and Doctorate of Engineering degrees from Kyoto University, Kyoto, Japan, in 1981, 1983, and 1998, respectively. In 1983, he joined the Matsushita Electric Industrial Company Ltd. (now the Panasonic Corporation), Osaka, Japan, where he was involved in research and development on microwave circuitry and components, especially on microwave dielectric filters and LTCC modules for cellular radio communications. From 2004 to 2007, he was with the Panasonic Electronic Devices Company Ltd., which is an affiliated company, as a Director of the Research and Development Laboratory. He is currently a Project Leader of the Microwave Module Research and Development, Advanced Devices Development Center, Panasonic Corporation. He has authored or coauthored over ten reviewed technical papers. He holds 90 U.S. patents. Dr. Ishizaki is a member of the Institute of Electrical, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 1998 OHM Technology Award presented by the Promotion Foundation for Electrical Science and Engineering, Japan. He was also the recipient of the 2003 Best Paper Award of the Institute of Energy Economics (IEEJ), Japan. Michael Höft (S’99–M’04–SM’08) was born in Lübeck, Germany, in 1972. He received the Dipl.-Ing. degree in electrical engineering and Dr.-Ing. degree from the Hamburg University of Technology, Hamburg, Germany, in 1997 and 2002, respectively. In 2002, he joined the Communications Laboratory, European Technology Center, Panasonic Electronic Devices Europe GmbH, Lüneburg, Germany, where he is currently engaged in research and development of microwave circuitry and components, particularly dielectric filters for cellular radio communications. His research has also involved (sub-)millimeter-wave quasi-optical techniques and the application of holography to (sub-)millimeter-wave systems. Dr. Höft is a member of the European Microwave Association (EuMA).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

165

Design of Symmetric Trisection Filters for Compact Low-Temperature Co-Fired Ceramic Realization Michael Höft, Senior Member, IEEE, and Tetsuro Shimamura, Member, IEEE

Abstract—In this paper, the design of symmetric bandpass filters with cross-coupled trisections is discussed. The analysis and synthesis is done by well-known matrix manipulation of the lumped element equivalent circuit. The susceptance of the admittance matrix is split up into two separate matrices for capacitive and inductive contributions, which gives more insight into the manipulation of the matrix. Emphasis is put on design of a three-pole bandpass filter with two transmission zeros at the lower side of the passband. Novel solutions for the symmetric topology are derived, having either resonant main coupling or resonant cross-coupling. The potential of the novel topology is demonstrated by a compact realization of a wireless local area network filter at 2450 MHz in low-temperature co-fired ceramic technology. Good agreement between measured and computed results is obtained. Index Terms—Cross-coupled filters, low-temperature co-fired ceramic (LTCC), resonant coupling, transmission zeros, trisection filters.

I. INTRODUCTION

B

ANDPASS filters are analog key components for wireless communication systems. Lower cost and smaller size with even better performance are requested for next-generation devices, driving technology and design toward innovative solutions. At the start of the 1990s, low-temperature co-fired ceramic (LTCC) technology was first utilized for the design of compact coupled stripline two-pole filters with quarter-wave resonators [1]. High dielectric constant of the ceramic substrate, as well as stepped-impedance resonators with a load capacitor led to further miniaturization of the devices [2]. Due to the compactness of the resulting designs, lumped LC elements are sufficient for the representation of the resonators in an equivalent circuit. The electromagnetic coupling of the stripline resonators causes an antiresonance in the coupling path, leading to a transmission zero in the transfer function. The resonant coupling is represented by an equivalent LC circuit in [1]. The antiresonance is controlled by the physical parameters of the coupled stripline resonators, and an additional capacitive coupling. For a three-pole filter design, if three stripline resonators are coupled in a symmetrical setup, the identical resonant LC Manuscript received April 03, 2009; revised July 15, 2009. First published December 11, 2009; current version published January 13, 2010. M. Höft is with the European Technology Center, Panasonic Electronic Devices Europe GmbH, D-21337 Lüneburg, Germany (e-mail: [email protected]). T. Shimamura is with the Corporate Components Development Center, Panasonic Electronic Devices Corporation Ltd., Osaka 571-8506, Japan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2035870

Fig. 1. Symmetric three-pole bandpass filter with resonant coupling and capacitive cross-coupling.

coupling between the adjacent resonators 1 and 2, as well as 2 and 3, cause two transmission zeros at the same frequency. If the antiresonances are located at the low side of the passband, could be used to split up the the a cross-coupling capacitor transmission zeros [3], [4]. The resulting equivalent circuit for the symmetric three-pole bandpass filter with resonant coupling and capacitive cross-coupling is shown in Fig. 1. Other LTCC filter topologies are summarized in [5]. The classic way of designing filters starts with the low-pass prototype, for which a normalized coupling matrix with frequency invariant elements is considered [6]. Transformations of the coupling matrix are performed to generate new filter topologies. The modification of the overall LC coupling matrix directly in the bandpass domain is proposed in [7] and [8] to derive cascaded triplets and quadruplets from resonant LC coupling. In [9], further transformations are proposed to derive a new cascade trisection topology with resonant cross-coupling. The same concepts are applied in this paper to analyze symmetric trisection filter. New solutions are shown to be present, which are based on the circuit of Fig. 1. The basic theory is reviewed in Section II. The matrices, their transformation, and normalization are defined. Section III describes symmetric three-pole filters with capacitive cross-coupling to split up two antiresonances, which are located below the passband. In a dual manner, it is possible to split up the two antiresonances by a cross-coupling inductor if they are located above the passband. Two different sets of parameters for the same topology are shown to have the same filter characteristic. Furthermore it is shown that it is possible to realize two transmission zeros at complex frequencies. Note that if the two antiresonances are located above the passband, it is possible to split them up by a cross-coupling inductor in a dual way, which therefore, is not further discussed. In Section IV, the transformation to a symmetric three-pole filter with nonresonant main coupling is presented. A special case of the three-pole characteristic is present, when the two transmission zeros are located at specific frequencies so that

0018-9480/$26.00 © 2009 IEEE

166

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

nonresonant main and cross-coupling are obtained. This case is documented by an additional example in Section V. Section VI and of the center describes the possibility to annihilate resonator by proper scaling of the matrices. Based on one of the novel topologies, a wireless local area network (WLAN) filter was designed using LTCC technology. The layout, simulations, and measurements are presented in Section VII. Section VIII concludes this study.

column and row are scaled by a factor by this operation. Since is relevant for the description only the susceptance matrix of the coupling matrix, we just focus on its transformed matrix . With (2), the matrix transformation has to be applied siand to result in new matrices and multaneously to (5) (6)

II. THEORY The following normalization to the center element of the original matrices is introduced:

The setup of coupled resonators is described by its admittance matrix

and

(1) Vector denotes the node voltages, vector denotes the impressed currents, which are zero for the internal nodes, i.e., nonzero only for the exciting input/output (I/O) ports [6], [10]. For the given case, the input and output resonators are directly describes connected to the ports. The conductivity matrix the losses of the filter elements. Furthermore, the terminating and at the input and output ports might be conductances and , respectively, for superposed to the elements the analysis process [6], [10]. For the consideration of further effects, e.g., additional transmission zeros caused by direct I/O coupling, impedance transformers or inverters might be added or extended coupling matrix at the ports to result in the description [6]. is split up into two matrices for The susceptance matrix the capacitive and inductive parts as follows: (2) As will be described below, matrix transformations are applied to generate new filter topologies with symmetric triplets. A. Matrix Transformation As is well known, matrix transformations lead to the same filter performance, as long as only the inner rows and columns of the admittance matrix are taken into account for the mathematical operation (compare, e.g., [8]). Here, we only consider , the transformation with respect to a filter topology with but the operation could be generally applied to filters with more resonators, as long as there is no additional coupling present at the central node of the triplet. We define the transformation maas follows: trix (3) If the matrix transformation is applied to matrix is obtained by

, a new admittance

(7)

For example, the capacitor matrix is generally given by (8) The normalized elements of the transformed matrix culated as

are cal(9) (10) (11) (12) (13) (14)

. Note that the normalization factor is Basically, by transformation with arbitrary choice of and for matrix , one obtains solutions for which all coupling elements for and are resonant. In the following sections, we will point out transformations for which specific coupling elements could be set to zero. For symmetric manipulation, and are set to be identical. The factor in the transformation matrix could be used to scale the susceptance of the central resonator. Furthermore, a negative value of will change the sign for all coupling elements attached to the central node 2. In the following sections, we will mainly consider transformations . Later, in Section VI, we will show a new transforwith . mation, which makes use of B. Transmission Zeros The transmission zeros are effected by destructive interfer, which ence of the signals from the cross-coupling path directly connects resonators 1 and 3, and the main coupling path via resonator 2. The node of resonator 2 can be reduced in the admittance matrix to obtain (15)

(4) With this operation, the center column and row of are multiplied by a factor and added to the first column and row, and multiplied by a factor and added to the last column and row, similar as described in [7] and [9]. Furthermore, the center

. As a result, in the lossless with case, the transmission zeros occur at those frequencies for which the following equation is fulfilled: with

(16)

HÖFT AND SHIMAMURA: DESIGN OF SYMMETRIC TRISECTION FILTERS FOR COMPACT LTCC REALIZATION

167

Fig. 4. Asymmetric three-pole bandpass filter with resonant coupling.

Due to the capacitive cross-coupling , the resulting transmission zeros split up. They are located at 1859.4 and 2137.3 MHz. These values can be determined by solving (16). The circles in Fig. 3, and similar graphs shown later on, indicate at which frequencies (16) is fulfilled. Another way to calculate the transmission zeros is described in Section III-A.

Fig. 2. Simulated response of the exemplary three-pole filter.

A. Transformation From Symmetric to Asymmetric Setup

Fig. 3. Frequency dependency of susceptance matrix elements for Fig. 1 with values from Section III.

In this and Section III-B, the transformation from and to asymmetric setups with no cross-coupling is studied, respectively. The corresponding LC circuit is shown in Fig. 4. The asymmetric setup gives more insight into the position of the transmission zeros. For the transformation, we need to determine and so that and . With , we get (20)

III. SYMMETRIC THREE-POLE FILTER WITH CAPACITIVE CROSS-COUPLING

(21)

As basis for these studies, we consider the LC circuit of Fig. 1. and are given by The resulting

With

, a quadratic equation for is obtained as follows: (22)

with two solutions (23)

(17) (18)

with (24)

The element values might be determined by approximation and optimization similar to [8]. Example for Basic Setup: To illustrate the transformation as shown in the following sections, we consider the element values nH, pF, pF, pF, nH, pF, and 50 as I/O port impedance. The resulting scattering parameters of the three-pole filter are shown in Fig. 2. The frequency dependency of the susceptance matrix elements is shown in Fig. 3. The bandpass filter has a passband from 2315 to 2545 MHz with 13-dB return loss, fitting to WLAN applications. The two identical main coupling elements produce antiresonance at MHz

(19)

After some mathematical manipulation, we obtain and

(25)

with the function (26) The two different asymmetric solutions are identical, only the coupling elements 12 and 23 are interchanged. Note that the value 1 was added in the function for consideration of the symmetry (27)

168

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 6. Frequency dependency of susceptance matrix elements for Fig. 4. Fig. 5. Function h (p)

which will be needed later on. The real and imaginary parts are plotted in Fig. 5. Only for , comof plex values result for , and thus, for the transformation. If the values are complex, the transmission zeros are located at complex frequencies, resulting in a nonphysical realization for the asymmetric setup since they cannot be realized by real capacitor and inductor elements in simple resonant coupling arrangement. Nevertheless, it is possible to achieve transmission zeros at complex frequencies by the original cross-coupled triplet setup. In Section V, an example will be discussed for which this case is highlighted. The position of the transmission zeros are determined by the , and , . antiresonances caused by The resulting equations could be simplified by using the identity to achieve

(28)

(29)

where the frequencies are normalized to the resonance fre(i.e., where quency of the center node ) (30) The value is essential for the location of the transmission zeros. The factor in (24) is proportional to the since coupling strength at (31) with susceptance slope parameter (32) If the antiresonances of the basic symmetric setup are located below the passband (i.e., ), the factor is

positive. Since and are fulfilled, the value of is positive for this case, resulting in . Furthermore, the factor positive values for in (28) and (29) has a positive value, resulting in and . On the other hand, if the antiresonances of the basic symmetric setup are located above the passband, the factor is negative and likewise . For , complex , which is achieved values will result. Nevertheless, for , the function will have for sufficient large values of negative real values. In addition, the factor in (28) and and (29) is negative, resulting again in . This means that the transmission zeros are located below the passband, even though the antiresonances of the basic symmetric setup are located above the passband. As discussed in Section III-B, this second solution can be obtained by reverse operation, i.e., by transforming the asymmetric solution back to could the symmetric. Furthermore, the solution with be obtained by another symmetric transformation for the basic setup, as presented in Section III-C. Example for Asymmetric Setup: If we perform the transformation to the example for the basic setup, the standard case applies . The transformed values with nH, nH, nH, are pF, pF, pF, nH, pF, nH, and pF. The frequency dependency of the susceptance matrix elements is shown in Fig. 6. The antiresonances of the coupling elements are identical to the location of the transmission zeros, which have already been stated before at the example for the basic setup. B. Transformation From Asymmetric to Symmetric Setup Since the asymmetric solution was considered in [8] for straightforward determination of the LC element values by approximation and optimization, one may try to find the symmetric solution of Fig. 1 by using the asymmetric setup of Fig. 4 as a starting point. Since scaling is not required in the is set. The first condition that needs to be transformation, and fulfilled by the transformation is symmetry . Herewith we obtain (33)

HÖFT AND SHIMAMURA: DESIGN OF SYMMETRIC TRISECTION FILTERS FOR COMPACT LTCC REALIZATION

As a result, the transformation from asymmetric to symmetric solution is only possible if both resonant coupling elements have since only then the same strength at the center frequency

169

To characterize the two different solutions, the value of (24) for the transformation from symmetric to asymmetric setup of and , it is calculated Section III-A is also regarded. For to be

(34) is valid [compare with (31)]. If both transmission zeros are on the same side of the passband, both terms have the same sign. If one transmission zero is above and one below, the condition can only be fulfilled if, for one of the resonant coupling elements, both and are set to have negative signs. Furthermore, it is required that and

(36) (37) ,

is determined to be (38)

As a first result, to achieve real values, it is required that both transmission zeros are located on the same side of the passband. Furthermore, there are two different solutions. The transformed values of the matrix elements are given by (39)

(40)

(41) with (42) Note that the coupling strength at

First Solution: For the first solution (i.e., is negative, is positive), the fraction is negative since positive coupling ele, are assumed ( , ). Since the ments arithmetic mean is greater than or equal to the geometric mean

(35)

are fulfilled. Since (35), as well as (34) are not automatically fulfilled—if not properly set by constrains in the optimization—the asymmetric setup was not chosen as a basis for all other transformations, and the symmetric circuit of Fig. 1 was considered instead. The conditions are assumed to be true in the following discussion. Note that (35) is not relevant for determination of and . With (33), one gets

For annihilation of

(45)

did not change since

(43) . The norwhich is fulfilled for any transformation with malized antiresonance of the main coupling elements is

(44)

(46) the value is always , indicating the standard type solution of the basic example. If we assume to have both transmisand sion zeros below the passband, holds. Therefore, , , as well as will be negative, resulting in all coupling elements to be positive. Since , the antiresonance of the main coupling elements will be located , i.e., below the passband. below To complete the discussion, we assume that both transmission zeros are located above the passband. The term will be negative. Therefore, holds, i.e., a negative value . To obtain a physical realizable solution, for the element should be considered in this inductive cross-coupling with case, which could be realized analogically. Therefore, this case is skipped in the following discussions. Second Solution: For the second solution (i.e., is positive, is negative), the value is always , indicating the second solution type of Section III-A. The elements are positive. Therefore, the magnetic coupling , as well as is negative, which could, for example, be achieved by change of orientation of central resonator 2, i.e., interdigital ar, the sign of rangement of resonators. Since we assume is negative. Nevertheless, the sign of depends on the value of . Cases: , they are positive. To achieve a physical 1) If solution, it is required to change the sign by , to result in positive values for the capacitive coupling elements . The magnetic coupling also be, the antiresonance of the comes positive. Since coupling elements is located above the passband. , the capacitors and will vanish, i.e., the 2) If main coupling elements are only inductive. The antiresonance will be located at infinite, while the corresponding antiresonance for the first solution is defined by . Further discussion for this special case is presented in Section V. , the sign of and are negative, i.e., 3) If . Since , the antiresonances are located at imaginary frequencies. The exemplary values of the second solution for the basic setup will be presented at the end of the Section III-C.

170

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

C. Second Solution for Basic Setup By proper transformation, the second solution can be determined directly from the symmetrical basic setup. For transforand , the new mation of the basic circuit with is given by element (47) Since target

is already zero, the condition for transformation with is given by (48)

Fig. 7. Frequency dependency of susceptance matrix elements for Fig. 1 with values from Section III-C. The circles indicate at which frequencies (16) is fulfilled.

, which will give no new solution, This means either or , which will result in the second solution for the basic circuit topology of Fig. 1. The transformed normalized matrix values are (49) (50) (51) with (52) did not change since (43) is Again, the coupling strength at fulfilled. The value of (24) for the transformation from symmetric to asymmetric setup is determined by

(53)

Since for the first solution is expected, the new second . The location of the antiresonances solution will have of the main coupling elements is determined by

(54) Note that the same location of the transmission zeros for the transformation to the asymmetric setup by (28) and (29) is con. firmed with (52) and the symmetry (27) of the function Similar as for the second solution in Section III-B, the sign of has changed and the sign of depends on three cases as stated at the end of Section III-B, which can be distinguished by , as given by (52) in the same manner. Example for Second Solution: If we perform the transformaand are tion to the basic setup, the values for positive (first case with ). To achieve positive eland , is considered. The resulting ements for transformed values for the circuit of Fig. 1 are nH, pF, pF, nH, pF, and pF. As exis obtained. The frequency depected, a value of pendency of the susceptance matrix elements is shown in Fig. 7. The two main couplings have antiresonance at 3040.7 MHz. Note that the position of the antiresonance is not essential for the

Fig. 8. Symmetric three-pole bandpass filter with inductive main coupling and resonant cross-coupling.

location of the transmission zeros. In comparison to the first solution, the second solution has the advantage that the cross-coupling capacitor is sufficient large. Therefore, the design is less sensitive to manufacturing tolerances. IV. SYMMETRIC THREE-POLE FILTER WITH NONRESONANT MAIN COUPLING As proposed in [9], the resonant main coupling elements can be made nonresonant to result in a resonant cross-coupling. Even though in [9] it is mentioned that a pole below the passband results in a series C in the main line, it will be shown that it is also possible to obtain inductive main coupling. The related LC circuit is shown in Fig. 8. For completeness and comparison, we will also consider the circuit with capacitive main coupling. A. Capacitive Main Coupling The target for the corresponding transformation of the basic circuit from Section III is , i.e., a transforis required. With , the mation with transformed normalized matrix values are (55) (56)

(57) Since we have , all these values are negative, resulting in positive LC elements.

HÖFT AND SHIMAMURA: DESIGN OF SYMMETRIC TRISECTION FILTERS FOR COMPACT LTCC REALIZATION

Fig. 9. Frequency dependency of susceptance matrix elements for solution with capacitive main coupling and resonant cross-coupling.

171

Fig. 10. Frequency dependency of susceptance matrix elements for solution with inductive main coupling and resonant cross-coupling.

Example: If we apply the transformation to the example of the basic setup, the following values are obtained: nH, nH, pF, pF, pF, pF, and nH. The frequency dependency of the susceptance matrix elements is shown in Fig. 9. The cross-coupling has antiresonance at 1737.4 MHz. B. Inductive Main Coupling To obtain inductive main coupling, and must is required. be zero, i.e., a transformation with This is basically the same transformation as proposed in [9], but , the transformed for a pole above the passband. With normalized matrix values are (58) (59) (60) is positive, the resulting with , as given by (52). Since and are negative. If remagnetic coupling elements with quired, the sign can be changed by scaling with , the sign no influence on the cross-coupling. Due to of is positive. The sign of depends on different cases, which can be distinguished by in similar manner as discussed before. Cases: , the inductive coupling element is pos1) If , the antiresonance of the cross-couitive. Since . pling is also located below the passband , the inductive coupling will vanish, i.e., the 2) If cross-coupling is only capacitive. Since , the transformed matrix is identical to the one of the same case for the second solution for the basic circuit (Fig. 1), as discussed in Sections III-B and C. , the inductive coupling element is negative. 3) If The antiresonances is located at imaginary frequencies. Example: If we perform the transformation to the basic setup, nH, the following element values are obtained: nH, pF, pF, nH, nH, and pF. The frequency dependency of the susceptance matrix elements is shown in Fig. 10. The cross-coupling has antiresonance at

Fig. 11. Symmetric three-pole bandpass filter with nonresonant coupling.

1540.1 MHz. In comparison to all other solutions, the value of is the smallest one. One reason is that is negative. For all other solutions of the example, the realization of a negative inductive coupling is related with a negative capacitive coupling, leading to a nonphysical solution. As a result, this solution is advantageous for a compact LTCC realization, which will be discussed in Section VII. V. SPECIAL CASE: SYMMETRIC SETUP WITH NONRESONANT MAIN AND CROSS-COUPLING As presented above, there is a special case if the normal. For the transformed cirized antiresonance is cuit, the main coupling is only inductive and the cross-coupling is only capacitive to result in the circuit of Fig. 11. From well-known theory, based on the low-pass prototype and ideal elements with constant coupling strength, only one transmission zero is expected for this setup (compare, e.g., [12]). Nevertheless, a second transmission zero occurs due to the frequency dependency of the LC coupling, which to our knowledge has not been reported for this simple case before. Therefore, this case is emphasized by an additional example. Example: The following element values were used as basis nH, nH, for the transformation: pF, pF, nH, and pF. The values of and were determined to fulfill Furthermore, the cross-coupling capacitor was set to zero for the example so that the two transmission zeros are located at the same point. By applying the transformation to the second solution as described in Section III-C, the following element values are obnH, nH, pF, tained: pF, nH, pF, and pF. Since , the value is obtained by

172

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 12. Frequency response of the three-pole filter from Fig. 11 for: : 1 C , (b) C C , and (c) C : 1C . (a) C

= 0 95

=

= 1 05

(53). If is increased, holds and the transmission is reduced, the condition zeros split off. Furthermore, if can be achieved so that the transmission zeros are located at complex frequencies. To cover these three cases, the frequency response is presented in Fig. 12 for three dif. For case (a), holds so that ferent values of the transmission zeros are located at complex frequencies. For case (b), equals 4 and both transmission zeros are located at MHz. For case (c), holds and the transmission zeros are at MHz and MHz. Note that the magnitude of the response for (a) and (c) is since the -values for the related first solutions identical at with resonant main coupling only differ by their sign, i.e., . Due to the antiresonance of the main coupling at , the center resonator 2 is bypassed. Therefore, resonators 1 and 3 are only coupled by the cross-coupling capacitor at . VI. ANNIHILATION OF

AND

In this section, another remarkable transformation is presented. It is possible to transform the basic circuit to the LC and , as shown in Fig. 13. As a circuit with annihilated and form a series resonance. The circuit can be result, symmetrized by splitting up the series resonator into two parts and . Even though it is possible to apply the with transformations on the symmetric setup, we will consider the asymmetric circuit of Section III-A instead. The first condition and by is the cancellation of the coupling elements and . The second condition for setting obtaining and is then given by and . and are reFor the first condition, quired, resulting in

Fig. 13. Three-pole bandpass filter with annihilated L and C .

Similar to the transformation from asymmetric to symmetric setup from Section III-B, and with respect to (31), it is required that both coupling elements 12 and 23 have the same strength in magnitude, but in this case, have opposing signs. Only at if one transmission zero is below and the other above the passand ), the sign condition is auband (e.g., tomatically fulfilled. If both transmission zeros are located on the same side, it is required to change the signs for one of the LC coupling elements. This sign change is identical to perform a scaling with factor 1 at resonator 3 (or resonator 1). Even though resonator 3 is directly attached to one of the I/O ports, the magnitude response will not change. Note that if we perform the scaling for the symmetric setup with cross-coupling elements, and will also change their sign. For the asymmetric circuit without cross-coupling, the transformed element values are and (63) (64) If the transmission zeros are located on the same side of the passband, and holds due to the required scaling and of one coupling path with 1. Therefore, the elements are negative, and no physical realization is possible. Nevertheless, since no further scaling is possible, this is a canonical solution. and is identical to . The The series resonance of antiresonance of the cross-coupling is determined by (65) The antiresonance is identical to if . This is the case that has already been emphasized in [9], and which, moreover, corresponds to symmetric located transmission zeros: If we consider the bandpass to low-pass transformation function (66)

and To fulfill the second condition, i.e.,

(61) and

must equal

1,

(62)

with center frequency and bandwidth , the relation will result. As a result, it is possible to obtain the circuit as shown in Fig. 13 for symmetric frequency response by low-pass to bandpass transformation. For filters with an odd number of poles,

HÖFT AND SHIMAMURA: DESIGN OF SYMMETRIC TRISECTION FILTERS FOR COMPACT LTCC REALIZATION

173

Fig. 14. Frequency dependency of susceptance matrix elements for Fig. 13.

the synthesis of generalized Chebyshev prototypes with appropriate ladder-type networks is described in [11, Ch. 3.8.2]. If the reverse of the matrix operation is applied generally in the bandpass domain, any series LC resonance can be transformed to parallel LC resonance so that it is not required to perform series to parallel transformation by ideal impedance inverters in the low-pass domain. Discussion: For asymmetric frequency response, frequency invariant elements are required for the low-pass prototype, e.g. to shift the zero crossing of the elements from the center frequency. In the bandpass domain, the series and parallel resonators do not need to have identical center frequency, as required by the low-pass to bandpass transformation. Therefore, frequency invariant elements are not necessarily required if the mapping of the generalized Chebyshev polynomial to LC elements is directly performed in the bandpass domain. Example: The following element values are obtained for the nH, pF, basic example nH, pF, and pF, nH. The frequency dependency of the susceptance matrix elements is shown in Fig. 14. The cross-coupling has antiresonance at 1671.4 MHz. The series branch has resonance at 2377.7 MHz.

Fig. 15. Cross-sectional view of the designed three-pole filter.

Fig. 16. (a) 3-D view of simulation model. (b) Manufactured device with size of 1.6 0.8 0.52 mm .

2

2

VII. EXEMPLARY LTCC REALIZATION The novel symmetric triplet configuration of Fig. 8 with inductive main coupling and resonant cross-coupling is considered for the design of a compact LTCC filter. The filter has an overall size of 1.6 0.8 0.52 mm , resulting in one of the smallest three-pole filters for WLAN application. The cross-sectional views of the 3-D simulation model are depicted in Fig. 15. The dielectric constant of the LTCC substrate is 54 and the loss tangent is 0.001 at 2.5 GHz. The metal plates have a thickness of approximatey 10 m and consist of an silver alloy, which has S/m. The conducting vias have a a conductivity of diameter of 80 m. In Fig. 16(a), a schematic 3-D view of the novel WLAN filter is shown. In Fig. 16(b), a photograph of the manufactured LTCC prototype with full print of the side electrode is depicted. The layers of the LTCC stack-up are sketched in Fig. 17. Layer 6, which consists of two ceramic sheets, is included below the bottom ground plane for mechanical stability. Layer 2 and layer 3 is comprised of nine and eight sheets, respectively. The and are located on layer 5, the inductors capacitors

Fig. 17. 3-D view of LTCC layer stackup.

and are realized by transmission lines, which are vertically oriented (in the -direction), consisting of an inner conductor formed by the vias, which penetrate layers 1–4. The outer conductor is formed by the side electrodes, which are short circuited to the vias at top ground. The transmission lines have an electrical length of less than 10 at 2.5 GHz. Therefore, the coupling . The coupling between them is mainly inductive, to result in strength is adjustable by changing the distance between the vias. To obtain resonant cross-coupling, the two series coupled capac, are added on layer 4. Note that a slot itors, each having and to obtain several benefits. is included in the plates of Firstly, the plates for overlap so that self-compensation of

174

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 18. Response of the designed LTCC three-pole filter.

the capacitor value is obtained with respect to layer misalignand ments. Secondly, the same holds for the values of since the slot coincides with the corner of aperture in the bottom ground plane. Thirdly, due to the aperture and the slot, a slight and is obtained. increase of the overall inductor values Since for the novel topology only inductive coupling of resonator 2 is required, its capacitor electrode is placed on layer 2. is composed of a vertical and horizontal transIts inductor is realized by a stripline mission line. The horizontal part on layer 3, which is grounded at one of the side electrodes. It is connected to the via, penetrating layer 2, which forms the inner . Only the vertical part is inconductor of the vertical part and to obtain and . The couductively coupled to pling strength is adjustable by changing the height of layer 2, as well as the position of the via. Due to the resulting interdigital arrangement of the resonators, the three-pole filter does not require more space than a conventional two-pole filter with same size, which basically consists of resonators 1 and 3 of this design. In other words, due to the simple topology of the three-pole filter, resonator 2 could be included into a two-pole filter design without increasing the space. In Fig. 18, the scattering parameters of the designed LTCC filter are presented. The simulated values are determined by full-wave 3-D field simulations with Ansoft’s High Frequency Structure Simulator (HFSS). The extracted parameters for the equivalent LC circuit of Fig. 8 are determined to be nH, nH, pF, pF, nH, pF, nH, , and . The quality facGHz by . The tors were determined at measured response agrees well with the simulation response. Note that it is intended to have a nonequiripple response of the return loss in the overall passband of the filter to achieve an improved response in the relevant WLAN band from 2400 to 2500 MHz. A return loss of better than 16 dB and an insertion loss of better than 2.3 dB is obtained for the measured LTCC sample in the WLAN band. The blocking performance is better than 27 dB at 2170 MHz and 34 dB at 1990 MHz. VIII. CONCLUSIONS In this paper, the design of symmetric bandpass filters with cross-coupled trisections was presented. Novel solutions were

derived by splitting up the susceptance matrix into two separate matrices for the capacitive and inductive contributions and proper transformation. For the symmetric three-pole bandpass filter with resonant main coupling and capacitive cross-coupling, two different solutions for the same filter characteristic were found to exist. Furthermore, it has been shown that it is possible to realize two transmission zeros at complex frequencies. The transformation to a symmetric three-pole filter with nonresonant main coupling was presented. Furthermore, a special case of the three-pole characteristic has been shown to be present, when the two transmission zeros are located at specific frequencies, so that nonresonant main coupling and cross-coupling are obtained. A new way to convert series to parallel branches in the bandpass domain using transformation and scaling has been proposed, which spares the use of impedance inverters. The results were studied using examples of three-pole filters with two transmission zeros below the passband. Nevertheless, the transformations are generally applicable to filters with more than three poles and other location of transmission zeros. Based on the novel topology with inductive main coupling and resonant cross-coupling, a WLAN filter with two transmission zeros below the passband was designed in LTCC technology. Except for the capacitive cross-coupling, only inductive main coupling and cross-coupling is required for the design. Therefore, a compact layout is obtained. Measurements and simulations were shown to be in good agreement.

REFERENCES [1] T. Ishizaki, M. Fujita, H. Kagata, T. Uwano, and H. Miyake, “A very small dielectric planar filter for portable telephones,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 11, pp. 2017–2022, Nov. 1994. [2] T. Ishizaki and T. Uwano, “A stepped impedance comb-line filter fabricated by using ceramic lamination technique,” in IEEE MTT-S Int. Microw. Symp. Dig., San Diego, CA, May 1994, pp. 617–620. [3] T. Ishizaki, H. Miyake, T. Yamada, H. Kagata, H. Kushitani, and K. Ogawa, “A first practical model of very small and low insertion loss laminated duplexer using LTCC suitable for W-CDMA portable telephones,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2000, pp. 187–190. [4] M. Höft, M. Tamura, and T. Ishizaki, “Self-compensation of alignment tolerances for couplings in LTCC filters,” Freq. J. RF Eng. Telecommun., vol. 62, no. 9–10, pp. 216–221, Sep. 2008. [5] L. K. Yeung, K.-L. Wu, and Y. E. Wang, “Low-temperature cofired ceramic LC filters for RF applications,” IEEE Microw. Mag., vol. 9, no. 5, pp. 118–128, Oct. 2008. [6] R. J. Cameron, C. M. Kudsia, and R. R. Mansour, Microwave Filters for Communication Systems: Fundamentals, Design and Applications. New York: Wiley, 2007. [7] R. Hershtig, R. Levy, and K. A. Zaki, “Synthesis and design of cascaded trisection (CT) dielectric resonator filters,” in Eur. Microw. Conf. Dig., Jerusalem, Israel, 1997, pp. 784–791. [8] R. Levy and P. Petre, “Design of CT and CQ filters using approximation and optimization,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2350–2356, Dec. 2001. [9] R. Levy, “New cascaded trisections with resonant cross-couplings (CTR sections) applied to the design of optimal filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, Jun. 2004, pp. 447–450. [10] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [11] I. C. Hunter, Theory and Design of Microwave Filters, ser. Electromagn. Waves. London, U.K.: IEE Press, 2001, vol. 48. [12] J. B. Thomas, “Cross-coupling in coaxial cavity filters—A tutorial overview,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pt. 2, pp. 1368–1376, Apr. 2003.

HÖFT AND SHIMAMURA: DESIGN OF SYMMETRIC TRISECTION FILTERS FOR COMPACT LTCC REALIZATION

Michael Höft (S’99–M’04–SM’08) was born in Lübeck, Germany, in 1972. He received the Dipl.-Ing. degree in electrical engineering and Dr.-Ing. degree from the Hamburg University of Technology, Hamburg, Germany, in 1997 and 2002, respectively. In 2002, he joined the Communications Laboratory, European Technology Center, Panasonic Electronic Devices Europe GmbH, Lüneburg, Germany, where he is currently engaged in research and development on microwave circuitry and components, especially on dielectric filters for cellular radio communications. Dr. Höft is a member of the European Microwave Association (EuMA).

175

Tetsuro Shimamura (M’07) was born in Kawasaki, Japan, in 1967. He received the B.E. degree in physics from Kyoto University, Kyoto, Japan, in 1991. In 1991, he joined the Panasonic Electronic Devices Company Ltd., Osaka, Japan, where he has been engaged in research and development on piezoelectric crystal oscillators/filters, optical filters, and currently on microwave dielectric filters for cellular radio communications.

176

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Analysis and Design of a Chip Filter With Low Insertion Loss and Two Adjustable Transmission Zeros Using 0.18-m CMOS Technology Chin-Lung Yang, Student Member, IEEE, Shin-Yi Shu, and Yi-Chyun Chiang, Member, IEEE

Abstract—This paper presents the structure of a high-selectivity bandpass filter that is fabricated on low-resistivity silicon substrate with a commercial CMOS technology. The filter is constructed using crossed coplanar waveguide (CPW) lines and metal–insulator–metal capacitors to ensure that it has the desired passband characteristics. An adjustable capacitor between the input and output ports is employed to form a capacitive cross-coupled path, yielding two transmission zeros in the lower and upper stopbands, respectively. Additionally, the coupling mechanism can be modified by turning on or off the gate of an nMOS transistor to adjust the positions of the transmission zeros by applying an externally controlled voltage. To obtain a low passband loss and to minimize the chip size, high-impedance CPW transmission lines are adopted. Our analysis indicates that the CPW line possesses more advantages than the preferred stacked-ground CPW line for constructing the proposed filter. A very compact -band experimental prototype with a size of 0.88 0.54 mm2 was designed and fabricated. The measurements reveal an insertion loss of less than 3.2 dB in the passband, which is from 10.6 to 12.7 GHz, and rejection levels greater than 35 dB at the designed frequencies of transmission zeros. Moreover, the lower and upper transmission zeros can be shifted from 5 to 6.5 GHz and from 18 to 21.4 GHz, respectively, by changing the controlled voltage. Index Terms—Chip-type bandpass filter, CMOS technology, transmission zeros.

I. INTRODUCTION

I

N MODERN communication systems, high-quality microwave bandpass filters are important components to suppress stop-band interference and improve the sensitivity of RF wireless receivers. A filter with very high selectivity and only a few resonators is preferred. The most popular way of designing such a filter is to add extra elements to the original structure of a filter to create extra transmission zeros in the upper and lower stopbands and thereby sharpen the cutoff rate [1]–[9]. In [1]–[4], the filter is composed of one-wavelength ring resonators, a pair of feed lines, and coupling gaps. Such a structure is called a dual-mode filter, which can produce two transmission zeros close to passband corners by the perturbation that is caused by extra shortstubs, step impedances, or

Manuscript received May 05, 2009; revised September 21, 2009. First published November 24, 2009; current version published January 13, 2010. This work was supported by the National Science Council of Taiwan under Project NSC 97-2221-E-182-016-MY3. The authors are with the Institute of Electronics Engineering, Chang Gung University, Tao-Yuan 333, Taiwan (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2009.2035871

coupling capacitors in the ring resonator structures. However, such a filter occupies quite a large area because the length of the ring must be one wavelength. Another approach for generating transmission zeros in the stopbands of a filter involves the source–load capacitive coupling technique [5], which is widely utilized in filters that are constructed from low-temperature co-fired ceramic (LTCC) technology [6]–[8]. In [9], a structure that incorporates a capacitor between a second-order combline filter and the ground to produce two transmission zeros in the lower and upper stopbands. Experimental results obtained for the aforementioned filters show that the transmission zeros may be adjusted to be close to or far from the corners of passbands by changing the capacitances of the coupling capacitors without significantly affecting the passband characteristics. Although LTCC technology is extensively applied to realize electrical products based on the concept of system-in-package, some studies have shown that constructing chip filters using a commercial CMOS technology enables all components to integrate into a single chip. These chip filters may be classified into two main categories: distributed type and lumped-element type. The distributed-type chip filters are constructed with microstrip lines or coplanar waveguides (CPWs) [10]–[12] or dual-mode square resonators [13]. However, these filters require large chip areas, and therefore, are more suitable for millimeter-wave applications. In the lower microwave band, the lumped-element approach is adopted to construct filters with reasonable sizes on valuable silicon chips fabricated with a commercial CMOS technology [14]. However, such filters suffer from poor rejection rates because of the lack of highelements used in CMOS technology. Recently, a -band chip filter was presented, the filter uses GaAs integrated circuit (IC) technology to construct asymmetrical compact resonators that not only produce two transmission zeros located in the lower and upper stopbands, but also achieve a compact chip size and a low insertion loss in the designed passband [15]. Since the factors of components that are fabricated using COMS technology are typically lower than those of components fabricated with GaAs technology, asymmetrical compact resonators may not be as effective in realizing CMOS chip filters. In this study, a new structure that utilizes the six-metal layer feature, which is provided by a 0.18- m CMOS technology and the skill of separating the passband characteristics and transmission zeros, which are located at the stopbands was presented. This filter is also synthesized with the semi-lumped concept to minimize the chip size and insertion losses in the passband.

0018-9480/$26.00 © 2009 IEEE

YANG et al.: ANALYSIS AND DESIGN OF CHIP FILTER

177

Fig. 2. Even- and odd-mode equivalent circuits of the original prototype. (a) Even-mode excitation. (b) Odd-mode excitation. Fig. 1. Schematic of the proposed state-of-the-art filter with a cross-coupled capacitor between the source and load ports.

Since the filter consists of metal–insulator–metal (MIM) capacitors and crossed CPW lines whose layout patterns can be precisely controlled by the IC fabrication process, the precise inductance ratio of the two inductive components that yields the desired bandwidth of the passband can be achieved. The filter includes a tunable cross-coupled path to generate two controllable transmission zeros in the lower and upper stopbands, respectively, to improve the roll-off rate at the passband’s corners. This adjustable mechanism is implemented by inserting a switching transistor, which is an easily accessed component in CMOS technology into the path, to provide flexibility in the rejection of the unwanted image signal and the inference signals generated by the commercial wireless systems, such as 802.11a wireless local-area network (LAN) or the 24-GHz short-range radar. Accordingly, the mechanism ensures that the requirements of the different communication systems can be met. Some design ideas based on even/odd analysis method and the conventional second-order filter synthesis method are adopted in preliminary filter design [2], [16], [17]. The components of the filter are then analyzed using a commercial electromagnetic (EM) simulator, Aglient Technologies’ Advanced Design System (ADS), to evaluate the proper parameters for filter implementation. An -band prototype was fabricated to verify the proposed filter structure. The prototype 0.54 mm , equivalent to occupies a very small size, 0.88 , and demonstrates an insertion loss of about 2.78 dB at the central frequency. Two controllable transmission zeros located in the lower and upper stopbands achieve greater than 35-dB attenuation.

the locations of transmission zeros and the component values of the proposed filter. By placing a magnetic or electric wall on the central plane in Fig. 1 yields the even- and odd-mode equivalent-circuit models that are shown in Fig. 2. The transmission coefficients of the original prototype are given by [2] (1) , is the terminal where and are the even- and odd-mode input impedance, and impedances, respectively, of the half circuits in Fig. 2. The trans, mission zeros of the filter can be obtained by letting which leads to (2) According to the circuits shown in Fig. 2, the even- and oddmode impedances of the circuits are derived and substituted into (2). One can then obtain a fourth-order polynomial as (3) where

(4) From (3) and (4), the frequencies of the transmission zeros can be found

II. FILTER STRUCTURE AND DESIGN Fig. 1 shows the schematic of the proposed filter, which is constructed by adding an adjustable coupling capacitor between the input/output (I/O) ports of a second-order inductive-coupled filter. Owing to the structural symmetry of the filter, even- and odd-mode analysis, as described in [2], may be used to analyze the circuit. The characteristics of the filter in the passband and related to the components of the filter have been clearly defined [17]. However, the locations of the transmission zeros of the proposed filter have not been defined. Therefore, it is important to determine the relationship between

(5) and (6)

The characteristics of the proposed filter are quantitatively elucidated. It is designed to have a passband of 10.6–12.7 GHz, 0.1-dB ripple, and transmission zeros at 5.5 and 21.4 GHz. From

178

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 3. Applying the Y -parameter to analyze the transmission zeros of the proposed filter (solid lines represent the magnitude and phase of the filter core and dotted lines feature the magnitude and phase of the capacitor C ).

Fig. 5. (a) Cross-section topology. (b) Top view of the S-GCPW transmission line.

Fig. 4. Simulated S -parameters with different C

versus frequency.

(3)–(6) and the corresponding formulas of the second-order inductive-coupled filter introduced elsewhere [16], [17], the compF, pF, ponent values of the filter are nH, nH, and pF based pF, which is based on previous on the assumption that design experience [14]. As determined by the graphical analysis method of estimating the locations of transmission zeros of the filter core and the admitproposed elsewhere [7], should have the same magnitude, but tance of capacitor opposite phases at the frequencies of the transmission zeros, as is evidenced by the computed results that are plotted in Fig. 3. The frequencies of the transmission zeros are exactly the same as the values previously determined. Fig. 4 shows that the two transmission zeros can be moved to other frequencies by varying between 0.01–0.02 pF, while the other component values stay the same. The passband characteristics are not affected, while sharp suppression can occur around the frequency ranges 5.5–6.5 and 18–21.4 GHz. The filters have almost identical passband characteristics because the signal power conveyed from the is much weaker source to the load through the capacitor than the filter core in the passband, but not in the stopbands. To implement a capacitor with small and adjustable capacitance using a CMOS technology, plate metals can be used in Layer 3 and Layer 5 to realize the coupled capacitors and incorporate an nMOS switching transistor, the scheme of which

will be discussed in Section III. Due to the conductor losses of the practical components, especially the inductive components, of these components cause the practical fractional the finite to deviate from its assumed ideal value. Therebandwidth fore, the bandwidth of the filter must be estimated by taking the ’s of the inductors into account. An analytical method presented in another study [17] is employed here to derive the fracof the proposed filter tional bandwidth (7) and represent the elements of the low-pass protowhere and are the quality factor and parasitic retype and , respectively. From (7), the fractional bandwidth sistor of can be slightly extended by increasing the inductance of or reducing the inductance of . It also can be adjusted by varying or simply changing the ratio of the physthe ratio of and and , while ical length of the two inductive components and remain the same since the components fabricated by the same technology have almost the same quality factors. III. IMPLEMENTATION OF THE MINIATURE CHIP FILTER BY USING CMOS TECHNOLOGY A. Considerations of Guided-Wave Structures As is well known, it is difficult to construct low-loss inductors or transmission lines on low-resistivity silicon substrates. Therefore, a proper structure must be chosen to realize the inductive components of the proposed bandpass filter. Designs of CMOS circuits use essentially two categories of transmission line structure. One is the CPW and the other is the stacked-ground CPW (S-GCPW). The structure of the S-GCPW displayed in Fig. 5(a) and (b) uses a bottom ground

YANG et al.: ANALYSIS AND DESIGN OF CHIP FILTER

179

Fig. 6. Simulated characteristic curves of the inductance and attenuation conm, stant for the CPW and the S-GCPW configurations. (S-GCPW: l w m, s m. CPW: l m, s m, w m.)

= 20

= 300

= 415

= 20

= 715 = 300

Fig. 8. Analyzing the characteristics of the wide-spacing CPW ferent w on low-resistivity silicon substrate. (The triangular dot l m, w m, s m. The square dot l m, w m, s m. The diamond dot m, s m, w m.) l

= 450 = 415 = 360

= 30 = 300 = 20 = 300 = 10 = 300

versus difrepresents: represents: represents:

Fig. 7. Influences of finite metal conductivity on the CPW and S-GCPW configurations.

shield to prevent the electric field from penetrating into the lossy substrate and worsening performance. Relatively favorable characteristics for millimeter-wave applications have been demonstrated [18]. However, two design limitations for the S-GCPW structure are notable. The first is that the maximum metal density must meet the design rules dictated by the foundry fabrication process. Consequently, the Metal 1 ground plane must be slotted or substituted by a grid. This effect has been studied and the effective conductivity of the grid ground has been found to be about 0.45 times that of the original metal [19]; this value must be changed to 0.83 for TSMC 0.18- m CMOS technology. The second limitation is that the S-GCPW cannot easily provide a compact transmission line with the high characteristic impedance that may be necessary for constructing the inductive components of a microwave filter that is synthesized using a semi-lumped approach. The different structures of transmission lines were analyzed herein and the corresponding configurations compared in terms of the required inductance, the attenuation constant, and the occupied size. Since the characteristic impedance of a CPW depends on the linewidth, the thickness of the strip, and the gapwidth, it may be sensitive to process variations. In one study [20], some formulas were adopted to demonstrate that this effect is curable is much greater than the linewidth . if the line spacing Therefore, a quite wide line spacing of 300 m is adopted in

Fig. 9. 3-D configuration of the Chebyshev-type filter.

the CPW structure. A CPW and S-GCPW lines with the same spacing are studied and compared. The caption in Fig. 6 depicts the physical sizes of the CPW and S-GCPW lines. As it shows, the attenuation constant of the S-GCPW line is better than that of the CPW line. However, the implementation of the proposed filter with the S-GCPW requires a larger layout because the required length of the S-GCPW is longer than that of the CPW line for a given inductance. Moreover, the resulting insertion losses that are caused by S-GCPW and CPW lines may not be directly proportional to the product of their attenuation constants and physical lengths since some other parasitic effects are associated with the two

180

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 10. Inductance extraction of the crossed layout pattern by using Z -parameter analysis.

Fig. 11.

Fig. 12. Simulated S -parameters of the proposed filter with different C and C versus frequency (L : m and L : m , circle line: C m and C : m , star line: C : m , triangular line: C m m and C and C : m ).

= 20 2 179 5 = 20 2 342 5 = 39 2 376 = 47 5 2 269 = = 32 52269 = 272376 332376 = 24 5 2 269

S -parameter simulations for using inductance ratio L =L = 2:3.

structures. For a fair comparison, two filters are constructed by replacing the ideal element of the proposed filter with the practical CPW and S-GCPW lines, respectively. Fig. 7 illustrates the simulated frequency characteristics of the two filters determined by appropriately varying the ideal capacitors ( and ). As shown in this figure, the filter that is constructed with the CPW line still outperforms that realized with the S-GCPW line since the insertion loss of the proposed filter is related not only to the attenuation constants of the transmission ), but also to the achievable terminal match. lines ( and To reduce further the insertion loss and maintain a small chip of the CPW-type filter with different strip size, the inductor widths is investigated, as shown in Fig. 8. As it shows, the optimal width of the CWP line is set to 20 m as a tradeoff between the occupied area and insertion loss.

Fig. 13. Simulated S -parameters of the proposed filter with different external biases versus frequency (dotted lines denote weak coupling, and the solid lines denote strong coupling).

B. Layout Consideration of the Proposed Bandpass Filter The capacitive components of the chip filter are realized using MIM capacitors that comprise Metal 5 and Metal 6 insulated by a dielectric layer with a thickness of 1 m and effective dielectric constant of 3.86. As shown in Fig. 9, and of the chip filter are constructed using two intersected high-impedance CPW transmission lines and are parallel to the identically shaped Metal 5 using Via 56 to reduce resistive losses. Theoretically, the corresponding layout sizes of the crossed CPW lines should be proportional to the fractional bandwidth of the filter obtained from (7). Somehow, the lengths

Fig. 14. Chip micrograph of the X -band filter.

of the two transmission lines are not directly proportional to the realized inductances because the nonideal ground regions incur and the ground pads distributed parasitic inductors between of the ground–signal–ground (G–S–G) RF probes, substantially . Another reason for increasing the effective inductance of

YANG et al.: ANALYSIS AND DESIGN OF CHIP FILTER

181

Fig. 16. Measured and simulated linearity performances of the filter.

Fig. 15. (a) Measured S -parameters of the filter with V : V, and (c) V : V.

05

= 18

= 0 V, (b) V =

this lack of direct proportionality is that the parasitic grounded capacitors imposed by the two inductors significantly shrinks the 3-dB bandwidth and lower the central frequency of the filter. Therefore, the practical length of the crossed CPW lines and must be carefully determined based used to realize on EM simulation. To find the optimal ratio between and for the initially required design specifications of the filter, simulations based on different crossed layout topologies are and in terms performed to determine the -parameters. of the -parameters are and , , and respectively. Meanwhile, these ideal capacitors ( , ) are taken into account to get insight into the entire performances of the proposed filter. In the study, only the

parasitic inductive effect that results from the nonideal ground region is considered, but other parasitic effects of the top plates of the layout capacitors ( and ) are ignored. By designing both -parameters of the ideal and the practical elements as closely as possible to each other, one of the attainable solutions and equal to 0.219 and 0.094 nH at 12 GHz, with respectively, can be obtained from Fig. 10. Fig. 11 concerns the simulated -parameters of the compact filters synthesized to ratio 2.3 with the extracted crossed layout having and the original capacitors; the curves through gray triangles plot their passband characteristics. This figure reveals that the input return loss is poorer than anticipated because the parasitic capacitors associated with the extracted inductances move the required operation band to a lower frequency. Thus, slight to 0.468, 0.32, fine-tuning of the capacitances , , and and 0.018 pF, respectively, yields the characteristics of another filter, plotted via the black triangles in Fig. 12. This figure reveals that the desired passband characteristics of the proposed filter can easily be obtained by utilizing the correct inductance ratio and slightly altering the initial capacitors. The configuration of the crossed strip provides another advantage that the mutually orthogonal high-impedance CPW lines and such that parasitic cross-coupled efused to realize fects in the two elements are rare. Therefore, the desired bandwidth and central frequency of the passband can be precisely controlled. Fig. 12 indicates that the filters constructed with an identical layout pattern of crossed CPW lines, but different layouts of the capacitors ( and ) have almost the same fractional bandwidth, but different central frequencies. To deterand are set as small as posmine the final filter layout, sible. In this study, both designed sizes are set to 3 m. In fact, these parasitic effects are very minor and have been carefully considered while the final layout has been simulated using the EM simulator. Since the characteristics of the passband of the filter are determined from the previous analyses, the capacitive cross-coupled mechanism should be incorporated into the chip filter to achieve the controllable transmission zeros in the lower and upper stopbands. C. Realization of the Capacitive Cross-Coupled Mechanism The advantage of commercial CMOS technology is that it can easily use MOSFETs as amplifying or switching elements and

182

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

TABLE I PERFORMANCE COMPARISONS OF OTHER CHIP FILTERS AND THIS STUDY



represents guided wavelength of the EM wave propagated in the dielectric layer of SiO at the filters’ central frequencies.

several layers of metals to construct different passive components. Since the required capacitance of the coupling capacitor across the input and output ports is quite small, the bottom plates and the metallic plate on Layer 3 are used, as shown in of . Furthermore, an Fig. 9, to produce the two capacitors nMOS transistor, serving as an adjustable capacitance, is connected to the I/O ports by metal lines to form a coupling path between the ports. The positions of the transmission zeros can that is applied to the be adjusted by changing the voltage gate of the transistor. Fig. 13 superimposes the EM simulated scattering parameters of the proposed filter at three different , which are equal to 0, 0.5, and 1.8 V, respectively. Here, 0.5 V is about the threshold voltage of the employed 0.18- m nMOS transistor. It shows that the presence of the external bias line (coupling strength) does not alter the filter’s characteristics in the passband, but the transmission zeros in the lower and upper stopbands can be shifted from 5 to 6.5 GHz and from 21 to 18 GHz, respectively. Moreover, the coupled capacitors are formed from Metal 3 and Metal 5 instead of Metal 4 and Metal 5 since higher coupling capacitances will move the transmission zeros closer to the corners of the passband. When the chip filter is implemented using CMOS technology, the components still suffer from the effect of finite quality factors. Accordingly, the zeros should not be designed very close to the passband corners. IV. EXPERIMENT RESULTS Based on the previously described design method, a chip filter was constructed using TSMC 0.18- m CMOS technology. Fig. 14 shows a photograph of the chip, the die size is about 880 m 540 m excluding the probe pads. The source and drain of the transistor are connected to ground via high-resistivity resistors to enable the transistor nM1 to properly turn off and on by applying different gate voltages. The limitation of the commercial CMOS submicrometer process is such that the material densities of the poly and metal must be at least 20% and 70%, respectively. Thus, “dummy” metal fills must be introduced into the layout to meet this requirement. However, the effects of those dummy metal fills should be carefully evaluated by EM simulations. In realization of the experimental filter, dummy metals on each metal and poly layer are filled below the ground plane of the metal-layer 5 to keep the EM field almost equal to that without dummy metals. The contact losses caused by RF probes and the effect of test pads are all

included in the measurement results because of the lack of corresponding on-wafer thru-reflection-line (TRL) calibration kits, fabricated using the same CMOS technology. Measurements were made by using only conventional open-short-load-thru (OSLT) on-wafer calibration to move the reference planes from connectors of the equipment to the tips of the RF probes. Although the contact losses that are caused by the RF probes cannot be deembedded, the effects of the test pads can still be estimated by EM simulation. The insertion loss of the chip filter can be improved by 0.22 dB at 11.5 GHz when the full two-port EM results of the test pads are considered. The EM simulation results are also applied to calibrate all measurements. Fig. 15(a)–(c) superimposes the measurement and full-wave EM voltages. simulation results of the chip filter for different The center frequency of the filter is about 11.5 GHz. Fig. 15(a) shows that a minimum insertion loss of 2.78 dB and a return loss greater than 15 dB are achieved in the passband, which is 11.5 0.9 GHz, and the rejection levels at the frequencies of the transmission zeros at 5 and 21 GHz are all higher than 42 pF in Fig. 4 dB. From Fig. 15(c), the case of to 0.5 V, which is about the can be realized by setting threshold voltage of the employed 0.18- m nMOS transistor. of 1.8 V is applied to turn on the switching tranWhen a sistor, the lower and upper transmission zeros are shifted to 6.5 and 18 GHz, respectively, as displayed in Fig. 15(c). The measured group delays reveal two sudden phase variations. One is up peak and the other is down peak, it represents that the impedances of the proposed filter at the two zeros have inductive or capacitive features. The measured group delay in the passband 0.021 ns. To observe the linearity behavior, is about 0.108 two-tone signals with equal power levels at 11.595 and 11.605 GHz are applied to the filter. Fig. 16 plots the measured linearity performance of the filter. According to this figure, the filter has capability of handling high power dissipation circuits since the 1-dB compression point is larger than 50 dBm. Since the measured power level of the third-order harmonic is very close to the noise floor of the power network analyzer (PNA), the measured output power of the third-order harmonic appears only in the range 75 to 90 dBm. Table I compares other chip filters in detail. The proposed chip filter does have some advantages over other chip filters, such as a small area and high selectivity. Although, the insertion losses of the prototype are not the lowest of all chip filters, the 3-dB bandwidth of the filters’ passband

YANG et al.: ANALYSIS AND DESIGN OF CHIP FILTER

should also be considered to allow a fair comparison because the insertion loss of the narrowband filter is always higher than that of a wideband filter that is fabricated using the same technology [24]. Theoretically, the insertion loss of the prototype is less than other filters that are fabricated by 0.18- m CMOS technology when the passband bandwidths of the filters are considered. V. CONCLUSION A second-order chip filter with two transmission zeros that are determined by an I/O capacitive coupling mechanism was implemented using a standard 0.18- m CMOS process. With use of the cross-coupled capacitor, the characteristics in the passband and the transmission zeros in the stopbands can be decoupled. Tunable transmission zeros in the stopbands are realized by inserting an nMOS switching transistor in the coupling path, an approach which is an effective way to improve the rolloff rate around the passband corners of the CMOS chip filter. Although the proposed filter utilizes high-impedance CPW lines as the guiding structures to yield a compact size and low insertion loss, it is still affected by the lossy silicon substrates because the insertion loss is improved by 0.8 dB in the EM simulation of the same filter structure if the substrate is assumed to be lossless. However, the proposed bandpass filter still performs acceptably for -band applications and may be integrated with other RF circuits to achieve a system-on-a-chip (SOC). With the continuing progress in IC technology, such as low- dielectric layers, which can reduce the parasitic capacitances of the elements that are fabricated on silicon substrate, the performance of the proposed chip filter in the realization of a SOC may be improved. ACKNOWLEDGMENT The authors would like to thank the National Chip Implementation Center (CIC), Taiwan, for the chip fabrication, and Prof. J.-C. Cheng, Chang Gung University, Tao-Yuan, Taiwan, for his helpful discussions and suggestions. REFERENCES [1] H. Yabuki, M. Sagawa, M. Matsuo, and M. Makimoto, “Stripline dual-mode ring resonators and their application to microwave devices,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 5, pp. 723–729, May 1996. [2] K. K. M. Cheng, “Design of dual-mode ring resonators with transmission zeros,” Electron. Lett., vol. 33, no. 16, pp. 1392–1393, Jul. 1997. [3] A. C. Kundu and I. Awai, “Control of attenuation pole frequency of a dual-mode microstrip ring resonator bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 6, pp. 723–729, Jun. 1996. [4] M. K. M. Salleh, G. Prigent, O. Pigaglio, and R. Crampagne, “Quarter-wavelength side-coupled ring resonator for bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 1, pp. 156–162, Jan. 2008. [5] S. Amari, “Direct synthesis of folded symmetric resonator filters with source-load coupling,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 6, pp. 264–266, Jun. 2001. [6] L. K. Yeung and K.-L. Wu, “A compact second-order LTCC bandpass filter with two finite transmission zeros,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 337–341, Feb. 2003.

183

[7] C.-W. Tang, Y.-C. Lin, and C.-Y. Chang, “Realization of transmission zeros in combline filters using an auxiliary inductively coupled ground plane,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2112–2118, Oct. 2003. [8] Y. Horii, “A novel microstrip bandpass filter having plural transmission zeros using a capacitive-inductive-capacitive configuration,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 1967–1970. [9] C.-F. Chang and S.-J. Chung, “Bandpass filter of series configuration with two finite transmission zeros using LTCC technology,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2383–2388, Jul. 2005. [10] C. H. Doan, S. Emami, A. M. Niknejad, and R. W. Brodersen, “Millimeter-wave CMOS design,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 144–155, Jan. 2005. [11] C.-L. Ko, C.-N. Kuo, and Y.-Z. Juang, “On-chip transmission line modeling and applications to millimeter-wave circuit design in 0.13 m CMOS technology,” in IEEE Int. VLSI–DAT Symp., Apr. 2007, pp. 196–199. [12] S. Sun, J. Shi, L. Zhu, S. C. Rustagi, and K. Mouthaan, “Millimeterwave bandpass filters by standard 0.18-m CMOS technology,” IEEE Electron Device Lett., vol. 28, no. 3, pp. 220–222, Mar. 2007. [13] C.-Y. Hsu, H.-R. Chuang, and C.-Y. Chen, “Design of 60-GHz millimeter-wave CMOS RFIC-on-chip bandpass filter,” in Proc. 37th Eur. Microw. Conf., Oct. 2007, pp. 672–675. [14] Y.-C. Chiang, H.-C. Chiu, and W.-L. Hsieh, “Implementation of second-order Ku-band chip filter on Si substrate with commercial 0.18 m CMOS technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1249–1252. [15] C.-L. Yang, H.-C. Chiu, and W.-L. Hsieh, “Design of a Ka-band bandpass filter with asymmetrical compact resonator,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009, pp. 1609–1612. [16] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001, ch. 3. [17] Y. C. Chiang, W. L. Hsieh, and M. A. Chung, “A method of synthesizing microwave bandpass filters constructed with symmetrical or asymmetrical compact microstrip resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 11, pp. 3947–3953, Nov. 2006. [18] J. Kim, B. Jung, P. Cheung, and R. Harjani, “Novel CMOS low-loss transmission line structure,” in Proc. IEEE Radio Wireless Conf., Sep. 2004, pp. 235–238. [19] S. Pellerano, Y. Palaskas, and K. Soumyanath, “A 64 GHz LNA with 15.5 dB gain and 6.5 dB NF in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 43, no. 7, pp. 1542–1552, Jul. 2008. [20] C. Nguyen and K. Chang, Analysis Methods for RF, Microwave, and Millimeter-Wave Planar Transmission Line Structures. New York: Wiley, 2000, ch. 4. [21] L. Nan, K. Mouthaan, Y.-Z. Xiong, J. Shi, S. C. Rustagim, and B.-L. Ooi, “Design of 60- and 77-GHz narrow-bandpass filters in CMOS technology,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 55, no. 8, pp. 738–742, Aug. 2008. [22] S. Sun, J. Shi, L. Zhu, S. C. Rustagi, K. Kang, and K. Mouthaan, “40 GHz compact TFMS meander-line bandpass filter on silicon substrate,” Electron. Lett., vol. 43, no. 25, pp. 1433–1434, Dec. 2008. [23] C.-Y. Hsu, C.-Y. Chen, and H.-R. Chuang, “70 GHz folded loop dualmode bandpass filter fabricated using 0.18 m standard CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 9, pp. 587–589, Sep. 2008. [24] L. Nan, K. Mouthaan, Y.-Z. Xiong, J. Shi, S. C. Rustagi, J. Brinkhoff, and B.-L. Ooi, “CMOS bandpass filters for 77 GHz automotive radar systems,” Microw. Opt. Technol. Lett., vol. 50, no. 11, pp. 2934–2937, Nov. 2008.

Chin-Lung Yang (S’09) was born in Taipei, Taiwan, in 1978. He received the B.S. degree in electrical engineering from TamKang University, Taipei, Taiwan, in 2004, and is currently working toward the Ph.D. degree in electronic engineering at Chang-Gung University, Tao Yuan, Taiwan. His research interests include the design of microwave bandpass filters (BPFs), voltage-controlled oscillators (VCO), mixers, and low-noise amplifiers (LNAs) using submicrometer CMOS and GaAs technologies.

184

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Shin-Yi Shu received the B.S. degree in electronic engineering from Chang Gung University (CGU), Tao Yuan, Taiwan, in 2007, and is currently working toward the M.S. degree at CGU. Her research interests include high-frequency low-noise amplifiers, active baluns, and filters in the CMOS process.

Yi-Chyun Chiang (S’88–M’93) received the B.S. degree in marine technology and M.S. and Ph.D. degrees in electronic engineering from National Chiao-Tung University, Hsin-Chu, Taiwan, in 1982, 1987, and 1992, respectively. He is currently a Professor with the Department of Electronic Engineering, Chang Gung University, Tao-Yuan, Taiwan. His research interests are the development of new design methods to realize high-performance microwave couplers and filters with compact sizes and the development of microwave ICs constructed with submicrometer CMOS technologies.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

185

A Comprehensive Technique to Determine the Broadband Physically Consistent Material Characteristics of Microstrip Lines Zhen Zhou, Member, IEEE, and Kathleen L. Melde, Senior Member, IEEE

Abstract—This paper describes a method to extract the relative complex dielectric permittivity from propagation coefficient measurements on microstrip lines. The material characteristics of microstrip lines fabricated on two different types of substrates commonly used in microwave circuit and printed circuit boards are investigated. The mechanisms that cause the effective permittivity of microstrip lines to be dispersive are explored. The technique includes creating closed-form effective permittivity equations to relate the effective permittivity of the microstrip lines to the real part of the dielectric permittivity of the substrate. Curve-fitting methods are used to create causal dielectric material models that relate the imaginary part of the dielectric permittivity to its real part. The methods developed in this paper can be used to characterize low-loss dielectric materials whose polarization is dominantly dipolar within the microwave frequency range in high-speed packaging applications. Index Terms—Broadband material characterization, causal material model, microstrip line.

I. INTRODUCTION HEN THE data transmission rate of modern electronics surpasses a few gigabit per second, the frequency-dependent characteristics of the dielectric permittivity of the material used in the package, interconnects, and the circuit board design is important. The inter symbol interference and jitter amplification along the channel are directly related to the dispersion of the dielectric material used in the signal channel. Circuit design engineers require precise information about the complex dielectric permittivity of substrate materials in order to create accurate and causal models for signal and clock analyses. The dielectric permittivity provided by manufacturers; however, is typically given at one or two frequencies with a maximum tolerance. This paper discusses the characterization of the frequency-dependent dielectric permittivity over a broad frequency range. Various techniques have been proposed to conduct dielectric permittivity measurements. The permittivity of a bulk dielectric material can be measured using a cavity resonator or an airline coaxial line [1]–[3]. Although those methods provide reliable results, the measurements often do not resemble the “as-pack-

W

Manuscript received April 01, 2009; revised August 18, 2009. First published December 15, 2009; current version published January 13, 2010. This work was supported in part by the Semiconductor Research Corporation under Contract 1292.063. The authors are with the Electrical and Computer Engineering Department, University of Arizona, Tucson, AZ 85721-0104 USA (e-mail: zhenz@email. arizona.edu; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2036339

Fig. 1. Microstrip line cross section.

aged” circuit configuration or they may be taken at a few discrete frequencies. In order to measure the dielectric material permittivity in its “as-packaged” configuration, some previous research efforts have been directed at material characterization using planar test structures such as stripline [4], [5], microstrip resonator or microstrip line [6]–[10], and coplanar waveguide (CPW) transmission lines [11]–[13]. The propagation coefficient of a stripline is directly related to the insulator characteristics. The stripline signal conductor; however, needs an external connection from either the top or side of the board to the buried stripline center conductor. This connection can be made through a signal via [4] or subminiature A (SMA) connector [5], but either type of connection creates a challenge in de-embedding the stripline characteristics even with the multiline thru-reflect-line (TRL) [14], [15] calibration. The fabrication and measurement de-embedding processes on microstrip and CPW transmission lines are repeatable and straightforward to implement. For nonisotropic materials often used in interconnects, it is the equivalent dielectric permittivity of the substrate that dominates the propagation characteristics of the signal along the transmission line [6], [16]. The equivalent permittivity accounts for directional effects of the fields in the dielectric and is different than the effective permittivity of the transmission line [16]. The equivalent dielectric permittivity is defined as a function of of a nonisotropic substrate (1) and are the directional permittivities of the subwhere strate in the directions transverse to the direction of propagaextracted from CPW tion, as shown in Fig. 1. The values of measurements may differ from the values obtained from measurements on the microstrip since the field structure in the CPW is different from that in the microstrip. This study focuses on extracting the material parameters for microstrip lines. Since the electromagnetic (EM) field of the microstrip line is partly in air and partly in the dielectric, the wave propagation along the microstrip line is determined by the effective permittivity. An extra step is required to relate the dielectric permittivity of the substrate to the measured effective permittivity.

0018-9480/$26.00 © 2009 IEEE

186

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Depending on the operating frequency and microstrip line geometry, the effective permittivity may be frequency dependent. The total measured loss of a transmission line is the sum of dielectric loss of the substrate, the conductor loss, and the radiation loss in the cases of the microstrip line and CPW line. The separation of dielectric loss from the total loss is quite a challenge. A comprehensive characterization effort should also include the impact of the conductor surface roughness. The work in [4]–[10], [12], and [13] assumes that the surface roughness is either negligible or can be approximated as a periodic structure. In [17], a method that relates the real part of the substrate permittivity to the imaginary part of the permittivity using the Debye model is proposed. The method avoids the complexity of separating the dielectric loss from the measured total loss and ensures causality of the extracted dielectric permittivity of the substrate. Only Duriod 6010 is investigated in [17]. In this paper, the frequency-dependent characteristics of the effective permittivity of the microstrip line is discussed in detail in order to use an appropriate empirical formula to relate the effective permittivity to the substrate permittivity. The material characteristics of FR4 are also included. The impact of the internal inductance of the microstrip line to the measured effective permittivity is investigated for FR4 since these structures have a large metal thickness to the substrate height ratio. The development of a dielectric permittivity model that uses a non-Debye relaxation model is discussed in this paper. This study is significant since current EM simulation tools can provide unprecedented accuracy. Reliable simulation results often depend upon the accuracy and detail of the input model parameters. In order to maximize simulation accuracy, one must be able to accurately characterize circuit materials using physically consistent material models. II. CALCULATION OF EFFECTIVE PERMITTIVITY FOR MICROSTRIP LINES This paper considers both Rogers Duriod 6010 and FR4 materials. For Duriod 6010, the manufacturer reports that the metal thickness is 0.017 mm, and the substrate thickness is 0.635 mm with 0.0254 mm tolerance. The manufacturer also reports that ranges between 10.005–10.42 at 10 GHz, andthe loss tangentis between 0.0024–0.0027 at 10 GHz. The conductor surface roughness for Duriod 6010 is 1.9 m. For FR4, the manufacturer reports that the metal thickness is 0.0254 mm, the substrate thickness is 0.1524 mm, at 1 MHz, 1 GHz, and 10 GHz are 4.4, 4.1, and 4 respectively, and the loss tangent at 1 MHz is 0.023. The complex propagation coefficients of microstrip lines on these materials were measured using an Agilent vector network analyzer (VNA) 8510C. The multiline TRL calibration method was used [14], [15]. Two 250- m CPW probes were for measurements on the Duriod 6010 samples, while 500- m CPW probes were used on the FR4 samples.1 CPW-to-microstrip transitions described in [18] are used on all of the Duriod 6010 lines. The Duriod 6010 microstrip lines consist of a through line standard, two open standards, and six additional (longer) lines. The FR4 microstrip lines consists of a through line standard, two open standards, and two additional (longer) lines. The 1[Online].

Available: http://www.cmicro.com/

Fig. 2. Microstrip line artifacts. (a) Rogers Duroid 6010 (from [17]). (b) FR 4.

TABLE I DIMENSIONS OF MICROSTRIP TEST ARTIFACTS

microstrip line artifacts are shown in Fig. 2. The geometry parameters are listed in Table I. The Duroid 6010 samples were characterized from 1 to 36 GHz. The FR4 samples were characterized from 1 to 25 GHz. Once the propagation coefficient of the microstrip lines are measured, the effective permittivity of the microstrip line is calculated by the multiline TRL [14] as (2) (3) where is the speed of the light. The measured complex propagation coefficients are shown in Fig. 3(a) and (b). The calculated values for both materials are shown in effective permittivity Fig. 4(a) and (b). The concept of a transition frequency is discussed in [19]. The transition frequency is used to define the microstrip line characteristics over a low-frequency (quasi-static) range of frequencies and a high-frequency (dispersive) range of frequencies. The for microstrip lines is given as transition frequency (4) where

is given as (5)

ZHOU AND MELDE: TECHNIQUE TO DETERMINE BROADBAND PHYSICALLY CONSISTENT MATERIAL CHARACTERISTICS OF MICROSTRIP LINES

187

istic impedance of the microstrip line in the quasi-static region. increases with freIn the dispersive range of frequencies, quency due to further concentration of the field inside the substrate. The transition frequency for the microstrip fabricated on Duroid 6010 computed using (4) (using provided by the manof the microstrip ufacturer) is 3.48 GHz. Fig. 4(a) shows that line on Duriod 6010 starts increasing with frequency at 2 GHz. The computed transition frequency for the microstrip line fabricated on FR4 is 25.27 GHz. The microstrip line on FR4 operates in the quasi-static region for the entire frequency range of interest. The ratio of the metal thickness to the substrate height for the microstrip on FR4 is much larger than that for the micomputed for the FR4 includes crostrip on Duroid 6010. The the influence of the internal inductance. The work in [10] eliminates the influence of the internal inductance of the microstrip by using a modified form of (2). The line on the measured propagation coefficient of a transmission line can be written as [20] (6) and are the effective permeability and permitwhere tivity of the transmission line, respectively. Consequentially, (7) Fig. 3. Measured for microstrip lines. (a) Duroid 6010. (b) FR4.

and (8) where is the external inductance of the microstrip line, is the internal inductance of the microstrip line, and is the per unit length capacitance of an air-filled line with the same geometry parameters as the fabricated microstrip line. Combining (6)–(8) yields

(9) Therefore, (10)

Fig. 4. Measured " for microstrip lines. (a) Duroid 6010 (from [17]). (b) FR4 with and without correction for internal inductance.

The quantity is the characteristic impedance of a microstrip line that is air filled and is the character-

To calculate the internal and external inductances of the microstrip line fabricated on FR4, a High Frequency Structure Simulator (HFSS) model is created to simulate an air-filled microstrip line with the same geometry as the fabricated one.2 The total inductance of the air-filled microstrip line with perfect conductors is first calculated to obtain the external inductance of the microstrip line. The total inductance of an air-filled line with finite conductivity conductors is then calculated to acquire the total inductance of the microstrip line. The internal inductance of the microstrip line is then calculated by subtracting the external inductance from the total inductance. Fig. 5 shows the external and internal inductances of the microstrip line calculated 2HFSS, Ansoft Corporation, Pittsburgh, PA. [Online]. Available: www.ansoft.com

188

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

The work presented in [6] and [17] use (11)–(17) to solve for given . In this paper, a closed-form equation developed empirically by Kobayashi after Kirsching and Jansen was also [23]. A comparison used to extract of Duriod 6010 given of Kobayashi’s equation to numerical simulations shows less than 0.6% difference for various microstrip lines dimensions , , and for any value where of [16], [24]. shows very little frequency deIn the quasi-static region, pendence and can be approximated using equations developed by Schneider and improved by Hammerstad and Jensen and given as [16, eq. (3.166)] Fig. 5. External and total inductance for microstrip on FR4.

(18) where for for

Fig. 6. Comparison of extracted " for Duroid 6010 using Kirsching and Kobayshi dispersion models.

from HFSS simulation. The adjusted on Fig. 4(b).

using (10) is plotted

III. DIELECTRIC PERMITTIVITY EXTRACTION is determined, a closed-form equation that relates Once to the real part of the substrate complex permittivity is required. Experimental verification on various closed-form microstrip dispersion relations show that the relations developed by Kirsching and Jansen [21] have between 0.8%–3% difference between measurement and theory over a wide frequency range and on a variety of substrate materials [22], [23]. Kirsching and Jansen’s relations are given as (11) where GHz

mm

(12) (13)

(19) (20)

For Duriod 6010, the value of from 1 to 1.8 GHz is calculated using (18) since the microstrip operates in the quasi-static at 1 GHz region over this frequency range. The calculated at any frequency sets up the upper limit of the extracted above 1 GHz. Equations (11)–(17) are used to solve for at fre. A bisection root quencies above 2 GHz using the measured finding algorithm is used for computing the root for (11)–(17). used in (11) was computed by creating The value of a curve fit of the measured data and then extrapolating to ob. The extrapolated value is 6.8. An tain at the lowest meaalternate approach is to use the value of . The accurate measurement of sured frequency for at low frequencies requires long line standards that are difused ficult to fabricate uniformly. The extracted values of the fabricated dimensions of the microstrip lines. The extracted between 1.8–2 GHz are obtained by interpolating values of the values calculated between 1–1.8 GHz and the data calculated at 2 GHz and higher. The computed is shown in Fig. 6 and is compared with the extraction using Kobayashi’s method [24]. Kobayashi’s dispersion equation tends to give higher than Kirsching and Jansen’s equation. The microstrip line fabricated on FR4 operates in the quasigiven , (18) is used. The solid static region. To extract line in Fig. 7 shows the extracted . This figure also shows the results of a fitted model to be discussed in Section IV. IV. DIELECTRIC MATERIAL MODEL AND LOSS TANGENT EXTRACTION METHODS

(14) (15) (16)

The next step in the development of a physically consistent frequency-dependent material model is to determine the imaginary component of material permittivity. To satisfy causality the complex permittivity of a dielectric material must satisfy [25]

(17) and

is the effective permittivity at dc.

(21)

ZHOU AND MELDE: TECHNIQUE TO DETERMINE BROADBAND PHYSICALLY CONSISTENT MATERIAL CHARACTERISTICS OF MICROSTRIP LINES

189

constraint and

(25)

The real and imaginary parts of (24) can be written as (26a) (26b) Fig. 7. Extracted and model fitted " for FR4 (" : e ). 

= 4 2095 0 7

= 3 62, = 1 0 12, and :



e

Separating the real and imaginary parts of equation gives (22a) (22b) The integrals in (21) and (22a) and (22b) are Cauchy principal-value integrals. Equation (22a) and (22b) is the Kramers–Kronig relations and state how the real and imaginary are interrelated. Once one part is known, the parts of other part is completely determined by causality. Equation (22a) and (22b) also states that at one frequency is related to for all frequencies and vice versa. When the measurement is at a few discrete frequencies, the direct application of (22b) to becomes difficult. calculate For the dielectric materials commonly used in microwave circuit or high-speed interconnects, the dominant mechanism of polarization is orientational within the microwave frequency range. The orientational polarization can be described by the dielectric relaxation. The dielectric relaxation is based on a fact that the build up or decay of the electric polarization is not instantaneous when the field is applied or removed from the dielectric material [25]. The Debye model is commonly used to describe the dielectric relaxation with exponential characteristics. The single-term Debye model is given as

The parameters in (26a) are solved through optimization, and then are used to solve for . Equation (26a) is nonlinear, and the objective function can have several local minima, and thus, a gradient optimization method is difficult to implement and can be very sensitive to the starting guess values. An incorrect initial guess of the relaxation times can create an ill-posed problem and result in no solution [26]. A global-optimization approach based on genetic algorithm is proposed to solve this problem, as demonstrated in [26]. Genetic algorithms are optimization methods that emulate the evolution process in nature, guided by a fitness criterion function [27]. The genetic algorithm maximizes the fitness, which yields a minimal residual error. The value should be as small as possible so that it still gives the best fit [25], [26] . is chosen to be 8 after going through a For Duriod 6010, few iterations. The optimization process does not rely on an accurate starting guess, except for specifying physical limits, such , while and . The relaxation times are as assumed to be greater than 1 GHz. An -square expression was as the used as the overall fitness function [26]. Consider value obtained from converting the measured results of at the th frequency. In this study, 401 frequency points were used. is the mean value of , (i.e., a single number.) is the calculated value computed from (26a). The fitness, , is defined as (27) where

(23)

(28a)

denotes the static limit, denotes the infinite frewhere quency limit, and denotes the relaxation time. It is often found that the single-term Debye model is insufficient to describe the dielectric relaxation of materials with several different relaxation times or even a continuous distribution of relaxation times. Hence, the Debye model must be extended either by additional phenomenological parameters or by a multiterm Debye-model given as [25]

(28b)

(24) where denotes the number of relaxations, is the relaxation coefficient of the th relaxation, and is the relaxation time of the th relaxation. The relaxation coefficients are subject to the

(28c) SSE indicates the summed square of the difference between the Debye fitted data and extracted permittivity. Ideally, this would be zero. The value of SSR is the variance of the Debye fitted data and the mean of the extracted permittivity, while the value of SST is the variance of the extracted permittivity. Ideally, SST and SSR would be equal to one another. The optimal value of is between 1–0.9. The -square model measures how successful the fit is to the variation of the data. The goal of this study was to optimize (via the fitness function ) the correlation between

190

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

TABLE II RELAXATION FREQUENCIES AND DEBYE MODEL COEFFICIENTS FOR DUROID 6010

Fig. 8. Extracted and model fitted " for Duroid 6010.

where the extracted and Debye model values for The implementation of the genetic optimization algorithm can be found online.3 The genetic algorithm provides several possible solutions that result in high fitness. Each possible solution was evaluated using a microstrip line model in HFSS and simulated with the for each solution. The model solution that predicted and achieves the best agreement between the measured and simulation propagation coefficient is chosen as the final solution. The of Duriod is compared to the prediction using extracted an eight-term Debye model, as shown in Fig. 8. The relaxation frequencies and the weights for the eight-term Debye model are listed in Table II. of FR4 is linearly proFig. 7 shows that the extracted portional to the logarithm of the frequency, which means broad relaxation, or the relaxation is nonexponential/non-Debye relaxation. The dielectric relaxation in this case can expressed as an infinite sum of relaxations of (24). This sum can be written in an integral form as [25] (29) where is a distribution function, which is always positive and whose integral is normalized to 1 [25]. Table I in [28] provides some expressions that provide an empirical expression of the distribution function for a nonexponential/non-Debye relaxation. One distribution function is the Fröhlich distribution function given as (30) where and are relaxation times to be determined. Substituting (30) into (29) and carrying out the integration gives (31) Further simplifying (31) gives

(32) 3[Online]. Available: www.cis.upenn.edu/~matuszek/cit594-2007/Lectures/46-genetic-algorithms.ppt

the

principle

branch of is defined as real and imaginary parts of (32) can be written as

function . The

(33a)

(33b)

The model parameters in (33a) are determined using a geof FR4. netic algorithm approach to best fit the extracted , , and using the The genetic algorithm solves for , is compared to the fitness in (28a)–(28c). The extracted , as shown in Fig. 7. To demonstrate how model predicted well the model prediction would be at a given frequency such is calculated within a freas 1 MHz, the model predicted quency range of 1 MHz to 25 GHz. V. RESULTS AND DISCUSSION Fig. 8 compares the extracted with the Debye modeled for Duriod 6010. Good agreement is reached between the model and extracted values. Fig. 9 shows the result of fitted and loss tangent for a Debye model with eight terms. The material property of Duriod 6010 property is documented in and loss tan[29] and online.4 The Debye model value for and gent at 10 GHz is 10.42 and 0.0026, respectively. The loss tangent provided by the Rogers Corporation, Rogers, CT, for the shipment used in this study are listed in Table III. The comparison is summarized in Table III. An HFSS model of a microstrip line on Duriod 6010 using the Debye permittivity model of the material was used to compute the complex propagation coefficient . The simulated complex propagation coefficient is compared to measurements. Fig. 10 shows that there is good agreement between the measurement and HFSS simulation. The m and microstrip line is simulated in HFSS with m conductor surface roughness. without in comparison with the modFig. 7 shows the extracted for FR4. The curve-fitting is in good agreement with eled the extraction. The difference between the fitting and extraction has a maximum value of 0.9% at 25 GHz. The predictions by the are presented in Fig. 11 curve-fitted model for both and 4[Online]. Available: ROGERS/rt6010.pdf

http://www.secomtel.com/UpFilesPDF/PDF/

ZHOU AND MELDE: TECHNIQUE TO DETERMINE BROADBAND PHYSICALLY CONSISTENT MATERIAL CHARACTERISTICS OF MICROSTRIP LINES

Fig. 9. Model predicted " and tan  for Duroid 6010. TABLE III COMPARISON OF THE EXTRACTED AND MANUFACTURER REPORTED MATERIAL VALUES

191

Fig. 11. Model predicted " and tan  for FR4.

varies from 0.0183 to 0.0256. The model predicted and for FR4 are compared in Table III as well. The specified and are presented online5 and can be found in [5] and [8] as well. There is good agreement between the prediction and the specified values provided by the manufacturer. A microstrip line on FR4 is modeled in HFSS using the predicted frequency-dependent dielectric permittivity. As shown in Fig. 12, there is good agreement between the measured and simulated attenuation coefficient and phase coefficient , and hence, validate the procedure as discussed in this paper.

VI. UNCERTAINTY ANALYSIS ON EXTRACTED DIELECTRIC PERMITTIVITY OF DURIOD 6010 In order to assess the accuracy of the method, a detailed uncertainty analysis for the Duriod 6010 material was performed. This analysis was done on the Duroid 6010 samples since there was access to multiple samples for the appropriate cross sectioning analysis. The National Institute of Standards and Technology (NIST) “Reference on Constants, Units, and Uncertainty” [30], which is based on the International Organization for Standardization (ISO) “Guide of Expression of Uncertainty in Measurement” was used. In particular, a “Type B” evaluation of uncertainty was performed. The evaluation began with identifying the key factors assumed to impact the uncertainty in the final model of . Within the quasi-static region, according to (18), is related , , and , where is the width of the microstrip line, to is the substrate height, and is the metal thickness. In this region, can be expressed the combined uncertainty of the extracted as

Fig. 10. Comparison of measured and HFSS simulated for Duriod 6010, 1 is conductor surface roughness. (a) Attenuation coefficient. (b) Relative phase coefficient.

within the frequency range from 1 MHz to 25 GHz. The variation of within the frequency range is from 4.4 to 3.83, while

(34) 5[Online].

Available: http://www.datacircuit.com

192

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 13. Impact of "

Since culating

on the standard uncertainty of " .

is related to , and hence, related to , calis nontrivial. For the dispersive region, is computed numerically using

(38)

Fig. 12. Comparison of measured and HFSS simulated for FR4. (a) Attenuation coefficient. (b) Relative phase coefficient.

Taking the partial derivative of both sides of (18) with respect to gives

(35) Within the dispersive region, (11)–(17) show that is di, , and . The combined unrectly related to certainty of the real part of the permittivity can be expressed as

(36)

to

Taking the partial derivative of both sides of (11) with respect gives

(37)

The first factor was to identify the standard uncertainty of the determination of the effective permittivity from the measurements. With a small loss assumption, the overall uncertainty of (2) can be approximated as (39) where is the standard uncertainty of . The results of varies from 0.0083 five separate measurements indicate to 0.39 over the entire measured frequency band. This can be , which is 0.0028 used to compute the maximum variation of over the measured frequency band. Fig. 13 shows the impact of the standard uncertainty of the over five measurements. The ordinate shows the computed first term used in (34) and (36). Through physical measurements on the samples, it was found that the maximum variation of substrate on the fabricated structures is 2%. The maximum variation of is 7%, and the maximum variation of conductor thickness is 3%. The nominal values of , , and were used is 0.01. The second in (35). The standard uncertainty of and third terms in parenthesis on the right-hand side of (34) are computed numerically using a form similar to (38). The second term in parenthesis on the right-hand side of (34) is nearly frequency independent and has a value of 0.005. The third term in parenthesis in (34) is 0.001. is 0.0013, and it The standard uncertainty of . The third arises from the measurement uncertainty of term of (36) can also be evaluated numerically and is shown in Fig. 14. It was found that the covariance terms in (34) and (36) are an order of 1e-6; therefore, their impact on the standard uncertainty of are negligible. The total standard uncertainty is shown in Fig. 15 along with the extracted . The of varies from 0.0055 to standard uncertainty of extracted 0.0061, The -squares values of the upper and lower boundary with respect to the fitted model are 0.9739 of extracted and 0.88, respectively, while the -square value of the mean

ZHOU AND MELDE: TECHNIQUE TO DETERMINE BROADBAND PHYSICALLY CONSISTENT MATERIAL CHARACTERISTICS OF MICROSTRIP LINES

193

or a nonexponential/non-Debye material model. A process to identify all of these factors was provided and demonstrated. ACKNOWLEDGMENT The authors would like to acknowledge the valuable advice given by A. Deutsch, IBM T. J. Watson Research Center, Yorktown Heights, NY, Dr. T.-M. Winkel, IBM Laboratory, IBM Deutschland Entwicklung GmbH, Packaging Development, Boeblingen, Germany. The authors would also like to acknowledge M. Lamson, retired from Texas Instruments Incorporated, Dallas, TX, for providing FR4 samples. Fig. 14. Impact of "

on the standard uncertainty of " .

REFERENCES

Fig. 15. Extracted " with error boundary.

extracted with respect to the fitted model is 0.9206, and hence, the fitting is correlated to the extracted well even with the extraction uncertainty. When a straight line was used as the fitted model, -square value is negative, which indicted a poor correlation with the measured data, and hence, the straight line varies was excluded as a potential solution. The predicted 0.7% within the measured frequency band. Work presented in [6] investigated the Rogers Corporation’s high-frequency laminate material RO3003 with a specified dielectric permittivity of varies about 0.49% from 3 to 17 GHz. 3 and found that the The findings reported here are consistent with the work in [6]. The comparison between the simulation and measurement on provides further evaluation that the predicted dielectric permittivity and loss tangent by an eight-term Debye model is indeed a valid solution. VII. CONCLUSION Closed-from dispersion equations are proposed to relate the measured effective permittivity to the real part of the substrate complex permittivity. The impact of the internal inductance of the microstrip line on the measured effective permittivity is discussed. The method of eliminating the internal inductance is demonstrated. The material models for two different low-loss dielectric materials are provided. The approach to extract the dielectric permittivity from the measurement on the microstrip line is proposed. This study also shows that the method to extract complex permittivity depends upon the frequency range of interest, whether or not the microstrip is operating in the quasistatic or dispersion range of frequencies, and whether or not the extracted permittivity is best fitted by a multiterm Debye model

[1] C. E. Free, “Techniques for assessing the performance of circuit materials at microwave and millimeter-wave frequencies,” in Proc. Mater. Res. Soc. Symp., 2003, vol. 783, pp. B1.7.1–B1.7.6. [2] J. M. Catalá-Civera, A. J. Canós, F. L. Peñaranda-Foix, and E. de los reyes Davó, “Accurate determination of the complex permittivity of materials with transmission reflection measurements in partially filled rectangular waveguides,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 16–24, Jan. 2003. [3] M. D. Janezic and J. A. Jargon, “Complex permittivity determination from propagation constant measurements,” IEEE Microw. Guided Wave Lett., vol. 9, no. 2, pp. 76–78, Feb. 1999. [4] A. Deutsch, T.-M. Winkel, G. V. Kopcsay, C. W. Surrovic, B. J. Rubin, G. A. Katopis, B. J. Chamberlin, and R. S. Krabbenhoft, “Extraction of " (f ) and tan  (f ) for printed circuit board insulators up to 30 GHz using the short-pulse propagation technique,” IEEE Trans. Adv. Packag., vol. 28, no. 1, pp. 4–12, Feb. 2005. [5] K. J. Bois, B. Kirk, M. Tsuk, and D. Quint, “Simple and accurate determination of complex permittivity and skin effects of FR4 material in gigahertz regime,” in IEEE Electron. Compon. Technol. Conf., 2003, pp. 1227–1282. [6] S. A. Ivanov and V. N. Peshlov, “Ring-resonator method-effective procedure for investigation of microstrip line,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 6, pp. 244–246, Jun. 2003. [7] M. D. Janezic, D. F. Williams, V. Blaschke, A. Karamcheti, and C. S. Chang, “Permittivity characterization of low-k thin films from transmission- line measurements,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 132–136, Jan. 2003. [8] A. R. Djordjevic´, R. M. Beljic´, V. D. Likar-Smiljanic´, and T. K. Sarkar, “Wideband frequency-domain characterization of FR-4 and time-domain causuality,” IEEE Trans. Electromagn. Compat., vol. 43, no. 4, pp. 662–667, Nov. 2001. [9] H. Braunisch and D.-H. Han, “Broadband characterization of package dielectrics,” in Proc. 53rd Electron. Compon. Technol. Conf., May 2003, pp. 1258–1263. [10] M. Cauwe and J. De Baets, “Broadband material parameter characterization for practical high-speed interconnects on printed circuit board,” IEEE Trans. Adv. Packag., vol. 41, no. 3, pp. 649–656, Aug. 2008. [11] Z. Zhou and K. L. Melde, “A simple method of generating causal broadband RLGC models for CPW transmission lines with surface roughness,” in 17th Elect. Perform. Electron. Packag. Conf. , 2008, pp. 227–230. [12] U. Arz and J. Leinhos, “Broadband permittivity extraction from on-wafer scattering-parameter measurements,” in IEEE Signal Propag. Interconnects Workshop, May 2008, pp. 1–4. [13] M. D. Janezic and D. F. Williams, “Permittivity characterization from transmission-line measurement,” in IEEE MTT-S Int. Microw. Symp. Dig., 1997, pp. 1343–1345. [14] R. B. Marks, “A multiline method of network analyzer calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7, pp. 1205–1215, Jul. 1991. [15] D. C. DeGroot, J. A. Jargon, and R. B. Marks, “Multiline TRL revealed,” in 60th ARFTG Conf. Dig., Fall, 2002, pp. 131–155. [16] R. E. Collin, Foundations for Microwave Engineering, the IEEE Press Series on Electromagnetic Wave Theory, 2nd ed. Hoboken, NJ: Wiley, 2001. [17] Z. Zhou and K. L. Melde, “Physically-consistent broadband material model generation for microstrip transmission lines,” in IEEE 16th Elect. Perform. Electron. Packag. Top. Meeting, Oct. 2007, pp. 175–178.

194

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

[18] Z. Zhou and K. L. Melde, “Development of a broadband coplanar waveguide-to-microstrip transition with vias,” IEEE Trans. Adv. Packag., vol. 31, no. 4, pp. 861–872, Nov. 2008. [19] G. Kompa, Practical Microstrip Design and Applications. Boston, MA: Artech House, 2005, pp. 147–150. [20] R. B. Marks and D. F. Williams, “Accurate experimental characterization of interconnects: A discussion of experimental electrical characterization of interconnects and discontinuities in high-speed digital systems,” IEEE Trans. Compon., Hybrids, Manuf. Technol., vol. 15, no. 4, pp. 601–603, Aug. 1992. [21] M. Kirsching and R. Jansen, “Accurate model for effective dielectric constant with validity up to millimeter-wave frequencies,” Electron. Lett., vol. 18, pp. 272–273, Jan. 1982. [22] S. Deibele and J. B. Beyer, “Measurements of microstrip effective relative permittivities,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 5, pp. 535–538, May 1987. [23] H. A. Atwater, “Tests of microstrip dispersion formulas,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 3, pp. 619–621, Mar. 1988. [24] M. Kobayashi, “A dispersion formula satisfying recent requirements in microstrip CAD,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 8, pp. 1246–1250, Aug. 1988. [25] C. J. F. Böttcher and P. Bordewijk, Theory of Electric Polarization, Second ed. Amsterdam, The Netherlands: Elsevier, 1978, vol. II. [26] B. Oswald, J. Doetsch, and K. Roth, “A new computational technique for processing transmission line measurements to determine dispersive dielectric properties,” Geophysics, vol. 71, no. 2, pp. K31–K35, Mar./ Apr. 2006. [27] Y. Rahmat-Sami and E. Michielssen, Electromagnetic Optimization by Genetic Algorithms. New York: Wiley, 1999. [28] R. Zorn, “Logarithmic moments of relaxation time distributions,” J. Chem. Phys., vol. 116, no. 8, pp. 3204–3209, Feb. 2002. [29] “Data sheet 1.6000 RT/Duriod 6006/6010LM high frequency laminates,” Rogers Corporation, Rogers, CT, 2009. [Online]. Available: www.rogerscorporation.com [30] “Reference on constants, units, and uncertainty,” NIST, Boulder, CO, 1994. [Online]. Available: physics.nist.gov/cuu/Uncertainty/international2.html Zhen Zhou (M’04) received the B.S. and M.S. degrees in mechanical engineering from Chongqing University, Chongqing, China, in 1984 and 1991, respectively, the M.S.E.E. degree from the University of Arizona, Tucson, in 2006, and is currently working toward the Ph.D. degree in electrical engineering at the University of Arizona. She is a faculty member teaching core courses in mechanical engineering at two universities in China. Her industrial work experience includes diverse assignments that includes being a Research Intern with

the Intel Corporation, during which time she was involved with high-speed link signal integrity related research, and as an RF Test Engineer with Advanced Energy Industrial (AE) Inc. She has also been involved in design test engineering with the Nokia Corporation on the development of automatic test systems for wireless base stations. This experience helped foster her interest in microwave engineering and high-frequency circuit design. She has coauthored 15 publications and made many technical presentations. Her research interests include electromagnetism, high-speed packaging and interconnects, signal integrity, passive and active microwave circuits, digital photonic link analysis, and modeling. Ms. Zhou was the recipient of various honors and awards. She was honored as an excellent master degree student by the Chinese Ministry of Education in 1991. She was the recipient of the First Prize for Technical Improvement by the Chinese Ministry of Science and Technology in 1994 for her research related to lubrication mechanics problems pertaining to marine propulsion. She was the recipient of a Caterpillar Scholarship for her contribution to automatic front-end loader research in 1997. She was the recipient of the inaugural John L. Prince fellowship for her doctoral studies presented by the Semiconductor Research Corporation (SRC). She was also the recipient of a 2008 award presented by IBM for making innovative use of IBM EIP tools in her research. Kathleen L. Melde (S’84–M’95–SM’97) (previously Kathleen L. Virga) received the M.S. degree from California State University, Northridge, in 1987, and the Ph.D. degree from the University of California at Los Angeles (UCLA) in 1996, both in electrical engineering. From 1985 to 1996, she was with the Radar Systems Group, Hughes Electronics, El Segundo, CA. In 1996, she joined the Electrical and Computer Engineering Department, University of Arizona, Tucson, where she is currently an Associate Professor. Her work experience includes diverse projects with the Electromagnetic Systems Laboratory and Solid State Microwave Laboratories, Radar and Communications Sector. She has authored or coauthored over 75 publications. She holds four U.S. patents. Dr. Melde is a member of the IEEE Antennas and Propagation Society (IEEE AP-S) and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). She is an associate editor for the IEEE ANTENNAS AND WIRELESS PROPAGATION LETTERS. She is a member of the Technical Program Committee for Electrical Performance of Electronic Packaging (EPEP).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

195

A Broadband and Miniaturized Common-Mode Filter for Gigahertz Differential Signals Based on Negative-Permittivity Metamaterials Chung-Hao Tsai, Student Member, IEEE, and Tzong-Lin Wu, Senior Member, IEEE

Abstract—A novel wideband and miniaturized common-mode noise suppression filter is proposed based on the concept of an effective negative-permittivity metamaterial (MM) transmission line (TL). The propagation properties for the odd and even modes in the proposed structure are derived from the TL theory and Bloch theorem. Two- and four-port equivalent-circuit models are developed to explain the common-mode suppression characteristics. The dispersion relation has a good agreement with the full-wave simulation and measurement result. Based on the low-temperature co-fired ceramic fabrication technology, miniaturized common-mode filters with four and eight cells are realized using the concept of the effective negative-permittivity MM. For 0 26 g with the four-cell structure, the filter size is 0 16 g the corresponding real size of 3.2 mm 5.12 mm. It is found that the common-mode noise can be reduced over 10 dB from 3.8 to 7.1 GHz with the fractional bandwidth of 60% in the frequency domain, and is reduced over 50% for voltage amplitude in the time domain. More importantly, the differential signal integrity, in terms of insertion loss and group delay in the frequency domain and eye diagrams in the time domain, is not degraded within the wide stopband. To our best knowledge, it is the first broadband common-mode filter designed for gigahertz differential signals based on the concept of MM TL with most compact size. Index Terms—Common-mode filter, differential signal, electromagnetic interference (EMI), low-temperature co-fired ceramic (LTCC), negative permittivity, transmission line (TL) metamaterial (MM).

I. INTRODUCTION

W

ITH THE trend of high data-rate transmission for highspeed digital electronic circuits, differential signaling has become essential in high-speed digital system design due to its high immunity to noise and crosstalk. Differential signal transmission can ideally keep good signal integrity and possess low electromagnetic emission or electromagnetic interference (EMI). However, in practical circuits, the differential signal pair may accompany the unwanted common-mode noise when the

Manuscript received March 25, 2009; revised October 15, 2009. First published December 15, 2009; current version published January 13, 2010. This work was supported by the National Science Council, Taiwan, under Grant NSC 97-2221-E-002-060-MY3 This work was supported in part by National Taiwan University under Grant 98R0062-03. The authors are with the Department of Electrical of Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan 10617 (e-mail: [email protected]; [email protected]) Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2036413

differential signals are skewed in time, unbalanced in amplitude, or with different rising/falling time due to the unbalanced design of the input/output (I/O) buffers or the package layout. For the high-speed data links, such as serial ATA, PCI-Express, OC-192, gigabit Ethernet, etc., cables are always necessary to transmit differential signals between the different electronic devices. The common-mode noise may couple to the I/O cables and form the excitation source of the antenna. The attached I/O cables inevitably behave as the EMI antenna. As a result, suppressing the common-mode noise on the differential link paths without influencing the differential signal quality has become a necessity of solving the EMI problem resulting from the I/O cables. Several approaches have been demonstrated the effective suppression of the common-mode noise for differential signaling. The common-mode choke is one of the most typical methods [1]–[3]. The common-mode choke uses high permeability to make high inductive impedance for common-mode signals and approximately zero impedance for differential signals by means of the sum and cancellation of the self inductance and mutual inductance, respectively. Unfortunately, the common-mode choke maintains high permeability only at the megahertz frequency range, and the cumbersome structure of the common-mode choke does not fit today’s small-area electronic circuit system. Recently, some common-mode suppression filters employing the patterned ground structures were suggested by our group with wideband suppression over the gigahertz frequency range [4], [5]. The filters have the advantages of wideband suppression and low cost. However, the filters with patterned ground structures occupy a large ground plane area in printed circuit boards (PCBs) or packages and their performance will be degraded by placing a shielding structure beneath them for the multilayer use. As a result, a small-scale wideband common-mode suppression filter with a complete ground plane is essential for gigahertz differential signals. In this paper, a miniaturized common-mode suppression filter using effective negative-permittivity transmission line (TL) metamaterials (MMs) is proposed. The filter is a periodical structure composed of two signal conductors with embedded mushroom structures. For the differential signals, the filter still maintains the quasi-TEM mode propagation under the frequencies of concern. However, for the common-mode noise, the filter produces evanescent wave under some frequency range due to the effective negative-permittivity characteristic of the structure [6], [7]. Based on this idea, a common-mode suppression filter was proposed using a full-wave simulation

0018-9480/$26.00 © 2009 IEEE

196

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 1. (a) Edge-coupled microstrip line. (b) Its distributed circuit model.

Fig. 2. Distributed equivalent circuit with common-mode suppression.

approach [8], but the theoretical modeling and practical implementation considering the miniaturization are not shown. In this paper, the detailed theoretical modeling and analysis will be illustrated and the miniaturization procedure and complete experiment will be further addressed. II. NEGATIVE-PERMITTIVITY MM FOR DIFFERENTIAL SIGNALS Fig. 1(a) shows the typical differential signal lines in the form of an edge-coupled microstrip line. Fig. 1(b) is the lossless distributed equivalent circuits with corresponding per-unit-length parameters. It is well known that the differential lines can support two quasi-TEM modes, odd and even modes, due to odd and even symmetries. It is known that the propagation constants for these two modes are (1a)

Fig. 3. Distributed circuit models for the: (a) odd mode and (b) even mode. The parameters with the prime sign shown here stands for the per-unit-length elements.

(3b)

where (4a)

(1b) (4b) and the characteristic impedances are (4c) (2a) (4d) (2b) where and are self-capacitance and mutual capacitance, respectively, and and are self-inductance and mutual inductance, respectively. Ideally, these two modes have no cutoff frequencies and can propagate in any frequency. However, if we can establish a distributed equivalent circuit with the parameters shown in Fig. 2, corresponding per-unit-length a kind of differential TL that can suppress the common mode in some frequency range, but still propagate the differential mode, will be obtained. The reasons can be explained by Fig. 3. Fig. 3(a) and (b) shows the distributed equivalent circuits in odd and even symmetry for the proposed structure in Fig. 2. As shown in Fig. 3(a), the circuit is a typical right-handed TL with series and shunt . The characteristic impedance and propagation constant are the same as (1a) and (2a), respectively. The differential mode can propagate without the cutoff. However, those for the even mode are

(3a)

It is found in (3a) and (3b) that the even mode will become and is imaginary, when the evanescent wave, i.e., operating frequency is in the range of . This phenomenon can also be seen from the effective constitutive parameters, which are defined as [8] (5a) (5b)

, and show positive and negative As values, respectively, which means the evanescent mode exists in the TL. That is why we mentioned the structure is a negativepermittivity TL MM. A wide stopband common-mode filter can and higher . The next be achieved by designing a lower problem is how to realize the distributed circuits proposed in Fig. 2. Since such a distributed circuit is not known to exist networks is naturally, an artificial structure with lumped required to synthesize this common-mode suppression filter. It will be discussed in Section III.

TSAI AND WU: BROADBAND AND MINIATURIZED COMMON-MODE FILTER

197

Fig. 6. Distributed equivalent circuits for the: (a) odd mode and (b) even mode.

Fig. 4. Configuration of the proposed common-mode filter in the: (a) top view and (b) 3-D view.

with a perfect electrical wall (PEC) and perfect magnetic wall (PMC), respectively, in the center line between the differential signal lines shown in Fig. 4. The dispersion relations of these two circuits can be obtained by applying the periodic boundary conditions related with the Bloch–Floquet theorem [9]. For differential- and common-mode excitation, the dispersion relations can be expressed as (6a) and (6b)

Fig. 5. Equivalent lumped circuit for the proposed common-mode filter.

respectively, where and are the propagation constants for Bloch waves of the odd and even modes. The Bloch impedances for these two modes are defined as (7a)

III. STRUCTURE AND DISPERSION RELATIONS and A. Proposed Structure and Design Concept The configuration of the proposed common-mode filter is shown in the Fig. 4. The top view is shown in Fig. 4(a), the two signal lines are on the top layer with a linewidth of and edge to edge distance of . Periodical mushroom structures of a rectangular shape are symmetrically located beneath the differential signal lines. The length of the metal pad on the second layer is . The period is and the gap between the adjacent pads is . Fig. 4(b) shows the unit-cell of the proposed periodic structure. The substrate thickness between the top layer and second layer and between the second layer and bottom (or ground) layer are and , respectively. The equivalent lumped circuit for the unit-cell is shown in Fig. 5. It is worth noting that the circuit in Fig. 5 looks similar to the one in Fig. 2, but they have different physical interpretations. Fig. 2 shows a distributed circuit elements, but Fig. 5 shows the equivwith per-unit-length circuits for a unit-cell. The periodically casalent lumped caded networks based on the unit-cell circuit can perform the properties of the distributed TL in the limit of effective homogeneity, when the period is far less than the guided waveg. length, i.e., Fig. 6(a) and (b) shows the equivalent circuits for the odd and even symmetries, respectively. They correspond to the structure

(7b) To demonstrate the dispersion relations for these two modes, an example structure is employed with the dimensions mm, mm, mm, mm, mm, mm, and mm. The corresponding and are nH, nH, nH, pF, pF, pF, and pF. Fig. 7(a) shows the dispersion diagram for the odd mode. The corresponding dispersion relation for the distributed circuit in Fig. 3(a) is also shown in this figure. Not similar to the distributed circuit, the differential mode can propagate in the proposed structure only from dc to a cutoff frequency (8) Fig. 7(b) shows the even-mode dispersion relation for the proposed structure. This curve is marked as the results of twoport circuit model in order to differentiate with the four-port results that consider the mutual coupling between the mushroom pads. The four-port model will be discussed later. As shown in and , corresponding Fig. 7(b), there is a bandgap between

198

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 8. Four-port circuit model with a gap capacitance added.

The dispersion relation for the common mode is also simulated by the full-wave tool High Frequency Structure Simulator (HFSS). As shown in Fig. 7(b), it is seen that the dispersion trend predicted by HFSS is similar to that obtained by the equivalent-circuit model, but the stopband bandwidth is wider for the full-wave simulation. The stopband predicted by HFSS GHz and GHz. The discrepis between ancy can be explained by capacitive coupling between the adjacent patches on the second layer. This gap capacitance, which is not considered in the equivalent lumped models shown in Fig. 6(b), can enhance the stopband bandwidth. It is expected that the two-port circuit model turns into a four-port network due to the gap capacitance added. Fig. 8 shows the four-port unit-cell circuit model with the gap included for the common mode. By deriving the capacitance four-port matrix of the circuit model and employing the periodic boundary condition related with the Bloch–Floquet theorem, a dispersion relation for the common-mode in the proposed structure can be obtained as

Fig. 7. Dispersion relations for the: (a) odd mode and (b) even mode.

to and , respectively. The lower and upper side and can be derived from (6b) as folcutoff frequencies lows:

(10) where

(9a) where

and (9b) For this example, the cutoff frequencies for the even mode are calculated as GHz and GHz. The dispersion curve for the corresponding distributed equivalent circuit based on (3a) is also shown in Fig. 7(b). The per-unit-length - and -parameters in Fig. 3(b) is related to the lumped and and -parameters in Fig. 6(b) by for shunt capacitance and series inductance and for or . It can be seen that the agreeshunt inductance, where ment is very good. It implies that the negative-permittivity differential TL can be realized by the proposed periodic structure g. under the limit of effective homogeneity

where and ( or ) are the node voltage and branch ( – , and current at the left two ports, as shown in Fig. 8. – ) is the element of the 4 4 -matrix. Assume that the voltage wave and current wave can propagate in the structure must exist under the and the nontrivial solutions of condition (11) Fig. 7(b) shows the comparison of the dispersion diagrams predicted by the four-port model and full-wave simulation. The bandgap predicted by the four-port circuit model has a good agreement with that predicted by HFSS. It is clearly seen that the GHz and GHz. The bandgap is between four-port model explains that the bandgap can be enhanced by the gap capacitance as we see in the full-wave simulation. The

TSAI AND WU: BROADBAND AND MINIATURIZED COMMON-MODE FILTER

199

Fig. 10. Simulation results for S -parameter.

Fig. 9. Configuration of the proposed structure for: (a) 3-D view and (b) side view.

discrepancy between the two methods at the phase shift close to 180 could be that the lumped gap capacitance could not exactly describe the mutual coupling between the two patches with a distributed effect.

and can be further reAs shown in (9a) and (9b), duced by increasing the equivalent inductance of . As shown in Fig. 9(b), a meandered via is designed with mm, mm, mm, strip width mm, and m. This meandered via is kept on the symvia diameter metry plane between the differential traces to avoid the mode conversion from the differential mode to common mode. V. RESULTS AND DISCUSSION A. Simulation

IV. MINIATURIZED REALIZATION Size and operation frequency are two main factors in designing the common-mode filter for gigahertz differential signals. In general, the filter with smaller dimension and wider stopband below 10 GHz will obtain more applications in future high-speed digital circuits. This section will discuss the miniaturization approach for the proposed filter structure based on the concept of negative-permittivity MMs. The dimension of the filter is mainly determined by unit-cell and layer thickness ( and ). In order to satsize isfy the limit of effective homogeneity, the unit-cell size should be chosen as small as possible. However, smaller unit-cell size causes higher frequency range of the common-mode stopband and in (9a) and (9b). Some of the mebecause of smaller ander line approach is required to increase these capacitances for a small unit-cell. Fig. 9(a) shows the structure of the miniamm, mm, and turized filter, where mm. A meander differential line on the top layer is designed with linewidth mm and line distance mm, mm, and mm. The low-temperature co-fired ceramic (LTCC) fabrication technology is used to realize this design. The dielectric constant of the LTCC is 7.8. To keep differential signal integrity, we maintain the differential mode impedance at 100 . The reason for using the meby ander line is to decrease the lower side cutoff frequency in (9a). Since the unit-cell size mm increasing is far smaller than the interested operation guided wavelength mm at 10 GHz), the impedance discontinuities due ( g to the bending of the differential lines can be neglected in the interested frequency range.

Based on the miniaturized unit-cell described in Section IV, a common-mode suppression filter by cascading four unit-cells are modeled and discussed first. Fig. 10 shows the simulated insertion loss of the differential mode and common mode ( and ) for this filter. The full-wave simulator HFSS is used. and conductor loss (using The dielectric loss Ag) of the LTCC substrate are both taken into account in the simulation. The common-mode insertion loss predicted by the four-port equivalent-circuit model is also presented in Fig. 10, nH, nH, nH, where pF, pF, pF, and pF. A good agreement is seen from dc to 10 GHz. The accuracy of the four-port circuit model for the proposed structure is verified again here. It is observed that the common-mode noise is reduced by the filter over 10 dB from 3.8 to 7.1 GHz with about 3.3-GHz stopband bandwidth. The differential-mode insertion loss is less than 1 dB within the stopband and less than 2 dB up to 10 GHz. It implies that the bending of the meander differential traces and a meandered via do not degrade the differential signal quality in our interested frequency range. Furthermore, this miniaturized design can significantly reduce the stopband to lower frequency range (below 10 GHz). In addition, mode is also shown in Fig. 10. It is clearly seen that conversion the mode conversion loss for the structure is less than 50 dB below 10 GHz. It is obvious that the proposed structure will not cause mode conversion from the differential mode to common mode. Fig. 11 shows the dispersion diagram calculated by (10) for the unit-cell using the four-port equivalent circuit. The dispersion diagram simulated by HFSS is also shown in this figure. Good agreement is seen. The stopband for the common mode is

200

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 13. Photograph of four- and eight-cell filters.

Fig. 11. Dispersion relation for the circuit model and full-wave tool (HFSS).

Fig. 12. Group delay for the proposed filter.

between 3.8–7.2 GHz. The stopband range is a little different from the prediction by the -parameter result shown in Fig. 10. As described earlier, the dispersion diagram is derived from an infinite number of cells, while the -parameter simulation is obtained from the finite number of cells. It is emphasized again that the proposed filter is aimed to suppress the common-mode noise in a wide bandwidth without degrading the signal quality of the differential signals. To keep good signal integrity for the high-speed differential signals, not only should the insertion loss be small, but the group delay for the differential mode should also be constant within a broad frequency range. Fig. 12 shows the simulated transmission group delay from dc to 10 GHz. The group delay is defined as

Fig. 14. S -parameter comparison of the simulation and measurement for the: (a) four cells and (b) eight cells.

electrical size is g g. Compared with the previous research, the proposed structure is much smaller than the previous structures [4], [5].

(12)

B. Measurement and Performance Evaluation in Time Domain

where is the transmission phase through the filter. As shown in Fig. 12, the group delay keeps a constant of 86 ps from dc to 5 GHz, as discussed for the simulated results from Figs. 10–12. The proposed structure can reach a fractional bandwidth of about 60% centered at 5.45 GHz for common-mode suppression and still keep good signal integrity for differential mode. The physical size of the structure is 3.2 mm 5.12 mm and

Using the LTCC fabrication technique, two common-mode filters are fabricated. Both of them are designed based on the unit-cell described in Section V-A, but one filter has four unit-cells cascaded and the other has eight unit-cells cascaded. A photograph of the four- and eight-cell filter is presented in Fig. 13. The size is 3.2 mm 5.12 mm and 3.2 mm 10.24 mm, respectively. Fig. 14(a) and (b) shows the measured insertion loss both for the differential mode and common mode for these

TSAI AND WU: BROADBAND AND MINIATURIZED COMMON-MODE FILTER

201

TABLE I EYE PARAMETERS SUMMARY

Fig. 16. Measured common-mode noise is reduced by the proposed filter.

Fig. 15. Measured eye diagrams for the: (a) reference board and (b) proposed filter.

two filters, respectively. The full-wave simulated results are also presented for comparison. A reasonably good agreement is seen, except there is some discrepancy for the insertion loss at frequencies higher than of the differential mode 6 GHz. Two reasons could explain this discrepancy. One is the parameter inaccuracy in fabrication tolerance. The other reason is the parasitic effects of the probing pads in the measurement. Comparing the results in Fig. 14(a) and (b), the bandgap for the eight-cell filter (3.8–7.4 GHz) is wider than that for the four-cell filter (3.8–7.1 GHz). It is also found that the common-mode insertion loss for the eight-cell filter can reach about 20 dB in average, but only about 10 dB for the four-cell structure. It is well know that more unit-cells cascaded will enhance the noise suppression capability for the periodic structure. However, for the application of the gigahertz high-speed signal, the four-cell structure with 10–15-dB reduction of common-mode noise is sufficient enough for solving the signal integrity and EMI issues. In Fig. 15, the differential signal eye diagram for the fourcell filter structure has been compared with that for reference structure by measurement. The reference structure is a standard differential traces on an LTCC substrate with matched characteristic impedance. The size of the reference structure is the same with that of the filter structure. The transmitted signal is 3.5-Gb/s pseudorandom bit sequence (PRBS) with 1-V amplitude, whose second harmonic components reside the stopband of the proposed common-mode filters. The eye diagram can be used to evaluate the signal integrity of a channel in terms of eye

height and eye width. It is found that the quality of the eye diagram for the proposed structure is almost the same as that for the reference structure. The eye-diagram quality is compared for these two cases and is summarized in Table I. The eye height and eye width is 685 mV and 266 ps for the reference structure and 684 mV and 261 ps for the proposed filter. The signal integrity degradation caused by the MM-typed filter is only about 0.15% and 1.8% for the eye height and eye width, respectively. This illustrates that the proposed structure not only has the characteristic of common-mode noise suppression, but also holds the transmission of the differential signal. The capability of common-mode noise suppression can also be verified in the time domain. Two pulse trains of 500-mV peak-to-peak voltage and of 40-ps timing skew are differentially launched into input ports of the filter (ports 1 and 2) by the pattern generator (Anritsu MP1763). The input signal is a PRBS of 3.5 Gb/s. The voltage waveforms at output ports (ports 3 and 4) are measured using a digital oscilloscope (Agilent 54855A). is defined as the sum of the The common-mode noise measured voltage at these two output ports. It is observed from Fig. 16 that the peak-to-peak output common-mode voltage for the case without the filter inserted is 579 mV, but it is reduced to 293 mV when we use the four-cell filter. About 50% reduction is achieved. VI. CONCLUSION A miniaturized and broadband common-mode suppression filter has been presented with the characteristic of the negativepermittivity TL MMs. The dispersion relation corresponding to the equivalent-circuit model of the filter is derived from the TL theory and Bloch-Floquet theorem. It explains that quasi-TEM can be propagated in the structure for odd-mode excitation, but evanescent mode exists in the structure for even-mode excitation. Moreover, it has a good agreement with the dispersion diagram simulated by the full-wave simulator HFSS. Miniaturized

202

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

filters with four and eight cells are realized in the LTCC fabrication technology. For the four-cell filter, it is seen that the common-mode insertion loss can reach to over 10-dB reduction from 3.8 to 7.1 GHz in the frequency domain and about 50% common-mode voltage reduction in the time domain. It is worth noting that the differential signal still keeps a good quality. Comparing the filters in the previous research, the proposed filter structure has an electrically and physically smaller size and will be useful in the application of gigahertz differential circuits. REFERENCES [1] J. Deng and K. Y. See, “In circuit characterization of common-mode choke,” IEEE Trans. Electromagn. Compat., vol. 49, no. 5, pp. 451–454, May 2007. [2] B. Archambeault, S. Connor, and J. Diepenbrock, “EMI emissions from mismatch in high-speed differential signal trace and cables,” in Proc. IEEE Int. Electromagn. Compat. Symp., Honolulu, HI, Jul. 2007, pp. 1–6. [3] K. Yanagisawa, F. Zhang, T. Sato, K. Yanagisawa, and Y. Miura, “A new wideband common-mode noise filter consisting of Mn–Zn ferrite core and copper/polyimide tape wound coil,” IEEE Trans. Magn., vol. 41, no. 10, pp. 3571–3573, Oct. 2005. [4] W. T. Liu, C. H. Tsai, T. W. Han, and T. L. Wu, “An embedded common mode suppression filter for GHz differential signals using periodic defected ground plane,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 4, pp. 248–250, Apr. 2008. [5] S. J. Wu, C. H. Tsai, and T. L. Wu, “A novel wideband common-mode suppression filter for GHz differential signals using coupled patterned ground structure,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 848–855, Apr. 2009. [6] C. Caloz and T. Itoh, Electromagnetic Metamaterial: Transmission Line Theory and Microwave Application. New York: Wiley, 2006, pp. 1–9. [7] C. H. Tsai and T. L. Wu, “A metamaterial-typed differential transmission line with broadband common-mode suppression,” in Proc. IEEE Int. Electromagn. Compat. Workshop, Athens, Greece, Aug. 2009, pp. 1–4. [8] C. H. Tsai and T. L. Wu, “A GHz common-mode filter using negative permittivity metamaterial on low temperature co-fire ceramic (LTCC) substrate,” in Proc. IEEE Int. Electromagn. Compat. Symp., Austin, TX, Aug. 2009, pp. 91–94. [9] G. V. Eleftheriades, A. K. Iyer, and P. C. Kremer, “Planar negative refractive index media using periodically L–C loaded transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2702–2712, Dec. 2002.

[10] D. M. Pozar, Microwave Engineering. 371–377.

New York: Wiley, 2004, pp.

Chung-Hao Tsai (S’08) was born in Changhua, Taiwan, in 1984. He received the B.S. degree in electrical engineering from National Sun Yat-sen University, Kaohsiung, Taiwan, in 2006, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University, Taipei, Taiwan. His current research interests include MMs in electromagnetic compatibility (EMC) applications and signal integrity for high-speed digital circuits.

Tzong-Lin Wu (S’93–M’98–SM’04) received the B.S.E.E. and Ph.D. degrees from National Taiwan University (NTU), Taipei, Taiwan, in 1991 and 1995, respectively. From 1995 to 1996, he was a Senior Engineer with Microelectronics Technology Inc., Hsinchu, Taiwan. From 1996 to 1998, he was with the Central Research Institute, Tatung Company, Taipei, Taiwan, where he was involved with the analysis and measurement of EMC/EMI problems of high-speed digital systems. From 1998 to 2005, he was with the Electrical Engineering Department, National Sun Yat-sen University. He is currently a Professor with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, NTU. In Summer 2008, he was a Visiting Professor with the Electrical Engineering Department, University of California at Los Angeles (UCLA). Since 2006, he has been the Associate Editor of the International Journal of Electrical Engineering (IJEE). His research interests include EMC/EMI and signal/power integrity design for high-speed digital/optical systems. Dr. Wu is a member of the Institute of Electronics , Information and Communication Engineers (IEICE), Japan, and the Chinese Institute of Electrical Engineers (CIEE). Since 2007, he was chair of the Taipei Section, IEICE and the treasurer of IEEE Taipei Section. Since 2009, he has served on the Board of Directors (BoD) of the IEEE Taipei Section. He was a Distinguished Lecturer of the IEEE EMC Society (2008–2009). He has actively participated in IEEE activity. He was the co-chair of the 2007 IEEE EDAPS Workshop and the chair of the 2008 International Workshop on EMC. He was the recipient of the Excellent Research Award and Excellent Advisor Award presented by NSYSU in 2000 and 2003, respectively, Outstanding Young Engineers Award presented by the CIEE in 2002, the Wu Ta-You Memorial Award presented by the National Science Council (NSC) in 2005, and Technical Achievement Award presented by the IEEE EMC Society in 2009.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

203

Broadband Self-Compensating Phase Shifter Combining Delay Line and Equal-Length Unequal-Width Phaser Yu Jian Cheng, Student Member, IEEE, Wei Hong, Senior Member, IEEE, and Ke Wu, Fellow, IEEE

Abstract—In this paper, a broadband self-compensating phase shifter is presented and developed on the basis of substrate integrated waveguide (SIW) technology. Since the SIW is a dispersive guided-wave structure, the effective bandwidth of SIW phase shifter is usually narrow. Phase shifts generated by two different structures, namely delay line and equal-length unequal-width phase shifter, are discovered in this work to present interesting opposite tendencies versus frequency. Therefore, an appropriate combination of them will make the phase shift almost constant over a very wide band. Design equations and process are given following a mathematical analysis. To demonstrate the interesting and useful features of the proposed technique, a 90 and a 45 self-compensating phase shifters are designed as showcases with standard printed circuit board process. For the 90 version, the measured amplitude and phase imbalance between the two paths are within 0.2 dB and 2.5 , respectively, within the frequency band from 25.11 to 39.75 GHz, or around 49% bandwidth. The return loss is found to be better than 12 dB within the frequency band of interest. The 45 one has the similar excellent performance. The measurements demonstrate that this type of SIW phase shifter is superior to all of its counterparts. Index Terms—Broadband, phase shifter, substrate integrated circuits (SICs), substrate integrated waveguide (SIW).

I. INTRODUCTION

T

HE PHASE shifter is a key component in the microwave and millimeter-wave circuits. Many successful designs are focused on performances such as broadband and amplitude balance and they have been implemented in the form of various transmission lines to be easily integrated into the whole circuits. One of the well-known broadband phase shifters is the “Schiffman differential phase shifter.” Schiffman’s original work was based on the stripline transmission structures [1] and then this type of circuit has mainly been designed in the microstrip line form [2]–[4]. Another class of broadband phase Manuscript received May 13, 2009; revised August 31, 2009. First published November 17, 2009; current version published January 13, 2010. This work was supported in part by the Natural Science Foundation of China (NSFC) under Grant 60621002, in part by the National High-Tech Project under Grant 2007AA01Z2B4, and in part by the Scientific Research Foundation of Graduate School of Southeast University. Y. J. Cheng, and W. Hong are with the State Key Laboratory of Millimeter Waves, School of information Science and Engineering, Southeast University, Nanjing 210096, China (e-mail: [email protected]). K. Wu is with the Poly-Grames Research Center, Department of Electrical Engineering, École Polytechnique de Montréal, Montréal, QC, Canada H3C 3A7 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2035942

shifter consisting of several -plane stubs was realized based on the rectangular waveguide [5], [6]. Furthermore, the dual dielectric-slab-filled waveguide phase shifter is also able to provide a wide operation bandwidth [7]. Recently, a new generation of RF, microwave and millimeter-wave integrated circuits called substrate integrated circuits (SICs) has been proposed and demonstrated. Among this family of SICs, the substrate integrated waveguide (SIW) [8]–[12] has been the most popular due to its simple and easy-to-make structures. This scheme of SICs with regards to SIW combines the excellent features of both planar transmission lines and nonplanar conventional rectangular waveguide. As such, a vast range of SIW components, also including phase shifters, have been proposed and studied. Some SIW phase shifters have been implemented by the use of delay lines [13], slow-wave structures [14] and inserted metallic posts [15], but all of them are sensitive to frequency, which generally have very poor bandwidth-related performances. Another popular type is realized by the use of different SIW widths [16]–[19]. As the dispersion curves of phase constant versus different SIW widths behave almost in parallel with each other, a fixed phase difference can easily be obtained between equal-length structures over about 10% relative bandwidth. However, it may still not be enough in this case. Due to the poor performance of SIW phase shifters, the operation bandwidth of whole SIW circuits has been severely limited when a phase shifter is used. Thus, there is an overpowering need for us to develop a wideband SIW phase shifters with high quality. To this end, we introduce a self-compensating SIW phase shifter, which can achieve a flat relative phase shift over a wide band, as briefly discussed in [20]. This new technique is proposed based on a very interesting phenomenon that the varying tendencies of phase shift obtained by delay line and equal-length unequal-width structure versus frequency are just opposite over frequency. Thus, a concept of phase compensation can be applied to expand the useful bandwidth of a phase shifter. Specifically, the phase shift will simultaneously be accomplished by combining different electrical lengths (delay line) and different phase velocities of waves (unequal-width). The operation mechanism of self-compensating phase shift is unique not only for the SIW, but also for the conventional waveguide. Through a set of detailed mathematic analyses, we introduce a design procedure and propose three different types of self-compensating phase shifter, namely the forward, backward, and bidirectional types. By selecting adequate lengths and widths of SIW branches, the

0018-9480/$26.00 © 2009 IEEE

204

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

quency , there is (2) is the phase constant and is the length of transIn (2), mission line. In most cases, the dielectric substrate used is lossy, and it has the complex permittivity [21] (3) In (3), is identical to the material’s relative permittivity , is the dielectric loss tangent. There are some basic and equations about the propagation constant

(4) Combining them yields

Fig. 1. Schematic diagrams of three different types of phase shifter. I: Delay line. II: Equal-length unequal-width phaser. III: Self-compensating phaser.

relative phase difference between them can be made to be almost constant over a broadband frequency range. The optional range of SIW widths is limited by the permissible maximum value of voltage standing-wave ratio (VSWR). Furthermore, tolerance analysis of this novel structure is necessary and implemented by the sensitivity approach. To validate our proposed concept, the self-compensating phasers with 90 and 45 phase shift are designed, respectively. The measurements verify the correctness and usefulness of our analytical approach and design process.

(5) is used, the dielectric atIf a dielectric substrate of high tenuation will obviously influence the phase constant and should be considered in the design stage. However, we usually use a can be simplilow-loss substrate in practice. Therefore, fied as ( : gigahertz, : milllimeters)

(6) 1) Type I: Delay Line: The phase shift [phase(S21S43)] of delay line can be calculated by (2), and the first-order is derivative of

II. FUNDAMENTAL THEORY It was demonstrated [9] that a -like mode in the SIW has dispersion characteristics that are almost identical to the mode of a dielectric-filled rectangular waveguide with an equivalent width. The actual width of SIW is , the diameter of metalized via is , and the space between adjacent vias is . The equivalent width of SIW, , can then be calculated by (1) Let us now analyze three types of phase shifter with different basic principles, i.e., delay line, equal-length unequalwidth phase shifter, and self-compensating phase shifter. To simplify the following analytical procedure, all of them are supposed to be realized in dielectric-filled solid-wall waveguide with the equivalent width, as shown in Fig. 1. is dispersive and Generally speaking, the phase shift varying as a function of frequency. At a given operating fre-

(7) grows almost linearly with This equation suggests that frequency. 2) Type II: Equal-Length Unequal-Width Phase Shifter: The equal-length unequal-width phase shifter has two branches with and . The two different equivalent widths [phase(S21–S43)] of this phase shifter is phase shift

(8)

CHENG et al.: BROADBAND SELF-COMPENSATING PHASE SHIFTER COMBINING DELAY LINE AND EQUAL-LENGTH UNEQUAL-WIDTH PHASER

205

TABLE I PARAMETERS OF DIFFERENT TYPES OF PHASE SHIFTER (UNIT: MILLIMETERS)

The first-order derivative of

with respect to

is

(9)

This expression means that decreases against the frequency increasing. 3) Type III: Self-Compensating Phase Shifter: Now, let us combine the two above-mentioned structures together and construct a self-compensating one. There are three different phase constants existing in the newly formed structure: Branch 21 (length , width ), Branch 43 (length , width ), and Branch 43 (length , width ). The phase shift at the frequency can be calculated by

Fig. 2. Phase shifts generated by different phase shifters.

TABLE II COMPARISON BETWEEN THREE TYPES OF PHASE SHIFTER

(10) Suppose that the increment of frequency is the forward type. The phase shift at frequency

, it is is

(11) If (12) can be satisfied, the dispersion of phase coefficient will be suppressed significantly. A similar process can be used to analyze a case (the backward type). III. COMPARISON RESULTS Through the above analyses, we have designed in this study three types of phase shifters to achieve a 90 phase shift. The self-compensating phase shifter is designed both in the forward . If we make the phase and backward types with different

shift at the center frequency 30 GHz not just equal to about 90 (maybe slightly offset, e.g., 86 for GHz), a wider bandwidth will be achieved. Table I lists the dimensions of these , and Fig. 2 show the calculated results phase shifters of different phase shifters. They are then compared in terms of the size normalized by wavelength and the relative bandwidth, which are listed in Table II. The phase error of type 1 is within 5 covering a 5.6% relative bandwidth from 29.2 to 30.9 GHz. The phase error of type 2 is within 5 covering a 6% relative bandwidth from 29.1 to 30.9 GHz. For the forward case of type 3 ( GHz), 5 can be made up close to the relative bandwidth of 90 70%. The backward case also supports a wide band over the -band. For GHz, the relative bandwidth of whole

206

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

TABLE III PARAMETERS OF DIFFERENT SELF-COMPENSATING PHASE SHIFTERS

Fig. 3. Calculated VSWRs versus variable SIW widths.

90 5 can be designed up close to 52%. Those results demonstrate that the self-compensating phase shifter has a remarkable improvement compared with its other counterparts. IV. DESIGN PROCESS 1) Determine the Operation Frequency Band, the Required Phase Shift, the Used Dielectric Substrate (i.e., and ), and and ): In this the Parameters of the Rest SIW Circuits (i.e., mm, mm, mm, design, mm, and GHz. The equivalent width of the rest of the SIW, , can be calculated to be 5.79 mm. The required phase shifts are 22.5 , 45 , 67.5 , and 90 , respectively. 2) Choose the Widths of Different SIW Branches: There are three equivalent widths in the self-compensating phase shifter: and . The last one, , is usually selected with the same value of the rest of the SIW (i.e., 5.79 mm), and the and can be determined as follows. optional range of The center frequency was set to be 30 GHz. Firstly, the selecshould keep the SIW operating in the dominant mode tion of should be in the range of 3.37–6.74 mm. Next, zone; thus, the impedance variations caused by the width change leading to the line discontinuities are expressed in terms of the maximum value of the VSWR. In other words, the mismatching due to the change in SIW widths should be taken into account. According , the maximum VSWR can be obtained to the varying value by [22], [23] (13) When the equivalent width of the rest SIW is 5.79 mm, the VSWR are calculated versus different SIW widths. As shown within 4.90–7.59 mm will make the in Fig. 3, the values of VSWR better than 1.43 (i.e., return loss better than 15 dB). Combining the above-mentioned two-step analysis, the selecshould be in the range of 4.90–6.74 mm. In this detion of mm and mm. sign, we chose 3) Calculate and : Using (10)–(12), we calculated the and . Ansoft High Frequency Structure Simuvalues of lator (HFSS) was then employed to carry out the full-wave simulation and optimization work. The proposed phase shifters were

Fig. 4. Calculated and simulated results of self-compensating phase shifters with different phase shift.

Fig. 5. Topology of the proposed SIW self-compensating phase shifter.

developed in the dielectric-filled waveguide with a solid wall at this stage. The final calculated and optimized parameters are listed in Table III, and the corresponding calculated and simulated results are depicted in Fig. 4. In simulation, the four such 0.5 covself-compensating phase shifters can provide 22.5 ering a 30.4% relative bandwidth from 25.8 to 34.9 GHz, pro1 covering a 42% relative bandwidth from 24.8 to vide 45 37.4 GHz, provide 67.5 1.8 covering a 46.4% relative band3 covering a width from 25.2 to 39.1 GHz, and provide 90 44% relative bandwidth from 24.2 to 37.4 GHz, respectively. 4) Transform the Dielectric-Filled Waveguide-Based Phaser Into the SIW-Based Phaser: Using (1) and considering the requirement of fabrication, we replaced solid walls with several rows of metallized vias and transformed the waveguide-based self-compensating phase shifter into the SIW version. As an example, we designed an SIW 90 self-compensating phaser. To test, the SIW was converted into the microstrip line. Through full-wave simulation and optimization, the final layout is depicted in Fig. 5. The corresponding parameters are

CHENG et al.: BROADBAND SELF-COMPENSATING PHASE SHIFTER COMBINING DELAY LINE AND EQUAL-LENGTH UNEQUAL-WIDTH PHASER

207

Fig. 6. Simulated S -parameters of the 90 self-compensating phase shifter.

Fig. 8. Simulated S -parameters of the 45 self-compensating phase shifter.

Fig. 7. Simulated phase shift of the 90 self-compensating phase shifter.

Fig. 9. Simulated and calculated phase shifts of the 45 phase shifter.

mm, mm, mm, mm, mm, mm. Simulated results are shown in Figs. 6 and and 7. Such a self-compensating phase shifter has good amplitude 2.25 covering a balance and return loss. It can provide 90 48.3% relative bandwidth from 25.2 to 39.7 GHz. 5) Others: There are only two independent equations among (10)–(12), but there are five unknown quantities, i.e., and . According to the scheme of design, any combination of three among them can be preselected. The rest of the two parameters can be obtained by solving the equations. As another example, we designed a 45 self-compensating mm and phase shifter with fixed length, i.e., mm. These parameters were preselected as mm, mm, mm, mm, and mm. It was proposed to operate at the center freGHz. If the equations are quency of 33 GHz with inconsistent, we can find approximate values using the least and can be calculated to be 4.445 and square method. 4.789 mm. Through full-wave simulation and optimization, the last parameters of the 45 self-compensating phase shifter are mm, mm, mm, mm,

mm, and mm. The definitions of these symbols are also shown in Fig. 5. Simulated results are shown in 2.75 covering a 44.7% relFigs. 8 and 9. It can provide 45 ative bandwidth from 24.6 to 38.9 GHz. V. DESIGN CONSIDERATIONS A. Tolerance Analysis The characteristics of SIW self-compensating phase shifters are primarily determined by the SIW actual width , the diameter of metallic via , the spacing between adjacent vias , the substrate relative permittivity , and the length of transmis. The definitions of these symbols are shown in sion line Fig. 5 as well. As is well known, the substrate properties, like the thickness and relative permittivity, have specific manufacturing tolerances. There are also other factors in the fabrication process, such as the accuracy of metallic via position and size. All these parameters contribute to the variation of phase shift . Thus, a tolerance analysis becomes necessary. Based on the sensitivity approach developed in [22] and [23], the worst case behavior can be predicted by the first-order effects of parametric variations.

208

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 11. Photograph of the 90 and 45 self-compensating phase shifters.

Fig. 10. Phase shift generated by different self-compensating phase shifters.

The largest value of is related to the worst case behavior. It has been observed that the maximum change in the characteristics of a phase shifter due to tolerances can be evaluated using this equation

(14) The sensitivity

Fig. 12.

S -parameters of the 90

Fig. 13.

Arg(S21–S43) of the 90

SIW self-compensating phase shifter.

is defined as [21] (15)

denote the In (12), , respectively. maximum errors of The inverse problem can be used to determine the required fabrication accuracy from the equation

(16) For example, the required phase shift is equal to 90 (the 90 phase shifter in Table III) and the values of and are 5.32, 6, 6, 2.2, 0.8, 0.4, 12.7741, and 1.0354 mm. The values of and are assumed to be 0.02, 0.02, 0.02, 0.02 0.02 0.02, and 0.01 mm, respectively. Let us , the required fabrication accuracy of allow 5 error of can be calculated to be 0.0167 mm by (16). B. Bidirectional Self-Compensating Phase Shifter Although the forward and backward type phase shifters can provide a wide bandwidth, it is possible to further improve the

SIW self-compensating phase shifter.

phase coefficient near the center frequency by compensating them again. This type of phase shifter may be called the bidirectional type. It is implemented by two self-compensating GHz forward compensation, phase shifters (one is the while the other is the GHz backward compensation), and each one realizes half of the required phase shift, i.e., 45 . After combining them together, the phase difference 0.25 can be obtained within 28–32 GHz, as of 90.25

CHENG et al.: BROADBAND SELF-COMPENSATING PHASE SHIFTER COMBINING DELAY LINE AND EQUAL-LENGTH UNEQUAL-WIDTH PHASER

209

shown in Fig. 15, the measured phase difference between the 3.5 from 24 to 40 GHz. two branches is 45 VII. CONCLUSION

Fig. 14.

S -parameters of the 45

SIW self-compensating phase shifter.

A new class of SIW self-compensating phase shifters have been proposed and described in this paper. In the proposed scheme, a delay line and an equal-length unequal-width phase shifter are effectively combined together to make up the proposed phase shift structure that provides almost constant phase shift over a wideband. Detailed design procedure is introduced in the modeling and optimization of the new phase shifter. For example, a 90 and a 45 self-compensating phase shifter were fabricated, respectively. The correctness and usefulness of the analytical approach and design technique are validated by the measurements. This technique is found to remarkably improve the performances of the early SIW phase shifter design. Therefore, such a phase shifter presents an excellent candidate in the development of microwave and millimeter-wave integrated circuits. REFERENCES

Fig. 15.

Arg(S21–S43) of the 45

SIW self-compensating phase shifter.

shown in Fig. 10. The relative error is halved within the same frequency band. VI. MEASURED RESULTS The 90 and 45 SIW self-compensating phase shifters were fabricated on a Rogers 5880 substrate with a permittivity of 2.2 and a thickness of 0.508 mm. The photographs of fabricated phase shifters are shown in Fig. 11. The simulated and measured reflections, transmission coefficients, and relative phase differences between branches are depicted in Figs. 12–15. For the 90 phaser, the return losses are better than 13 dB within 27–37 GHz, as shown in Fig. 12. The amplitude balance of different branches is very good and the measured amplitude imbalance between the two paths is within 0.2 dB. As shown in Fig. 13, the measured phase difference between the 2.5 from 25.11 to 39.75 GHz covering two branches is 90 around 49% relative bandwidth. For 45 phaser, the return losses are better than 10.8 dB within 27–40 GHz, as shown in Fig. 14. The amplitude balance of different branches is also very good and the measured amplitude imbalance between the two paths is within 0.28 dB. As

[1] B. M. Schiffman, “A new class of broad-band microwave 90-degree phase shifters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 232–237, Apr. 1958. [2] B. Schiek and J. Kohler, “A method for broad-band matching of microstrip differential phase shifters,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 8, pp. 666–671, Aug. 1977. [3] J. L. R. Quirarte and J. P. Starski, “Novel Schiffman phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 1, pp. 9–14, Jan. 1993. [4] S. Y. Eom, S. I. Jeon, J. S. Chae, and J. G. Yook, “Broadband 180 bit phase shifter using a =2 coupled line and parallel =8 stubs,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 228–231, May 2004. [5] J. Dittloff, F. Amdt, and D. Grauerholtz, “Optimum design of waveguide E -plane stub-loaded phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 3, pp. 582–587, Mar. 1988. [6] F. Alessandri, M. Mongiardo, and R. Sorrentino, “A technique for the fullwave automatic synthesis of waveguide components: Application to fixed phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 7, pp. 1484–1495, Jul. 1992. [7] F. Arndt and R. Frye, “Double dielectric-slab-filled waveguide phase shifter,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 5, pp. 373–381, May 1985. [8] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [9] Y. Cassivi, L. Perregrini, P. Arcioni, M. Bressan, K. Wu, and G. Conciauro, “Dispersion characteristics of substrate integrated rectangular waveguide,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 9, pp. 333–335, Sep. 2002. [10] J. Hirokawa and M. Ando, “Efficiency of 76- GHz post-wall waveguide-fed parallel-plate slot arrays,” IEEE Trans. Antennas Propag., vol. 48, pp. 1742–1745, Nov. 2000. [11] A. Piloto, K. Leahy, B. Flanick, and K. A. Zaki, “Waveguide filters having a layered dielectric structures,” U.S. Patent 5 382 931, Jan. 17, 1995. [12] Y. J. Cheng, K. Wu, and W. Hong, “Power handling capability of substrate integrated waveguide interconnects and related transmission line systems,” IEEE Trans. Adv. Packag., vol. 31, no. 4, pp. 900–909, Nov. 2008. [13] X. Xu, R. G. Bosisio, and K. Wu, “A new six-port junction based on substrate integrated waveguide technology,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2267–2273, Jul. 2005. [14] B. Liu, W. Hong, Z. C. Hao, and K. Wu, “Substrate integrated waveguide 180-degree narrow-wall directional coupler,” in Proc. Microw. Conf., Dec. 2005, pp. 4–7. [15] K. Sellal, L. Talbi, T. A. Denidni, and J. Lebel, “Design and implementation of a substrate integrated waveguide phase shifter,” IET Microw. Antennas Propag., vol. 2, no. 2, pp. 194–199, Mar. 2008.

210

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

[16] Y. J. Cheng, W. Hong, and K. Wu, “Novel substrate integrated waveguide fixed phase shifter for 180-degree directional coupler,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, pp. 189–192. [17] K. Morimoto, J. Hirokawa, and M. Ando, “Design of a 180 singlelayer divider to control sidelobe and crossover levels in butler-matrix beam-switching antenna,” in Proc. Asia–Pacific Microw. Conf., 2007, pp. 1–4. [18] E. Sbarra, L. Marcaccioli, R. V. Gatti, and R. Sorrentino, “A novel Rotman lens in SIW technology,” in Proc. Microw. Conf., Oct. 2007, pp. 1515–1518. [19] Y. J. Cheng, W. Hong, and K. Wu, “Millimeter-wave multibeam antenna based on eight-port hybrid,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 4, pp. 212–214, Apr. 2009. [20] Y. J. Cheng, W. Hong, and K. Wu, “Substrate integrated waveguide (SIW) broadband compensating phase shifter,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2009, pp. 845–848. [21] W. J. Liu, Foundation for Microwave Technology. Chengdu, China: UESTC, 1989. [22] K. C. Gupta, R. Garg, I. Bahl, and P. Bhartia, Microstrip Lines and Slotlines, 2nd ed. Boston, MA: Artech House, 1996. [23] Y. J. Cheng, W. Hong, and K. Wu, “Investigation on tolerances of substrate integrated waveguide (SIW),” in Proc. Asia–Pacific Microw. Conf., Bangkok, Thailand, Dec. 2007, vol. 4, pp. 2305–2308. Yu Jian Cheng (S’08) was born in Sichuan Province, China, in April 1983. He received the B.S. degree from the School of Electric Engineering, University of Electronic Science and Technology of China, Chengdu, China, in 2005, and is currently working toward the Ph.D. degree (without going through the conventional Master’s degree) at Southeast University, Nanjing, China. His current research interests include microwave and millimeter-wave passive circuits, antennas. Mr. Cheng is a reviewer for the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS and the JOURNAL OF MICROELECTROMECHANICAL SYSTEMS.

Wei Hong (M’92–SM’07) received the B.S. degree from the University of Information Engineering, Zhengzhou, China, in 1982, and the M.S. and Ph.D. degrees from Southeast University, Nanjing, China, in 1985 and 1988, respectively, all in radio engineering. Since 1988, he has been with the State Key Laboratory of Millimeter Waves, Southeast University, where he is currently a Professor with the School of Information Science and Engineering. In 1993 and 1995–1998, he was a short-term Visiting Scholar with the University of California at Berkeley and the University of California at Santa Cruz, respectively. He has been engaged in numerical methods for electromagnetic problems, millimeter-wave theory and technology, antennas, electromagnetic scattering and RF technology for mobile communications etc. He has authored or coauthored over 200 technical publications. He has authored Principle and Application of the Method of Lines (in Chinese)

(Southeast University Press, 1993) and Domain Decomposition Methods for Electromagnetic Problem” (in Chinese) (Science Press, 2005). He has been a Reviewer for the Proceedings of the Institution of Engineering and Technology, Part H and Electronics Letters. Dr. Hong is a Senior Member of the CIE. He is vice president of the Microwave Society and Antenna Society of the CIE, He has been a reviewer for many technique journals, including the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He is currently an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the two-time recipient of the First-Class Science and Technology Progress Prize presented by the Ministry of Education of China in 1992 and 1994, respectively, and the Fourth-Class National Natural Science Prize in 1991. He was also the recipient of the Foundations for China Distinguished Young Investigators and for “Innovation Group” presented by the National Science Foundation (NSF) of China.

Ke Wu (M’87–SM’92–F’01) is Professor of electrical engineering, and Tier-I Canada Research Chair in RF and millimeter-wave engineering at the École Polytechnique de Montréal, Montréal, QC, Canada. He holds the first Cheung Kong endowed chair professorship (visiting) with Southeast University, the first Sir Yue-Kong Pao chair professorship (visiting) with Ningbo University, and an honorary professorship with the Nanjing University of Science and Technology and the City University of Hong Kong. He has been the Director of the Poly-Grames Research Center and the founding Director of the Center for Radiofrequency Electronics Research of Quebec (Regroupement stratégique of FRQNT). He has also hold guest and visiting professorship with many universities around the world. He has authored or coauthored over 700 referred papers and a number of books/book chapters. He holds numerous patents. His current research interests involve SICs, antenna arrays, advanced computer-aided design (CAD) and modeling techniques, and development of low-cost RF and millimeter-wave transceivers and sensors for wireless systems and biomedical applications. He is also interested in the modeling and design of microwave photonic circuits and systems. Dr. Wu is a member of the Electromagnetics Academy, the Sigma Xi Honorary Society, and URSI. He is a Fellow of the Canadian Academy of Engineering (CAE) and a Fellow of the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He has held key positions in and has served on various panels and international committees including the chair of Technical Program Committees, international Steering Committees and international conferences/symposia. In particular, he will be the general chair of the 2012 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium. He has served on the Editorial/Review Boards of many technical journals, transactions, and letters, as well as scientific encyclopedia as both an editor and guest editor. He is currently the chair of the joint IEEE chapters of MTT-S/AP-S/LEOS, Montréal, QC, Canada. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006-2012 and serves as the chair of the IEEE MTT-S Member and Geographic Activities (MGA) Committee. He is an IEEE MTT-S Distinguished Microwave Lecturer from January 2009 to December 2011. He was the recipient of many awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award, the 2004 Fessenden Medal of the IEEE Canada, and the 2009 Thomas W. Eadie Medal of the Royal Society of Canada.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

211

Extraction of Dielectric Constant and Loss Tangent Using New Rapid Plane Solver and Analytical Debye Modeling for Printed Circuit Boards A. Ege Engin, Member, IEEE

Abstract—Dielectric material properties of printed circuit boards (PCBs) are needed by designers working in various areas such as signal integrity, antennas, and embedded RF components. Among many methods to extract the material properties, the full sheet resonance technique is commonly used on PCBs due to its simplicity. The disadvantage of this method is that an analytical equation is used to extract the dielectric constant, which is accurate only for lossless dielectrics. In this paper, a new method is presented to solve the inaccuracy issue of the extraction of the dielectric constant by applying customized electromagnetic simulation based on a new rapid plane solver instead of analytical equations. For PCB dielectrics, the loss tangent tends to be flat over several decades. The dielectric constant then varies as a function of frequency based on the Kronig–Kramers relations. This paper introduces a new Debye type of a model for the complex permittivity of such dielectrics. The parameters of the Debye model can be obtained analytically without requiring any curve fitting. The resulting Debye model can then be easily integrated in SPICE or a finite-difference time-domain simulator. Index Terms—Causality, complex permittivity, debye, dielectric constant, flat sheet resonance, loss tangent, rapid solver, vector fitting.

I. INTRODUCTION

A

CCURATE estimation of the dielectric constant and loss tangent at high frequencies is becoming increasingly important as the frequency content of the signals in printed circuit boards (PCBs) and chip packages increase. Accuracy of the design of embedded RF components and planar antennas on PCBs depend highly on the knowledge of the dielectric material properties. The signal integrity of a high-speed link can also suffer from closed eye diagrams due to dielectric losses. Although low-loss dielectrics are available to achieve high- RF compenents and improved signal integrity, they come at a higher cost. Accurate estimation of the broadband dielectric constant and loss tangent as a function of frequency is, therefore, critical. Many techniques are available to characterize the material properties, such as the short-pulse propagation technique based on time-domain reflectometry measurements [1], microstrip bandpass filters [2], or microstrip gap or ring resonators [3]–[5].

Manuscript received May 26, 2009; revised October 13, 2009. First published December 11, 2009; current version published January 13, 2010. The author is with the Department of Electrical and Computer Engineering, San Diego State University, San Diego, CA 92182 USA (e-mail: aengin@mail. sdsu.edu). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2036338

Fig. 1. Test structures used in the full sheet resonance technique. (top) With open boundaries. (bottom) With shorted boundaries.

A survey of commonly used techniques for characterization of PCB dielectrics is provided in [6]. A simple method for dielectric material characterization is the full sheet resonance method [7]–[10]. In this technique, and in its variations, a parallel-plate waveguide resonator, as shown in Fig. 1 (top) with open boundaries, is used. Typically a twoport measurement is performed, where the locations of the two can be defined for convenience of measurement ports and or based on the waveguide resonator modes to be excited. The two-port measurement is preferred since it helps to deembed the probe inductance automatically out of the measurements of transfer impedance. A similar waveguide resonator with shorted boundaries, as in Fig. 1 (bottom), could also be used with the advantage of eliminating radiation losses and fringe effect [11]. A large number of vias should be used in the shorted waveguide resonator in order to reduce the inductance of the vias and obtain an accurate electric wall boundary. In the classical full sheet resonance method [7], an analytical equation is used to estimate the dielectric constant at resonance frequencies of the open resonator as (1) mode of at the discrete resonance frequency of the the waveguide resonator. This equation is accurate for negligible conductor losses; hence, it becomes inaccurate at higher frequencies. The resonance frequency also changes depending on the location of the probes ( and ) [9]. The loss tangent is then extracted from the quality factor of the waveguide resonator. However, this requires accurate knowledge of the conductor losses and radiation losses. In [10], electromagnetic (EM) simulation of the measured resonator has been used to compensate for these effects, except for the radiation losses.

0018-9480/$26.00 © 2009 IEEE

212

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

In this paper, the shorted resonator is compared against the commonly used open resonator using full-wave EM simulation, as well as a new rapid plane solver that speeds up the computation time significantly. The rapid plane solver is based on the fast Poisson solver described in [12]. In the presented approach, dielectric material properties are extracted by overlapping simulation results with measured results. As such, this new method does not suffer from the inaccuracies of the standard full-sheet resonance method in extraction of dielectric constant or loss tangent. The method is applied to extract the dielectric constant and loss tangent of a low-loss and a standard FR-4 substrate. The presented approach is different than [10], as a shorted resonator is used instead of an open resonator. This paper also presents a new method for time-domain modeling of complex permittivity. After the dielectric constant and loss tangent have been extracted at resonance frequencies, a Debye model can be constructed to interpolate and use the data in circuit or finite-difference time-domain (FDTD) simulators. On the other hand, obtaining the parameters of the Debye model using standard curvefitting approaches is difficult, as the additional constraints of passivity and RC type of a circuit topology need to be enforced. Most PCB dielectrics, however, have an approximately constant loss tangent over the frequency ranges of interest, whereas the dielectric constant decreases with frequency. For such dielectrics, a closed-form expression exist, which is an irrational function. In this paper, a passive RC network realization, which corresponds to a Debye model, of such an irrational function is presented. This allows the determination of the parameters of the Debye model analytically using simple equations without requiring any curve fitting. The main contributions of this paper can be summarized as follows. 1) A numerical algorithm called a “rapid plane solver” is applied for the first time for EM characterization of shorted waveguide resonators. The application of the numerical method instead of the analytical equation in (1) improves the accuracy of the extracted dielectric constant. 2) Optimum placement of probe locations is investigated. 3) The rapid plane solver is applied to extract the dielectric constant and loss tangent of a low-loss dielectric and FR-4 using shorted resonators. 4) A methodology is presented to obtain the parameters of a Debye model analytically. The result is the realization of a time-domain model representing frequency-dependent complex permittivity. II. RAPID PLANE SOLVER In this section, a new numerical method is presented to obtain the impedance matrix of the shorted waveguide resonator in Fig. 1. Using a numerical method improves the accuracy of predicting the resonance frequency of the structure for lossy conductors and dielectrics compared to the analytical equation in (1), which is accurate only for lossless dielectrics. Instead of applying a general full-wave EM simulator, we make use of the fact that the thickness of the dielectric is much smaller than the lateral dimensions; hence, the variation of the electric and magnetic fields with respect to the -direction can be neglected. This assumption is also the basis of the analytical

at any point can equation in (1). The voltage then be described with the 2-D Helmholtz wave equation (2) A. Shorted Resonator For the shorted resonator type, the boundary conditions are , along the boundaries of the resonator. of Dirichlet type, One way to solve this equation numerically is by using the finitedifference method (FDM). The five-point discretization of the results in a linear equation system that Laplace operator can be expressed as

..

.

..

.

..

(3)

.

where is a vector of voltages on a rectangular grid. The nodes are numbered using canonical ordering of interior points, i.e., starting from left to right and then bottom to top. The vector represents any current sources connected between the two plates. The system matrix has a block-diagonal shape, with the matrices in the center and off-diagonal blocks as identity matrices of size , where is the number of discretized segments in the direction from left to right. The submatrix has the structure

..

.

..

.

..

.

(4)

In general, this type of a linear equation system can be efficiently solved using a sparse algorithm based on nested dissection. However, a much more efficient solver can be obtained by considering the special structure of , as it can be diagonalized using the discrete sine transform. This property can be used to transform the system matrix into a tridiagonal matrix, to speed up the computation compared to a general-purpose sparse matrix solver [12]. Fig. 2 shows the simulation results for a square-shaped shorted resonator that is excited at the center at 1 GHz. The resonator has lateral dimensions of 1 m 1 m and a separation between the two plates of 200 m. The permittivity and permeability of free space has been used in these simulations and losses have not been included. In the rapid solver, the structure has been descritized using 64 segments on each direction (i.e., ), which resulted in 3969 unknowns. To validate the results, the finite-element method (FEM) has been applied on the same problem. In the FEM, 2705 nodes were used. Slight differences between the simulation results can be seen. First, in displaying the results from the rapid solver, the voltage at the boundaries has not been shown in the figure since they are eliminated while setting up the matrix system in (3). Second, there is a slight asymmetry in FEM results (although the simulation setup is symmetric) since the point source in the center is actually distributed along the three nodes of the triangle in the center, causing a slight asymmetry. In the rapid solver, a perfect

ENGIN: EXTRACTION OF DIELECTRIC CONSTANT AND LOSS TANGENT

213

Fig. 2. Simulation mesh and voltage distribution on a square-shaped (1 m on . (b) Using the a side) shorted resonator. (a) Using a rapid solver with FEM.

N = 64

symmetry can be observed. To see whether these differences vanish for increased mesh density, further simulations were done. Fig. 3 shows the simulation results with a mesh size of for the rapid solver, and about 160-K nodes for the FEM case. It can be seen that the two results have converged to each other, except at the singular point of the excitation point source in the center. Since the FEM uses a different mesh than the rapid solver, a slight deviation is expected. However, a direct solution of the matrix in (3) should provide a result within round-off error to the rapid solver result. This has been confirmed through simulations as well. Since the results of the direct FDM solver is essentially the same as for the rapid solver, they are not reproduced here. As for the run times, Fig. 4 provides a comparison of the three different methods investigated. The rapid plane solver can be used to simulate shorted resonators with as many as five million nodes, whereas a standard FEM or FDM code runs out of memory for less than a million nodes. The simulations were done on a laptop PC with 3 GB of RAM and a clock frequency of 1.4 GHz. For similar number of nodes, the speed-up obtained in using the rapid planes solver compared to the (2-D) FEM can be higher than 25 . The accuracy is within round-off error compared to the standard FDM. B. Open Resonator A rapid plane solver has been described in [10] for the open resonator and the details are not repeated here. For this case, a

Fig. 3. Voltage distribution on a square-shaped (1 m on a side) shorted resonator. (a) Using a rapid solver with . (b) Using the FEM.

N = 512

Fig. 4. Simulation time in seconds for three different numerical techniques investigated.

2-D discrete cosine transform can be used to obtain a rapid plane solver. This ensures that homogeneous Neumann boundary conditions are met along the boundaries of the resonator. Both the shorted and open resonators are based on the 2-D Helmholtz equation (2); hence, their accuracy ultimately depend on the accuracy of this equation to model the resonators. For

214

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 5. Geometry of the shorted resonator simulated using Sonnet and the rapid plane solver.

very thin dielectrics, where the fringe effects are negligible, the 2-D Helmholtz equation is an excellent approximation as long as the wavelength is much smaller than the dielectric thickness. However, for typical dielectrics, there will be some fringe fields and radiation from the edge of the board for the open type resonator. The radiated fields are not included in (2); hence, the rapid plane solver for open resonators does not include radiated loss. For the shorted resonator, on the other hand, there is negligible radiation. Hence, if an electrical wall can be realized by using an excessive number of ground vias or by using conducting tape around the edges of the board, the losses in a shorted resonator can be more accurately modeled using the rapid plane solver compared to an open type resonator. This should increase the accuracy in extraction of dielectric losses. To demonstrate the accuracy, an open resonator and a shorted resonator was simulated using both the rapid solver and a fullwave EM solver Sonnet.1 The resonators had a square shape , , dielecwith a side length of 20 mm, tric thickness of 0.2 mm, and copper conductors with 35- m thickness. For the shorted resonator, the two probes were located at locations (5 mm, 5 mm) and (15 mm, 15 mm), as shown in Fig. 5. Since the electric wall is realized using vias, the question arises as where to define the location of the electrical wall in the rapid plane solver. As this figure suggests, the distance between the inner edges of the planes were 20 mm in Sonnet simulation. A best match between the rapid solver and the Sonnet results were obtained when the electric wall was defined at the center of the vias in the rapid solver, which increased the plane size from 20 mm 20 mm to 20.25 mm 20.25 mm. Fig. 6 shows the transfer impedance simulated using the rapid plane solver and Sonnet demonstrating good accuracy in both the magnitude and resonance frequency. Next, an open resonator was simulated with the same properties as the shorted resonator, except that the ports were placed at two opposite corners of the resonators. Although the resonances match very well, the impedance peaks in the rapid plane solver are higher in magnitude compared to the full-wave simulation result obtained using Sonnet, as shown in Fig. 7. The reason is that the radiation losses are not included in the rapid plane solver. The accuracy of the extracted loss tangent would be higher than the actual value to compensate for this additional loss. Fig. 8 shows the loss factor calculated when the dielectric is lossless and conductors are perfect for the considered shorted 1Sonnet

11.56, Sonnet Software Inc., North Syracuse, NY.

Fig. 6. Shorted resonator in Fig. 5 simulated using Sonnet and the rapid plane solver.

Fig. 7. Open resonator simulated using Sonnet and the rapid plane solver.

Fig. 8. Radiation loss factor for an open resonator simulated using Sonnet.

and open resonators. The loss factor here corresponds to the radiation losses, which is the main source of discrepancy in the open resonator simulation in Fig. 7. For the shorted resonator, the radiation losses are negligible, as expected.

ENGIN: EXTRACTION OF DIELECTRIC CONSTANT AND LOSS TANGENT

215

C. Incorporation of Losses The finite-difference approximation of the Helmholtz equation (2) as obtained by the rapid plane solver can be considered as a bedspring model consisting of a 2-D array of per unit cell series inductances and shunt capacitances. Losses can be included in this model by using a complex permittivity and permeability function. The complex permittivity is defined in the usual way as (5) where is the real part of the permittivity and is the loss tangent of the dielectric. The conductor losses can be approximated with the surface impedance as

m=3 n=3

Fig. 9. Location of the impedance maxima up to the , mode for the shorted resonator. For clarity, the corresponding modes for the maxima are shown only in the lower left quadrant, which repeats itself symmetrically in the other quadrants.

(6) where is the Laplace variable, is the permeability of the is the conductivity of the conductors, and is conductors, the thickness of the conductors [13]. The function arises from the solution of a plane wave incident on a thin metal sheet variation of the skin-effect resisand ensures the expected tance, as well as the correct dc resistance value [14]. The surface impedance in (6) is a minimum-phase (hence, causal) function. To incorporate the conductor losses, a complex permeability for the dielectric can then be used as (7) is the real part of the permeability of the dielectric, where which is equal to the permeability of free space in most cases, and is the thickness of the dielectric. This complex permeability changes the lossless per-unit-cell impedance of to the correct lossy definition of . A similar complex permeability has been used in [15] to incorporate conductor losses in modeling of planar structures in power distribution networks. D. Probing Points Measurements can be taken on the resonators using a vector network analyzer (VNA). Although coaxial cables of the VNA can be soldered on the resonator with appropriate connectors, using microprobes typically requires smaller probing pads increasing the accuracy of the measurement. For the open resonator structures, the probing can even be accomplished from the sides, which does not require any vias for the probing pads [16]. This is not possible for the shorted resonator type and vias must be drilled to connect the probes to the lower plate. At the resonance frequency, the open resonator has a voltage distribution of the form

is an arbitrary constant. In order to excite the resowhere nances and pick up a large impedance magnitude, the probes should be placed at the maxima of these voltage profiles. For the open resonator, a good location is the corners of the resonator since the corners are at a maximum point of the cosine functions in both directions in (8). On the other hand, it is, of course, not possible to excite the shorted resonator by a probe on the edge. Fig. 9 shows the location of the maxima for the nine reso. Note that, unlike the open resonator, nance modes up to or modes do not exist for the shorted resonator. It is desirable to place the probes at locations where they are close to the maxima of the modes, as shown in Fig. 9, and far from the minima. For each considered mode, (9) can be evaluated to find the probe locations that correspond to an overall high voltage magnitude. Optimal points can be defined those that have the largest minimum value considering the various , modes. Considering the nine resonance modes up to some of the optimal locations lie 1/4 of the diagonal away from the corners of the plane. This actually corresponds to the locamode maxima in Fig. 9. tion of the To see the effect of the probe locations, two probes were placed 1/4, 1/5, and 1/6 of a diagonal away from opposite corners of the resonator. The considered shorted resonator has a dielectric constant of 4, loss tangent of 0.025, copper plates of 35- m thickness, and a square shape of 2 cm on the side. Fig. 10 shows the magnitude of the transfer impedance, simulated using the rapid plane solver. Placing the two probes 1/4 of a diagonal away from two opposite corners resulted in higher impedance peaks for the first three resonances, which would result in greater measurement accuracy. Finally, it is possible to choose a rectangular design instead of a square-shaped resonator to have distinct resonance frequenand mode; however, it should be cies for a taken into account that the impedance peaks for the same resonance frequencies might also decrease for a rectangular design.

(8) mode of the open waveguide rescorresponding to the onator. Similarly, the shorted resonator exhibits a voltage distribution of the form (9)

III. CHARACTERIZATION OF A LOW-LOSS SUBSTRATE AND FR-4 A. Extraction of Complex Permittivity A standard FR-4 substrate and a low-loss substrate were characterized using shorted resonators of size 8 cm 8 cm.

216

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 10. Transfer impedance between two points located 1/4, 1/5, and 1/6 of a diagonal away from two opposite corners of a square-shaped shorted resonator.

The two ports were placed 1/4 of a diagonal away from two opposite corners of the resonator. The two-port -parameters were measured using the VNA Agilent E5071C, and 250- m ground–signal–ground (GSG) probes from Cascade. The measurements were taken after a short-open-load-thru (SOLT) calibration from 300 kHz to 20 GHz at 1601 linearly spaced frequency points. The transfer impedance was then obtained from the calibrated -parameters and compared against rapid plane solver simulations to extract the loss tangent and dielectric constant at resonance frequency points, as shown in Fig. 11. In the simulations, a discretization of 512 unit cells in each direction was used, resulting in a mesh length of less than 0.1 mm. The dielectric thickness was obtained as 193 m to get the best match between simulations and measurements. Even though this method allows to extract the dielectric thickness, it is also possible to measure the dielectric thickness from the cross section very accurately to simplify the fitting procedure. For each subfigure, a different dielectric constant and loss tangent has been used in the simulator. In fitting these parameters, it is useful to consider the locations of the resonances for extracting the dielectric constant, whereas the peak amplitudes are mostly sensitive to the loss tangent. The results for the low-loss substrate are shown in Fig. 12. The dielectric thickness in this case was obtained as 188 m. As expected, the resonances are sharper compared to the more lossy FR-4 substrate in Fig. 11 since the loss tangent is much smaller. In both cases, the simulations match measurements very well around all resonance points. Note that the resonance frequencies chosen in Figs. 11 and 12 do not necessarily correspond to the same modes.

Fig. 11. Standard FR-4 substrate: measurement results versus rapid plane 8 cm shorted resonator at various resonance frequency solver for a 8 cm points.

2

B. Modeling of Complex Permittivity Figs. 11 and 12 show that the extracted material properties are frequency dependent. Any model for complex permittivity should satisfy the Kramers–Kronig relation; otherwise, the resulting model would be noncausal and provide inaccurate results in time-domain simulation [17]–[19]. When resonators are used for extraction of material properties, data is obtained at discrete frequency points. Extrapolation of the data would be needed to estimate the material properties

Fig. 12. Low-loss substrate: measurement results versus rapid plane solver for a 8 cm 8 cm shorted resonator at various resonance frequency points.

2

outside the frequency range of the resonances of the structures. This is required, for example, to analyze the dispersion and attenuation of digital signals, which are broadband in nature.

ENGIN: EXTRACTION OF DIELECTRIC CONSTANT AND LOSS TANGENT

217

Fig. 14. Standard FR-4 substrate: comparison of simulation versus measurements.

Fig. 13. Standard FR-4 substrate: comparison of the dielectric constant and loss tangent extracted from measurements versus constant-phase causal model.

When extrapolating the data, it is useful to consider that commonly used PCB substrates such as FR-4 tend to have an approximately constant loss tangent in the frequency range of interest [6], [19]. For this purpose, an average loss tangent value can be used to obtain a simple broadband model for such dielectrics. A constant loss tangent, however, implies that the dielectric constant is frequency dependent according to Kramers–Kronig relation. Actually, since the complex permittivity is a minimumphase function, the dielectric constant can be exactly defined (up to a constant) for a given frequency-independent loss tangent using the equation (10) where is an arbitrary positive constant, is the argument of , and is the Laplace variable [14], [20]. This constantphase function has been fitted to the extracted dielectric constant and loss tangent shown in Fig. 11. The result shown in Fig. 13 indicates a good fit of the function to the extracted data. Note how the constant-phase function in (10) results in a constant loss tangent, as shown in Fig. 13, whereas the frequency-dependent behavior of the dielectric constant is captured very well. Next, the obtained constant-phase function is used in the rapid plane solver to incorporate the frequency-dependent complex permittivity function. The comparison with measurement results is shown in Fig. 14, indicating good accuracy in both the location of the resonances, as well as the amplitudes of the impedance peaks. The constant-phase function has been fitted to the data shown in Fig. 12 for the low-loss substrate as well. The comparison of the extracted data versus the fitted model is shown in Fig. 15. The extracted loss tangent in this case is about 1/3 of the FR-4 substrate, demonstrating the capability of the presented approach to characterize low-loss substrates as well. The comparison of the simulation data using the constantphase model for the complex permittivity versus the measure-

Fig. 15. Low-loss substrate: comparison of the dielectric constant and loss tangent extracted from measurements versus constant-phase causal model.

ments is shown in Fig. 16. A very good agreement can be observed between the results. IV. ANALYTICAL DEBYE MODELING OF SUBSTRATES WITH CONSTANT LOSS TANGENT For PCB dielectrics, the complex permittivity can be approximated using a Debye model as (11) where and represent the strength and time constants of various relaxation processes, and is the Laplace variable. The can be chosen as high as posorder of the approximation sible as long as the extracted and are all positive and real numbers. The Debye model is quite useful in time-domain simulations, as it allows the consideration of the frequency-dependent material properties using an RC type of an equivalent-circuit model in SPICE or FDTD solvers. One example is shown in

218

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 16. Low-loss substrate: comparison of simulation versus measurements.

Fig. 18. Loss tangent approximated using the Debye model for the example of C F, ! rad/s, and  : .

= 10

= 10

tan = 0 006

Fig. 17. Debye model of a lossy capacitor with a constant loss tangent.

Fig. 17, where the Debye model in (11) has been used to model a lossy capacitor with a constant loss tangent. Based on (10), the admittance of such a network is given by (12) where the subscript refers to the permittivity or the capacitance calculated when the medium is replaced with free space. The Debye model in Fig. 17 can then be considered as an approximation of this admittance. Even though the vector-fitting algorithm [21] could be used to obtain a rational function approximating (12), it cannot be guaranteed that the resulting circuit would be of an RC type, as shown in Fig. 17. On the other hand, for the case of a complex permittivity described by a constant-phase function, as in (12), a simpler model can be generated analytically without requiring any curve fitting. The model shown in Fig. 17 for this purpose has been used before in realization of general RC constant-argument driving-point admittances [22]. To adapt the approach in [22] to a lossy capacitor described by a Debye model, the following parameters should be provided: : high-frequency asymptote of the capacitance; • : upper frequency bound for the validity of the model; • : loss tangent; • • : spacing factor to be chosen based on the required accuracy versus bandwidth of the model; : number of RC branches. •

Fig. 19. Capacitance approximated using the Debye model for the example of F, ! rad/s, and  : . C

= 10

= 10

tan = 0 006

Based on this input, the values of the circuit elements in Fig. 17 can be obtained analytically following [22] as

(13) (14) (15) As the number of RC branches in this network is increased, the lower frequency bound for the validity of the model decreases, which results in increased bandwidth of the model. To demonstrate the approach, consider the example with the F, rad/s, and given parameters of . Fig. 18 shows the performance of the presented Debye model to represent a constant loss tangent. The spacing factor can be increased to use a lesser number of branches in the model. The tradeoff is the increased sinusoidal variation around the desired loss tangent, decreasing the accuracy of the model. By using a

ENGIN: EXTRACTION OF DIELECTRIC CONSTANT AND LOSS TANGENT

small and sufficient number of branches, perfect approximation of a constant loss tangent in the desired frequency range is possible, as demonstrated in this figure. Note that a very accurate model for a bandwidth of 4–5 decades was obtained using 15 branches in this example. Fig. 19 shows the variation of the capacitance with frequency. As expected, the capacitance approaches the high-frequency at frequencies higher than . At very low asymptote frequencies, the capacitance also approaches the parallel connection of all the capacitors in the model. V. CONCLUSION This paper has presented a new approach for characterization and modeling of the dielectric constant and loss tangent of substrates used in chip packages and PCBs. The extraction of the material properties is achieved by taking measurements on a simple shorted waveguide resonator. Due to the simple geometry of this resonator, it is possible to simulate it very efficiently. In this paper, a rapid plane solver for a shorted resonator has been introduced for the first time. Using this simulator, the dielectric constant and loss tangent is extracted by overlapping the measurement results with simulation results. Since this procedure involves iteratively adjusting the dielectric constant and loss tangent in the simulator, the efficiency of the presented simulator becomes very useful. A problem size of five million unknowns was simulated within 30 s on a PC with a 1.4-GHz clock frequency. Measurements were taken on FR-4 and a low-loss substrate to test the method. The extracted dielectric constant and loss tangent were then fitted to a Debye model. For the first time, a method has been presented that analytically generates a broadband Debye model. Independent of the method used to extract the material properties, this new method of generating a Debye model can be used on any substrate that exhibits an approximately constant loss tangent, which is true for most dielectrics used in PCBs and chip packages. A broad frequency range can be modeled using a small number of circuit elements, making this approach very attractive for time-domain simulation of lossy interconnects, transmission lines, and power/ground planes in circuit simulators or FDTD type of solvers. REFERENCES [1] A. Deutsch, T.-M. Winkel, G. Kopcsay, C. Surovic, B. Rubin, G. Katopis, B. Chamberlin, and R. Krabbenhoft, “Extraction of " (f ) and tan  (f ) for printed circuit board insulators up to 30 GHz using the short-pulse propagation technique,” IEEE Trans. Adv. Packag., vol. 28, no. 1, pp. 4 –12, Feb. 2005. [2] S. Yamacli, C. Ozdemir, and A. Akdagli, “A method for determining the dielectric constant of microwave PCB substrates,” Int. J. Infrared Millim. Waves, vol. 29, no. 2 , pp. 207–216, Feb. 2008. [3] X. Fang, D. Linton, C. Walker, and B. Collins, “Dielectric constant characterization using a numerical method for the microstrip ring resonator,” Microw. Opt. Technol. Lett., vol. 41, no. 1 , pp. 14–17, Apr. 2004. [4] J.-M. Heinola and K. Tolsa, “Dielectric characterization of printed wiring board materials using ring resonator techniques: A comparison of calculation models,” IEEE Trans. Dielect. Elect. Insulation, vol. 13, no. 4 , pp. 717–726, Aug. 2006. [5] R. K. Hoffmann, Handbook of Microwave Integrated Circuits. Norwood, MA: Artech House, 1987.

219

[6] A. Djordjevic, R. Biljie, V. Likar-Smiljanic, and T. Sarkar, “Wideband frequency-domain characterization of FR-4 and time-domain causality,” IEEE Trans. Electromagn. Compat., vol. 43, no. 4 , pp. 662–667, Nov. 2001. [7] Non-Destructive Full Sheet Resonance Test for Permittivity of Clad Laminates, IIPEC Standard IPC-TM-650, 2.5.5.6, May 1989. [8] A. Deutsch, A. Huber, G. Kopcsay, B. Rubin, R. Hemedinger, D. Carey, W. Becker, T.-M. Winkel, and B. Chamberlin, “Accuracy of dielectric constant measurement using the full-sheet-resonance technique IPC-TM-650 2.5.5.6,” Electr. Perform. Electron. Packag., pp. 311–314, 2002. [9] N. Biunno and I. Novak, “Frequency domain analysis and electrical properties test method for PCB dielectric core materials,” presented at the DesignCon 2003 East, Boston, MA, Jun. 2003. [10] A. E. Engin, A. Tambawala, M. Swaminathan, P. Pramanik, and K. Yamazaki, “Causal modeling and extraction of dielectric constant and loss tangent for thin dielectrics,” in IEEE Int. Electromagn. Compat. Symp., Honolulu, HI, Jul. 2007, pp. 1–5. [11] J. Howell, “A quick accurate method to measure the dielectric constant of microwave integrated-circuit substrates,” IEEE Trans. Microw. Theory Tech., vol. MTT-21, no. 3 , pp. 142–144, Mar. 1973. [12] G. Strang, Introduction to Applied Mathematics. Cambridge, MA: Wellesley–Cambridge Press, 1986, pp. 453–458. [13] L. Giacoletto, “Frequency- and time-domain analysis of skin effects,” IEEE Trans. Magn., vol. 32, no. 1 , pp. 220–229, Jan. 1996. [14] A. E. Engin, W. Mathis, W. John, G. Sommer, and H. Reichl, “Closedform network representations of frequency-dependent RLGC parameters,” Int. J. Circuit Theory Appl., vol. 33, pp. 463–485, Nov. 2005. [15] V. Kollia and A. Cangellaris, “A methodology for incorporating metallization loss in the electromagnetic modeling of the power distribution network,” in IEEE Elect. Perform. Electron. Packag., Oct. 2008, pp. 343–346. [16] J. R. Miller, G. Blando, K. B. A. Williams, and I. Novak, “Impact of PCB laminate parameters on suppressing modal resonances,” presented at the DesignCon, Feb. 2008. [17] T. Arabi, A. Murphy, T. Sarkar, R. Harrington, and A. Djordjevic, “On the modeling of conductor and substrate losses in multiconductor, multidielectric transmission line systems,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7 , pp. 1090–1097, Jul. 1991. [18] C. Morgan, “Solutions for causal modeling and a technique for measuring causal, broadband dielectric properties,” presented at the DesignCon, Feb. 2008. [19] C. Svensson and G. Dermer, “Time domain modeling of lossy interconnects,” IEEE Trans. Adv. Packag., vol. 24, no. 2 , pp. 191–196, May 2001. [20] H. W. Johnson and M. Graham, High-Speed Signal Propagation: Advanced Black Magic. Upper Saddle River, NJ: Prentice-Hall, 2003. [21] B. Gustavsen and A. Semlyen, “Rational approximation of frequency domain responses by vector fitting,” IEEE Trans. Power Del., vol. 14, no. 3, pp. 1052–1061, Jul. 1999. [22] R. Morrison, “RC constant-argument driving-point admittances,” IRE Trans. Circuit Theory, vol. CT-6 , no. 3 , pp. 310–317, Sep. 1959. A. Ege Engin (M’05) received the B.S. degree in electrical engineering from Middle East Technical University, Ankara, Turkey, in 1998, the M.S. degree in electrical engineering from the University of Paderborn, Paderborn, Germany in 1998, and the Ph.D degree (summa cum laude) from the University of Hannover, Hannover, Germany, in 2004. He was a Research Engineer with the FraunhoferInstitute for Reliability and Microintegration, Berlin, Germany. From 2006 to 2008, he was an Assistant Research Director with the Microsystems Packaging Research Center, Georgia Institute of Technology. He is currently an Assistant Professor with the Department of Electrical and Computer Engineering, San Diego State University, San Diego, CA. He has authored or coauthored over 70 publications in journals and conferences in the areas of signal and power integrity modeling and simulation. He holds one patent with three pending. He coauthored Power Integrity Modeling and Design for Semiconductors and Systems (Prentice-Hall, 2007). Dr. Engin was the recipient of the 2009 Semiconductor Research Corporation Inventor Recognition Award. He coauthored publications that received the Outstanding Poster Paper Award at the 2006 Electronic Components and Technology Conference (ECTC) and the Best Paper of the Session Award of the 2007 International Microelectronics And Packaging Society (IMAPS). He was a Best Paper Award finalist in the Board-Level Design Category, DesignCon 2007.

220

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Development of Packaged Ultra-Wideband Bandpass Filters Liang Han, Student Member, IEEE, Ke Wu, Fellow, IEEE, and Xiupu Zhang, Senior Member, IEEE

Abstract—In this paper, we present a very compact ultra-wideband (UWB) filter (patent pending), which is integrated in a commercial leaded package. This UWB filter is designed through quasiequally allocating the first three resonant frequencies of the stubloaded resonator. Input/output excitation is realized by a technique of wire-bonded interdigital capacitors for obtaining strong wideband coupling. After formulating the resonance conditions and analyzing the characteristics of the stub-loaded resonator, an equivalent-circuit topology is developed and a single-stage prototype is fabricated. Measured results agree well with the results generated from both circuit and full-wave simulations. In order to improve the selectivity, two stages of stub-loaded resonators are cascaded. A two-stage prototype is then assembled in a commercial leaded package and measured with a test fixture. Both simulation and measurement results validate our proposed design methods and packaging solutions. This filter is the first demonstration of integrated UWB filters with a commercial package, which can be conveniently applied in UWB communication and radar systems. Index Terms—Bandpass filter, interdigital capacitor, microstrip, size compactness, stub-loaded resonator, ultra-wideband (UWB).

I. INTRODUCTION LTRA-WIDEBAND (UWB) technology is a very promising solution for high-resolution radar, high data-rate communication, and power-efficient RF tracking and positioning systems. It offers a number of attractive features such as low complexity and low cost, carrier-free transmission, and strong resistance to severe multipath and jamming, as well as passive interferences. Since the Federal Communications Commission (FCC) first authorized the usage of the unlicensed operation band from 3.1 to 10.6 GHz for commercial applications [1], academic researchers and industry engineers have been passionate in the design of UWB filters. The technical requirements for UWB filters are low insertion loss, flat in-band group delay, and high out-of-band selectivity. Different realizations of UWB filters have been reported. In [2], five-stage microstrip ring resonators are cascaded to

U

Manuscript received July 17, 2009; revised October 04, 2009. First published December 11, 2009; current version published January 13, 2010. This work was supported in part by the Natural Science and Engineering Research Council of Canada (NSERC), and in part by Le Fonds Québécois de la Recherche sur la Nature et les Technologies (FQRNT). L. Han and K. Wu are with the Poly-Grames Research Center, Centre de Recherche en Électronique Radiofréquence (CREER), Départment de Génie Électrique, École Polytechnique de Montréal, Montréal, QC, Canada H3T 1J4 (e-mail: [email protected]; [email protected]). X. Zhang is with the Advanced Photonic Systems Laboratory, Department of Electrical and Computer Engineering, Concordia University, Montréal, QC, Canada H3G 1M8 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2036399

Fig. 1. Sketch of the proposed single-stage UWB filter (yellow strips (in online version) are bonding ribbons).

generate two stopbands at both low and high edges of the UWB passband, but it has poor spurious performance because it is basically a dual-band bandstop filter. Another intuitive idea of realizing UWB filter is to combine low- and high-pass filters [3]–[5]. Further iterative optimization is required to compensate the mutual perturbation between them. The third way to design UWB filters is to use the multimode resonator, which was detailed in a review paper [6]. In this technique, the reflection zeros of filtering response are brought by the first three [7] or four resonant modes [8] of a stepped-impedance resonator (SIR) and extremely strong and frequency-dependent input/output coupling. UWB filters can also be optimized based on quasi-lumped elements and implemented by the multilayer liquid-crystal-polymer technology [9], and this kind of realization has a broad stopband. However, this process is not commonly available and the fabrication of multilayer circuit is much more complex than that of the single-layer circuit. Recently, a direct synthesis method was reported, which is helpful for designing a certain kind of UWB filters with composite series and shunt stubs [10]. On the other hand, another important design issue is to improve the out-of-band selectivity and suppress the harmonics of UWB filters. Several different schemes have been proposed such as embedding electromagnetic bandgap structures [11], introducing source–load coupling [12], and cascading another bandstop filter [13] or another low-pass filter [14]. In this paper, a very compact packaged UWB filter is presented. First of all, we introduce a novel UWB filter topology using stub-loaded resonators (Fig. 1). Though it looks similar to what had been briefly reported in [15], a detailed analysis will be given in this paper, including the mathematical representation of its resonance conditions and the parametric studies. In this paper, our proposed stub-loaded resonator is composed of the fingers of a wire-bonded interdigital capacitor loaded with one open stub and one short stub and it is able

0018-9480/$26.00 © 2009 IEEE

HAN et al.: DEVELOPMENT OF PACKAGED UWB BANDPASS FILTERS

221

given in [19]. After connecting the input and output of the coumatrix of pled line and doing some manipulation, the the two-line wire-bonded interdigital capacitor can be obtained as (2) Fig. 2. Simplified equivalent-circuit model of the proposed stub-loaded resonator.

to create additional resonances to the conventional multimode resonator. A wire-bonded four-line interdigital capacitor (WBIDC) [16]–[18], rather than a conventional three-line interdigital capacitor, as in [15], is used in this study as an input/output coupling structure because it has two advantages. On one hand, extremely strong input and output coupling can be achieved with four interdigital fingers using a single-layer circuitry, while the linewidth and the gap width still satisfies the minimum fabrication requirements of commonly available printed circuit board (PCB) process. On the other hand, the spurious resonances of the conventional multifinger interdigital capacitor can be suppressed by bonding wire connections. This paper starts with the analysis of a simplified equivalentcircuit model of the stub-loaded resonator, while the parasitic effects of the short bonding wires and the cross-junction between interdigital fingers and the shunt stubs are neglected. Parametric studies of the stub-loaded resonator are then carried out by fullwave simulation in order to include the aforementioned parasitic effects, as well as investigate the coupling between different resonant modes. Equivalent-circuit topology of the entire filter is established, based on which a single-stage UWB filter is developed and fabricated with a 1.27-mm-thick RT/Duroid 6010.2LM substrate. Both circuit simulation and full-wave simulation results agree well with the measurement results. Following that, a two-stage prototype is developed to improve the out-of-band selectivity, and finally, it is integrated to a commercial leaded package for off-the-shelf utilization in UWB systems.

where and are the characteristic admittance and electrical length of the even-mode of the coupled line, respectively. matrix of the shunt stubs can On the other hand, the be derived as (3) where and are the characteristic admittance and electrical and are those of the short length of the open stub, while in stub, respectively. For simplicity, we will consider the following discussion, which means the open stub has the same width as the short stub. matrix can be calculated as As a result, the cascaded

(4) . If port 2 ( in Fig. 2) is where left open, the input admittance at port 1 ( in Fig. 2) can be easily obtained as (5) The resonance condition requires that we can easily find that the following solutions:

, from which

(6a) (6b)

II. ANALYSIS OF STUB-LOADED RESONATOR A. Simplified Equivalent-Circuit Model of the Stub-Loaded Resonator In the beginning, the input admittance of the proposed stubloaded resonator is derived using a simplified equivalent-circuit model shown in Fig. 2, which excludes the parasitic effects of the bonding wires and cross junction. From Fig. 2, it is easy to find that a transmission zero is created when the open stub is matrix of the twoquarter-wavelength. Moreover, the port network shown in Fig. 2 can be expressed as (1) and are the matrix of the wirewhere bonded interdigital capacitor and the shunt stubs, respectively. The impedance matrix ( -matrix) of two coupled lines has been

1) Case 1: At the low edge of the passband From the previous discussion, we know that the electrical length of the open stub is a quarter-wavelength at the transmission zero. Therefore, if the transmission zero is located would be much above the high edge of the UWB band, at the low edge of the UWB band and (6b) smaller than can be simplified to be (7) Thus, the above equation defines the first resonance of the stub-loaded resonator, and the solution is calculated as (8) 2) Case 2: At the center frequency of the passband

222

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 3. Current distribution of the first four resonant modes. (a) f : GHz. (b) f : GHz. (c) f : GHz. (d) f : GHz.

3 80

= 6 55

= 8 45

= 14 50

=

Equation (6a) states that a resonance occurs when the elecis one quarter-wavelength trical length of the coupled line . 3) Case 3: At the high edge of the passband is more proAt the high edge of the UWB band, , and (6b) can be simplified as nounced than (9) The third resonance of the stub-loaded resonator is defined by the equation above, and the solutions for are calculated as (10) Equation (8) together with (10) are useful for determining the initial value of the short and open stubs length once the resonant frequency of the second mode is set up. Finally, the fourth resonance is determined by (6b), and we will discuss its variation with the parameters in Section II-B. Note that the above analysis procedure can be easily modified to analyze other cross-shaped resonators, such as that in [20]. To validate our proposed equivalent-circuit model, the stub-loaded resonator is weakly coupled to 50- input/output feeding lines through 1-mm-wide capacitive gaps in a commercial finite-element-method-based package [21]. Fig. 3 shows the vector current distribution in connection with the first four resonant modes. From this figure, we can have the following observations. First of all, the currents on the fingers of the wire-bonded interdigital capacitor are nearly equal due to the short bonding ribbons in the frequency range of simulation, therefore, the bonding wires can be idealized as infinitesimal shorting traces in our equivalent-circuit model. Second, the current distributions of the first three resonant modes [see Fig. 3(a)–(c)] prove that our simplification is reasonably made to (6b). For example, the current is mostly concentrated on the fingers of the wire-bonded interdigital capacitor and the short stub for the first mode. In this case, the resonance is mainly determined by their parameters [see (7)]. Third, the current distribution of the fourth mode indicates that the current is flowing

Fig. 4. Resonant frequencies and transmission zero versus normalized stub : ,g : ,L : ,L : ,W width W = = (L : ,D : ,D : , unit: millimeters).

0 25

( 4) = 0 76

= 3 72 = 0 15 = 0 91

= 1 02

= 2 67

=

on the short stub, as well as the open stub, and therefore, a simplification cannot be directly applied to (6b). B. Parametric Studies Parametric studies are carried out with the help of the same commercial package [21] in order to include the parasitic effects of the bonding wires and the cross junction and to find how to control the coupling between these modes. From our analysis in Section II-A.3, we can conclude that the length of the wire-bonded interdigital capacitor is the most important parameter that has an influence on the resonant frequencies of all the modes. Therefore, we keep the second resonance of the stub-loaded resonator at the center frequency of the UWB band (6.85 GHz) or so in the following studies. The dependence of the resonant frequencies and the transis plotted mission zero on normalized stub width in Fig. 4, where is the guided wavelength of 50- line at 6.85 GHz. From Fig. 4, we can see that the first, third, and fourth resonant frequencies decrease as the stub widens since a wide stub is electrically longer than a narrow one. Moreover, the fourth resonance goes down more rapidly than the other two due to a larger change in its electrical length. The second resonance shifts downward because the electrical length of the low-impedance microstrip section is increased. Fig. 5 depicts the variation of resonant frequencies and transmission zero with respect to the normalized open stub length . It can be observed that the first resonant mode decreases a little bit when the length of open stub increases. This is because the current on the open stub cannot be neglected when its length becomes longer. It is interesting to find out that the second resonant frequency does merely change with the length of the open stub when the normalized stub length is larger than 0.24. This is because the current is mostly concentrated in the central cross-junction. However, both of the third and fourth resonance decreases with the increment of the open stub length. In addition, the transmission zero is located at the frequency where the length of the open stub is equal to one quarter-wavelength and the open stub introduces a virtual ground at the tap point [22]. Fig. 6 shows the variation of resonant frequencies and trans. mission zero with the normalized short stub length

HAN et al.: DEVELOPMENT OF PACKAGED UWB BANDPASS FILTERS

223

Fig. 7. Equivalent-circuit model of a single-stage UWB filter.

TABLE I DIMENSIONS OF THE SINGLE-STAGE UWB FILTER

Fig. 5. Resonant frequencies and transmission zero versus normalized open = 3:72, W = 0:91, g = 0:15, L = 1:02, stub length L =(=4) (L W = 0:25, D = 0:76, D = 0:91, unit: millimeters).

the length of the short stub to accurately locate the first resonance. III. DESIGN EXAMPLES A. Design of Single-Stage Prototype

Fig. 6. Resonant frequencies and transmission zero versus normalized stub = 3:72, W = 0:91, g = 0:15, L = 2:67, short length L =(=4) (L W = 0:25, D = 0:76, D = 0:91, unit: millimeters).

It can be observed that the first resonant mode decreases when the length of short stub increases, while the second resonance does not change because, for this mode, the symmetrical plane is an equivalent perfect electric wall. The third resonant frequency almost remains unchanged because there is less current on the short stub as it is lengthening. Therefore, the resonant frequency of the first mode can be tuned separately without affecting the second and third resonance. However, the fourth resonant frequency goes down rapidly due to the loading effect of the short stub. From our derived equations and parametric studies, the design procedure of the stub-loaded resonator can be summarized as follows. 1) The length of the fingers of the wire-bonded interdigital capacitor can be found by setting up the second resonance of the stub-loaded resonator at the center frequency of the UWB band with an initial value of stub width. 2) According to the prescribed resonant frequencies of the first and third modes, the initial values of the lengths of short and open stubs can be calculated from (8) and (10). 3) Optimize the width and lengths of stubs to control the coupling between these resonant modes. A general guideline for optimization is that at first, adjust the length of the open stub to accurately locate the third resonance, and then tune

The input and output wire-bonded interdigital capacitors are subsequently incorporated into our filter design. In order to take the advantages of circuit simulation [23], an equivalent-circuit network is firstly built up as sketched in Fig. 7. The substrate of choice is RT/Duroid 6010.2LM with a thickness of 1.27 mm. Initial values of all the parameters can be found by following the design procedure described in Section III. The open-end effect is then included after subtracting the equivalent additional line length of the ending capacitance [24]. Similarly, an equivalent additional line length is subtracted from the short stub for considering the inductance of grounding via [25]. The physical dimension is finally optimized for compensating the frequency-dispersive cross junction. The final physical dimensions of both circuit simulation and full-wave simulation are compared in Table I for reference purposes. Due to the interconnected bonding ribbons, the interdigital fingers are shorter in the full-wave simulation than in the circuit simulation. The minor difference of the remaining parameter values can be ascribed to the approximation of analytical formula used in our compensation for the open and short stubs, as well as the cross-junction. A prototype is fabricated and four ribbons with a width of 76 m are bonded between interdigital fingers. Measured results are compared with simulation results in Fig. 8. We can observe that in FCC-defined UWB band, the return loss is better than 20 dB for both simulation results from the equivalent-circuit network and the full-wave model. Moreover, the reflection zeros and transmission zero agree well, except for one reflection zero missing in the circuit simulation. This is because the circuit simulation is insufficient in taking into account such dynamic effects as frequency dispersion and interactive coupling between circuit elements and discontinuities. The measured return loss is better than 15 dB and the insertion loss at 6.85 GHz

224

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

TABLE II DIMENSIONS OF THE TWO-STAGE UWB FILTER

Fig. 8. Comparison of simulation and measurement results of a single-stage UWB filter. (a) S parameters. (b) In-band group delay.

Fig. 9. Sketch of a two-stage UWB filter.

is around 0.6 dB. Due to the increment of permittivity and the fabrication tolerance, the frequency response shifts downward a bit. B. Design of Two-Stage Prototype To improve the out-of-band selectivity, two stages of stubloaded resonator are cascaded (Fig. 9). This topology is optimized using a similar equivalent-circuit model, as described in Fig. 7, except for an additional stub-loaded resonator stage. The final physical dimensions of both circuit and full-wave simulation are compared in Table II. The comparison between circuit simulation results and full-wave simulation results are made in Fig. 10. We can see that both circuit simulation and full-wave simulation yield good performances. The return loss is better than 15 dB in the UWB band and the simulated insertion loss is

Fig. 10. Comparison between circuit simulation and full-wave simulation results of a two-stage UWB filter. (a) S parameters. (b) In-band group delay.

around 0.7 dB at the center frequency. Note that in our simulation, we use the extracted dielectric constant from our previous fabrication of the single-stage prototype. IV. PACKAGING SOLUTION In this section, we will describe how to integrate our two-stage prototype to a commercial leaded package. The package we use is 580348 from the StratEdge Corporation, San Diego, CA [26]. As seen from Fig. 11, this package includes a 0.635-mm-thick copper base (in gold in online version), on which there is a ceramic substrate (in white) with a thickness of 0.686 mm. There is a hollow area in the ceramic substrate for circuit assembly. Two raised plastic cap lids made of Vectra A130 are also provided [27].

HAN et al.: DEVELOPMENT OF PACKAGED UWB BANDPASS FILTERS

225

Fig. 11. Photograph of 580348 from the StratEdge Corporation and all its dimension (a) Photograph. (b) Dimension. unit: mil.

Fig. 12. Sketch of the two-stage UWB filter of the new layout.

TABLE III DIMENSIONS OF THE PACKAGED TWO-STAGE UWB FILTER

Fig. 13. Comparison between full-wave simulation and measurement results of the UWB filter of new layout. (a) S -parameters. (b) In-band group delay.

From Section III, we find the two-stage UWB filter has an 4.98 mm. It does not fit to the overall size of 11.93 mm package because the maximum size of the available area is only 8.12 mm 8.12 mm. Therefore, the in-line topology has to be changed, which gives a new layout, as shown in Fig. 12. The corresponding dimension is listed in Table III for reference. A prototype with the new layout is fabricated and measured. The measured results (Fig. 13) show pretty good results in which the return loss is better than 15 dB from 3.1 to 10.6 GHz, and the in-band group delay is very flat over the central passband. The measured frequency response shifts upward because we designed this filter using the extracted permittivity from the previous fabrication, which seems a little bit larger than the actual value for this piece of substrate. Before we integrate our filter into the proposed package, a back-to-back microstrip transition is designed (Fig. 14). On one hand, since the substrate thickness for the filter is 1.27 mm while the thickness of the hollow area (the ceramic substrate) is 0.686 mm, we need to connect the microstrip line on the ceramic substrate to the microstrip feeding line of the filter with bonding ribbons. Five 76- m-wide bonding ribbons with a center-tocenter spacing of 127 m are fictitiously modeled and the length of the bonding ribbons is minimized by choosing the closest

Fig. 14. Perspective view of the proposed back-to-back transition.

contact point in order to reduce the parasitic inductance. On the other hand, the transition between the feeding microstrip line of the test fixture and the package lead is realized by a section of a low-impedance line with a length of 0.2 mm and a width of 1.27 mm for compensating the microstrip step on the ceramic substrate. The transmission responses of the back-to-back transition of bonding ribbons are plotted in with different numbers Fig. 15. We can see that the return loss is improving as the

226

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 15. Simulated response of the proposed transition.

Fig. 16. Photograph of the packaged two-stage UWB filter with the test fixture.

number of bonding ribbons increases. In case of five ribbons, the return loss is better than 15 dB up to 12.3 GHz, while the insertion loss is 0.5 dB at 6.85 GHz and 0.7 dB at 10.6 GHz. The UWB filter of new layout is assembled in the hollow area of the ceramic substrate. The fabricated sample is shown in Fig. 16. Simulation and measurement results of the packaged UWB filter are compared in Fig. 17. The simulation gives very good performance. The return loss is better than 15 dB over the UWB band and the insertion loss is around 0.75 dB at 6.85 GHz and 2.6 dB at 10.6 GHz. The measured in-band return loss is about 10 dB and the insertion loss is 1.2 dB at 6.85 GHz. The measured insertion loss at 10.4 GHz is 2.8 dB and it increases to 4 dB at 10.6 GHz due to the rapid performance deterioration of the return loss nearby. Table IV lists the loss of each part of the packaged UWB filter. Moreover, both the simulated and measured in-band group delays are very flat. A 30-dB out-of-band rejection is achieved from 12.3 to 16.3 GHz. A more broad stopband is obtained than we expected because when the frequency goes up, the return-loss performance of the transition deteriorates, which is helpful to reject the signal transmission. Additionally, absorbing materials is used in the experiments for reducing the spacing coupling and the surface wave propagation. As a result, an obvious transmission zero is observed at 13 GHz. The observable discrepancy between the simulated and measured results can be attributed to the following possibilities. 1) The substrate we use is 1.27-mm-thick RT/Duroid 6010.2LM with a copper thickness of 17 m. Based on our experience, its dielectric constant varies from sample

Fig. 17. Comparison between full-wave simulation and measurement results of the packaged UWB filter with the test fixture. (a) S -parameters. (b) In-band group delay.

TABLE IV LOSS SUMMARY OF THE PACKAGED UWB FILTER

to sample. We used two different methods to extract the real dielectric constant of the samples. One method uses two different resonators, and the other uses two transmission lines with different lengths. Both methods give the same extraction results and the extracted permittivity for different samples (different production date) vary from 10.6 to 11.8, while the value given in the datasheet for a 0.635-mm-thick substrate with a copper thickness of 35 m is 10.2 0.25. The change in permittivity definitely affects our measured filtering responses. 2) The minimum linewidth and gap distance is 0.15 mm in our design. However, in the laboratory prototyping, this value is very limited. The fabricated prototype was checked using the microscope, and the relative error goes up to 25%. Therefore, the fabrication tolerance is another possibility that introduces difference between simulation and measurement.

HAN et al.: DEVELOPMENT OF PACKAGED UWB BANDPASS FILTERS

3) The dimensions of the package used in our simulation were found in its datasheet. Some values are slightly different from the actual package. 4) The filter prototype has to be cut in order to fit the available area in the package. In the simulation, it is hard to estimate the distance of air gap between the filter prototype and the package substrate, which is caused by cutting and assembly. Moreover, the shape and length of the bonding wire in the simulation also differ from those in the real manual assembly. 5) In our measurement, the vector network analyzer (VNA) calibration is done by the short-open-load-thru (SOLT) method. Therefore, the parasitic effects of the subminiature A (SMA) version connectors is embedded in our measured results. V. CONCLUSION In this paper, we have presented a packaged UWB filter based on stub-loaded resonators. A broad bandwith is achieved with the wire-bonded interdigital capacitor. After the characterization of the stub-loaded resonator, equivalent-circuit networks are proposed to facilitate the design procedure with which a single-stage UWB filter is designed. Subsequently, by cascading two stages of stub-loaded resonators, a sharp rejection skirt is obtained. The two-stage UWB filter is then integrated in a commercial leaded package, and the measured performance verifies our design method. It can be practically applied in UWB radar and communication systems due to its advantages such as compact size, simple topology, and good performance. ACKNOWLEDGMENT The authors acknowledge J. Gauthier, T. Antonescu, M. Thibault, and S. Dubé, all with the Poly-Grames Research Center, Centre de Recherche en Électronique Radiofréquence (CREER), Départment de Génie Électrique, École Polytechnique de Montréal, QC, Canada, for their technical assistance in the fabrication and experiments of the circuit prototypes. The authors also appreciate the generous donation of the leaded package used in this paper from the StratEdge Corporation, San Diego, CA. REFERENCES [1] “Revision of Part 15 of the Commission’s rules regarding ultra-wideband transmission systems,” FCC, Washington, DC, Tech. Rep. ET-Docket 98-153, Apr. 2002. [2] H. Ishida and K. Araki, “Design and analysis of UWB bandpass filter,” in Proc. IEEE Wireless Comm. Tech. Top. Conf., Oct. 2003, pp. 457–458. [3] W. Menzel, M. S. R. Tito, and L. Zhu, “Low-loss ultra-wideband (UWB) filters using suspended stripline,” in Proc. Asia–Pacific Microw. Conf., Dec. 2005, pp. 2148–2151. [4] C.-L. Hsu, F.-C. Hsu, and J.-T. Kuo, “Microstrip bandpass filters for ultra-wideband (UWB) wireless communications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 679–682. [5] A. Balalem, W. Menzel, J. Machac, and A. Omar, “A simple ultra-wideband suspended stripline bandpass filter with very wide stop-band,” IEEE Microw. Guided Wave Lett., vol. 18, no. 3, pp. 170–172, Mar. 2008. [6] S. Sun and L. Zhu, “Multimode-resonator-based bandpass filters,” IEEE Microw. Mag., vol. 10, no. 4, pp. 88–98, Apr. 2009.

227

[7] L. Zhu, S. Sun, and W. Menzel, “Ultra-wideband (UWB) bandpass filter using multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 796–798, Nov. 2005. [8] R. Li and L. Zhu, “Compact UWB bandpass filter using stub-loaded multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 1, pp. 40–42, Jan. 2007. [9] Z.-C. Hao and J.-S. Hong, “Ultra-wideband bandpass filter using multilayer liquid-crystal-polymer technology,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 5, pp. 2095–2100, May 2008. [10] R. Li, S. Sun, and L. Zhu, “Synthesis design of ultra-wideband bandpass filters with composite series and shunt stubs,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 3, pp. 684–692, Mar. 2009. [11] J. Garcia-Garcia, J. Bonache, and F. Martin, “Application of electromagnetic bandgaps to the design of ultra-wide bandpass filters with good out-of-band performance,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4136–4140, Dec. 2006. [12] H. Shaman and J.-S. Hong, “A novel ultra-wideband (UWB) bandpass filter (BPF) with pairs of transmission zeroes,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 2, pp. 121–123, Feb. 2007. [13] C. W. Tang and M. G. Chen, “A microstrip ultra-wideband bandpass filter with cascaded broadband bandpass and bandstop filters,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2412–2418, Nov. 2007. [14] S. W. Wong, S. Sun, L. Zhu, and Z. N. Chen, “Ultra-wideband (UWB) bandpass filters with improved upper-stopband performance,” in Proc. Asia–Pacific Microw. Conf., Dec. 2006, pp. 29–32. [15] L. Han, K. Wu, and X.-P. Chen, “Compact ultra-wideband bandpass filter using stub-loaded resonator,” IET Electron. Lett., vol. 45, pp. 504–506, May 2009. [16] E. Márquez-Segura et al., “Analytical model of the wire-bonded interdigital capacitor,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 748–754, Feb. 2006. [17] J. E. Page et al., “Exact analysis of the wire-bonded multiconductor transmission line,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 8, pp. 1585–1592, Aug. 2007. [18] F. P. Casares-Miranda et al., “Wire bonded interdigital capacitor,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 700–702, Oct. 2005. [19] G. I. Zysman and K. A. Johnson, “Coupled transmission line networks in an inhomogeneous dielectric medium,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 10, pp. 753–759, Oct. 1969. [20] M. H. Ren, D. Chen, and C. H. Cheng, “A novel wideband bandpass filter using a cross-shaped multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 1, pp. 13–15, Jan. 2008. [21] High Frequency Structure Simulator (HFSS). Ansoft Corporation, Pittsburgh, PA, 2008. [22] J.-R. Lee, J.-H. Cho, and S.-W. Yun, “New compact bandpass filter using microstrip =4 resonators with open stub inverter,” IEEE Microw. Guided Wave Lett., vol. 10, no. 12, pp. 526–527, Dec. 2000. [23] Advanced Design System (ADS). Agilent Technol, Santa Clara, CA, 2008. [24] M. Kirschning, R. H. Jansen, and N. H. L. Koster, “Accurate model for open end effect of microstrip lines,” IET Electron. Lett., vol. 17, pp. 123–125, Feb. 1981. [25] M. E. Goldfarb and R. A. Pucel, “Modeling via hole grounds in microstrip,” IEEE Microw. Guided Wave Lett., vol. 1, pp. 135–137, Jun. 1991. [26] “Datasheet of 580348” StratEdge Corporation, San Diego, CA, 2009. [Online]. Available: http://www.stratedge.com/lcc.htm, [27] “Datasheet of 580295 ,” StratEdge Corporation, San Diego, CA, 2009. [Online]. Available: http://www.stratedge.com/lcc.htm

Liang Han (S’07) was born in Nanjing, China. He received the B.E. (with distinction) and M.S. degrees from Southeast University, Nanjing, China, in 2004 and 2007, respectively, both in electrical engineering, and is currently working toward the Ph.D. degree in electrical engineering at the École Polytechnique de Montréal, Montréal, QC, Canada. His current research interests include advanced computer-aided design (CAD) and modeling techniques and the development of multifunctional RF transceivers.

228

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Ke Wu (M’87–SM’92–F’01) is Professor of electrical engineering and Tier-I Canada Research Chair in RF and millimeter-wave engineering with the École Polytechnique de Montréal, Montréal, QC, Canada. He also holds the first Cheung Kong endowed chair professorship (visiting) with Southeast University, the first Sir Yue-Kong Pao chair professorship (visiting) with Ningbo University, and an honorary professorship with the Nanjing University of Science and Technology and the City University of Hong Kong. He has been the Director of the Poly-Grames Research Center and the founding Director of the Center for Radiofrequency Electronics Research of Quebec (Regroupement stratégique of FRQNT). He has authored or coauthored over 680 referred papers and numerous books/book chapters. He holds numerous patents. His current research interests involve substrate integrated circuits (SICs), antenna arrays, advanced CAD and modeling techniques, and development of low-cost RF and millimeter-wave transceivers and sensors for wireless systems and biomedical applications. He is also interested in the modeling and design of microwave photonic circuits and systems. Dr. Wu is a member of the Electromagnetics Academy, Sigma Xi Honorary Society, and URSI. He is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He is an IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Distinguished Microwave Lecturer (2009–2011). He has held key positions in and has served on various panels and international committees including being the chair of Technical Program Committees, international Steering Committees, and international conferences/symposia. In particular, he will be the general chair of the 2012 IEEE MTT-S International Microwave Symposium (IMS). He has served on the Editorial/Review Boards of numerous

technical journals, transactions, and letters, as well as scientific encyclopedia as both an editor and guest editor. He is currently the chair of the joint IEEE chapters of MTT-S/AP-S/LEOS, Montréal, QC, Canada. He is an elected IEEE MTT-S Administrative Committee (AdCom) member (2006–2012) and serves as the chair of the IEEE MTT-S Member and Geographic Activities (MGA) Committee. He was the recipient of numerous awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award and the 2004 Fessenden Medal of IEEE Canada.

Xiupu Zhang (M’00–SM’07) received B.Sc. degree from the Harbin University of Science and Technology, Harbin, China, in 1983, and the M.Sc. and Ph.D. degrees from the Beijing University of Posts and Telecommunications, Beijing, China, in 1988 and 1996, respectively, and the Ph.D. degree from the Technical University of Denmark, Lyngby, Denmark, in 1996, all in electrical engineering. Following his doctoral study, he was a Research Fellow with the Chalmers University of Technology, Göteborg, Sweden, for one and half years. Prior to joining Concordia University in June 2002, he had worked in the fiber-optics industry in China, Canada, and the U.S. for approximately ten years. He is currently a Professor with the Department of Electrical and Computer Engineering, Concordia University, Montréal, QC, Canada. His current research interests include optical fiber transmission, radio-over-fiber systems, quantum dot semiconductors, terahertz generation, and broadband optical sources. Dr. Zhang is a member of the Optical Society of America (OSA).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

229

Precise Evaluation of Coaxial to Waveguide Transitions by Means of Inverse Techniques Antonio José Lozano-Guerrero, Francisco Javier Clemente-Fernández, Juan Monzó-Cabrera, Member, IEEE, Juan Luis Pedreño-Molina, and Alejandro Díaz-Morcillo, Senior Member, IEEE

Abstract—In this paper, a new two-tier inverse characterization technique for coaxial to waveguide transition evaluation is presented and properly validated. The transition is characterized by estimating its scattering parameters and a cascade procedure is employed in order to compare simulations and measurements during the unterminating procedure. In contrast to other unterminating methods there are no restrictions concerning the number and type of standards and two transitions are simultaneously characterized. Additionally, genetic algorithms and the gradient descent method are used for error minimization during the unterminating stage. The accuracy of this two-tier inverse technique is evaluated as a function of the employed standards and the obtained results are compared to those provided by different well-known calibration algorithms. Results show that it is possible to properly characterize the coaxial to waveguide transition in a very flexible and accurate way. Index Terms—Coaxial to waveguide transitions, genetic algorithms, inverse measurement, two-tier calibration.

I. INTRODUCTION

T

RANSITIONS are a key part of most microwave systems and circuits, making possible the interconnection between different types of transmission lines such as waveguides, coaxial, coplanar, or microstrip lines. Hence, it is imperative to have a good characterization of these structures in order to assess their performance and to provide information that can be used during the design, measurement, or optimization processes. Therefore, a large number of contributions can be found in the technical literature regarding the modeling and characterization of various types of transitions, especially coaxial to microstrip [1]–[10] and coaxial to waveguide transitions [11]–[14]. Several methods of adapter characterization can be found in [15] and [16] paying special attention to the study of uncertainties. In particular, precise evaluation of coaxial to microstrip transitions is mandatory to obtain accurate measurements of microstrip integrated circuits by means of a vector network analyzer (VNA) since the quality of the measurements is strongly related to the quality of the transitions and repeatability is a critical issue [9]. In fact, many times it is not possible to directly Manuscript received April 27, 2009; revised October 15, 2009. First published December 18, 2009; current version published January 13, 2010. This work was supported in part by the Ministerio de Ciencia e Innovación under Project CIT-020000-2008-43. The authors are with the Departamento de Tecnologías de la Información y las Comunicaciones, Universidad Politécnica de Cartagena, Cartagena E-30202, Spain (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2009.2036408

measure the scattering parameters of a circuit implemented in microstrip or waveguide technology since VNAs work with coaxial connectors and, therefore, their measurements always include the contributions of the necessary in-between transitions. For instance, test fixtures use coaxial to microstrip transitions in order to measure embedded microstrip circuits. If the scattering parameters of test fixture transitions are known, they can be removed from VNA measurements by the so-called deembedding process [17], [18]. However, the measurement of transition parameters is not straightforward and they must be characterized from measurements made at the VNA reference plane when known standards are embedded in the fixture. This process is referred to as unterminating [18]. There are many possibilities for unterminating depending on the standards types and error minimization procedures. For instance open-short-load (OSL) or thru-reflect-line (TRL) standards may be used [17], [18] for unterminating. However, several studies based on iterative approaches [18], [19] show that it is possible to use redundant standards in order to increase accuracy versus conventional calibration procedures. Very important efforts have been made at the National Institute of Standards and Technology (NIST), Boulder, CO, in order to develop software packages for calibration and unterminating processes. For instance, MultiCal and StatistiCAL1 freeware software packages implement calibration algorithms based on several studies such as [17]–[22] that perform both one- and two-tier deembedding. The two-tier calibration procedure can be used to electrically characterize probe heads or other components such as coaxial to waveguide transitions and can handle up to 40 different standard types. Coaxial lines to waveguide junctions have also been the subject of active research in recent years since they are used in a multitude of microwave applications. This kind of junctions can be divided into two different, though closely related, groups, namely, T-junctions and coaxial line to waveguide transitions. Waveguide T-junctions are commonly used in multiplexers and diplexers [23], [24] and power dividers [25], whereas transitions, which can also be viewed as a particular case of T-junctions, are used, for example, in the input and output ports of microwave cavity filters [26], [27] and in horn antennas [28]. All the above applications require extracting the scattering parameters of the electrical transitions. Thus, numerous methods and techniques have been developed using different 1MultiCal and StatistiCAL are available online at http://www.nist.gov/ eeel/electromagnetics/related-software.cfm. MultiCal and StatistiCAL are trademarks of the National Institute of Standards and Technology (NIST), Boulder, CO.

0018-9480/$26.00 © 2009 IEEE

230

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

approaches. Computer-aided design (CAD) models of connectors and transitions have been used both in coaxial to microstrip [6] and coaxial to waveguide transitions [25]. The main problem of this approach is that full-wave electromagnetic analysis of these structures requires complex frequency-dependent calculations, even if the complexity of the structure is not high. That is why some different characterization methods based on -parameter measurements have been presented, specifically focused on coaxial probe modeling in waveguides and cavities. The probe-excited waveguide problem has been studied during the last 50 years. One of the most important contributions is presented in [11], where a rigorous method to obtain the two-port scattering matrix of a probe-excited semi-infinite waveguide is shown. This procedure is known as the three-cavity moment method and makes use of three cavities and their input reflection coefficients for obtaining three linear equations with which the two-port scattering matrix can be calculated, by impressing the incident wave in the coaxial line only. The main drawback of this method is a restriction regarding the phases of the reflection coefficient of the short-circuited waveguide sections, which must not have 360 differences at a given frequency. The authors suggest using phase differences of 120 and 240 . Furthermore, this procedure assumes only one propagating mode and cannot compute generalized -parameters so an extension is presented in [13]. In that study, the authors include the use of the orthogonal expansion method for modeling cylindrical posts in rectangular waveguides. Further research on the three-cavity approach can be found in [14], where a coaxial line to a rectangular waveguide junction is analyzed using the five-cavity moment method in combination with network cascading techniques and an interpolation method. All these methods use waveguide short-circuit standards at different electrical lengths from the transition in order to extract its behavior versus frequency. In this study, we present a new inverse characterization technique in order to evaluate coaxial to waveguide transitions. This new unterminating procedure is carried out by minimizing the error between simulations of scattering matrix concatenations that contain the transition as an unknown parameter and measurements of several structures that reproduce the simulated scenarios. In this study, two different standard types such as short circuits and lines are used and two transitions are simultaneously characterized. The accuracy of the inverse technique is evaluated as a function of the employed standards, and the obtained results are compared to those provided by different well-known calibration algorithms. II. INVERSE TECHNIQUE USED FOR THE TWO-TIER CALIBRATION ALGORITHM An inverse technique [29] provides the estimation of an unknown parameter by comparing the experimental response of the analyzed structure to the simulation of the experimental sceof two nario. In this study, the two-port scattering matrices different coaxial to waveguide transitions are simultaneously obtained by means of an inverse procedure. A specific study of a coaxial-waveguide transition has been carried out although the proposed procedure can be readily extended to other transition types. The experimental setup considers up to three waveguide

Fig. 1. Coaxial to waveguide transitions under study.

shorts and three waveguide lines with different lengths as calibrating standards placed at the end or in-between the coaxial to waveguide transitions. Therefore, this inverse procedure can be classified as a two-tier calibration problem [30]. In Fig. 1, a scheme of the WR-340 transitions under study is depicted. As can be observed, these transitions include several tuning screws in order to obtain good matching levels within the operating bandwidth. A. Parameter Description and Cascade Procedure The two-port scattering parameters of each coaxial to waveguide transition are modeled through their magnitude and phase representation. This leads to 12 different unknown real parameters when considering both transitions and the fact that and due to reciprocity. It also must be taken into account that each scattering parameter must be evaluated at different frequency values within the studied bandwidth. The matrices for each transition are defined as follows: (1) (2) and refer to each transition, represent the magnitude of the parameters, and are their phase values. Employed coaxial to waveguide transitions are slightly different and the proposed method deals with their particular differences providing an accurate set of -parameters for each one. From a device point of view, the connection of both standards to the coaxial to waveguide transition can be interpreted as a cascade of devices. The defined lines are considered lossless, which is a good approximation for aluminium and short waveguide sections used in this study. The cascade formulas for -parameters are well known and can be found in the literature [31]. where

B. Optimization Techniques The flowchart of the optimization procedure employed in this ( and study is shown in Fig. 2. The values of ) are sequentially obtained for 1001 frequency points in the range under study with the help of two different optimization techniques. For the first frequency point, the initial solution is obtained with the aid of a genetic algorithms tool implemented in MATLAB [32]. After this stage, a more accurate refinement is obtained with the aid of a gradient descent optimization method by using as initial point for the search procedure the best

LOZANO-GUERRERO et al.: PRECISE EVALUATION OF COAXIAL TO WAVEGUIDE TRANSITIONS BY MEANS OF INVERSE TECHNIQUES

231

mutation operations define the following generations evolving towards the optimum individual (our solution). In our case, 12 unknown parameters require a high number of individuals and generations to reach a good approximation. Thus, 200 generations, 100 individuals, 80 crossovers per generation, and five mutations per generation have been used during the genetic algorithms’ stage. The gradient descent optimization stage uses a multivariable MATLAB function that implements the quasi-Newton method. The evaluation function becomes a crucial aspect in both genetic algorithms and gradient descent optimization stages. In this study, the evaluation function takes into account the magnitude of the difference between the measured complex scattering and the simulated ones for different exparameters perimental setups. The expression used to evaluate the error when using the transition connected to a short-circuit standard during the optimization procedure is shown in (3). To evaluate a line connection between transitions, (4) is used. The evaluation function expression including all the error contributions is shown in (5). The evaluation is carried out for each frequency point (3) (4)

(5) Fig. 2. Flowchart of the optimization procedure used in this study. GA: genetic algorithms. GD: gradient descent method.

solution obtained in the genetic algorithms’ stage. Once the solution for the first frequency point is obtained with the required accuracy, the solution of the following frequency point is calculated with the gradient descent method by using as initial point the solution of the preceding frequency point iteratively. It is assumed then that the solution shows a slow variation; otherwise a sharp deviation may lead to wrong solution values increasing the fitness function evaluation. In this case, a genetic algorithms stage is generated again for the wrong last frequency point calculation. Genetic algorithms are robust optimization tools based in the principles and concepts of natural selection. A global minimum can be reached in a solution space with weaker minima with the aid of these search procedures. In fact, a previous study for deembedding and unterminating symmetrical microwave fixtures using genetic algorithms can be found in [33], although the approach is different and only one thru standard is employed. Therefore, genetic algorithms have been chosen in this study in order to find the global minimum error at the first optimization stage. An individual of genetic algorithms represents in this study a possible solution of our problem that contains the estimation of 12 different unknown real parameters necessary to characterize the two transitions. In a first stage, a random initial population with 100 individuals is evaluated. After this stage, crossover and

Different standard load combinations have been considered in order to assess the precision of this unterminating technique versus the number and type of used standards. Therefore, if any of the standards is not used during the optimization process, its contribution to function will be considered null. III. EXPERIMENTAL SETUP Fig. 3 shows the schemes of different experimental scenarios used to obtain the -parameters of the transition and their idenbe a short circuit placed on the waveguide tifications. Let and short circuits placed at port of both transitions, and 1.819 and 5.456 cm from that waveguide port, respectively. Additionally, let be the thru connection between the two coaxial to waveguide transitions, and and be 2- and 12.65-cm-length WR-340 waveguide lines, respectively. A Rohde & Schwarz ZVM VNA has been used in this study in order to measure the scattering matrix frequency behavior of the coaxial to waveguide transitions when using different standards. 1001 frequency points were collected in each measurement in the 2–3-GHz frequency range. Therefore, it was ensured that main mode. waveguide components worked only with the A Rohde & Schwarz ZV-Z32 PC 3.5 fixed matched calibration kit was employed in order to calibrate the VNA at port 1 of transition 1 and port 2 of transition 2. The coaxial to waveguide transitions that were used to carry out the study belong to a Continental Microwave WCK340-HP waveguide calibration kit [34].

232

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 5.

S

results for transition 1 (phase).

Fig. 6.

S

1

S

results for transition 1 (magnitude).

Fig. 7.

S

1

S

results for transition 1 (phase).

Fig. 3. Scheme of different calibration standards used for inverse measurements.

Fig. 4.

S

results for transition 1 (magnitude).

The inverse procedure lasted from 2 to 14 min on an Intel Core Duo processor 2 GHz depending on the set of the calibration standards employed. IV. RESULTS AND DISCUSSION Figs. 4–7 show the results for transition 1 for both the magand product when all short nitude and phase of the are used to evaluate circuits and lines the fitness function. The results of this new inverse technique are compared to those provided by the three cavities method [11], TRL [35], [36], line-reflect-match (LRM) [37] and MultiCal [38] and StatistiCAL [30] software packages. In the case of MultiCal and StatistiCAL, the number and type of standards are the same as those used in the proposed calibrating technique. From these results, it can be appreciated that all techniques show offers very similar results to , and very good agreement. product has consequently, has not been depicted. The been included in this study in order to be able to compare our results to the three cavities technique described in [11].

From Figs. 4 and 5, one can deduce that the main source of phase uncertainties around 2.9 GHz error is provided by due to the high degree of matching of the transition at those frequencies. However, this is common to all the used techniques and can be explained as follows: if the noise level is near the

LOZANO-GUERRERO et al.: PRECISE EVALUATION OF COAXIAL TO WAVEGUIDE TRANSITIONS BY MEANS OF INVERSE TECHNIQUES

TABLE I AVERAGE ABSOLUTE ERROR FOR DIFFERENT SCENARIOS COMPARED TO THREE CAVITIES TECHNIQUE

reflected signal level, then the actual value of the imaginary and would be poorly estimated whatever the used real parts of technique. Table I shows the frequency average absolute error of the proposed technique when comparing to the three cavities method described in [11] for different standards combinations used during inverse measurement. Both magnitude and phase and . Since there are many errors are provided for possible combinations, just some of them have been evaluated. The inverse technique provides very low absolute error magnitude and magnitude and phase values for when working with at least three different calibration standards. phase due Again, in this case, the main error source is the to its high level of matching. Mixed combinations of shorts and thrus provide very similar results as long as there are enough conditions to obtain a valid solution. As expected, the calibration with three shorts shows the minimum error values since the three cavities technique also uses three shorts as calibration standards [11]. However, very good results can be obtained even with different standards combinations, as shown in Figs. 4–7 and Table I. When obtaining the transition parameters by using only three or two standards, there are some problems to follow the function. Finally, from results obtained in phase of the Table I, it is obvious that if there is not enough information, the error increases. This can be observed in the last four cases of Table I. In those cases, the solution that minimizes the evaluation function cannot be reached by the proposed optimization algorithm. Including intermediate restrictions in the cascading proceand dure for the transition parameters such as vary neither the error values, nor convergence speed. Table II has been obtained by comparing the solution obtained with the proposed technique to the solution obtained using a . The comparison has been carried TRL calibration out for the same set of combinations of standards as in Table I. From the obtained results, it can be perceived that error values with the proposed technique decrease when using as the same standards as the TRL being employed to obtain the

233

TABLE II AVERAGE ABSOLUTE ERROR FOR DIFFERENT SCENARIOS COMPARED TO TRL CALIBRATION

TABLE III AVERAGE ABSOLUTE ERROR FOR DIFFERENT SCENARIOS COMPARED TO StatistiCAL SOFTWARE

solution. A similar behavior as shown in Table I can be observed for the rest of combinations. Table III shows the comparison of the results provided by the proposed characterization method and those obtained by using the StatistiCAL software package for different standards’ combinations. This software package was not able to provide results when there were not enough calibration standards, and consequently, some comparisons were not possible. It must be reand were introduced as loads since marked that shorts StatistiCAL only allows one reflection standard. From obtained results, it can be observed that errors are very similar to those provided by the comparison to the previous methods. However, StatistiCAL is not able to provide results for some standards combinations, whereas the proposed method shows more flexibility in this field. Additionally for some standards (for instance, when using only lines of different lengths) . StatistiCAL is not able to properly characterize Therefore, we have observed several advantages of the proposed method versus StatistiCAL. Firstly, this software needs a good initial value to provide accurate results, mainly for phase estimations. Secondly, the proposed method is able to handle more standards combinations without the need of an initial estimation for the transition scattering parameters. Additionally, the proposed method shows more flexibility in the error estimation can be changed. StatistiCAL, since the evaluation function

234

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

TABLE IV AVERAGE ABSOLUTE ERROR FOR SCENARIO t; l1; l2; s1; s2; s3 COMPARED TO DIFFERENT TECHNIQUES

would again lead to higher computing times than the ones obtained in this study. Although applied to coaxial to waveguide transitions, this inverse technique can be extended to other transition types, and therefore, further research is envisaged in that direction.

REFERENCES

however, shows lower computational times to provide the transition characterization. In fact, StatistiCAL showed computation times around 30 s in a 2-GHz Intel Core Duo processor. Finally, Table IV provides a comparison between the three cavities method, TRL, LRM, MultiCal, and StatistiCAL. In this case, all the standards are employed for the proposed technique, MultiCal and StatistiCAL. A TRL and an LRM have been and implemented with the calibration standards , respectively, with being the measurements for the termination provided by the calibration kit [34]. Differences for the phase value when using the LRM calibration can be and may be due to the limitations observed in Fig. 5 for of the employed match, as this adapted load behavior is not guaranteed for the entire frequency range under study [34]. The error is lower when comparing with the results provided by StatistiCAL and slightly increases when using MultiCal. This can be explained by the StatistiCAL robustness against noisy measurements [38]. As in the TRL technique, there is no redundant information, the error increases slightly with respect to the methods developed at NIST. Similar error results are obtained for the explicit LRM and three-cavity techniques. V. CONCLUSION A new two-tier inverse technique for characterizing coaxial to waveguide transitions based on the use of genetic algorithms and the gradient descent method has been described and compared to different well-known calibration techniques. Very good results can be obtained by using several calibration standards such as short circuits or waveguide lines. Obtained results show that this inverse technique provides very similar results to those obtained by the reference algorithms, and additionally, is able to handle more calibration standards combinations provided that they can be properly included in -parameter cascade calculations. In this study, the studied frequency range has been restricted to the range provided by the manufacturer in which the coaxial to waveguide transitions are assumed to work properly. This also ensures waveguide monomode conditions. However, wider frequency ranges and higher frequency points could be readily handled by the proposed technique, although this would lead to higher computing times. Additionally, the studied transitions showed nonresonant and well-matched behaviors. Resonant transitions are expected to produce sharper variations both in phase and magnitude values, and consequently, the proposed algorithm would very often use genetic algorithms to predict a reasonable initial point of the transition parameters at many frequency points. As a result, this

[1] M. L. Majewski, R. W. Rose, and J. R. Scott, “Modeling and characterization of microstrip-to-coaxial transitions,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 8 , pp. 799–805, Aug. 1981. [2] J. R. Souza and E. C. Talboys, “S -parameter characterisation of coaxial to microstrip transition,” Proc. Inst. Elect. Eng.—Microw., Opt., Antennas, vol. 129, no. 1, pp. 37–40, Feb. 1982. [3] S. E. Gourley and A. G. Chapman, “Broadband characterisation of coaxial to microstrip transitions,” in 12th Eur. Microw. Conf., Oct. 1982, pp. 622–627. [4] C. Capsalis, C. P. Chronopoulous, and N. K. Uzunoglu, “A rigorous analysis of a coaxial to shielded microstrip line transition,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 7, pp. 1091–1098, Jul. 1989. [5] J. Chramiec and J. K. Piotrowski, “Novel approach to the characterization of coaxial-to-microstrip transitions,” in 27th Eur. Microw. Conf., Oct. 1997, vol. 2, pp. 697–702. [6] J. Chramiec, B. Janiczak, J. Komisarczuk, J. K. Piotrowski, and W. Gwarek, “CAD models of connectors and transitions used in hybrid microwave integrated circuits,” in 28th Eur. Microw. Conf., Oct. 1998, vol. 1, pp. 457–462. [7] F. Dagang, Z. Dong, and S. Yuxuan, “Accurate analysis of the coax-tomicrostrip transition by using FDTD method,” in Int. Comput. Electromagn.and Its Appl. Conf., 1999, pp. 218–221. [8] S. A. Wartenberg and Q. H. Liu, “A coaxial-to-microstrip transition for multilayer substrates,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 584–588, Feb. 2004. [9] B. K. O’Neil and J. L. Young, “Evaluation of coplanar waveguide-tomicrostrip transitions for precision S -parameter measurements,” Microw. Opt. Technol. Lett., vol. 50, no. 10, pp. 2667–2671, 2008. [10] R. Torres-Torres, G. Hernandez-Sosa, G. Romo, and A. Sanchez, “Characterization of electrical transitions using transmission line measurements,” IEEE Trans. Adv. Packag., vol. 32, no. 1, pp. 45–52, Feb. 2009. [11] J. F. Liang, H. Chang, and K. A. Zaki, “Coaxial probe modeling in waveguides and cavities,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2172–2180, Dec. 1992. [12] M. Hajian, D. P. Tran, and L. P. Ligthart, “Modeling the transition between a coaxial line and a flat rectangular waveguide,” in 9th Int. Antennas Propag. Conf., Apr. 4–7, 1995, vol. 1, pp. 269–272. [13] H. W. Yao and K. A. Zaki, “Modeling generalized coaxial probes in rectangular waveguides,” in IEEE MTT-S Int. Microw. Symp. Dig., May 16–20, 1995, vol. 2, pp. 979–982. [14] Y. F. Huang, H. David, S. Raymond, and P. Protap, “Modeling of cavity-backed coaxial line to rectangular waveguide junction,” Int. J. RF Microw. Comput.-Aided Eng., vol. 8, no. 1, pp. 20–26, 1998. [15] J. Randa, “Comparison of adapter characterization methods,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 12, pp. 2613–2620, Dec. 1999. [16] J. P. Hoffmann, P. Leuchtman, A. Kretz, J. Rüfenacht, and R. Vahldieck, “Characterization of coaxial adapters for S -parameter measurements,” in 38th Eur. Microw. Conf., Oct. 2008, pp. 313–316. [17] R. F. Bauer and P. Penfield, “De-embedding and unterminating,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, pp. 282–288, Mar. 1974. [18] D. Williams, “De-embedding and unterminating microwave fixtures with nonlinear least squares,” IEEE Trans. Microw. Theory Tech., vol. 38, pp. 787–791, Jun. 1990. [19] R. B. Marks, “A multiline method of analyzer calibration,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 7, pp. 1205–1215, Jul. 1991. [20] R. B. Marks and D. F. Williams, “Characteristic impedance determination using propagation constant measurement,” IEEE Microw. Guided Wave Lett., vol. 1, no. 6, pp. 141–143, Jun. 1991. [21] R. B. Marks and D. F. Williams, “Accurate transmission line characterization,” IEEE Microw. Guided Wave Lett., vol. 3, no. 8, pp. 247–249, Aug. 1993. [22] R. B. Marks and D. F. Williams, “Accurate experimental characterization of interconnects,” IEEE Trans. Compon., Hybrids, Manuf. Technol., vol. 15, no. 4, pp. 601–602, Aug. 1992.

LOZANO-GUERRERO et al.: PRECISE EVALUATION OF COAXIAL TO WAVEGUIDE TRANSITIONS BY MEANS OF INVERSE TECHNIQUES

[23] X. P. Liang, K. A. Zaki, and A. E. Atia, “A rigorous three plane modematching technique for characterizing waveguide T-junctions, and its application in multiplexer design,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 12, pp. 2138–2147, Dec. 1991. [24] A. A. Kirilenko, S. L. Senkevich, V. I. Tkachenko, and B. G. Tysik, “Waveguide diplexer and multiplexer design,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1393–1396, Jul. 1994. [25] F. Arndt, I. Ahrens, U. Papziner, U. Wiechmann, and R. Wilkeit, “Optimized E -plane T-junction series power dividers,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 11, pp. 1052–1059, Nov. 1987. [26] G. Gerini and M. Guglielmi, “Full-wave CAD of a rectangular waveguide filter with integrated coaxial excitation,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 5, pp. 986–989, May 2001. [27] G. Gerini, F. D. Bustamante, and M. Guglielmi, “Triple mode filters with coaxial excitation,” in IEEE MTT-S Int. Microw. Symp. Dig., 2000, vol. 3, pp. 1763–1766. [28] Z. Shen and C. Feng, “A new dual-polarized broadband horn antenna,” IEEE Antennas Wireless Propag. Lett., vol. 4, pp. 270–273, 2005. [29] M. E. Requena-Pérez, A. Albero-Ortiz, J. Monzó-Cabrera, and A. Díaz-Morcillo, “Combined use of genetic algorithms and gradient descent optimization methods for accurate inverse permittivity measurement,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 615–624, Feb. 2006. [30] D. F. Williams, J. C. M. Wang, and U. Arz, “An optimal vector-network-analyzer calibration algorithm,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2391–2401, Dec. 2003. [31] K. Rothemund, H. W. Glock, and U. van Rienen, “Eigenmode calculation of complex RF-structures using S -parameters,” IEEE Trans. Magn., vol. 36, no. 4, pp. 1501–1503, Jul. 2000. [32] C. R. Houck, J. A. Joines, and M. G. Kay, “A genetic algorithm for function optimization: A MATLAB implementation,” The Mathworks, Natick, MA, NCSU-IE TR 95-09, 1995. [33] A. S. Adalev, N. V. Korovkin, M. Hayakawa, and J. B. Nitsch, “Deembedding and unterminating microwave fixtures with the genetic algorithm,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 7, pp. 3131–3140, Jul. 2006. [34] Waveguide Component Specifications and Design Handbook, #7 ed. Dorset, U.K.: Cobham, 2009, Continental Microw. [35] G. F. Engen and C. A. Hoer, “Thru-reflect-line: An improved technique for calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 12, pp. 987–993, Dec. 1979. [36] M. L. Edwards, “Calibration and measurements of S -parameters,” in Microwave & RF Circuits: Analysis, Design, Fabrication & Measurement. Baltimore, MD: The Johns Hopkins Univ. Press, 2001, ch. 7. [37] K. Silvonen, “LMR 16—A self calibration procedure for a leaky network analyzer,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1041–1049, Jul. 1997. [38] D. F. Williams, C. M. Wang, and U. Arz, “An optimal multiline TRL calibration algorithm,” in IEEE MTT-S Int. Microw. Simp. Dig., Jun. 2003, vol. 3, pp. 1819–1822. Antonio José Lozano-Guerrero was born in El Verger, Spain, in 1976. He received the Dipl. Ing. degree in telecommunications engineering from the Universidad Politécnica de Valencia (UPV), Valencia, Spain in 2003 and the Ph.D. degree from the Universidad Politécnica de Cartagena (UPCT), Cartagena, Spain in 2008. From 2003 to 2004, he was a Research Assistant with the Department of Communications, UPV. In 2004, he joined the Departamento de Tecnologías de la Información y las Comunicaciones, UPCT, where he is currently an Associate Lecturer. His current research areas are electromagnetic compatibility, numerical techniques in electromagnetism, and industrial microwave heating systems.

235

Francisco Javier Clemente-Fernández was born in La Unión (Murcia), Spain, in 1985. He received the Dipl. Ing. degree in telecommunications engineering from the Universidad Politécnica de Cartagena (UPCT), Cartagena, Spain, in 2008, and is currently working toward the Ph.D. degree at UPCT. In 2008, he joined the Departamento de Tecnologías de la Información y las Comunicaciones, UPCT. His main research areas are microwave-assisted heating and drying processes and microwave filters design and optimization.

Juan Monzó-Cabrera (M’09) was born in Elda (Alicante), Spain, in January 1973. He received the Dipl. Ing. and Ph.D. degrees in telecommunications engineering from the Universidad Politécnica de Valencia, Valencia, Spain. He is currently an Associate Lecturer with the Departamento de Tecnologías de la Información y las Comunicaciones, Universidad Politécnica de Cartagena, Cartagena, Spain. He is a Director of the Association of Microwave Power in Europe for Research and Education (AMPERE), a European association devoted to RF and microwave energy promotion. He has coauthored over 60 papers in referred journals and conference proceedings. He holds several patents regarding microwave heating processes. His current research areas cover microwave-assisted heating and drying processes and numerical techniques in electromagnetism.

Juan Luis Pedreño-Molina was born in Cartagena (Murcia), Spain, in 1969. He received the BASc. degree and Ph.D. degree in neurotechnology, control, and robotics from the Technical University of Madrid (UPM), Madrid, Spain, in 1984 and 2000, respectively. He currently an Assistant Professor of telecommunication engineering with the Universidad Politécnica de Cartagena (UPCT), Cartagena, Spain. Since 1999, he has been with the Departamento de Tecnologías de la Información y las Comunicaciones, UPCT. His research interests are signal processing applied to optimization and control of nonlinear systems and modeling based on neural networks with applications to robotics and drying processes.

Alejandro Díaz-Morcillo (S’95–M’02–SM’09) was born in Albacete, Spain, in 1971. He received the Ingeniero (Ms. Eng.) and Doctor Ingeniero (Ph. D.) degrees in telecommunication engineering from the Polytechnic University of Valencia (UPV), Valencia, Spain, in 1995 and 2000, respectively. From 1996 to 1999, he was a Research Assistant with the Department of Communications, UPV. In 1999, he joined the Departamento de Tecnologías de la Información y las Comunicaciones, Universidad Politécnica de Cartagena (UPCT), Cartagena, Spain, as a Teaching Assistant. Since 2001, he has been an Associate Professor with UPCT, where he leads the Electromagnetics and Matter Research Group. His main research interests concern numerical methods in electromagnetics and industrial microwave heating systems.

236

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Evaluation of Tuner-Based Noise-Parameter Extraction Methods for Very Low Noise Amplifiers Leonid Belostotski, Member, IEEE, and James W. Haslett, Fellow, IEEE

Abstract—This paper compares the performance of source–tuner noise-parameter extraction methods used to measure noise parameters of low-noise amplifiers that have very low ( 1 dB) noise figures. The methods discussed are known -factor method (or as the Cold method and the modified Hot–Cold method). The paper describes equations used in the extraction algorithms. In a Monte Carlo analysis by randomly adding various sources of uncertainties to “measurements,” created with a computer simulation, performances of the noise parameter extraction methods are compared. It is shown that the iterative Cold method and the direct Cold method are the best at extracting and 0opt noise parameters in terms of lowest standard deviation and close proximity of the extracted mean values to the true values. The simplified Cold method, used in a number of commercial systems, has largest systematic offsets in extracted noise parameters while being the quickest to perform. The modified -factor method is the slowest to perform due to additional time required for hot measurements. This method is marginally the most accurate to extract min . These conclusions are also supported with measurement results. This study assembles in one place necessary theoretical background information to serve as a reference for those who are working in the field of noise parameter extraction using tuner-based methods. Index Terms—Cold noise measurement, hot–cold noise measurement, noise parameters, noise source, measurement uncertainty, -factor.

I. INTRODUCTION

V

ARIOUS aspects of noise-parameter measurements of two-port networks have been discussed in [1]–[21]. In this study, we investigate systematic offsets and standard deviations in the measurements performed with tuner-based noise parameter extraction methods. The motivation for this study is to estimate errors in tuner-based noise-parameter extraction systems that are intended for evaluation of very low-noise amplifiers (LNAs), such as an LNA discussed in this paper that was designed for the Square Kilometre Array (SKA) radio telescope [22]–[24]. Today’s LNAs routinely attain noise figures below 1 dB, some of which are reported in [25]–[35], and require precision

Manuscript received June 18, 2009. First published December 18, 2009; current version published January 13, 2010. This work was supported by the Natural Sciences and Engineering Research Council of Canada, the Alberta Ingenuity New Faculty Program, the Alberta Provincial Government under the iCORE Program, the National Research Council’s Dominion Radio Astrophysical Observatory, and CMC Microsystems. The authors are with the Department of Electrical and Computer Engineering, University of Calgary, Calgary, AB, Canada T2N 1N4. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2009.2036411

Fig. 1. Measurements of optimum signal–source reflection coefficients for minimum noise of a 3-dB noise figure LNA follow an expected locus. Measurements of optimum signal-source reflection coefficients for minimum noise of a 0.5-dB noise figure LNA show large scatter. The measurements were performed with commercial tuner-based noise-parameter extraction systems over an 800-MHz–1.4-GHz frequency range. While the commercial algorithms used to measure the 0.5-dB LNA are proprietary, simulations in Section III suggest that the tuner repeatability, impedance constellation selection, and insufficient averaging are likely causes of the data scatter.

noise measurement equipment for full characterization. While commercial parameter extraction systems are acceptable for measuring LNAs with noise figures 1 dB, their performance may suffer from measurement errors for very low-noise amplifiers, as demonstrated in Fig. 1, where optimum signal–source reflection coefficients for minimum noise of two amplifiers measured with commercial noise parameter extraction systems are shown. Tuner-based measurements are subdivided into two methods: the Cold method [1]–[8], and the modified -method, also known as the tuner-based Hot–Cold method [8]–[11]. Other methods of measuring noise parameters exist. Some are based on the representation of the noise signals in terms of noise wave amplitudes [12]–[18]. Another set of noise parameter extraction techniques in [19]–[21] is based on a single noise figure measurement and fitting the result to a device-under-test (DUT) noise model determined analytically or experimentally by using other techniques. The latter two measurement methods are beyond the intended scope of this paper. This work discusses the theory of tuner-based noise-parameter extraction and presents both a Monte Carlo and an experimental analysis of noise parameter extraction methods. Appendix I contains a list of symbols and description of notations for the readers’ reference.

0018-9480/$26.00 © 2009 IEEE

BELOSTOTSKI AND HASLETT: EVALUATION OF TUNER-BASED NOISE-PARAMETER EXTRACTION METHODS FOR VERY LOW-NOISE AMPLIFIERS

237

Fig. 2. Cold measurement system and modified Y -factor measurement system. For brevity, measurements that depend on whether the noise source is OFF or ON are indicated by the superscript c(h).

II. NOISE MEASUREMENT METHODS The most standard method of measuring noise figure is known as the -method and it is used in all noise figure analyzers [36], [37]. This method is conceptually the simplest, but its accuracy is poor and this method does not allow for noise parameter extraction, and therefore, is not discussed in this paper. and ) The extraction of the noise parameters ( requires measurements of the DUT performance when driven by a signal source with varying impedance. These measurements are covered in Sections II-A–C where equations required for noise parameter extraction from noise power measurements are discussed. A. Temperature Effects Modern noise figure analyzers such as the N8975A with noise , sources such as the N4000A report Cold noise temperature1 , is available for all calculations discussed in and therefore, is deterthis paper. The noise source Hot noise temperature2 mined from the excess noise ratio (ENR) supplied by the noise source manufacturer, defined as [5], [36] (1) where the term in brackets converts noise temperature to the corresponding effective noise temperature,3 and is the noise source reflection coefficient in the Hot state. The noise factor (figure) of a DUT is defined as the ratio of the available signal-to-noise ratio at the circuit input to the available signal-to-noise ratio at the circuit output [38]. An equivalent definition in terms of noise temperatures at the ambient room can be written as temperature of

(2) (3) 1Noise temperatures refer to the temperatures that yield the available noise power from a source.

T

of T found from (1) is only correct when T = T [36]. When 6 T , T needs to be corrected accordingly [36], [37]. = 3The effective noise temperatures yield the power emerging from a port. 2The value

where K is the reference temperature, is the effective input noise temperature of a DUT at , and is the effective input noise temperature of a DUT and is a function of the ambient room temperature . In this , study, we are interested in by using (3). which can be obtained from measured The mechanical-tuner-based noise parameter extraction takes a significant amount of time. During this time, the ambient temperature may experience small variations, which may result in measurement errors. Expression (3) accounts for this where it is assumed that the noise power of a DUT and of a noise power measuring device (receiver) vary with temperature. While the noise figure analyzer might have internal temperature stabilization, an amplifier, cables, isolators, and other external components located between the DUT and noise figure analyzer that define the receiver noise figure are exposed to the ambient temperature. Although the amplifier and the DUT noise temperatures may not scale exactly linearly with ambient temperature, a linear approximation is accurate for small changes around the ambient and is used in this study. Transistors such as MOSFETs can be modeled to a first order with linearly temperature-dependent drain– and gate–noise currents [39]–[43] over a small temperature range. Noise temperatures of LNAs based on bipolar transistors scale nearly linearly with ambient temperature [30], in particularly over a small temperature range. Note that (3) remains referenced to to conform with the standard noise factor definition [38], [44]. B. Cold Method The Cold method uses source impedance tuners to generate varying signal–source reflection coefficients at the DUT input [1]–[8]. The block diagram of the Cold method is shown in Fig. 2. The measurement procedure is broken into the following five steps. Step 1 is performed to collect required -parameter information. Steps 2–4 are the receiver calibration steps. During Step 2, Hot–Cold measurements are performed. Step 3 describes the procedure to determine the tuner noise parameters. This is followed by Step 4 that uses measured data from Step 2 and is needed to complete the extraction of the receiver gain. This gain is used during DUT measurements described in Step 5. Step 1: -Parameter Measurement: The system calibration and noise parameter measurements with the Cold-method based

238

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

system require measurements of: 1) the -parameters of the network located between the noise source and the DUT plane, called InputNet in this paper, for each tuner setting used during noise parameter measurements; 2) the input reflection at the receiver plane; 3) -pacoefficient of the receiver4 ; and 4) OFF and ON reflection coeframeters of the DUT . These electrical measurements ficients of the noise source are performed with a vector network analyzer (VNA), not shown in Fig. 2. Step 2: Hot–Cold Measurement for Receiver Gain Determination: The DUT in Fig. 2 is replaced by a “THRU,” effectively connecting the receiver plane to the DUT plane, and the initial noise power measurements required for receiver noise parameters and receiver gain determination are obtained. To measure the receiver noise parameters and receiver gain, first the noise source is toggled from OFF to ON and two noise powers are reported by the noise figure analyzer. These two readings are and noise power related to the receiver transducer gain by

. Rewriting (4) in the DUT plane in Fig. 2, represented by and defined in (5) gives terms of (7)

which relates directly measured quantities and to indirectly measured from (6) and from (5) and to and . An evaluation of is based on unknown (7). However, to complete the determination of , one needs to determine , which will be discussed in Steps 3 and 4. Step 3: Receiver Calibration: The receiver calibration proceeds with the noise source turned OFF and the tuner manipudifferent signal–source impedances lated to present to the noise figure analyzer input. For each such impedance , the noise power and the ambient temperare measured. These two relate to the receiver–plane ature and receiver transducer referred receiver noise power gain by

(4) (8) where are known and are the available noise powers from are the noise source and InputNet at the receiver input, measured ambient temperatures, are the receiver–plane referred noise powers of the receiver at , and are transducer gains that can be expressed in the familiar form as [45] (5)

In (5), an unknown internal receiver load is represented by , unknown internal receiver -parameters are represented by , and represent measurable input mismatch terms. and are Unknown and individually unmeasurable in (5) and will be found in grouped together into Step 4. Expressions for used in (4) are obtained from (6)

are the noise temperatures of InputNet in Fig. 2 where referred to the noise source plane [for derivation see (B.5)] and are the available gains of InputNet calculated from measured -parameters , as shown in (A.3). represent total noise temperatures at the noise source plane and when multiplied by the available gain give the noise temperatures of the noise source and InputNet at 4For receivers that may automatically update their RF attenuation settings based on strengths of input signals, the input reflection coefficient measurements and all receiver noise calibration described in this paper must be performed with the RF attenuation fixed to the level that the receiver selects during DUT measurements.

which, in turn, are related to the noise factors of the receiver by (9) In (9), is determined from (6) and is the noise power available at the DUT plane connected with the “THRU” to the receiver input for the th signal–source impedance. are measured, the noise When a sufficient number of is parameter extraction can proceed once obtained, as described below in Step 4. The parameter extraction can be performed with a number of extraction algorithms to a general exavailable [46]–[49] that fit the calculated pression of the noise factor of a device [45] (10) and determine unknown receiver noise parameters: the min, the equivalent noise resistance , imum noise factor and the optimum signal–source reflection coefficient for min, where is the characteristic impedance of imum noise the system, usually 50 , and is the signal generator reflection coefficient seen by the device that, in the case of the re. ceiver, is equal to Step 4: Receiver Gain Extraction: We next consider three . For ease of addifferent approaches for determination of dressing these approaches in this paper, we classify them by their methodologies, which include the simplified Cold method and two more accurate methods that account for changing reflection coefficient of the noise source as it toggles from ON to OFF. Step 4a: Simplified Cold method [3], [5], [8]: As mentioned , one needs to determine above, to use (7) for evaluation of . These, however, are unavailable since the noise parameters of the receiver are not known. In a simplified approach, it

BELOSTOTSKI AND HASLETT: EVALUATION OF TUNER-BASED NOISE-PARAMETER EXTRACTION METHODS FOR VERY LOW-NOISE AMPLIFIERS

is assumed that , which results in and , thus enabling the derivation of dividing the difference of and in (7) by the help of (6) and (B.5) to obtain

by with

(11) , obtained with (11), is used in (5) and (9) to extract the receiver noise parameters. This step is also simplified by assuming , in which case, from (6) and (B.5) that (12) is no longer a function of InputNet -parameters, and and thus, calculations of (9) and (11) do not require the availability of InputNet -parameters. Since measurement of InputNet -parameters is a time-consuming task, this simplification reduces the calibration time by requiring only measurements rather than a full of tuner output reflection coefficients set of -parameters. Step 4b: Iterative Cold Method [50]: The authors of [50] introduced an iterative approach to estimating the receiver’s parameters when . In this method, an initial guess regarding the receiver noise parameters leads to finding and to evaluation of the receiver’s from (7) by

239

The determination of the receiver noise parameters proceeds and in (15), calcuby substituting (10) in place of based on measured . This results in a set of lating equations in which all quantities are known, except for the noise parameters, which are obtained using a least squares fit over the set of the equations [11], [46]. Having obtained the recan be calculated by using (13) to ceiver noise parameters, for every measurement calculate

(17) to obtain . Another option to oband then averaging is by performing a least squares fit of (9) to meataining with newly found receiver noise parameters emsured ployed to determine . We use the latter approach in the simulations and measurements presented in Section III. Step 5: DUT Measurement: Once the receiver noise paramare known, the DUT is inserted and the tuner is eters and again manipulated to present a set of . The receiver , reports noise power measurements denoted by , which are functions of ambient temperatures that depend are also measured during this test. The measured on noise powers of the InputNet, DUT, and receiver, amplified by appropriate gains, as shown in Appendix II-B. Based on the , the effective noise temperatures of the measurements of are calculated by system at the DUT input at

(13) gives through (5), which is then used in (9) This and that lead to a new and (10) to determine from (13). After a few iterations, at least three, estimate of as suggested in [50], this algorithm converges to a value of and a set of noise parameters. Step 4c: Direct Cold Method [4]: A method of estimating and simultaneously deriving the noise parameters by using a direct least squares extraction approach rather than employing the iterative approach is discussed next. In this method, unin (9) is expressed in terms of with help known from (7) and (5) transforming (9) to

(14) where and subscript “0” refers to measurements in Step 2. After some algebraic manipulations, (14) results in

(15)

where is the noise factor of the receiver driven by the InputNet, while the noise source is ON, and for brevity, we define (16)

(18) whereas the effective input-referred noise temperatures of the , at are calcureceiver alone at the DUT plane, lated by (19) in (19) is calculated During the DUT measurement, is the with receiver noise parameters from Step 4 and receiver input mismatch factor when driven by the DUT. From (2), (18), and (19), the noise factors of the DUT for each are (20) where the last term removes the noise contribution of InputNet and the noise source. In (20), all parameters are known, which leads to the deterfrom which the DUT’s noise parameters mination of and extracting the DUT’s are obtained by fitting (10) to noise parameters. In contrast with the receiver calibration, there is no need to determine the gain of the DUT, as it is known from its -parameters. Again, it is common to simplify (20) by assuming that are constant and equal to . In this case, in (20) no longer depends on full -parameters of InputNet, thus simplifying the

240

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

calibration procedure. This simplification is usually made when Step 4a is used during receiver calibration. C. Modified

. By expressing where the only unknowns are through (24) and (5), substituting the result into (23) and by using (22) and (6), we obtain

-Factor Method

The -factor method used in noise figure analyzers [36], [37] does not allow for DUT noise parameter extractions. Therefore, the modified -factor method has been devised that permits the creation of different signal–source impedances at the DUT input by either inserting a tuner as in [8]–[10] or a few known loads [11] to alter the source impedance. By measuring the resultant noise factors at each of the signal–source impedances, the DUT’s noise parameters are de-embedded. The general system configuration shown in Fig. 2 still applies. Although in [11] the tuner is replaced by a passive network with variable loads that are attached in a sequence to generate the desired variable signal–source impedance, in general the behavior of the two systems is the same. Step 1: -Parameter Measurement: Similar to Step 1 in Section II-B, -parameters and reflection coefficients are measured. Step 2: Receiver Measurement: As in the case of the Cold methods, first the receiver is calibrated. To do this, the DUT different is replaced by a “THRU” in Fig. 2 and impedances are presented to the receiver input by the tuner. At each such impedance, the noise source toggles from ON to OFF are reported by the noise and different noise power levels relate to receiver noise figure analyzer. As shown in (4), power and gain, repeated here for convenience as follows:

(21)

where . In (21), and are unknown and ambient temperatures are measured for each tuner -factors are obtained setting. From these measurements, from

(25)

where (26) and (27) Equation (25) is very similar (15) and is the same as obtained in to highlight [11], however, intentionally obtained by using the point that the modified -factor method implicitly calculates the receiver gain at each measurement point, and thus, appear in (25) and (26). The similarity of (25) to (15) highlights an important observation that the tuner-based Cold methods are a subset of the modified -factor method. Step 3: Receiver Noise Parameter Determination: A set of values of are calculated with (22), (25), and (26) based . The noise factor expression on measured noise powers (10) is then substituted into (25) and the receiver noise parameter extraction proceeds by using one of a number of extraction algorithms available [11], [46]–[49] to determine the receiver’s . This process is the noise parameters that fit the set of same as in Step 4c in Section II-B and can be used to find if desired. Step 4: DUT Measurement: When the receiver noise parameters are known, the DUT is inserted and the tuner is again maimpedances to the DUT input. nipulated to present a set of For each impedance, system -factors are calculated from measured noise powers by (28)

(22) which are dependent on receiver effective input noise temperatures and noise temperatures of the InputNet, as shown in expressed in Appendix II-C. The receiver noise factors and calculated powers terms of measured noise powers by (6) were shown in (9) and repeated here as follows:

The measured noise powers used to define the -factors in (28) consist of known quantities as shown in Appendix II-D and the unknown that are related through DUT noise parameters. Rearranging the expanded version of (28) shown in (B.10), one obtains (29) where

(23) that constitutes a In (23), however, there is an unknown according to (5). We can derive an expression for part of using the information obtained through Hot measurements from (5) as (24)

(30)

The effective ENR of the system is then

(31)

BELOSTOTSKI AND HASLETT: EVALUATION OF TUNER-BASED NOISE-PARAMETER EXTRACTION METHODS FOR VERY LOW-NOISE AMPLIFIERS

241

TABLE I SIMULATION PARAMETERS

sets of and are calculated from (30) and (31) through (28). Having found and are based on measured and and by substituting the general expression of the noise factor shown in (10) into (29), the noise parameters of the DUT are found by fitting the resultant expressions to measured data [11]. III. COMPARISON OF NOISE PARAMETER MEASUREMENTS A. Monte Carlo Based Comparison of the Extraction Techniques To verify the expressions discussed in Section II and to evaluate the performance of the Cold methods and the modified -factor method, a Monte Carlo analysis was performed. In this analysis, Agilent’s Advanced Design System (ADS) software was used to generate the data similar to what would be measured in a real measurement environment. The generated data were then fed to data processing software written in MATLAB. This software added measurement uncertainties to the data, as discussed in Section III-A.1, and extracted the noise parameters. In ADS, the “tuner” was implemented with two ideal transmission lines with variable phases separated by a variable shunt in series capacitor. A loss was added with a small resistor 2 with the transmission lines. The “tuner” -parameters at all settings of transmission line phases and variable capacitor values were recorded. The modification of the transmission line phases and the value of the shunt capacitor allowed generation of a large number of impedances fully covering the Smith chart. The corresponding power delivered to the output port of the receiver for each impedance generated by the “tuner” was recorded for both “calibration” step and the “measurement” step. The noise source was implemented with a port that had a nonzero reflection coefficient and its operating temperature was specified based on both Hot and Cold states, depending on which one was being measured. The ambient temperature drift over time was simulated with a train of random numbers varying between 1.5 C and 1.5 C filtered with a Chebyshev fifth-order low-pass filter having its cutoff frequency, assigned arbitrarily, to 100/3 times slower than the rate at which the “measurements” are performed. This filtered signal was applied to ambient temperature with an assumption that Hot and Cold ambient temperature readings for the same tuner setting are equal. In these simulations, it was assumed that the noise power of all devices, active and passive, linearly scales with ambient temperature, as discussed in was 5 K higher than in acSection II. In all simulations, cordance with our experience of using N4000A noise sources.

Performance of tuner-based noise parameter extraction methods is dependent on the constellation of impedances presented by the source tuner to the DUT [2], [51]–[54]. To compare performance of the different noise parameter extraction algorithms, two nine-point impedance constellations are selected by both the method in [53] and randomly. The random constellation is selected to always possess an impedance point near the center of the Smith chart as it produces the best in Cold methods [2], [50], [51]. The same estimate of constellations were given to all four noise parameter extraction methods. The results of noise parameter extractions presented in Section III-A.2, the receiver “calibrations” and DUT “measurements” were performed 1000 times. Having obtained data for this large sample of extracted noise parameters allows us to use statistical approaches, a common practice for this type of work [53], [55], [56], to determine whether there are underlying inaccuracies in the measurement methods themselves. The noise parameter extractions require a numerical analysis of measured data as discussed in [46], [47] and [57]–[59]. A few numerical noise parameter extraction algorithms employed by tuner-based measurement systems have been compared in [57] for the Cold method where it was shown that the extraction technique proposed in [58] and [59] is less sensitive to the constellation of the signal–source impedances presented by the source tuner. However, the least squares fit techniques proposed in [46] and [47] can be improved by applying a scaling factor. When the scaling factor is applied, the accuracy of [46] and [47] was found to be similar to [58] and [59]. In this paper, both the Cold method and modified -factor method are analyzed. An equivalent extraction least squares fit technique to [46], modified as in [57], is applied to the modified -factor method, as discussed in [11]. In all simulations that are based on the iterative Cold method in Step 4b in Section II-B, we used ten iteration steps, which is larger than three iterations reported in [50] as being sufficient for noise parameter extraction. The simulation parameters are summarized in Table I. These parameters are closely based on the real measurement system used in our laboratory at 1.4 GHz and on a typical LNA (labeled “DUT” in Table I) that may be used with the SKA radio telescope. The performance of the noise parameter extraction algorithms was verified by comparing their outputs to the simulated parameters given to ADS. These two sets agreed perfectly in the absence of uncertainties discussed below. 1) Measurement Uncertainties: To provide realistic “measurement” conditions, noise was added to all measured quantities required to extract the noise parameters. The following list describes simulated uncertainties:

242

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

TABLE II MEASUREMENT UNCERTAINTIES ADDED TO EVALUATE NOISE PARAMETER EXTRACTION METHODS BASED ON THE INSTRUMENT SPECIFICATIONS NOTED IN THE REFERENCE COLUMN

-Parameters and Reflection Coefficients: These uncertainties simulate errors in the VNA calibration and have been shown to affect the accuracy of the noise parameter measurements [67]. Based on our experience with a calibrated VNA and [60], the uncertainties in the -parameters were set as shown in Table II and were applied to all “measured” -parameters and reflection coefficients5 using a Rayleigh distribution. Tuner Repeatability: This measurement error is defined as the magnitude change in the -parameters of the tuner after it had been manipulated and then returned to the original setting. This repeatability error, usually reported in decibels, as shown in Table II, was included in the simulations of the noise parameter measurement system based on the tuner specifications in [61]–[63] and was simulated with Rayleigh distribution. Temperature Measurement: Gaussian noise was added to all temperature “readings” to simulate uncertainty of the temperature sensors. It was assumed that as the ambient temperature drifts6 7 so does the Cold noise temperature of the noise source. 5The other source of error is the difference between the VNA measurement bandwidth and the receiver measurement bandwidth. This difference creates an unknown error in the phase and magnitude of the measured S -parameters. In these simulations, we are assuming that all devices measured do not exhibit very rapid change in their S -parameters with frequency, and therefore, this source of error is ignored. 6A DUT consuming a large amount of power and warming up adjacent measurement system components can create errors in the measurements. This can be remedied by modifying the ambient temperature used in calculations of each system component’s noise powers by the amount of temperature rise. This modification is not shown in this paper and is not analyzed any further since most DUTs are relatively low-power devices and do not cause temperature rise in the adjacent components 7The temperature gradient resulting from the temperature difference between T and T has been ignored in these simulations and is a subject of future study. This gradient adds an additional source of uncertainty and sometimes is dealt with by controlling the room temperature [11] and by reducing losses of the InputNet. It was also assumed that for a given tuner setting, Cold and Hot measurements happen relatively fast such that T T .

=

Receiver Measurement Accuracy: Random Gaussian noise, based on a noise figure analyzer specifications [65], was added to the noise power delivered to the load to simulate errors introduced by the receiver during noise power measurement. ENR Uncertainty: The new noise sources, such as Agilent’s N4000A, report the uncertainty of the ENR values [64]. The uncertainty can be downloaded and used in the noise parameter extraction methods to estimate the accuracy of the final result. uncertainty averaged over all specified frequencies The of four the noise sources in our possession is 0.134 dB with the maximum uncertainty of 0.174 dB and minimum of 0.104 dB. Since the ENR values and the corresponding uncertainties are only specified at cardinal frequencies, there is an additional uncertainty when the ENR value is extrapolated to other frequencies. We assume that this is incorporated into the specified ENR uncertainty. Since a given noise source has an ENR that can only change over periods of time that are much longer than a typical measurement duration, we show the effect of ENR uncertainty on noise parameter extraction results for the case when the actual ENR is constant and is higher than specified by 0.134 dB. 2) Discussions: Noise parameter extraction simulations were performed with “measurements” contaminated with noise that simulates measurement uncertainties in Table II. The simulation results are summarized in Figs. 3 and 4. The two figures show systematic errors and the standard deviations in the measured noise parameters of the receiver and DUT. There is one vertical bar for each extracted noise parameter for each extraction method. In these figures, the bottom-to-top patterning of the vertical bars is in sequence with the legend that identifies five “measured” quantities from Table II. The vertical numbers in these vertical bars indicate systematic offsets in Fig. 3 and standard deviations in Fig. 4 that are simulated when only the “measured” quantity of interested is uncertain. For example,

BELOSTOTSKI AND HASLETT: EVALUATION OF TUNER-BASED NOISE-PARAMETER EXTRACTION METHODS FOR VERY LOW-NOISE AMPLIFIERS

243

G

Fig. 3. Systematic offsets in the noise parameter “measurements” of the receiver and the DUT, as well as the systematic error in when impedance constellation in [53] is used. Values reported are the systematic errors obtained after 1000 system “calibrations” and DUT “measurements” by subtracting the true values in Table I from “measured” values. The bar chart part of this figure shows systematic offsets when only the individual uncertainty from Table II was introduced in the simulations. Combined systematic offset shows the systematic offset when all measurement uncertainties are included in the simulations. For comparison, combined systematic offsets obtained with randomly selected impedance constellations is also shown. The combined systematic-offset units relate to the quantities in question and are identified along the bottom -axis. Systematic offsets that are less then 1% of the largest systematic offset for each “measured” quantity are not shown to improve readability. When the two combined systematic offsets are nearly on top of each other, the labels related to the random constellations are shown above the data symbols and the other labels are below the data symbols. Noise parameters of the receiver and the DUT are identified by appropriate superscripts.

x

from Figs. 3 and 4, the systematic offset and the standard of the receiver, , when measured deviation in the with the simplified Cold method would be 58 mdB from Figs. 3 and 5 mdB from Fig. 4 if only -parameter measurement had uncertainty. Similarly, the systematic offset and the standard extracted using the simplified Cold method deviations in would be 191 0 mdB if only the ENR uncertainty was present.

Figs. 3 and 4 also show the combined systematic offset and the combined standard deviation when all uncertainties are present. These are shown with the horizontal numbers and can be also read off the right-hand-side axis. One of the combined sets of data is obtained for the case when the impedance constellation is based on [53] and the other set is for the case when the impedance constellation is randomly selected. The

244

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

Fig. 4. 1 standard deviations in the noise parameter “measurements” of the receiver and the DUT, as well as the systematic error in G when impedance constellation in [53] is used. Values reported are the standard deviations obtained after 1000 system “calibrations” and DUT “measurements” by subtracting the true values in Table I from “measured” values. The bar chart part of this figure shows standard deviations when only the individual uncertainty from Table II was introduced in the simulations. Combined standard deviation shows the standard deviation when all measurement uncertainties are included in the simulations. For comparison, combined standard deviation obtained with randomly selected impedance constellations is also shown. The combined standard-deviation units relate to the quantities in question and are identified along the bottom x-axis. Standard deviations that are less then 1% of the largest standard deviation for each “measured” quantity are not shown to improve readability. When the two combined standard deviations are nearly on top of each other, the labels related to the random constellations are shown above the data symbols and the other labels are below the data symbols. Noise parameters of the receiver and the DUT are identified by appropriate superscripts.

combined systematic offsets and standard deviations in of the DUT, , the “measurement” of, for example, using the simplified Cold method with the two impedance constellation approaches can be read from Figs. 3 and 4 as 230 43 mdB and 225 44 mdB, respectively. Figs. 3 and 4 demonstrate that performance of the two constellation selection methods are similar. However, constel-

lation selection based on [53] shows smaller standard deviation, (see Fig. 4). The systematic especially for extraction of errors are slightly better with the randomly selected conmeasurements as seen from Fig. 3. Based stellation for on the simulations carried out in this study, it appears that constellation selection according to [53] overall yields more accurate measurements.

BELOSTOTSKI AND HASLETT: EVALUATION OF TUNER-BASED NOISE-PARAMETER EXTRACTION METHODS FOR VERY LOW-NOISE AMPLIFIERS

Fig. 5. Measured F from [33] with measurement uncertainty estimated with analysis in this paper denoted by error bars. 1 ENR uncertainty was 0 and used. The systematic offsets are result of the assumptions (0 T T ) made in the simplified Cold method, as discussed in Section II-B (Step 4a) and the other systematic offsets are due to the ENR uncertainty.





The simulations also show that the simplified Cold method is the least reliable for extraction of . The modified -factor method and the direct Cold and the iterative Cold methods have , but the modisimilar systematic offsets when extracting fied -factor method has slightly smaller standard deviation in the measurement. The direct Cold method and the iterative Cold method perform similarly, and they are as good or better than the modified -factor method in all aspects other than the extrac. tion of Assumptions that ambient temperature is constant throughout the receiver “calibration” and DUT “measurement” and that the noise source is at ambient temperature produce systematic offand . These assumptions, made sets in the extracted with the simplified Cold method, result in a systematic offset “measurement.” This error depends of 0.09 dB in the on losses in the InputNet. Figs. 3 and 4 illustrate that extraction is not sensitive to the accuracy of temperature measureof ments. Fig. 3 demonstrates that the error in the ENR propagates through the measurements and adds directly to the resultant , creating a systematic offset. The error in ENR also directly affects and . Therefore, all ambient temperature tuner-based noise parameter extraction methods have uncertainty that is no better than the uncertainty in the ENR of the noise source. extraction of a lowFigs. 3 and 4 show that errors in noise DUT and of a relatively high-noise receiver are very similar in absolute terms. This indicates that an adequate measurement system for a relatively high noise figure DUT may be very inadequate for a very low-noise DUT. Based on the systematic error and standard deviation simulations, we can estimate these statistical quantities in the noise parameter measurements from [33] that were performed using the simplified Cold method. Figs. 5–7 show results from [33] with the range of systematic offsets and standard deviations added to illustrate the estimated measurement uncertainty obtained from the simulations described in this paper.

245

Fig. 6. Measured R from [33] with measurement uncertainty estimated with analysis in this paper denoted by error bars. 1 ENR uncertainty was used. The 0 and T T ) systematic offset comes from the assumptions (0 made in the simplified Cold method, as discussed in Section II-B (Step 4a) and the ENR uncertainty.





Fig. 7. Measured 0 from [33] with measurement uncertainty estimated with analysis in this paper denoted by error bars. 1 ENR uncertainty was used. The 0 and T T ) systematic offset comes from the assumptions (0 made in the simplified Cold method, as discussed in Section II-B (Step 4a) and the ENR uncertainty.





B. Experimental Comparison of the Noise-Parameter Extraction Methods To verify the conclusions made based on the Monte Carlo analysis, a set of successive receiver calibrations employing the iterative Cold method were carried out. In these measurements, the receiver consisted of a noise figure analyzer with an RF switch connected by an RF cable. The switch allowed the in-situ for all tuner settings and . measurement of both There were six tests performed, as summarized in Table III. Standard deviations of the measured data were calculated and are presented in Table IV. Analysis of these results suggest that one of the strongest influences on the data scatter is the number of averages employed. Test 3 shows a significant decrease in the standard deviations compared to all other methods. Measurements collected during Test 4 show a slight increase in their standard deviations indicating that perhaps temperature measurements do reduce data scatter. Standard deviations of measured quantities in Test 3 are smaller than those of Test 6. If receiver accuracy were the dominant source of data scatter, results of Test 6 would have exhibited smaller standard deviations

246

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

TABLE IV STANDARD DEVIATIONS OBTAINED FROM MEASUREMENTS DURING TESTS 1–6. THE NOISE FIGURE ANALYZER BANDWIDTH WAS SET TO 1 MHz

TABLE V COMPARISON OF STANDARD DEVIATIONS FROM THE FOUR NOISE PARAMETER EXTRACTION METHODS NORMALIZED BY THE CORRESPONDING DATA OBTAINED WITH THE ITERATIVE COLD METHOD. THE NOISE FIGURE ANALYZER BANDWIDTH WAS SET TO 1 MHz

Fig. 8. Experimental comparison of noise-parameter extraction techniques. Noise parameters and kBG shown are for a receiver consisting of a noise figure analyzer, an RF switch, and an RF cable. The noise figure analyzer bandwidth was set to 1 MHz. TABLE III RECEIVER NOISE PARAMETER EXTRACTION TESTS. DURING TEST 4, T WAS SET TO A CONSTANT 24 C. THE NOISE FIGURE ANALYZER BANDWIDTH WAS SET TO 1 MHz

since Test 6 was repeated six times more, whereas Test 3 had only four times longer receiver measurement averaging. Since results of Test 6 did not produce smaller standard deviations, the conclusion is that the tuner repeatability is a more significant source of measurement uncertainty than the receiver accuracy when the receiver employs 32 averages. Large standard deviations in measured data of Test 5 also indicate that tuner repeatability is a significant problem in the measurements. During each for all tuner positions and were iteration of Test 1, measured. This, however, did not result in a decrease of the data scatter compared with other tests, indicating that the -parameter measurement repeatability is sufficiently good and does not contribute significantly to measurement uncertainties. All these conclusions are consistent with results reported in Fig. 4. To verify the conclusions from Section III-A.2 regarding relative performances of the noise-parameter extraction methods, additional measurements were conducted. In these measurements, 150 successive receiver calibrations were performed over a span of two days. During these measurements, impedance constellations based on [53] were used. The same data was given to all four noise parameter extraction methods and no in-situ -parameter measurements were performed between the successive receiver calibrations. Fig. 8 shows the results of the measurements. Based on these measurements,

standard deviations of extracted noise parameters and were calculated. These results, normalized by the standard deviations of data extracted with the iterative Cold method, are shown in Table V. The obtained standard deviations confirm that the modified -factor method produces the smallest and while standard deviations when extracting and having the largest standard deviations when extracting . Inspection of Fig. 4 and Table V shows that results of the numerical simulations are well supported by the measurements. The experimental results also show offsets in mean values of the extracted parameters. While the true values are not known, the data demonstrate that the mean values of the noise parameters obtained with the simplified Cold method deviate the most from those obtained with the other three methods. This observation is consistent with findings in Section III-A.2. IV. CONCLUSION This paper has presented a detailed description of the measurement procedures employing tuners to synthesize various signal–source impedances and allow for noise parameter extractions. The discussed methods are: the modified -factor method, direct Cold method, iterative Cold method, and simplified Cold method. The modified -factor method was shown to produce a slightly better estimate of when all measurement uncertainties are included by having the lowest standard deviation in the measured data. However, the iterative and diand . rect Cold methods are better at extraction of The paper discussed a method of assessing standard deviations and systematic offsets in these noise extraction methods. These standard deviations and the systematic offsets were applied to measurements of a very low-noise amplifier presented in [33] in order to illustrate the relative size of the uncertainties. The uncertainty in the noise source’s ENR value is a large contributor to the systematic error in the noise parameter measurement, and therefore, higher quality cryogenic noise sources are often used to reduce this error. Assumption of a noise source

BELOSTOTSKI AND HASLETT: EVALUATION OF TUNER-BASED NOISE-PARAMETER EXTRACTION METHODS FOR VERY LOW-NOISE AMPLIFIERS

Cold temperature being equal to the room temperature is shown to produce an error in the extracted noise parameters. The simplified Cold method suffers from this assumption and from the difference in the noise source Hot and Cold reflection coefficients. However, for a high noise figure, DUT resultant errors are less significant.

APPENDIX I NOTES ON NOTATIONS AND DEFINITIONS



• • •



In this paper, superscripts and refer to measurements with a noise source turned ON (“Hot” state) and OFF (“Cold” state), respectively. Derivations of parameters for Cold and Hot states of the noise source are combined and identified by superscript . The following are symbols and subscripts used (Fig. 2 is used for graphical explanation of some of the parameters). • Subscript : Indicates that the measurements are performed tuner positions. A special case of for , which indicates Cold method measurements when the tuner is initialized and the noise source, toggles from Hot to Cold (Step 2 in Section II-B). For example, ambient temmeasured for each tuner setting will be laperature and measured in Step 2 in Section II-B beled as . will appear as • : Noise bandwidth. : ENR of the noise source defined in (1). • : Effective ENR of the receiver driven by the tuner [see • (15) and (25)]. : Effective ENR of the system, defined in (31). • : Receiver and DUT noise factors, respectively. • • : Receiver noise factors when driven by the InputNet. : Minimum noise factor. • : Cold (Hot) noise source reflection coefficients. • : Reflection coefficients at the InputNet • output and at the DUT output

• •

(A.1)



(A.2)

• •

: Reflection coefficient of the internal receiver load. : Optimum signal–source reflection coefficient for minimum noise. : Generator output reflection coefficient. • : Available gains, relating the available • power at the input to the available power at the output, of InputNet, and InputNet followed by the DUT • •

(A.3)

(A.4)

• • • • •

• • •

• • •



247

: Receiver transducer Cold (Hot) gains, relating the available power at the input of the receiver to the delivered power to the receiver internal load, defined in (5). : Part of the receiver transducer gain that is due to internal response of the receiver, defined in (5). : Boltzmann’s constant. : Receiver input mismatch factors when driven , respecby the tuner in (5) and their ratio tively. : Receiver input mismatch factors when driven by the DUT, defined in (B.8). : Number of tuner settings, or equivalently, number of points in impedance constellations. : Input-referred DUT noise powers when driven by InputNet, defined in (B.7). : Available noise powers from the noise source and InputNet, defined in (6). : Noise power reported by the receiver with and without the DUT, respectively, defined in (4) and (B.6). : Input-referred noise powers of the receiver driven by the tuner and by the DUT at , defined in (4) and (19), respectively. : Equivalent noise resistance. : DUT, InputNet, and receiver internal -parameters. : Measured effective system noise temperatures referred to the DUT plane for the calibration steps and the measurement steps, respectively. : Effective input noise temperatures of the DUT. : Total noise temperatures at the InputNet output when the noise source is OFF (ON), defined in (6). : Noise temperatures of InputNet referred to its input, defined in (B.5). : Cold (Hot) noise source temperatures. : Effective DUT-plane referred input noise temperatures of the receiver driven by InputNet and by the DUT, respectively. : Receiver -factor, defined in (22), and system -factor, defined in (28), respectively. : Receiver effective -factor, defined in (16) and (26). : System effective -factors scaled and not scaled by the DUT’s available gain ratio, respectively, defined in (30). : Characteristic impedance.

APPENDIX II OTHER EXPRESSIONS USED IN THIS STUDY A. Noise Temperature of InputNet are found by replacing the noise source with In (6), terminations at ambient temperature with and realizing that since InputNet is a passive device, the noise temperature at its output equals to . This expressed as gives (B.5)

248

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

B. Receiver Reported Noise Temperature During Cold Method DUT Measurements Modifying (7) to express the noise powers of the system as reported by the receiver gives

when where receiver-input referred noise powers driven by the DUTs are obtained from the noise parameters are determined during the receiver calibration, and the DUT available gains as in (A.4). In (28), the unknown are related through DUT noise parameters.

(B.6) is found from (6), are calcuwhere lated based on the noise parameters obtained during the receiver calibration and they represent the receiver-input referred noise power when the receiver sees the DUT output reflection coeffound from (A.2). is the DUT available ficient is the noise power of the DUT referenced to gain (A.4), and the DUT input at (B.7) where is the effective input noise temperature of the DUT, and is similar to (4) (B.8)

C. Modified

-Factor Method: Receiver

From (21), the

-Factor

-factor defined as a ratio of measured powers

is

(B.9) are receiver effective input noise temperatures where when ambient temperature is 290 K and they relate to receiver, input referred receiver noise power by and obtained using (6). D. Modified

–Factor Method: DUT Measurement

For each impedance presented by the tuner to the DUT input, the receiver reported noise powers are used to find the system -factor (B.10)

(B.10)

REFERENCES [1] V. Adamian and A. J. Uhlir, “A novel procedure for receiver noise characterization,” IEEE Trans. Instrum. Meas., vol. IM-22, no. 2, pp. 181–182, Jun. 1973. [2] A. C. Davidson, B. W. Leake, and E. Strid, “Accuracy improvements in microwave noise parameter measurements,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1973–1978, Dec. 1989. [3] M. N. Tutt, “Low and high frequency noise properties of heterojunction transistors,” Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., The University of Michigan at Ann Arbor, Ann Arbor, MI, 1994. [4] A. Lzaro, L. Pradell, and J. O’Callaghan, “Method for measuring noise parameters of microwave two-port,” Electron. Lett., vol. 34, no. 13, pp. 1332–1333, Jun. 1998. [5] P. Bland, L. Roy, S. Labont, and M. Stubbs, “An enhanced on-wafer millimeter-wave noise parameter measurement system,” IEEE Trans. Instrum. Meas., vol. 48, no. 4, pp. 825–829, Aug. 1999. [6] T. Vähä-Heikkilä, M. Lahdes, M. Kantanen, and J. Tuovinen, “On-band,” IEEE Trans. Miwafer noise-parameter measurements at crow. Theory Tech., vol. 51, no. 6, pp. 1621–1628, Jun. 2003. [7] J. Randa and D. Walker, “On-wafer measurement of transistor noise parameters at NIST,” IEEE Trans. Instrum. Meas., vol. 56, no. 2, pp. 551–554, Apr. 2007. [8] R. Meierer and C. Tsironis, “An on-wafer noise paramenter measurement technique with automatic receiver calibration,” Microw. J., vol. 38, no. 3, pp. 22–37, Mar. 1995. [9] G. Caruso and M. Sannino, “Determination of microwave two-port noise parameters through computer-aided frequency-conversion techniques,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 9, pp. 779–783, Sep. 1979. [10] J. Archer and R. Batchelor, “Fully automated on-wafer noise characterization of GaAs MESFETs and HEMTs,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 209–216, Feb. 1992. [11] L. F. Tiemeijer, R. J. Havens, R. de Kort, and A. J. Scholten, “Improved -factor method for wide-band on-wafer noise-parameter measurements,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2917–2925, Sep. 2005. [12] R. Meys, “A wave approach to the noise properties of linear microwave devices,” IEEE Trans. Microw. Theory Tech., vol. MTT-26, no. 1, pp. 34–37, Jan. 1978. [13] S. Withington, “Scattered noise waves in microwave and mm-wave networks,” Microw. J., vol. 32, no. 6, pp. 169–178, Jun. 1989. [14] S. Wedge and D. Rutledge, “Wave techniques for noise modeling and measurement,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 11, pp. 2004–2012, Nov. 1992. [15] T. Werling, E. Bourdel, D. Pasquet, and A. Boudiaf, “Determination of wave noise sources using spectral parametric modeling,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2461–2467, Dec. 1997. [16] V. Danelon, P. Corzat, F. Aniel, and G. Vernet, “Four noise parameter determination method for transistors based on the frequency dependence of the noise figure,” Electron. Lett., vol. 34, no. 16, pp. 1612–1613, Aug. 1998. [17] R. Hu and S. Weinreb, “A novel wide-band noise-parameter measurement method and its cryogenic application,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1498–1507, May 2004. [18] F. Giannini, E. Bourdel, and D. Pasquet, “A new method to extract noise parameters based on a frequency- and time-domain analysis of noise power measurements,” IEEE Trans. Instrum. Meas., vol. 57, no. 2, pp. 261–267, Feb. 2008. [19] G. Dambrine, H. Happy, F. Danneville, and A. Cappy, “A new method for on wafer noise measurement,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 3, pp. 375–381, Mar. 1993. [20] A. Làzaro, L. Pradell, and J. O’Callaghan, “FET noise-parameter determination using a novel technique based on 50- noise-figure measurements,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 3, pp. 315–324, Mar. 1999.

W

Y

BELOSTOTSKI AND HASLETT: EVALUATION OF TUNER-BASED NOISE-PARAMETER EXTRACTION METHODS FOR VERY LOW-NOISE AMPLIFIERS

[21] S. Asgaran, M. J. Deen, C.-H. Chen, G. A. Rezvani, Y. Kamali, and Y. Kiyota, “Analytical determination of MOSFET’s high-frequency noise parameters from NF measurements and its application in RFIC design,” IEEE J. Solid-State Circuits, vol. 42, no. 5, pp. 1034–1043, May 2007. [22] P. J. Hall, “The Square Kilometre Array: An international engineering perspective,” Experimental Astron., vol. 17, no. 1–3, pp. 5–16, Jun. 2004. [23] R. Schilizzi, “The square kilometer array,” in Proc. SPIE—Int. Soc. Opt. Eng., Glasgow, U.K., Jun. 21–24, 2005, vol. 5489, pp. 62–71, ser. Ground-based telescopes. [24] P. Dewdney, P. Hall, R. Schilizzi, and T. Lazio, “The Square Kilometre Array,” Proc. IEEE, vol. 97, no. 8, pp. 1482–1496, Aug. 2009. [25] G. Gramegna, M. Paparo, P. G. Erratico, and P. De Vita, “A sub-1-dB NF + or 2.3-kV ESD-protected 900-MHz CMOS LNA,” IEEE J. Solid-State Circuits, vol. 36, no. 7, pp. 1010–1017, Jul. 2001. [26] P. Leroux, J. Janssens, and M. Steyaert, “A 0.8-dB NF ESD-protected 9-mW CMOS LNA operating at 1.23 GHz [for GPS receiver],” IEEE J. Solid-State Circuits, vol. 37, no. 6, pp. 760–765, Jun. 2002. [27] D. J. Cassan and J. R. Long, “A 1-V transformer-feedback low-noise amplifier for 5-GHz wireless LAN in 0.18-m CMOS,” IEEE J. SolidState Circuits, vol. 38, no. 3, pp. 427–435, Mar. 2003. [28] J. Xu, B. Woestenburg, J. G. Bij de Vaate, and W. A. Serdijn, “GaAs 0.5 dB NF dual-loop negative-feedback broadband low-noise amplifier IC,” Electron. Lett., vol. 41, no. 14, pp. 780–782, Jul. 2005. [29] E. A. M. Klumperink, Q. Zhang, G. J. M. Wienk, R. Witvers, J. G. Bij de Vaate, B. Woestenburg, and B. Nauta, “Achieving wideband sub-1 dB noise figure and high gain with MOSFETs if input power matching is not required,” in IEEE Radio Freq. Integr. Circuits Symp., Honolulu, HI, Jun. 3–5, 2007, pp. 673–676. [30] S. Weinreb, J. Bardin, and H. Mani, “Design of cryogenic SiGe lownoise amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2306–2312, Nov. 2007. [31] L. Belostotski and J. W. Haslett, “Wide band room temperature 0.35-dB noise figure LNA in 90-nm bulk CMOS,” in IEEE Radio Wireless Symp., Long Beach, CA, Jan. 7–11, 2007, pp. 221–224. [32] L. Belostotski and J. W. Haslett, “Noise figure optimization of wide-band inductively-degenerated CMOS LNAs,” in IEEE Midwest Circuits Syst. Symp., Montreal, QC, Canada, Aug. 5–8, 2007, pp. 1002–1005. [33] L. Belostotski and J. W. Haslett, “Sub-0.2 dB noise figure wide-band room-temperature CMOS LNA with non-50 signal-source impedance,” IEEE J. Solid-State Circuits, vol. 42, no. 11, pp. 2492–2502, Nov. 2007. [34] L. Belostotski and J. W. Haslett, “Two-port noise figure optimization of source-degenerated cascode CMOS LNAs,” Analog Integr. Circuits Signal Process., vol. 55, no. 2, pp. 125–137, May 2008. [35] L. Belostotski and J. W. Haslett, “A technique for differential noise figure measurement of differential LNAs,” IEEE Trans. Instrum. Meas., vol. 57, no. 7, pp. 1298–1303, Jul. 2008. [36] “Agilent fundamentals of RF and microwave noise figure measurements,” Agilent Technol., Santa Clara, CA, Appl. Note 57-1 , Oct. 2006. [37] “Noise figure measurement accuracy—The Y -factor method,” Agilent Technol., Santa Clara, CA, Appl. Note 57-2, Oct. 2004. [38] H. T. Friis, “Noise figures of radio receivers,” Proc. IRE, vol. 32, no. 7, pp. 419–422, Jul. 1944. [39] A. van der Ziel, “Thermal noise in field-effect transistors,” Proc. IRE, vol. 50, no. 8, pp. 1808–1812, Aug. 1962. [40] A. van der Ziel, “The state of solid state device noise research,” in 4th Int. Phys. Aspects of Noise in Solid State Devices Conf., Noordwij, The Netherlands, May 1976, pp. 41–51. [41] A. van der Ziel, Noise in Solid State Devices and Circuits. New York: Wiley, 1986. [42] J. W. Haslett and F. N. Trofimenkoff, “Thermal noise in field-effect devices,” Proc. IEEE, vol. 116, no. 11, pp. 1863–1868, Nov. 1969. [43] F. N. Trofimenkoff, J. W. Haslett, and R. E. Smallwood, “Hot electron thermal noise models for FETs,” Int. J. Electron., vol. 44, no. 3, pp. 257–272, Mar. 1978. [44] “IRE standards on methods of measuring noise in linear twoports, 1959,” Proc. IRE, vol. 48, no. 1, pp. 60–68, Jan. 1960. [45] G. Gonzalez, Microwave Transistor Amplifiers: Analysis and Design, 2nd ed. Toronto, ON, Canada: Prentice-Hall, 1997. [46] R. Lane, “The determination of device noise parameters,” Proc. IEEE, vol. 57, no. 8, pp. 1461–1462, Aug. 1969. [47] M. Mitama and H. Katoh, “An improved computational method for noise parameter measurement,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 6, pp. 612–615, Jun. 1979.

0

249

[48] G. Vasilescu, G. Alquie, and M. Krim, “Exact computation of two-port noise parameters,” Electron. Lett., vol. 25, no. 4, pp. 292–293, Feb. 1989. [49] A. Boudiaf and M. Laporte, “An accurate and repeatable technique for noise parameter measurements,” IEEE Trans. Instrum. Meas., vol. 42, no. 2, pp. 532–537, Nov. 1993. [50] C.-H. Chen, Y.-L. Wang, M. H. Bakr, and Z. Zeng, “Novel noise parameter determination for on-wafer microwave noise measurements,” IEEE Trans. Instrum. Meas., vol. 57, no. 11, pp. 2462–2471, Nov. 2008. [51] M. Sannino, “On the determination of device noise and gain parameters,” Proc. IEEE, vol. 67, no. 9, pp. 1364–1366, Sep. 1979. [52] J. O’Callaghan and J. Mondal, “A vector approach for noise parameter fitting and selection of source admittances,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 8, pp. 1376–1382, Aug. 1991. [53] S. Van den Bosch and L. Martens, “Improved impedance-pattern generation for automatic noise-parameter determination,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 1673–1678, Nov. 1998. [54] G. Banerjee, K. Soumyanath, and D. Allstot, “Measurement and modeling errors in noise parameters of scaled-CMOS devices,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2336–2345, Jun. 2006. [55] J. Randa and W. Wiatr, “Monte carlo estimation of noise-parameter uncertainties,” Proc. Inst. Elect. Eng.—Sci., Meas., Technol., vol. 149, no. 6, pp. 333–337, Nov. 2002. [56] J. Randa, “Noise-parameter uncertainties: A Monte Carlo simulation,” J. Res. NIST, vol. 107, no. 5, pp. 431–444, Sep.–Oct. 2002. [57] L. Escotte, R. Plana, and J. Graffeuil, “Evaluation of noise parameter extraction methods,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 3, pp. 382–387, Mar. 1993. [58] A. Boudiaf and M. Laporte, “Evaluation des méthodes d’extraction des paramtères de bruit,” presented at the IEEE French Chapter Meeting, Carcassonne, France, Nov. 22–23, 1990. [59] A. Boudiaf, M. LaPorte, J. Dangla, and G. Vernet, “Accuracy improvements in two-port noise parameter extraction method,” in IEEE MTT-S Int. Microw. Symp. Dig., Albuquerque, NM, Jun. 1–5, 1992, pp. 1569–1572. [60] D. K. Rytting, “Network analyzer accuracy overview,” in Proc. 58th ARFTG Conf., 2001, vol. 40, pp. 1–13. [61] “Tuner repeatability: Fact and fiction,” Maury Microwave Corporation, Ontario, CA, Appl. Note 5C-032, Jun. 09, 1999. [62] J. Sevic, “Introduction to tuner-based measurement and characterization,” Maury Microwave Corporation, Ontario, CA, Appl. Note 5C-054, Aug. 31, 2004. [63] “Long and short term tuner accuracy and calibration repeatability,” Focus Microw. Inc., Saint-Laurent, QC, Canada, Product Note 50, 1999. [64] “Smart Noise Sources SNS Series: Operating and Service Guide (N4000A, N4001A, and N4002A),” Agilent Technol., Palo Alto, CA, 2001. [65] “Agilent N8973A, N8974A, N8975A NFA series noise figure analyzers: Data sheet,” Agilent Technol., Palo Alto, CA, 2007. [66] J. Randa, “Uncertainties in NIST noise-temperature measurements,” NIST, Boulder, CO, Tech. Rep. 1502, 1998. [67] W. Wiatr and D. Walker, “Systematic errors of noise parameter determination caused by imperfect source impedance measurement,” IEEE Trans. Instrum. Meas., vol. 54, no. 2, pp. 696–700, Apr. 2005.

Leonid Belostotski (S’97–M’01) received the B.Sc. and M.Sc. degrees in electrical engineering from the University of Alberta, Edmonton, AB, Canada, in 1997 and 2000, respectively, and the Ph.D. degree from the University of Calgary, Calgary, AB, Canada, in 2007. A large portion of his M.Sc. thesis program was spent with the Dominion Radio Astrophysical Observatory, NRC, Penticton, BC, Canada, where he designed and prototyped a distance measurement and phase synchronization system for the Canadian large adaptive reflector telescope. Following his graduation, he was an RF Engineer with Murandi Communications Ltd., during which time he designed devices for high-volume consumer applications and low-volume high-performance devices for the James Clerk Maxwell telescope in Honolulu, HI. In 2007, he became an Assistant Professor with the University of Calgary. His doctoral research concerned low-noise amplifiers for the SKA radio telescope.

250

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 1, JANUARY 2010

James W. Haslett (S’64–M’66–SM’79–F’02) is currently the Director of the provincial iCORE-funded Advanced Technology Information Processing Systems (ATIPS) Laboratory, University of Calgary, Calgary, AB, Canada. In July 2007, he became a University Professor with the University of Calgary. From 1986 to 1997, he was Head of the Department of Electrical Engineering, University of Calgary. He has been President of his own engineering consulting firm since 1981, consulting to oil-field instrumentation firms primarily on high-temperature and downhole instrumentation. He was also a member of several national and international science teams designing satellite instrumentation in the late 1970s and 1980s. He held the TRLabs/iCORE/NSERC Senior Industrial Research

Chair in Wireless Communications from 2002 to 2007, building a team of researchers specializing in RF integrated circuit (RFIC) design for wireless communications applications. He has authored or coauthored over 200 papers in peer-reviewed journals and conference proceedings. He holds 12 patents, many of which have been licensed to industry. He has graduated over 40 M.Sc. and Ph.D. students during his career. Dr. Haslett is a Fellow of the Engineering Institute of Canada and the Canadian Academy of Engineering. He is currently a member of the Editorial Review Committees of five IEEE TRANSACTIONS. He is a member of several technical and executive committees of international IEEE conferences and is also a member of the provincial iCORE Internal Review Committee that establishes research chair programs in Alberta, Canada. He and his students have been the recipients of numerous national and international awards for their research.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2009.2039966

Digital Object Identifier 10.1109/TMTT.2009.2039970

EDITORIAL BOARD Editors: D. WILLIAMS AND A. MORTAZAWI Associate Editors: D. DE ZUTTER, I. GRESHAM, W. HEINRICH, W. HONG, R. JACKSON, J.-T. KUO, Y. KWON, J. LIN, M. MONGIARDO, J.-C. PEDRO, Z. POPOVIC, R. V. SNYDER, C. WANG

P. Aaen A. Abbaspour-Tamijani A. Abbosh D. Abbott A. Abdipour M. Abe M. Abegaonkar R. Abhari A. Abramowicz M. Acar L. Accatino R. Achar E. Ackerman J. Adam K. Agawa M. Ahmad H.-R. Ahn B. Ai M. Aikawa J. Aikio C. Aitchison M. Akaike T. Akin S. Aksoy I. Aksun A. Akyurtlu G. Ala L. Albasha A. Alexanian W. Ali-Ahmad F. Alimenti R. Allam K. Allen A. Alphones A. Alu A. Álvarez-Melcon A. Al-Zayed S. Amari H. Amasuga R. Amaya H. An D. Anagnostou M. Andersen K. Andersson M. Ando Y. Ando P. Andreani M. Andrés W. Andress K. Ang C. Angell I. Angelov Y. Antar G. Antonini H. Aoki V. Aparin F. Apollonio R. Araneo J. Archer F. Ares F. Ariaei T. Arima M. Armendariz L. Arnaut F. Arndt E. Artal H. Arthaber F. Aryanfar U. Arz M. Asai Y. Asano A. Asensio-Lopez K. Ashby H. Ashoka A. Atalar A. Atia S. Auster I. Awai A. Aydiner M. Ayza K. Azadet R. Azaro A. Babakhani P. Baccarelli M. Baginski I. Bahl S. Bajpai J. Baker-Jarvis B. Bakkaloglu M. Bakr A. Baladin C. Balanis S. Balasubramaniam J. Balbastre J. Ball P. Balsara Q. Balzano A. Banai S. Banba R. Bansal D. Barataud A. Barbosa F. Bardati I. Bardi J. Bardin A. Barel S. Barker F. Barnes J. Barr G. Bartolucci R. Bashirullan S. Bastioli A. Basu B. Bates R. Baxley Y. Bayram J.-B. Bégueret N. Behdad F. Belgacem H. Bell D. Belot J. Benedikt T. Berceli C. Berland M. Berroth G. Bertin E. Bertran A. Bessemoulin M. Beurden A. Bevilacqua A. Beyer M. Bialkowski

E. Biebl P. Bienstman S. Bila D. Blackham R. Blaikie M. Blank P. Blockley P. Blondy P. Blount D. Boccoli G. Boeck L. Boglione R. Boix G. Bonaguide F. Bonani G. Bonmassar O. Boos B. Borges V. Boria-Esbert O. Boric-Lubecke A. Borji S. Borm J. Bornemann W. Bosch R. Bosisio H. Boss G. Botta N. Boulejfen S. Boumaiza J. Bouny C. Boyd C. Bozler M. Bozzi R. Bradley D. Braess N. Braithwaite M. Brandolini G. Branner T. Brazil J. Breitbarth M. Bressan K. Breuer B. Bridges D. Bridges J. Brinkhoff E. Brown S. Brozovich E. Bryerton D. Budimir G. Burdge P. Burghignoli N. Buris C. C. Galup-Montoro B. Cabon P. Cabral L. Cabria C. Caloz C. Camacho-Peñalosa V. Camarchia E. Camargo R. Cameron M. Camiade C. Campbell M. Campovecchio F. Canavero A. Cangellaris A. Cantoni C. Cao F. Capolino F. Cappelluti G. Carchon J. Carmo K. Carr F. Carrez R. Carrillo-Ramirez P. Carro R. Carter N. Carvalho P. Casas R. Castello J. Catala M. Cavagnaro R. Caverly D. Cavigia J. Cazaux M. Celuch Z. Cendes D. Chadha M. Chae S. Chakraborty C. Chan C. Chang H. Chang K. Chang S. Chang T. Chang W. Chang E. Channabasappa H. Chapell W. Chappell C. Charles M. Chatras I. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu A. Cheldavi A. Chen C. Chen H. Chen J. Chen K. Chen M. Chen N. Chen S. Chen Y. Chen Z. Chen Z.-N. Chen H. Cheng K. Cheng M. Cheng Y. Cheng C. Cheon C. Chi M. Chia Y. Chiang J. Chiao A. Chin K. Chin H. Chiou Y. Chiou C. Chiu

H. Chiu A. Chizh C. Cho K. Cho T. Cho A. Choffrut C. Choi J. Choi W. Choi C. Chong M. Chongcheawchamnan C. Chou D. Choudhury E. Chow Y. Chow C. Christodoulou C. Christopoulos Q. Chu T. Chu H. Chuang M. Chuang Y. Chun S. Chung Y. Chung D. Chye A. Cidronali T. Cisco C. Cismaru O. Civi S. Clavijo M. Clénet D. Cogan P. Colantonio M. Cole J. Coleman J. Collantes R. Collin C. Collins B. Colpitts R. Compton G. Conciauro M. Condon D. Consonni A. Constanzo M. Converse K. Cools F. Cooray I. Corbella A. Costanzo S. Cotton C. Courtney G. Coutts J. Cowles J. Craninckx C. Crespo-Cadenas J. Cressler S. Cripps T. Crowe J. Cruz T. Cui E. Cullens T. Cunha W. Curtice J. Dabrowski W. Dai G. Dambrine P. Dankov F. Danneville I. Darwazeh A. Darwish N. Das M. Davidovich L. Davis D. Dawn J. Dawson H. Dayal F. De Flaviis D. De Zutter B. Deal A. Dearn J. Deen M. Dehan C. Dehollain C. Deibele G. Dejean M. DeLisio N. Deltimple S. Demir V. Demir J. Deng A. Dengi T. Denidni W. DeRaedt H. Deshpande Y. Deval R. Dey T. Dhaene L. Diaz A. Diaz-Morcillo L. Ding D. Dinkhart M. Dionigi C. Diskus A. Djordjevi T. Djordjevic J. Dobrowolski H. Dogan S. Donati X. Dong A. Dounavis P. Draxler R. Drayton A. Dreher J. Drewniak J. Duchamp A. Duffy L. Dunleavy J. Dunsmore S. Durden L. Dussopt C. Duvanaud J. East J. Ebel K. Eccleston I. Ederra R. Egri I. Ehrenberg N. Ehsan T. Eibert H. Eisele W. Eisenstadt G. Eleftheriades

F. Ellinger G. Ellis T. Ellis M. El-Nozahi M. Elsbury S. Elschner M. El-Shenawee T. Enoki K. Entesari L. Epp I. Erdin O. Ergul T. Eriksson C. Ernst D. Erricolo I. Eshrah M. Essaaidi H. Esteban C. Eswarappa W. Eyssa A. Ezzeddine C. Fager M. Fahmi Y. Fan D. Fang M. Farina A. Fathy M. Faulkner P. Fay A. Fazzi E. Fear P. Fedorenko D. Feld Y. Feng A. Feresidis A. Fernandez T. Fernandez M. Fernández-Barciela M. Ferndahl F. Fernez P. Ferrari E. Ferre-Pikal A. Ferrero M. Ferriss H. Fetterman J. Fiedziuszko S. Fiedziuszko G. Fikioris J. Fikioris I. Filanovsky F. Filicori D. Filipovic R. Fletcher B. Floyd H. Foltz N. Fong B. Fornberg F. Fortes K. Foster P. Foster P. Franzon A. Frappe J. Freire M. Freire A. Freundorfer F. Frezza I. Frigyes R. Frye J. Fu O. Fu R. Fujimoto O. Fujiwara C. Fumeaux C. Furse V. Fusco D. Gabbay E. Gad M. Gadringer N. Gagnon J. Gajadharsing A. Gala C. Galbraith B. Galwas J. Gambini A. Gameiro O. Gandhi B. Gao J. Gao S. Gao C. Gaquiere H. Garbe J. Garcia M. Garcia P. Garcia-Ducar F. Garcia-Vidal K. Gard P. Gardner P. Garland P. Gaudo J. Gautier S. Gedney B. Geelen F. Gekat B. Geller R. Genov A. Georgiadis N. Georgieva J. Gerdes W. Gerhard S. Gevorgian H. Ghali M. Ghanevati F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione M. Ghovanloo F. Giannini A. Gibson I. Gil P. Gilabert B. Gimeno D. Ginste A. Goacher E. Godshalk A. Goel C. Goldsmith M. Golio M. Golosovsky R. Gómez-García A. Goncharenko X. Gong

R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath A. Görür K. Gosalia M. Gouker K. Goverdhanam W. Grabherr J. Graffeuil L. Gragnani J. Grahn J. Grajal V. Granatstein A. Grbic A. Grebennikov I. Gresham A. Griol D. Grischowsky S. Grivet-Talocia E. Grossman S. Gruszczynski T. Grzegorczyk S. Guenneau T. Guerrero S. Gunnarsson J. Guo Y. Guo C. Gupta M. Gupta R. Gupta R. Gutmann W. Gwarek R. Habash S. Hadjiloucas D. Haemmerich M. Hagmann S. Hagness A. Halappa P. Hale D. Ham E. Hamidi O. Hammi H. Han T. Hancock A. Hanke G. Hanson Y. Hao Z. Hao R. Harjani L. Harle H. Harris P. Harrison O. Hartin J. Hasch H. Hashemi K. Hashimoto J. Haslett G. Hau S. Hauptmann L. Hayden L. He Y. He R. Heath E. Hegazi G. Hegazi S. Heinen W. Heinrich G. Heiter M. Hella R. Henderson F. Henkel B. Henning D. Heo K. Herrick F. Herzel J. Hesler J. Hesthaven K. Hettak H. Heuermann P. Heydari A. Hietala A. Higgins A. Hirata J. Hirokawa M. Ho K. Hoffmann R. Hoffmann E. Holzman V. Hombach J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii J. Horng T.-S. Horng J. Horton K. Hosoya M. Hotta J. Hoversten J. Howard M. Høyerby H. Hsieh L. Hsieh C. Hsu H. Hsu J. Hsu C. Hsue R. Hu C. Huang F. Huang H. Huang P. Huang T. Huang J. Hubert W. Huei A. Hülsmann A. Hung C. Hung J. Hung I. Hunter I. Huynen H. Hwang J. Hwang K. Hwang R. Hwang G. Iannaccone K. Ikossi M. Isaksson T. Ishizaki

Digital Object Identifier 10.1109/TMTT.2009.2039965

S. Islam M. Ito K. Itoh T. Itoh Y. Itoh A. Ittipiboon F. Ivanek D. Iverson M. Iwamoto D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob K. Jacobs S. Jacobsen D. Jaeger J. Jaeger S. Jagannathan N. Jain G. James M. Janezic S. Jang M. Jankovic D. Jansen L. Jansson H. Jantunen H. Jardon-Aguilar J. Jargon N. Jarosik B. Jarry P. Jarry A. Jastrzebski B. Jemison W. Jemison S. Jeng A. Jenkins S. Jeon D. Jeong J. Jeong Y. Jeong A. Jerng T. Jerse T. Jiang X. Jiang G. Jianjun D. Jiao J. Jin J. M. Jin J. Joe T. Johnson B. Jokanovic U. Jordan K. Joshin J. Joubert S. Jung T. Kaho S. Kanamaluru K. Kanaya S. Kang P. Kangaslahti B. Kapilevich I. Karanasiou M. Karim T. Kataoka A. Katz R. Kaul R. Kaunisto T. Kawai S. Kawasaki M. Kazimierczuk L. Kempel P. Kenington P. Kennedy A. Kerr D. Kettle A. Khalil W. Khalil S. Khang A. Khanifar A. Khanna R. Khazaka J. Khoja S. Kiaei J. Kiang B. Kim C. Kim D. Kim H. Kim I. Kim J. Kim S. Kim T. Kim W. Kim N. Kinayman R. King N. Kinzie S. Kirchoefer A. Kirilenko M. Kishihara T. Kitazawa J. Kitchen T. Klapwijk E. Klumperink D. Klymyshyn L. Knockaert R. Knoechel M. Koch K. Koh N. Kolias J. Komiak A. Komijani G. Kompa A. Konanur A. Konczykowska H. Kondoh B. Kopp B. Kormanyos J. Korvink P. Kosmas Y. Kotsuka S. Koziel A. Kozyrev V. Krishnamurthy H. Krishnaswamy C. Krowne J. Krupka D. Kryger H. Ku H. Kubo A. Kucar

A. Kucharski C. Kudsia A. Kudymov D. Kuester B. Kuhn W. Kuhn T. Kuki A. Kumar J. Kuno C. Kuo J.-T. Kuo H. Kurebayashi F. Kuroki L. Kushner S. Kusunoki D. Kuylenstierna Y. Kwon G. Kyriacou A. Lacaita J. Lamb P. Lampariello U. Langmann T. Larsen L. Larson J. Laskar C. Lau K. Lau A. Lauer D. Lautru P. Lavrador A. Lavrinenko A. Lazaro G. Lazzi R. Lech B. Lee C.-H. Lee C. Lee H. Lee J. Lee J.-H. Lee K. Lee R. Lee S. Lee T. Lee Y. Lee D. Leenaerts Z. Lei G. Leizerovich K. Leong Y. Leong R. Leoni C. Ler G. Leuzzi B. Levitas R. Levy C. Li L. Li M. Li X. Li L. Lianming C. Liao S. Liao D. Lie E. Lima E. Limiti F. Lin J. Lin K. Lin T. Lin Y. Lin S. Lindenmeier A. Lindner F. Ling P. Linnér D. Linten D. Linton D. Lippens F. Little V. Litvinov C. Liu H. Liu J. Liu K. Liu Q. Liu S. Liu Y. Liu Z. Liu A. Llewandowski O. Llopis I. Lo L. Locht A. Loke K. Lonngren T. Lopetegi N. Lopez U. Lott G. Lovat D. Lovelace Z. Low C. Lu L. Lu S. Lu Y. Lu V. Lubecke S. Lucyszyn D. Ludwig N. Luhmann M. Lui J. Luy G. Lyons A. M. Niknejad K. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella J. Machac B. Machiels M. Madihian A. Madjar G. Magerl S. Magierowski R. Mahmoudi I. Maio F. Maiwald A. Majedi H. Majedi M. Majewski M. Makimoto R. Makinen D. Malocha J. Manges

R. Mansour D. Manstretta J. Mao S. Mao F. Maradei A. Margomenos D. Markovic E. Márquez-Segura J. Martens F. Martin E. Martini K. Maruhashi J. Marzo D. Masotti A. Massa G. Massa F. Mastri J. Mateu A. Matsushima M. Mattes G. Matthaei K. Mayaram M. Mayer U. Mayer W. Mayer J. Mazeau S. Mazumder A. Mazzanti G. Mazzarella K. McCarthy G. McDonald I. McGregor M. McKinley J. McLean D. McQuiddy A. Mediano F. Medina M. Megahed I. Mehdi K. Mehrany A. Melcon R. Melville F. Mena D. Mencarelli C. Meng R. Menozzi W. Menzel P. Mercier B. Merkl F. Mesa R. Metaxas A. Metzger P. Meyer P. Mezzanotte E. Michielsen A. Mickelson D. Miller P. Millot J. Mingo F. Miranda D. Mirshekar A. Mirzaei S. Mitilineos R. Miyamoto K. Mizuno J. Modelski W. Moer M. Moghaddam A. Mohammadi S. Mohammadi A. Mohammadian P. Mohseni E. Moldovan M. Mollazadeh M. Mongiardo P. Monteiro J. Montejo-Garai G. Montoro J. Monzó-Cabrera J. Morente T. Morf D. Morgan M. Morgan A. Morini A. Morris J. Morsey A. Mortazawi M. Moussa M. Mrozowski Q. Mu J.-E. Mueller J. Muldavin K. Murata S.-S. Myoung M. Myslinski B. Nabet V. Nair K. Naishadham Y. Nakasha M. Nakatsugawa M. Nakhla J.-C. Nallatamby I. Nam S. Nam J. Nanzer T. Narhi A. Nashashibi A. Natarajan J. Nath A. Navarrini J. Navarro J. Nebus R. Negra J. Neilson B. Nelson P. Nepa A. Neri H. Newman G. Ng D. Ngo E. Ngoya C. Nguyen E. Nicol A. Nicolet S. Nicolson E. Niehenke M. Nielsen K. Nikita P. Nikitin N. Nikolova M. Nisenoff K. Nishikawa T. Nishino

G. Niu B. Noori C. Nordquist B. Notaros K. Noujeim D. Novak I. Novak G. Nusinovich K. O I. Obeid J. Obregon R. O’Dea M. O’Droma M. Odyniec J.-E. Oh T. Ohira E. Öjefors H. Okazaki V. Okhmatovski A. Oki M. Okumura G. Olbrich S. Olson F. Olyslager A. Omar K. Onodera B.-L. Ooi S. Ootaka H. Oraizi G. Orengo A. Orlandi R. Orta J. Ortega-Gonzalez S. Ortiz S. Otaka B. Otis K. Ozdemir T. Ozdemir O. Ozlem P. Paco R. Paknys S. Pal Y. Palaskas D. Palmer S. Pamarti G.-W. Pan S.-K. Pan A. Panariello K. Pance J. Papapolymerou S. Parisi C.-S. Park E. Park J.-S. Park M.-J. Park S. Park W. Park A. Parker T. Parker D. Pasquet M. Pastorino H. Pau S. Paulotto A. Pavio D. Pavlidis W. Pearson J.-C. Pedro S. Peik S. Pellerano G. Pelosi M. Pelosi D. Pelz R. Pengelly J. Pereda F. Pereira A. Perennec B. Perlman D. Peroulis L. Perregrini K. Per-Simon M. Persson M. Petelin A. Peterson A. Petosa O. Peverini U. Pfeiffer A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierantoni B. Pillans S. Pinel Z. Ping M. Pirola S. Pisa G. Pisano D. Pissoort D. Plant C. Plett J. Plumridge C. Pobanz A. Poddar F. Podevin R. Pogorzelski G. Ponchak A. Poon D. Popovic Z. Popovic J. Portilla M. Pospieszalski A. Pothier K. Pourvoyeur J. Powell H. Powen R. Prabhu L. Pradell S. Prasad D. Prather A. Priou S. Pruvost Y. Qian R. Qiang J. Qiu T. Quach X. Quan R. Quay C. Queck C. Quendo R. Quéré F. Quesada F. Raab V. Radisic

M. Raffetto A. Raffo T. Rahkonen R. Raich A. Raisanen O. Ramahi M. Ramdani R. Ranson P. Rantakari L. Ranzani P. Ratajczak H. Rategh C. Rauscher J. Rautio T. Rautio B. Rawat J. Rayas-Sanchez G. Rebeiz J. Rebollar M. Reddy J. Reid R. Reid J. Reina-Tosina S. Reising B. Rembold K. Remley R. Renaut S. Rengarajan D. Resca P. Reynaert S. Reynolds A. Rezazadeh E. Rezek S. Ricci A. Riddle L. Rienzo D. Ritter E. Rius J. Rizk V. Rizzoli M. Roberg I. Robertson P. Roblin A. Roden C. Rodenbeck W. Rodriguez F. Rodriguez-Morales M. Rodwell A. Rofougaran R. Rogers H. Rogier U. Rohde V. Rokhlin Y. Rolain J.-M. Rollin R. Romanofsky S. Romisch G. Romo Y. Rong D. Rönnow D. Root N. Rorsman M. Rosario L. Roselli A. Rosen U. Rosenberg M. Rosker T. Roste F. Rotella E. Rothwell R. Rotman P. Rovati J. Roy L. Roy M. Roy T. Rozzi T. Rubaek J. Rubio D. Rudolph M. Rudolph A. Ruehli C. Ruppel A. Rydberg J. Ryynänen C. Saavedra F. Sabath K. Sachse B. Sadler N. Safari A. Safarian A. Safavi-Naeini A. Safwat P. Saha K. Saito I. Sakagami S. Sakhnenko T. Samaras J. Sambles C. Samori A. Sanada J. Sanchez S. Sancho K. Sano A. Santarelli H. Santos S. Sanyal K. Sarabandi T. Sarkar C. Sarris H. Sato P. Saunier M. Sawan H. Sayadian A. Sayeed W. Scanlon E. Schamiloglu J. Schellenberg M. Schindler E. Schlecht E. Schmidhammer L.-P. Schmidt S. Schmidt D. Schmitt F.-J. Schmueckle J. Schoebel D. Schreurs D. Schrijver A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann J. Schutt-Aine

J. Scott F. Sechi K. Sellal V. Semenov E. Semouchkina K.-S. Seo J. Sercu A. Serebryannikov J. Sevic O. Sevimli F. Seyfert L. Shafai A. Shameli O. Shanaa Z. Shao I. Shapir A. Sharma S. Sharma J. Sharp D. Sheen T. Shen Z. Shen Y. Shestopalov J. Shi Y.-Q. Shi H. Shigematsu Y. Shih H. Shin S. Shin S.-H. Shin N. Shino W. Shiroma S. Shitov K. Shu D. Shyroki D. Sievenpiper C. Silva D. Silveira M. Silveirinha K. Silvonen W. Simbuerger G. Simin R. Simons C. Simovsky J. Simpson V. Simulik D. Simunic H. Singh D. Sinnott Z. Sipus C. Siviero H. Sjöland M. Slazar-Palma R. Sloan P. Smith C. Snowden R. V. Snyder M. Sobhy A. Sodagar N. Sokal K. Solbach J. Sombrin Y.-K. Song R. Sorrentino A. Soury E. Sovero J. Sowers R. Sperlich B. Spielman K. Stadius P. Staecker D. Staiculescu D. Stancil A. Stancu A. Stanitzki S. Stapleton J. Staudinger P. Stauffer B. Stec D. Steenson P. Steenson M. Steer G. Stegmayer J. Stenarson B. Stengel K. Stephan C. Stevens N. Stevens M. Steyaert J. Stiens I. Stievano S. Stitzer M. Straayer B. Strassner A. Street W. Struble M. Stubbs M. Stuchly B. Stupfel A. Suárez G. Subramanyam T. Sudo N. Suematsu T. Suetsugu C. Sullivan F. Sullivan A. Sulyman N. Sun S. Sun X. Sun R. Sutton K. Suzuki J. Svacina M. Swaminathan D. Swanson B. Szendrenyi W. Tabbara A. Taflove Y. Tajima T. Takagi M. Takahashi I. Takenaka T. Takenaka V. Talanov S. Talisa K.-W. Tam B. Tan E. Tan J. Tan T. Tanaka C.-W. Tang W.-C. Tang

X.-H. Tang T. Taris R. Tascone P. Tasker J. Taub J. Tauritz V. Tavares S. Taylor D. Teeter R. Temkin M. Tentzeris V. Teppati J.-P. Teyssier N. Thakor H. Thal J. Tham M. Thumm M. Tiebout E. Tiiliharju M.-R. Tofighi P. Tognolatti T. Toifl T. Tokumitsu A. Tombak A. Topa E. Topsakal H. Torres-Silva G. Town S. Tretyakov R. Trew P. Troyk C. Trueman A. Truitt C.-M. Tsai Z.-M. Tsai J. Tsalamengas C.-H. Tseng T. Tsiboukis J. Tsui M. Tsutsumi S. H.-L. Tu W.-H. Tu N. Tufillaro V. Turin G. Twomey C.-K. Tzuang T. Ueda V. Urick K. U-Yen N. Uzunoglu T. Vähä-Heikkilä R. Vahldieck A. Valdovinos G. Vandenbosch K. Vanhille D. Vanhoenacker-Janvier G. Vannini L. Vardapetyan G. Vasilescu C. Vaucher J. Vaz L. Vegni G. Vendelin S. Verdeyme M. Vérez A. Verma J. Verspecht P. Vial H.-O. Vickes A. Victor L. Vietzorreck C. Vittoria S. Vitusevich R. Voelker S. Voinigescu J. Volakis A. Vorst M. Vossiek M. Vouvakis B. Vowinkel L. Vreede K. Vryssas C. Wagner B. Waldmann P. Waldow A. Walker P. Wambacq S. Wane B.-Z. Wang C. Wang C.-F. Wang C.-J. Wang E. Wang F. Wang H. Wang J. Wang K.-C. Wang N. Wang X. Wang Y. Wang Y.-H. Wang Z.-G. Wang C. Ward J. Ward W. Wattanapanitch J. Webb D. Webster R. Webster S. Wedge J. Weem X. Wei D. Weide R. Weigel R. Weikle C. Weil T. Weiland D. Weile S. Weinreb M. Weiss S. Weiss T. Weller C. Wen G. Wen S. Wentworth D. Wentzloff R. Wenzel J. Whelehan J. Whitaker J. White J. Wiart M. Wickert

A. Wiesbauer J. Wight D. Willems B. Willemsen D. Williams A. Williamson J. Wilson J. Wiltse T. Winkel K. Wise D. Wisell M. Wolf E. Wollack G. Wollenberg F. Wong K. Wong M. Wong S. Wong K. Woo J. Wood G. Woods D. Woolard C. Wu J.-M. Wu K.-L. Wu K. Wu L. Wu R.-B. Wu T. Wu T.-L. Wu R. Wylde T. Wysocki M. Xia S. Xiang J. Xiao Y. Xiao C. Xie J. Xu S. Xu Q. Xue M. Yagoub T. Yakabe A. Yakovlev K. Yamamoto K. Yamauchi W. Yan C.-L. Yang F. Yang N. Yang X. Yang Y. Yang Z. Yang F. Yanovsky H.-W. Yao J. Yao A. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto J. Yau S. Ye J. Yeh K.-S. Yeo S.-P. Yeo K.-W. Yeom L.-K. Yeung W.-Y. Yin X.-S. Yin S. Yngvesson D. Yongsheng D. Yoo H.-J. Yoo J.-G. Yook E. Yoon J.-B. Yoon R. York S. Yoshikado A. Young B. Young D. Young P. Young W. Young H.-K. Yu M. Yu P. Yu R. Yu W. Yu Y. Yu M. Yuan M. Yuce S.-W. Yun F. Zabini J. Zaeytijd K. Zaki P. Zampardi J. Zapata L. Zappelli C. Zelley P. Zhai C. Zhang F. Zhang G. Zhang H. Zhang J. Zhang N. Zhang Q.-J. Zhang R. Zhang Y. Zhang A.-P. Zhao Y.-J. Zhao Y. Zhao Y. Zheng Q. Zhiguo H. Zhou A. Zhu L. Zhu N.-H. Zhu X. Zhu J. Zhuang H. Zirath