High-Frequency GaN Electronic Devices [1st ed. 2020] 978-3-030-20207-1, 978-3-030-20208-8

This book brings together recent research by scientists and device engineers working on both aggressively-scaled convent

677 51 15MB

English Pages VIII, 309 [308] Year 2020

Report DMCA / Copyright

DOWNLOAD FILE

Polecaj historie

High-Frequency GaN Electronic Devices [1st ed. 2020]
 978-3-030-20207-1, 978-3-030-20208-8

Table of contents :
Front Matter ....Pages i-viii
Introduction and Overview (Patrick Fay, Debdeep Jena, Paul Maki)....Pages 1-3
High Power High Frequency Transistors: A Material’s Perspective (Robert L. Coffie)....Pages 5-41
Isotope Engineering of GaN for Boosting Transistor Speeds (J. Khurgin, D. Jena)....Pages 43-82
Linearity Aspects of High Power Amplification in GaN Transistors (Samuel James Bader, Keisuke Shinohara, Alyosha Molnar)....Pages 83-107
III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA) (Zhichao Yang, Digbijoy N. Nath, Yuewei Zhang, Sriram Krishnamoorthy, Jacob Khurgin, Siddharth Rajan)....Pages 109-157
Plasma-Wave Propagation in GaN and Its Applications (Hugo O. Condori Quispe, Berardi Sensale-Rodriguez, Patrick Fay)....Pages 159-179
Numerical Simulation of Distributed Electromagnetic and Plasma Wave Effect Devices (Shubhendu Bhardwaj, John Volakis)....Pages 181-214
Resonant Tunneling Transport in Polar III-Nitride Heterostructures (Jimy Encomendero, Debdeep Jena, Huili Grace Xing)....Pages 215-247
Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes (W. D. Zhang, T. A. Growden, E. R. Brown, P. R. Berger, D. F. Storm, D. J. Meyer)....Pages 249-281
Non-contact Metrology for mm-Wave and THz Electronics (Kubilay Sertel, Georgios C. Trichopoulos)....Pages 283-299
Back Matter ....Pages 301-309

Citation preview

Patrick Fay · Debdeep Jena · Paul Maki Editors

HighFrequency GaN Electronic Devices

High-Frequency GaN Electronic Devices

Patrick Fay • Debdeep Jena • Paul Maki Editors

High-Frequency GaN Electronic Devices

123

Editors Patrick Fay University of Notre Dame Notre Dame, IN, USA

Debdeep Jena Cornell University Ithaca, NY, USA

Paul Maki Office of Naval Research Arlington, VA, USA

ISBN 978-3-030-20207-1 ISBN 978-3-030-20208-8 (eBook) https://doi.org/10.1007/978-3-030-20208-8 © Springer Nature Switzerland AG 2020 This work is subject to copyright. All rights are reserved by the Publisher, whether the whole or part of the material is concerned, specifically the rights of translation, reprinting, reuse of illustrations, recitation, broadcasting, reproduction on microfilms or in any other physical way, and transmission or information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed. The use of general descriptive names, registered names, trademarks, service marks, etc. in this publication does not imply, even in the absence of a specific statement, that such names are exempt from the relevant protective laws and regulations and therefore free for general use. The publisher, the authors, and the editors are safe to assume that the advice and information in this book are believed to be true and accurate at the date of publication. Neither the publisher nor the authors or the editors give a warranty, express or implied, with respect to the material contained herein or for any errors or omissions that may have been made. The publisher remains neutral with regard to jurisdictional claims in published maps and institutional affiliations. This Springer imprint is published by the registered company Springer Nature Switzerland AG. The registered company address is: Gewerbestrasse 11, 6330 Cham, Switzerland

Preface

GaN and related materials (AlGaN, InGaN) have established themselves as a key material system for RF and microwave power electronics and are vying for the position in low-frequency power electronics applications as well. Perhaps, surprisingly, the material properties of the GaN material family are quite well-matched to the needs of ultrahigh-frequency electronics as well, with high carrier mobilities in polarization-induced 2D electron gases and comparatively high densities of states that enable both large current density and aggressive device scaling for highfrequency operation. However, scaling of conventional device architectures such as the high electron mobility transistor widely used in GaN for RF and microwave power applications is only one of the several possible routes to exceptional highfrequency performance in III-N devices. By leveraging the unique properties of the nitrides along with less conventional physical bases of operation, entirely new classes of devices with significant potential for high-frequency performance are possible. This volume brings together scientists and device engineers working on both aggressively scaled conventional transistors and unconventional highfrequency device concepts in the III-N material system. Device concepts for mm-wave to THz operation based on deeply scaled HEMTs, as well as distributed device designs based on plasma-wave propagation in polarization-induced 2DEG channels, tunneling, and hot-carrier injection are discussed in detail. In addition, advances in the underlying materials science that enable these demonstrations and advancements in metrology that permit the accurate characterization and evaluation of these emerging device concepts are also included. Targeting both academic and industrial researchers looking to push the envelope in GaN-based electronics device research, this book aims to provide readers with a current, comprehensive treatment of device concepts and physical phenomenology suitable for applying GaN and related materials to emerging ultrahigh-frequency applications. Notre Dame, IN, USA Ithaca, NY, USA Arlington, VA, USA

Patrick Fay Debdeep Jena Paul Maki

v

Contents

1

Introduction and Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Patrick Fay, Debdeep Jena, and Paul Maki

1

2

High Power High Frequency Transistors: A Material’s Perspective. . Robert L. Coffie

5

3

Isotope Engineering of GaN for Boosting Transistor Speeds . . . . . . . . . . J. Khurgin and D. Jena

43

4

Linearity Aspects of High Power Amplification in GaN Transistors . Samuel James Bader, Keisuke Shinohara, and Alyosha Molnar

83

5

III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA) . . . . 109 Zhichao Yang, Digbijoy N. Nath, Yuewei Zhang, Sriram Krishnamoorthy, Jacob Khurgin, and Siddharth Rajan

6

Plasma-Wave Propagation in GaN and Its Applications . . . . . . . . . . . . . . . 159 Hugo O. Condori Quispe, Berardi Sensale-Rodriguez, and Patrick Fay

7

Numerical Simulation of Distributed Electromagnetic and Plasma Wave Effect Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181 Shubhendu Bhardwaj and John Volakis

8

Resonant Tunneling Transport in Polar III-Nitride Heterostructures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215 Jimy Encomendero, Debdeep Jena, and Huili Grace Xing

9

Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249 W. D. Zhang, T. A. Growden, E. R. Brown, P. R. Berger, D. F. Storm, and D. J. Meyer

vii

viii

Contents

10

Non-contact Metrology for mm-Wave and THz Electronics . . . . . . . . . . . 283 Kubilay Sertel and Georgios C. Trichopoulos

Epilogue . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 301 Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 303

Chapter 1

Introduction and Overview Patrick Fay, Debdeep Jena, and Paul Maki

Today, gallium nitride (GaN) semiconductor-based devices light up cell phones, car headlights, and computer screens and are slowly replacing incandescent bulbs, powering a solid-state lighting revolution. But GaN’s reach now extends far beyond optoelectronics and lighting; the maturing of this semiconductor material has given us GaN electronic devices that are vying to replace microwave amplifiers in mobile phone base stations because of their higher frequency- and power-handling capacity. Because GaN and related III-N semiconductors can sustain much higher electric fields than silicon and switch faster due to a higher channel electron mobility, GaN-based electronics is also making significant inroads into replacing silicon high-voltage transistors with much smaller and energy-efficient alternatives in data centers, miniature power adapters, and convertors, as well as making strides toward higher-power, high-voltage applications such as industrial and automotive motor control. From this context, GaN and related materials (AlGaN, InGaN) have established themselves as a key material system for optoelectronics, as well as RF and microwave power electronics, and are vying for position in low-frequency power electronics applications. Perhaps surprisingly, the material properties of the GaN materials family are quite well matched to the needs of ultrahigh-frequency electronics as well, with high carrier mobilities in polarization-induced 2D electron gases and comparatively high densities of states that enable both large current

P. Fay () University of Notre Dame, Notre Dame, IN, USA e-mail: [email protected] D. Jena () Cornell University, Ithaca, NY, USA e-mail: [email protected] P. Maki Office of Naval Research, Arlington, VA, USA © Springer Nature Switzerland AG 2020 P. Fay et al., High-Frequency GaN Electronic Devices, https://doi.org/10.1007/978-3-030-20208-8_1

1

2

P. Fay et al.

density as well as aggressive device scaling for high-frequency operation. However, scaling of conventional device architectures such as the high-electron-mobility transistor widely used in GaN for RF and microwave power applications is only one of several possible routes to exceptional high-frequency performance in IIIN devices. Furthermore, continuing advances in GaN material and heterostructure quality, as well as enhanced understanding of the various physical mechanisms that can be harnessed in this material system (some of which are peculiar to the nitrides), point toward a wealth of new device opportunities in the veryhigh-frequency and THz regime. Going beyond conventional transistor physics, devices leveraging a wide range of unconventional approaches to amplification and generation of high-frequency signals using GaN and related materials have recently been proposed and demonstrated. These devices rely on unconventional physical mechanisms for their operation, including hot-carrier injection, resonant tunneling, and plasma-wave propagation, among others. The higher optical phonon energy of GaN offers the potential for room temperature quantum cascade lasers that can fill the THz gap from the high-frequency end, but significant challenges remain in their demonstration; therefore, we have made a choice to leave that topic out of this volume. Nonetheless, the potential to exploit nontraditional physics in alternative device concepts leads to the opportunity for significant advances in capabilities. By leveraging the unique properties of the nitrides along with less conventional physical bases of operation, entirely new classes of devices with significant potential for high-frequency performance are possible. This volume brings together scientists and device engineers working on both aggressively scaled conventional transistors as well as unconventional highfrequency device concepts in the III-N material system. Device concepts for mm -wave to THz operation based on deeply scaled HEMTs and their linearity in operation, as well as distributed device designs based on plasma-wave propagation in polarization-induced 2DEG channels, tunneling, and hot-carrier injection are discussed in detail. In addition, advances in the underlying materials science that enable these demonstrations and advancements in metrology that permit the accurate characterization and evaluation of these emerging device concepts are also included. This book addresses these opportunities by bringing together scientists and device engineers working on both conventional and nonconventional GaNbased electronic devices for high-frequency applications within a single volume, and provides a reference to the full range of physical effects and device concepts that can be developed in the III-N material system. The remainder of this book provides discussions of key innovations in GaNbased electronics that enable advances in high-speed devices. An overview of the key material properties that enable GaN to address not only power but also high-speed applications is provided, and a detailed discussion of the role and impact of nitrogen isotopic selection in material growth and its impact on carrier transport for increasing device speed is also included. Two distinct perspectives on novel approaches for improving the linearity of GaN-based devices (a key metric for emerging high-speed communications applications) are also provided. In terms of unconventional device concepts in the III-N material system, chapters

1 Introduction and Overview

3

on hot-carrier injection-based devices, plasma-wave-based devices, and resonant tunneling diodes are included. Due to the unconventional device physics, new approaches to numerical simulation of devices have been developed and these are also described. Additionally, the emergence of high-speed devices demands new techniques for characterization of devices; a chapter devoted to emerging noncontact characterization techniques for ultrahigh-speed devices is included.

Chapter 2

High Power High Frequency Transistors: A Material’s Perspective Robert L. Coffie

1 Introduction Since the invention of the first transistor by Bell labs in 1947 [24], scientist and engineers have pursued novel semiconductor materials for enhanced performance for particular applications. For example, one of the initial motivations to pursue the development of silicon transistors was the larger band gap of silicon compared to germanium [22]. The larger band gap allows silicon transistors to operate at higher temperatures than germanium transistors. Due to the large number of possible semiconductor materials (>600 [22]) and limited resources, researchers began trying to predict the potential improvement of a new semiconductor material system for a specific application based on material properties alone. These predictions are typically based on a single expression known as a figure of merit. Figures of merit now exist for many applications [1, 2, 7, 10, 13, 14, 25, 34]. This chapter will focus on Johnson’s figure of merit (JFoM) published by E. O. Johnson in 1965 [10]. Johnson’s figure of merit is often used to predict the potential power/frequency performance of a material system based solely on its material properties. Care must be taken when predicting performance based on JFoM as many parameters not considered by JFoM can significantly impact performance. The additional considerations of doping, low field mobility, thermal constraints, and heterojunctions will also be discussed.

R. L. Coffie () RLC Solutions, Plano, TX, USA e-mail: [email protected] © Springer Nature Switzerland AG 2020 P. Fay et al., High-Frequency GaN Electronic Devices, https://doi.org/10.1007/978-3-030-20208-8_2

5

6

R. L. Coffie

2 Johnson’s Figure of Merit In order to derive JFoM, a relationship between the transit time of mobile carriers from the point of injection to point of collection and the maximum possible voltage the region of transit can sustain must be established. All the nomenclature for JFoM will be in terms of an n-channel field effect transistor (FET). Thus, the point of injection is the source and the point of collection is the drain. The distance the electron travels after it is injected is the drain-source length (Lds ). The time it takes an electron to transverse this distance is  τ = Lds vavg

(2.1)

where vavg is the average velocity of the electron as it travels across Lds . Transit time τ is minimized when the electron travels at its saturation velocity (vs ) across the entire drain-source distance giving  τmin = Lds vs

(2.2)

In order for mobile charges to reach their saturation velocity, a sufficient electric field must be present which is equivalent to assuming the depletion region in the semiconductor extends from drain to source. The lack of a low electric field region in the gate-source access region further implies that the gate is self-aligned with the source. The drain-source length can then be approximated as Lds = Lg + XD

(2.3)

where Lg is the gate length and XD is the depletion extension past the gate towards the drain. We can now re-write (2.2) as   τmin = Lg + XD vs

(2.4)

which can be written in terms of a frequency fτmin as    2π fτmin = 1 τmin = vs Lg + XD

(2.5)

Although fτmin is not truly equal to the short-circuit-current-gain cut-off frequency fT of the transistor, within the approximations being applied, fT and fτmin will be considered equal. The schematic cross-section of a typical high frequency field effect transistor is shown in Fig. 2.1. Using the coordinate system shown in Fig. 2.1, the voltage being sustained across the drain-source region is 

Lds

Vds = −

Ex dx 0

(2.6)

2 High Power High Frequency Transistors: A Material’s Perspective

7

Passivation Source

Drain

Gate Channel

Buffer Highly doped

Substrate

Fig. 2.1 Schematic cross-section of a typical high frequency field effect transistor

where Ex is the electric field in the x-direction. For high power operation, a large voltage swing is desired and Ex should be maximized. All semiconductors have a maximum or critical electric field (Ec ) that can be sustained before breakdown occurs. This leads to a maximum value for (2.6) of Vdsmax = Ec Lds

(2.7)

Johnson’s figure of merit is defined as the product of the maximum transit frequency and maximum voltage for a given drain-source distance  JFoM = fT Vdsmax = Ec vs (2π )

(2.8)

Several key items should be highlighted regarding JFoM. First, JFoM is only defined in terms of material parameters. This was one of Johnson’s goals and the attractive nature of JFoM for researchers searching for novel semiconductor materials for high power high frequency operation. Second, real devices never reach the value predicted by JFoM. This is due to the fact that mobile carriers do not travel at their saturation velocity across the entire drain-source region and the electric field along the channel is non-uniform (at best Ex reaches Ec for a very small portion of the channel). Third, breakdown voltage and fT are inversely related to each other. Therefore, high frequency devices have lower breakdown voltages compared to devices designed for lower operating frequency. Last, JFoM completely ignores the low electric field regions in the transistor where electrons do not travel at their saturated velocity. These low field regions in real transistors may account for a large portion of the performance of highly scaled devices and thus cannot be ignored [17]. Despite the limitations of JFoM, a comparison of different material systems for high power high frequency applications is often performed using JFoM. Although JFoM can be calculated using (2.8), JFoM of a material system is typically normalized to JFoM of a material system that has a known power/frequency performance. The normalized JFoM is then a measure of how much “better” the new material system may be compared to the material system used for normalization. For

8

R. L. Coffie

example, Table 2.1 shows material parameters from several different semiconductor material systems. Since GaN-based high electron mobility transistor (HEMT) technology is now the semiconductor to displace for future high frequency high power applications, we will use GaN’s JFoM for normalization. Figure 2.2 shows the normalized JFoMs for the material systems in Table 2.1. Three important observations should be made. First, JFoM for Si and GaAs are more than 10× smaller than all of the wide band gap semiconductors JFoMs. Since GaAs was the dominant material system for high power high frequency applications before GaN, the development of GaN transistors promised a greater than 10× JFoM improvement over GaAs. Second, Table 2.1 shows the reason for the greater than 10× improvement in JFoM for wide band gap semiconductors over Si and GaAs is due primarily to their high critical fields. Third, only AlN and diamond from Table 2.1 have a larger JFoM than GaN and both have less than 3× JFoM improvement compared to GaN. Thus, critical field engineering alone is running out of room to increase power performance.

Fig. 2.2 Johnson’s figure of merit normalized to GaN for the materials listed in Table 2.1 Table 2.1 Material parameters I Material Si GaAs GaN 4H-SiC AlN Diamond β-Ga2 O3

Eg (eV) 1.12 1.42 3.4 3.23 6.2 5.5 4.9

r 11.7 12.9 8.9 9.66 8.5 5.7 10

vs = v[0.1Ec ] If available a Estimated

  μ cm2 /Vs 1440 9400 1400 950 450 4500 250

Ec (MV/cm) 0.3 0.4 3.75 2.5 15a 10a 8a

  vs ×107 (cm/s) κth (W/cmK) 1.0 1.3 0.9 0.55 2.4 2.5 2.0 3.7 1.4 2.85 2.3 23 1.1 0.1–0.3

2 High Power High Frequency Transistors: A Material’s Perspective

9

Normalized JFoM is measuring the potential performance of one material system compared to another material system, but what does 10× larger JFoM really mean in terms of power performance? To answer this question, we need to develop the output power figure of merit (Pout FoM).

3 Output Power Figure of Merit 1 The relationship between JFoM and output power can be obtained by determining the maximum power possible at a specific frequency. Our first approach will determine the maximum output power for a device with a given fT . We will define this metric as the output power figure of merit 1 (Pout FoM1). To establish the Pout FoM1, a large signal model for the transistor must be chosen. The ideal linear device model shown in Fig. 2.3a will be used for this analysis. Although more sophisticated models may be chosen [33], they complicate the analysis with limited additional insight. The ideal linear model is assumed to have a maximum current Imax , a knee voltage Vk , a voltage independent transconductance gm , and breakdown voltage VBD as shown in Fig. 2.3b. The drain terminal of the transistor is connected to the DC drain supply and load by an ideal bias-tee and the gate terminal is connected to the DC gate supply and AC signal source by an ideal bias-tee as well. The gate voltage vGS [t] is given by the sum of the DC component and the AC component vGS [t] = VGG + vgs cos [ωt]

(2.9)

G

+ S

D

Drain Current

The Imax boundary is obtained when vGS ≥ VGS,max and is defined as

loadline

Drain Voltage Fig. 2.3 (a) Circuit model for ideal linear device. (b) ID − VD family of curves for ideal linear device. Diagonal line is the loadline for Class A bias and maximum output power

10

R. L. Coffie

 ID,max =

 Imax vDS Vk , vDS ≤ Vk Imax , vDS ≥ Vk

(2.10)

Within the Imax boundary, the drain current iDS [t] is related to the gate voltage as  iDS [t] =

  gm vGS [t] − Vp , vGS − Vp > 0 0, vGS − Vp < 0

(2.11)

where Vp is the pinch-off voltage. In order to fully define the boundary conditions of the problem, the conduction angle, the type of load being used, and the amount of compression need to be specified. The analysis can be performed for many different bias conditions and compression levels [18], but we will choose an input power just prior to any clipping of the drain current or voltage waveforms due to the IV boundaries and Class A bias defined as a drain bias current of    IDD = gm VGG − Vp = ID,max 2

(2.12)

Output power at this input power level is often referred to as maximum linear output power. Class A bias at maximum linear output power results in no harmonic content in the drain voltage or current waveforms, greatly simplifying the analysis. The lack of harmonic content results in a purely resistive load as the optimum load. Due to the ideal bias-tee, the drain voltage vDS and load voltage vL are vDS [t] = VDD + vL [t] , vL [t] = −gm vgs cos [ωt] RL

(2.13)

In general, a parametric plot of iDS [t] vs. vDS [t] over one period is called a loadline plot. For a resistive load, a parametric plot is not needed since iDS can be written in terms of vDS as iDS [t] = (VDD − vDS [t]) /RL

(2.14)

 Thus, the loadline for a purely resistive load is a straight line with a slope of −1 RL as shown in Fig. 2.3b. The average power delivered to the load is the output power and is calculated as Pout =

1 T

 0

T

vL2 1 2 2 dt = gm vgs RL RL 2

(2.15)

We still need to determine the load resistor that maximizes the power delivered to the load. For Class A bias and a resistive load, the loadline will pass through the bias point. Assuming the loadline intersects the Imax boundary at point (V1 , ID,max ), the load resistance and gm vgs can be written as

2 High Power High Frequency Transistors: A Material’s Perspective

RL = (VDD − V1 )

11

   ID,max − IDD = 2 (VDD − V1 ) ID,max gm vgs = ID,max /2

(2.16)

Inserting (2.16) into (2.15), the power in the load is Pout = 14 ID,max (VDD − V1 ) =

1



4 Imax V1 (VDD − V1 ) Vk , 1 4 Imax (VDD − V1 ) ,

V1 ≤ Vk V1 ≥ Vk

(2.17)

 By setting ∂Pload ∂V1 = 0, it can be shown that V1 = Vk is the required condition to maximize the linear output power when VDD > 2Vk . Since we are trying to maximize output power, we will assume that VDD > 2Vk . At a given bias voltage VDD , the optimum loadline and maximum linear power are   Ropt = 2 (VDD − Vk ) Imax , Pout,lin = Imax (VDD − Vk ) 4

(2.18)

From (2.18), larger drain bias results in more output power, but the breakdown voltage will limit the maximum possible drain bias. From (2.13), the peak drain voltage during the AC period is vDS,max = 2VDD − Vk < VBD

(2.19)

From the constraints imposed by (2.19), the bias voltage and load for maximum output power are   VDD = (VBD + Vk ) 2, RL = (VBD − Vk ) Imax

(2.20)

The loadline for maximum output power swings the drain current from zero to Imax and the drain voltage from VBD to Vk . The maximum output power produced is Pmax,lin = Imax (VBD − Vk ) /8

(2.21)

In order to recast (2.21) primarily in terms of material parameters, we need to determine Imax in terms of material parameters. We will assume the typical approximation for Imax in the velocity saturation regime of Imax = qns vs Wg

(2.22)

where qns is the mobile sheet charge density under the gate traveling at saturation velocity and Wg is the total gate width of the FET. Increasing the charge in the channel increases Imax , but lowers breakdown voltage. Therefore, there must be an optimum channel charge that maximizes output power. If we assume that VBD  Vk , then maximum output power is obtained by maximizing Imax VBD . To determine the optimum channel charge, we take into account 2D effects in top gated HEMTs.

12

R. L. Coffie

Using the coordinate system of Fig. 2.1, a better approximation for the constraint on the electric field is Ex2 + Ey2 ≤ Ec2

(2.23)

In order to pinch off the channel, the minimum electric field in the y-direction is  Ey ≈ σ s

(2.24)

where σ is the fixed sheet charge density under the gate at pinch-off and s is the permittivity of the semiconductor layer. We will assume qns in (2.22) and σ in (2.24) are equal. Similar to Johnson, we will also assume   VBD ≈ Lg + XD Ex

(2.25)

We can now write    2   8Pmax,lin ≈ Imax VBD = σ vs Wg Lg + XD Ec2 − σ s

(2.26)

 Setting ∂Pmax,lin ∂σ = 0 allows determining the optimum charge in the channel σopt as √ σopt = s Ec / 2

(2.27)

√  √  2 2, VBD ≈ Lg + XD Ec

(2.28)

At σopt , VBD and Imax become Imax = s Ec vs Wg

Substituting (2.28) into (2.21) gives Pmax,lin

s Ec2 vs2 Wg = 32π fT





Vk Vk 2 π s Wg 1− = J F oM 1− VBD 8fT VBD

(2.29)

The expression given in (2.29) is Pout FoM1. The maximum linear power is proportional to Johnson’s figure of merit squared and inversely proportional to fT . Thus, a material system that predicts 10× improvement in JFoM compared to a known material system is expected to have about 100× the power density. Also, JFoM requires lower breakdown voltage for higher fT . As a result, devices designed for high frequency operation will have lower power density than devices designed to operate at lower frequencies. Figure 2.4 shows a comparison of (2.29) with Vk = 0 normalized to GaN for the material systems listed in Table 2.1. For the same fT , Fig. 2.4 predicts over 100× more power from GaN compared to GaAs. Experimentally, GaN-based HEMTs have demonstrated 40 W/mm at S-band [37], but this is only a little over 10× the power demonstrated by a GaAs-based HEMT [5]

Normalized PoutFoM1

2 High Power High Frequency Transistors: A Material’s Perspective

13

AlN Diamond

-Ga2O3

GaN Si

GaAs

4H-SiC

Fig. 2.4 Power density for a specific fT normalized to GaN for the materials listed in Table 2.1

at S-band. Thus even normalized theoretical predictions can fall well short of what can be realized experimentally. Additionally, only AlN and diamond predict any significant improvement (∼5×) in output power compared to GaN. Despite this prediction, to date the highest power density report from diamond at a frequency of at least 1 GHz is only 2.1 W/mm [12]. Thus Johnson’s figure of merit and the output power figure of merit are only guides. Other factors must be taken into account.

4 Output Power Figure of Merit 2 The loadline approach for predicting output power used in Sect. 3 assumes the device has power gain at the frequency of interest. In this section, we determine the maximum output power for a device with a given power gain. We will define this metric as Pout FoM2. Re-writing (2.21) in terms of power gain allows the relationship between frequency and output power to be determined. Operating power gain (GP ) is the ratio of the average output power to the average input power GP =

Pout = Pin

1 2 2 |i2 | Re [1/yL ] 1 2 2 |i1 | Re [1/yin ]

(2.30)

where yL = 1/ZL is the load admittance and yin = 1/Zin is the input admittance of the transistor. Our initial large signal model has infinite power gain since Re [Zin ] = 0. In order to make the model more realistic, additional components are added to the model as shown in Fig. 2.5. The additional components model the gate resistance as Rg , the capacitive coupling between the gate and channel as Cgs , the resistance of the undepleted portion of the channel under the gate as Ri , the resistance of the source access region and source ohmic contact as Rs , and the inductance associated

14

R. L. Coffie

Fig. 2.5 Unilateral device model with finite power gain

D

G

S

Fig. 2.6 y-Parameter representation of the device model

with the source as Ls . The addition of these components to the model does not change the output power calculation results from Sect. 3 and leads to a finite power gain. To keep the analysis general, we will first calculate power gain in terms of a generic two-port model described by its y-parameters. Mathematically a two-port network in terms of y-parameters is

i1 i2



=

y11 y12 y21 y22



v1 v2

(2.31)

with the schematic representation shown in Fig. 2.6. If a load admittance yL is connected, i2 is i2 = −yL v2

(2.32)

allowing the relationship between the input and output voltages to be written as v2 =

−y21 v1 y22 + yL

(2.33)

Substituting (2.33) into first equation of (2.31) gives the input admittance yin =

i1 y12 y21 = y11 − v1 y22 + yL

(2.34)

The current gain is obtained by taking the ratios of the two equations in (2.31) as

2 High Power High Frequency Transistors: A Material’s Perspective

i2 yL yL v2 y21 =− = i1 yin v1 yin y22 + yL

15

(2.35)

Current gain be re-written in terms of the short-circuit current gain   can  h21 = y21 y11 as i2 h21    = i1 1 + y (y11 yL )

(2.36)

where y = y11 y22 − y12 y21 . Note that (2.36) clearly shows that current gain and short-circuit current gain of a two-port network are equal only when y = 0. Inserting (2.34) and (2.36) into (2.30) gives    Re 1 yL |h21 |2    GP =   

1 + y (y11 yL ) 2 Re 1 yin

(2.37)

For the equivalent circuit shown in Fig. 2.5, we have gm y11 = yin , y12 = 0, y21 = y11 , y22 = 0 j ωC gs

1 1 g m Ls 1 + g m Rs , = Rg + Ri + Rs + + j ωLs − = RL yin Cgs ωCgs yL

(2.38)

leading to a power gain expression of GP =

fT f

2

RL    Rg + Ri + Rs + gm Ls Cgs

(2.39)

where fT is the short-circuit-current-gain cut-off frequency with a value of fT =

gm 2π Cgs

(2.40)

 Using RL = (VBD − Vk ) Imax and (2.39) allows re-writing (2.21) as Pmax,lin =

fT f

2



Vk 2 1 J F oM 2 (VBD − Vk )2   =    (2.41) 1− VBD Re 1 yin 16f 2 GP 8GP Re 1 yin

where yin is given by (2.38). If the transistor is redesigned at each frequency to achieve a desired power gain while maintaining a constant Re [1/yin ], (2.41) requires the maximum linear output power to decrease as the square of the frequency for a given semiconductor. At this point, we can perform another normalized comparison to GaN for the output power predicted by (2.41). This comparison is shown in Fig. 2.7. Comparing

R. L. Coffie

Normalized PoutFoM2

16

Diamond AlN

GaN Si

GaAs

-Ga2O3 4H-SiC

Fig. 2.7 Normalized comparison of the output power predicted by (2.41). All materials are normalized to GaN

Figs. 2.4, 2.5, 2.6, 2.7, we see that the predicted normalized output power is higher from (2.41) compared to (2.29). The reason for this difference is that Fig. 2.4 is the comparison of output power at a fixed fT . Figure 2.7 is the comparison of output power for a fixed power gain. Since the optimum load resistance is proportional to 1/Imax , it is proportional to 1/s . This results in different materials having different optimum loads and therefore different fT ’s in order to achieve the same power gain. In general, (2.41) is the more relevant output power expression since power gain is the parameter that matters for power amplifiers, not fT . Alternatively, we can write power gain in terms of output power as GP =



Vk 2 1 J F oM 2    1 − 2 VBD Re 1 yin 16f Pmax,lin

(2.42)

If we assume two materials have Vk = 0 and the same Re [1/yin ], then (2.42) states that the material with a higher JFoM will have a higher power gain for the same output power. It is also instructive to plot the expected output power density as a function of frequency predicted by (2.41). Assuming Re [1/yin ] = 1 -mm and Gp = 10 dB, a plot of the expected maximum linear output power from (2.41) as a function of frequency for the different material systems in Table 2.1 is shown in Fig. 2.8. The power densities predicted by (2.41) are much higher than the power densities demonstrated experimentally (see Fig. 2.10). There are many reasons for the over estimation of the output power density. One of the primary reasons is due to an over estimation of the breakdown voltage.

Output Power (W/mm)

2 High Power High Frequency Transistors: A Material’s Perspective

17

Diamond 4H-SiC

Si

AlN GaN -Ga2O3

GaAs

Frequency (GHz) Fig. 2.8 Power density as a function of frequency for the materials listed in Table 2.1. Power gain and Re [Zin ] are assumed to be 10 dB and 1 -mm, respectively

Electric field Ex

Fig. 2.9 The x-component of the electric field along the channel. The depletion region is assumed to extend all the way to either the drain contact or a highly doped semiconductor region

Ex assumed by Johnson

Ec

Ex,true

Position along channel

The breakdown voltage is overestimated due to the fact that Ex is not constant over the distance Lg +XD . A plot of a typical Ex profile along the channel compared to the approximation Ex = Ec is shown in Fig. 2.9. The area under the curve is Vds . To account for the nonlinear nature of the true Ex profile, we define a filling factor α as  Lg +XD α=

Ex,true dx Ex,true,avg =−  Lg +XD Ec Ec dx 0

0

(2.43)

leading to a revised value for the breakdown voltage of   VBD ≈ α Lg + XD Ec

(2.44)

Typical values for α are 0.2 to 0.35. Maximum linear output power is now expressed as

R. L. Coffie

Output Power (W/mm)

18

Frequency (GHz) Fig. 2.10 Power density predicted by (2.45) for GaN along with the experimentally achieved power densities from AlGaN/GaN HEMTs. Power gain and Re [Zin ] are assumed to be 10 dB and 1 -mm, respectively, for lines calculated using (2.45)

Pmax,lin =

JFoM f



2 Vk 2 α2 1− VBD 8GP Re [Zin ]

(2.45)

A comparison of Pout,max for GaN versus frequency with α = 1 and α = 0.3 is shown in Fig. 2.10. The maximum output power density is reduced by almost a factor of 10 when α is taken into account. A comparison of experimentally achieved output power densities for AlGaN/GaN HEMTs versus frequency is also plotted in Fig. 2.10. The experimental data consists of devices with various values of power gain (above and below 10 dB). The difference between the predicted output power density and experimentally observed output power density is only ∼3× near 100 GHz. Although the small discrepancy between experiment and the simple theory is a little misleading since these devices have less than 10 dB gain and the output power is at a compression level of at least 2 dB (not at maximum linear power), Fig. 2.10 implies that high frequency AlGaN/GaN HEMTs are performance close to their electrical limit with some room for improvement. Below 5 GHz, the discrepancy is greater than 100×. Clearly, the simple theory developed so far cannot explain this large discrepancy. Other factors not taken into account so far must be preventing the full output power from being achieved at lower frequencies. If Ec is no longer limiting the performance, developing a new semiconductor that only has a higher Ec without other improvements may not result in improved performance.

2 High Power High Frequency Transistors: A Material’s Perspective

19

5 Achieving Mobile Carriers for Wide Band Gap Semiconductors A large assumption up to this point is that a controlled amount of free carriers can be engineered into the semiconductor. The most common approach to engineering the number of free carriers is called doping. The doping process consists of introducing a different atom into the crystal that produces an energy level within the band gap that can then be used to either excite an electron from this energy level into the conduction band (donor dopant) or excite an electron from the valence band into this energy level (acceptor dopant). The difference between the conduction band minimum energy (EC ) and donor energy level (Ed ) is the donor binding energy (or ionization energy) Ed = EC − Ed . The difference between the acceptor energy level (Ea ) and valence band maximum energy (EV ) is the acceptor binding energy (or ionization energy) Ea = Ea − EV . In order for a dopant to be useful for producing free carriers, it should be capable of producing at least 1017 cm−3 carriers at the temperature of interest. We will call such dopants shallow dopants. For our analysis, room temperature (300 K) is the temperature of interest. Assuming Boltzmann statistics, the free electron concentration (n) and hole concentration (p) are EF − EC EV − EF , p = NV exp (2.46) n = NC exp kT kT where EF is the Fermi level, k is Boltzmann’s constant, and T is temperature. NC and NV are the effective density of states in the conduction band and valence band given as   3 2 3 2 2gC 2π m∗e kT / 2gV 2π m∗h kT / NC = , NV = h3 h3

(2.47)

where m∗e and m∗h are the density of states effectives mass of electrons and holes, respectively, and gC and gV are the degeneracy factors of the conduction and valence bands. Table 2.2 lists the density of states effective mass, the degeneracy factor, and effective density of states for both the conduction and valence bands for the semiconductors in Table 2.1. The values for the valence band of β-Ga2 O3 are still unclear and therefore, have been left empty. Ideally, both shallow acceptors and donors would exist for the semiconductor of interest as is the case for Si and GaAs. Unfortunately, wide band gap semiconductors typically only have either one type (donor or acceptor) of shallow dopant or no shallow dopants at all. Table 2.3 lists common dopants for the different material systems from Table 2.1 along with their ionization energies. Also listed in Table 2.3 are the parameters φd and φa which are key to determining if a dopant is shallow. To define φd , we consider a semiconductor that is doped with Nd donors and the band gap is sufficiently large that there are a negligible number of electrons excited from

20

R. L. Coffie

Table 2.2 Material parameters II Material Si GaAs GaN 4H-SiC AlN Diamond β-Ga2 O3

m∗e 0.322 0.063 0.20 0.37 0.4 0.566 0.28

gC 6 1 1 3 1 6 1

  NC cm−3 2.75 × 1019 3.97 × 1017 2.24 × 1018 1.69 × 1019 6.35 × 1018 6.41 × 1019 3.72 × 1018

m∗h 0.59 0.52 1.49 1 7.26 1.214 −

gV 1 1 1 1 1 1 −

  NV cm−3 1.14 × 1019 9.41 × 1018 4.56 × 1019 2.51 × 1019 4.91 × 1020 3.36 × 1019 −

m∗e and m∗h are the density of states effective mass for the conduction band and valence, respectively Table 2.3 Doping and ionization energy for various semiconductors   Material n-dopant

Ed (eV) φd cm−3 p-dopant Si P 0.045 2.4 × 1018 B GaAs Si 0.006 1.6 × 1017 Be GaN Si 0.015 6.3 × 1017 Mg 4H-SiC N 0.085 3.2 × 1017 Al AlN Si 0.16 6.5 × 1015 Mg Diamond P 0.6 2.7 × 109 B β-Ga2 O3 Si 0.046 3.1 × 1017 −

Ea (eV) 0.045 0.028 0.16 0.2 0.5 0.37 −

  φa cm−3 1.0 × 1018 1.6 × 1018 4.7 × 1016 5.5 × 1015 9.8 × 1011 1.0 × 1013 −

g = 2 is assumed for both φd and φa calculations

the valence band to the conduction band at room temperature. Thus the electrons in the conduction band only come from donors. The relationship between free carriers n and Nd is n = Nd+ = Nd − Nd

(0)

(2.48)

where Nd+ is the density of ionized donors and Nd is the density of neutral donors. The number of ionized donors is [30] (0)

Nd+ =

Nd    1 + g exp (EF − Ed ) (kT )

(2.49)

where g is degeneracy factor of the donor. Solving for EF in (2.46) and inserting the expression into (2.49) gives Nd+ =

Nd     +  1 + gNd NC exp Ed (kT )

We can now solve for the fraction of ionized donors (Nd+ /Nd ) in (2.50) as

(2.50)

2 High Power High Frequency Transistors: A Material’s Perspective

Nd+ φd = Nd 2Nd



    −1 + 1 + 4Nd φd

21

(2.51)

where φd =



Ed NC exp − g kT

(2.52)

Note that gφd is equal to the electron concentration when the Fermi level is coincident with the donor energy level. Similar analysis for a semiconductor that is doped with Na acceptors gives Na− φa = Na 2Na



    −1 + 1 + 4Na φa

(2.53)

where Na− are the number of ionized acceptors and φa =



Ea NV exp − g kT

(2.54)

A plot of percent of ionized donors (acceptors) versus Nd /φd (Na /φa ) is shown in Fig. 2.11. When Nd < 0.12φd (Na < 0.12φa ), the ionization percentage is greater than 90%. In order to achieve an electron (hole) concentration of ∼1017 cm−3 with >90% donor (acceptor) ionization, φd (φa ) needs to be ∼1018 cm−3 and therefore, Ed ( Ea ) should be at most a few kT from the conduction (valence) band. Table 2.3 shows that none of the wide band gap semiconductors have a shallow acceptor and all the semiconductors listed have a shallow donor except for AlN and diamond. Thus, engineering free carriers in diamond or AlN through doping will not be possible unless a shallow dopant can be found. This is very unfortunate as AlN and diamond are the only two semiconductors listed in Table 2.1 that have a greater JFoM than GaN. Although doping is the most common way to engineer the number of free carriers, other methods that involve the semiconductor surface can also produce sufficient free carriers for transistor operation. Semiconductors often have energy levels within the band gap at the surface due to the termination of the periodic lattice. The surface states associated with these energy levels can have high densities (>1013 cm−2 ). Although the surface state energy level may be many kT away from the conduction or valence band, the Fermi level may still lie near the surface state energy level due to the electric field in the semiconductor. If the Fermi level is near the surface state energy, the surface state will be at least partially ionized. It may be possible to use the ionized carriers for conducting transistor current. This type of approach is used to produce the conduction electrons in undoped AlGaN/GaN transistors [9]. Due to the lack of a shallow dopant, diamond researchers are also using the surface to produce mobile carriers [11]. The surface of the diamond is terminated with hydrogen which results in a 2D hole gas at the

22

R. L. Coffie

Fig. 2.11 Percentage of activated dopants for a semiconductor doped with only one type of dopant and no compensation centers

surface. Unfortunately, the mobility of the holes in the surface channel is extremely poor (∼100 cm2 /Vs) for hole charge densities greater than 1013 cm−2 [3, 6]. In contrast, the ionized carriers from the surface states reside in the GaN channel for AlGaN/GaN HEMTs. This results in large free carrier sheet charges (∼1013 cm−2 ) while maintaining good transport properties (room temperature electron mobility >1500 cm2 /Vs and saturation velocity 2 × 107 cm/s). Simultaneously achieving sufficient carriers and good transport properties still remains a significant challenge for diamond electronics. Unless this problem can be solved, diamond transistors with superior performance to AlGaN/GaN transistors will not be realized.

6 Low Field Mobility Considerations Although Johnson only considered carriers traveling at their saturation velocity, the voltage drops across the access regions where mobile carriers do not travel at their saturation velocity can impact performance. A key material property that determines the resistance of the access regions is called the low field mobility. For our analysis, we will consider two ways the resistance (and therefore the low field mobility) of    2 the access regions can impact performance. First is through the 1 − Vk VBD term in (2.45). An approximation for the knee voltage is Vk = Imax Ron

(2.55)

where the on-resistance is Ron

Lg + XD = Rsh = Wg



1 qns μ



Lg + XD Wg

(2.56)

2 High Power High Frequency Transistors: A Material’s Perspective

23

and μ is the low field mobility. Substituting (2.22), (2.44), and (2.56) into (2.55) gives   Vk = vs Lg + XD μ

(2.57)

Vk vs = VBD μαEc

(2.58)

resulting in

In order to maximize the output power, Vk /VBD should be as small as possible. Since a large saturation velocity is desired to maximize fT and GP , the denominator of (2.58) needs to be maximized. Thus a large low field mobility is desired as 2    for the different materials in Table 2.1, well. Before comparing 1 − Vk VBD we need to determine the value of μ to use in (2.58). The low field mobility of a semiconductor can be limited by many different mechanisms [27]. One mechanism is ionized impurity scattering due to doping which degrades the room temperature mobility in many III–V semiconductors [29]. For example, ionized impurity scattering significantly impacts the room temperature low field mobility of n-type GaAs as shown in Fig. 2.12. The n-type GaAs room temperature mobility drops from ∼9300 cm2 /Vs at a doping level of 1015 cm−3 to ∼3400 cm2 /Vs at a doping level of 1018 cm−3 . To capture this effect, a range of room temperature 2    shown in Fig. 2.13. The mobilities is used for the comparison of 1 − Vk VBD maximum mobility used for each semiconductor is the mobility listed in Table 2.1 which is the mobility when the semiconductor is extremely pure. The minimum mobility used for each semiconductor is the mobility that would occur with a doping of 1018 cm−3 or the typical mobility experimentally observed to date. For 2    > 0.9. the majority of the semiconductors listed in Table 2.1, 1 − Vk VBD Although this factor is important, it cannot explain the discrepancy between the experimental output power values and the predicted output power for AlGaN/GaN HEMTs in Fig. 2.10. Additionally, low field mobility can impact output power through power gain. A more complete equivalent circuit model is shown in Fig. 2.14. The intrinsic model which is composed of all the components within the dashed box in Fig. 2.14 now contains a feedback capacitor (Cgd ) to model the capacitive coupling between the gate and drain, a capacitor (Cds ) that models the capacitive coupling between drain and source through the buffer, and a resistance (Rds ) that models the substrate and buffer currents between drain and source. The extrinsic model now includes a resistance and inductance associated with each terminal (gate, drain, and source). For an excellent physical derivation of the small-signal model, see [16]. To obtain fT for the model of Fig. 2.14, first we develop the y-parameters for the intrinsic device. After the intrinsic y-parameters have been developed, the extrinsic inductances and resistances are included allowing the extrinsic fT to be calculated. We define four admittance terms for the intrinsic device as [8]

24

R. L. Coffie

j ωCgs 1 , Yds = + j ωCds = gds + j ωCds 1 + j ωRi Cgs Rds gm gm Ygd = j ωCgd , Ygm = = Ygs 1 + j ωRi Cgs j ωCgs

Ygs =

(2.59)

Using the definitions from (2.59), the intrinsic y-parameters are ⎡ ⎢ ⎢ ⎣

y11 y21

⎤ i1

i1

= y = 12 v1

v2 =0 v2

v1 =0 ⎥ ⎥ = Ygs + Ygd −Ygd ⎦ i2

i2

Ygm − Ygd Ygd + Yds = y22 =

v1 v2 =0 v2 v1 =0

(2.60)

The intrinsic short-circuit current-gain (h21 ) is h21 =

Yg − Ygd y21 = m y11 Ygs + Ygd

(2.61)

Expanding (2.61) in terms of equivalent circuit parameters gives h21

      1 − s Cgd gm − s 2 Ri Cgs Cgd gm   = s (Cgs +Cgd ) Cgs Cgd Ri 1 + s gm (Cgs +Cgd )

(2.62)

with s = j ω. Therefore, there are two zeros and two poles. The zeros are ωz1,z2 =

−1 ±



   1 + 4 Cgs Cgd gm Ri 2Cgs Ri

Fig. 2.12 Room temperature electron mobility μn of GaAs as a function of n-type doping concentration Nd

Electron Mobility (cm2/Vs)

and the poles are

GaAs

(2.63)

2 High Power High Frequency Transistors: A Material’s Perspective Fig. 2.13 Comparison of (1 − (Vk /VBD ))2 for the semiconductors listed in Table 2.1. α = 0.3 is assumed for all curves

25

AlN GaAs

-Ga2O3 GaN Diamond 4H-SiC

Si

low field mobility (cm2/Vs) G

D

S Fig. 2.14 More complete device model

ωp1 =

Cgs + Cgd gm , ωp2 = Cgs + Cgd Cgs Cgd Ri

(2.64)

The zeros and pole 2 are typically at much higher frequencies than pole 1 resulting in a −20 dB/decade slope for h21 and an intrinsic current-gain cut-off frequency of fTi =

gm   2π Cgs + Cgd

(2.65)

Although Rg and Lg are really intrinsic components, we define the parasitic elements as Zk = Rk + j ωLk , k = g, d, s

(2.66)

The intrinsic z-parameters are [z]int

1 =

y



y22 −y12 −y21 y11



1 =

y



Ygd Ygd + Yds Ygd − Ygm Ygs + Ygd

(2.67)

26

R. L. Coffie

where    

y = y11 y22 − y12 y21 = Ygd Ygs + Ygm + Yds Ygs + Ygd

(2.68)

When the parasitic elements are added, the extrinsic z-parameters become [z]ext =

1

y



  Ygd + Zs y Ygd + Yds + Zg + Zs y (2.69) Ygs + Ygd + (Zd + Zs ) y Ygd − Ygm + Zs y

The extrinsic current gain in terms of z-parameters is h21 = −

Ygd − Ygm + Zs y z21,ext =− z22,ext Ygs + Ygd + (Zd + Zs ) y

(2.70)

Omitting second order and higher terms of ω and assuming Zk = Rk where k = g, d, s, h21 becomes h21 =

  gm − j ω Cgs gds Rs + Cgd (1 + (gds + gm ) Rs )    j ω Cgs + Cgd (1 + gds (Rs + Rd )) + Cgd gm (Rs + Rd )

(2.71)

Again, the zero is typically at a much higher frequency than the pole and can be ignored. The extrinsic current-gain cut-off frequency is fT =

gm    2π Cgs + Cgd (1 + gds (Rs + Rd )) + Cgd gm (Rs + Rd )

(2.72)

Although the effects of Rs and Rd on fT are not fundamental, all transistors have finite Rs and Rd . The amount of Rs and Rd that can be tolerated for a given design translates directly into process control and complexity. By evaluating 1/fT , the parasitic delay τp and the intrinsic delay τi can be determined as     τi = Cgs + Cgd gm , τp = (Rs + Rd ) τi gds + Cgd

(2.73)

   τp τi = (Rs + Rd ) gds + ωTi Cgd

(2.74)

The ratio

allows estimating the allowable access region length not associated with the intrinsic device (La = Lds − Lg − XD ). The access region resistance is √ La La 2 Rs + Rd = = nqμWg s Ec μWg

(2.75)

2 High Power High Frequency Transistors: A Material’s Perspective

27

AlN GaN HEMT GaAs -Ga2O3 GaN 4H-SiC

Diamond

Si Fig. 2.15 Estimated maximum La /tb for MESFET designs using different material systems. τp /τi = 0.1 and α = 0.1 are used for the calculations

Letting Cgd = α1 Cgs and assuming   Rds Cgd  τi , Cgs ≈ s Lg + XD Wg /tb

(2.76)

√  vs α1 2 La τp τi ≈ Ec μ tb

(2.77)

(2.74) becomes

where tb is the barrier thickness in a HEMT or the depletion depth below the gate in a MESFET. When the operating frequency is increased, tb is decreased (see Sect. 8). From (2.77), La must decrease by the same factor if the same τp /τi is desired [31]. Once the desired τp /τi has been determined, the maximum La can be calculated. Figure 2.15 shows the calculated maximum allowable La /tb for several different semiconductors assuming a desired τp /τi = 0.1 and α1 = 0.1. The mobility at a doping level of 1018 cm−3 was used for the different materials shown in Fig. 2.15. Also included in Fig. 2.15 is La /tb for an AlGaN/GaN HEMT with a typical room temperature mobility of 1500 cm2 /Vs. With the exception of AlN, a MESFET made from any of the material systems shown in Fig. 2.15 requires a smaller La /tb compared to a GaN HEMT. A larger La /tb is desired from a process control and capabilities perspective. Therefore, material systems that can produce HEMT structures are highly desirable from a performance and a processing standpoint. Note that the drain and source ohmic contact resistance was not taken into account and would reduce the maximum La /tb values. Additionally, the impact of mobility on intrinsic fT would also reduce the maximum La /tb values. The impact of mobility on intrinsic fT requires taking into account the mobility (or velocity) as a function of position in the channel instead of assuming saturation velocity within the intrinsic device. Such calculations require self-consistent simulations.

28

R. L. Coffie

Although low field mobility effects are important to consider, they cannot explain the difference between the experimental output powers values and the predicted output power for AlGaN/GaN HEMTs in Fig. 2.10. Thus, something else still not considered is limiting the output power.

7 Channel Temperature Considerations Power amplifiers often dissipate large amounts of power during operation. The dissipated power (Pdiss ) leads to a temperature rise in the channel that degrades performance and lifetime. Thus, thermal effects should also be considered when searching for new materials for high power applications. The difficulty in comparing channel temperatures of different material systems is that the thermal aspects of the device can be engineered to a large extent. Engineering for thermal can be costly and most RF semiconductors still use heat extraction through the backside of the chip as the dominant way of cooling the device. Therefore, we will assume heat is removed through the backside for our comparison. The expression for dissipated power can be obtained from thermodynamic considerations. The power being delivered to the device must either become power delivered to the load or converted into heat. For a power amplifier, we have Pin + PDC = Pdiss + Pout

(2.78)

 Writing Pin = Pout Gp leads to Pdiss



Pout 1 1− = PDC (1 − P AE) = PDC 1 − PDC Gp

(2.79)

where P AE is the power added efficiency of the device and measures how much DC power is being converted into AC output power. Note that 50 % P AE means that half of the DC power is being delivered to the load and the other half is being converted into heat in the device. Thus, high power gain is needed to maximize P AE and minimize dissipated power. In order to determine the junction temperature of the transistor which allows a thermal comparison, we make the following assumptions. First, the material is assumed to have a temperature independent uniform thermal conductivity. Temperature dependence of the thermal conductivity can be incorporated using Kirchhoff’s transformation [18], but we will ignore this correction. Since thermal conductivity generally decreases with increased temperature (at least in our temperature range of interest), ignoring this correction gives an optimistic (lower) estimation of the junction temperature. Uniform thermal conductivity assumes the substrate and active layers are the same material. This is not always the case and we will revisit this point later. Second, we will assume the heat source is located at the surface of the chip and has a power density distribution p[y] that is zero outside of the gate

2 High Power High Frequency Transistors: A Material’s Perspective

29

  finger and equal to Pdiss / Wg Lg within the gate finger. As discussed previously, we will assume that heat is only extracted through the backside of the chip (no heat exchange through the top or the sides of the chip). The backside of the chip is assumed connected to a perfect heat sink at temperature TA . To reduce the amount of mathematics while bringing out the main physics, we compare the steady-state temperature of a single finger device at the center of the die and assume the gate width is much larger than the gate length (Wg  Lg ) allowing 2D analysis to be sufficient. The differential equation for heat conduction is ∇ 2 T (x, y, z, t) +

g (x, y, z) 1 ∂T (x, y, z, t) = κth α ∂t

(2.80)

where g[x, y, z] is the rate at which heat is generated at point (x, y, z), α is the thermal and  diffusivity,   κth is the thermal conductivity. By assuming 2D is sufficient ∂ 2 T ∂z2 = 0 , the heat source is at the surface (g = 0), and steady state (∂T ∂t = 0), (2.80) reduces to ∂ 2T ∂ 2T + =0 ∂x 2 ∂y 2

(2.81)

Let the die have a length a, width b, and thickness c as shown in Fig. 2.16. The boundary conditions for the coordinate system of Fig. 2.16 are

Fig. 2.16 (a) 3D view of a semiconductor die on a heat sink with coordinate axis and geometric definitions. (b) 2D view of a semiconductor die on a heat sink with geometric definitions

30

R. L. Coffie

∂T

∂T

= 0, κth = −p [x] , T |y=c = TA ∂x x=0,b ∂y y=0

(2.82)

Assuming T [x, y] is composed of the two independent functions T [x, y] = g [x] h [y]

(2.83)

1 ∂ 2g 1 ∂ 2h + =0 g ∂x 2 h ∂y 2

(2.84)

(2.81) becomes

Each term in (2.84) is a function of a different independent variable. Therefore, the only way (2.84) can be satisfied is if each individual term is equal to a constant. 2 1 ∂ 2g 2 1∂ h = −ν , = ν2 g ∂x 2 h ∂y 2

(2.85)

The solutions to the above equations are g [x] = A cos [νx] + B sin [νx] h [y] = C cosh [νy] + D sinh [νy]

(2.86)

g [x] = A + Bx, h [y] = C + Dy

(2.87)

for ν = 0 and

for ν = 0. The most general solution is a sum of the above solutions where ν and the coefficients A, B, C, and D can be different T [x, y] =

∞ 

gn [νn , x] hn [νn , y]

(2.88)

n=0 A0 + B0 x, An cos [νn x] + Bn sin [νn x] , n = 0

(2.89)

n=0 C0 + D0 y,

0 Cn cosh [νn y] + Dn sinh [νn y] , n =

(2.90)

n=0

where  gn [νn , x] = and  hn [νn , y] =

2 High Power High Frequency Transistors: A Material’s Perspective

Applying the boundary conditions and the normalization condition  b gn [x]2 dx = 1

31

(2.91)

0

results in

⎧  ⎨ 1 b, n = 0  , νn = nπ b, Bn = 0, An =   ⎩ 2 b, n = 0

(2.92)

⎧ ⎪ ⎪ ⎨

√ pLg c TA b + n=0 √ , κth b  , Cn =    sinh [νn c] 2p ⎪ 1 ⎪ , n = 0 ⎩ 2 gn νn , xf sin 2 νn Lg cosh [νn c] νn κth

(2.93)

and ⎧ ⎪ ⎨

 √  −pLg κth b , n=0 Dn =      2p ⎪ ⎩ − 2 gn νn , xf sin νn Lg 2 , n = 0 νn κth

(2.94)

where xf = b/2. With the constants determined, the temperature distribution is   ∞ pLg (c − y) p  cos [2νn x] sin νn Lg sinh [2νn (c − y)] + kth b kth b cosh [2νn c] (−1)n νn2 n=1 (2.95) The above solution is an exact 2D solution, but an accurate answer requires an extremely large number of terms. We are mainly interested in the peak temperature which occurs at the center of the heat source xf . A faster converging series can be developed for the peak temperature by using the identity T [x, y] = TA +

tanh [z] = 1 −

2 1 + e2z

(2.96)

and the infinite series   2 ∞       sin νn Lg b = Im Li2 exp j π Lg b 2 π νn

(2.97)

n=1

where Li2 [ ] is the dilogarithm function [35] to convert the slow converging portion of the series in (2.95) into closed form. The resulting faster converging series for the temperature rise ( T = T − TA ) at the center of the finger is    pLg  

T b 2, 0 = f1 Lg , c, b kth

(2.98)

32

R. L. Coffie

Fig. 2.17 κth,GaN /κth for the different materials in Table 2.1

-Ga2O3

GaAs

Si GaN

AlN 4H-SiC Diamond

where  c  b f1 Lg , c, b = + b Lg π 2

 !  ∞      2 sin νn Lg j π Lg b   − Im Li2 e (2.99) n2 1 + e2νn c n=1

From (2.98) the to   the linear dissipated  temperature rise is directly proportional power density pLg = Pdiss /Wg and a factor f1 Lg , c, b that depends only on geometry and is inversely proportional to thermal conductivity. Assuming the same linear dissipated power density and geometry, a comparison of the temperature rise normalized to GaN is shown in Fig. 2.17. The values listed in Fig. 2.17 indicated how much larger the temperature rise would be for that material compared to GaN. For example, β-Ga2 O3 is expected to have a temperature rise greater than 8× that of GaN and diamond will have a temperature rise about 10× lower than GaN. Comparing GaN to GaAs shows the development of GaN-based electronics resulted in not only an electrical improvement, but also a 4× thermal improvement. This important improvement is often over looked and will be shown to have a significant impact on power density. To obtain the most optimistic prediction for the temperature rise in the device, we develop what we will call the wide die limit.  When the  width of the die is much larger than the gate length and die thickness b  c, Lg , the boundary conditions at x = 0 and x = b have little impact on the temperature rise. If we let b → ∞ and work in the complex plane where z = x + jy, the Green’s function GT [z, z0 ] for this case is the same as a point charge located midway between two parallel grounded conductors. Let conductor 1 coincide with the real axis and conductor 2 be 2c above the real axis. The point charge is then located at z0 = x0 + j c. The Green’s function is obtained by conformal mapping as [28] "

"    ## 1 + j exp π (x0 − z) (2c) −p    GT [z, x0 + j c] = Re ln π kth 1 − j exp π (x0 − z) (2c)

(2.100)

2 High Power High Frequency Transistors: A Material’s Perspective

33

If we let the center of the gate be x = 0, the peak temperature rise is obtained by setting z = j c and integrating the Green’s function from x0 = −Lg /2 to x0 = Lg /2 to obtain     pLg  f2 Lg , c

T b 2, 0 = κth

(2.101)

where   c f2 Lg , c = Lg

1−

2 π 2 eπ Lg



 −π Lg /(2c) 1  e , 2, 2 /(4c)

(2.102)

and [ ] is the Lerch transcendent function [36]. A useful approximation for f2 can obtained by the first term of its Maclaurin series for Lg /c < 1 of 



1 f2 Lg , c ≈ π



8c , Lg /c < 1 1 + ln π Lg

(2.103)

Since we are considering high frequency devices, an upper bound of 1 μm for Lg and a lower bound of 200 μm for b are reasonable. A comparison of the wide die limit (2.101) and the exact solution (2.98) as a function of c/b for Lg /b = 0.005 is shown in Fig. 2.18. Less than 1% error is obtained for c/b < 0.37. For smaller Lg /b values, the c/b range that has less than 1% error increases. Typical microwave devices have a b value that is at least 3× greater than c. Therefore, the wide die limit is a good approximation for microwave geometries. Many of the wide band gap semiconductors with larger band gaps than GaN have poorer transport properties (mobility and saturation velocity) and thermal

c/b Fig. 2.18 Comparison of the true solution (2.98) and the wide die approximation (2.101) as a function die thickness to die width (c/b)

34

R. L. Coffie

Lg/c Fig. 2.19 f2 as a function of Lg /c showing that minimum temperature rise requires large Lg /c

conductivity compared to GaN, but higher breakdown fields than GaN. To compensate for the poor transport properties of these semiconductors, highly scaled devices have been proposed. Scaling the devices to achieve the frequency performance  will only exacerbate the thermal problem in these devices. The dependence of f Lg , c 2   as a function Lg c is shown in Fig. 2.19. The largest possible Lg c is required in order to minimize the temperature rise. When devices are scaled for higher frequency performance, Lg is typically reduced much more than c resulting in a  smaller Lg c value. To gain an appreciation for the temperature rise in power amplifiers, consider the case where c = 1 μm, Lg = 0.1 μm, pLg = 10 W/mm, and κth = 2.5 W/cmK (the thermal conductivity of GaN). Although c = 1 μm is much smaller than typical values, with transfer printing methods that are beginning to be used [20], c = 1 μm may be possible. In the wide die limit we obtain T ≈ 54 ◦ C. In contrast, for the same conditions we have T ≈ 450 ◦ C for β-Ga2 O3 . Clearly thermal management is a real barrier for β-Ga2 O3 to become a useful high power RF amplifier technology. On the other side of the spectrum, a diamond transistor would have T ≈ 5.9 ◦ C. Thus the thermal advantages of diamond are clear. Even if diamond cannot overcome its carrier transport challenges, incorporating diamond as a heat spreader would still make it useful. Transistor performance and lifetime typically degrade with increased channel temperature. Therefore, a maximum junction temperature will exist and impose a constraint on the maximum output power. From (2.79), dissipated power in terms of output power can be written as Pdiss = Pout

1 − P AE DE

where DE is the drain efficiency defined as

(2.104)

2 High Power High Frequency Transistors: A Material’s Perspective

DE = Pout /PDC

35

(2.105)

Assuming the large die limit is valid, (2.101) allows expressing the maximum output power due to thermal constraints as κth Pout,max   = Wg f2 Lg , c



DE 1 − P AE



Tmax [b/2, 0]

(2.106)

Output Power (W/mm)

We can now explain the large discrepancy observed in Fig. 2.10 between the predicted output power and the experimentally observed output power at lower frequencies from AlGaN/GaN HEMTs. To estimate the maximum output power due to thermal constraints for AlGaN/GaN HEMTs, we use reasonable maximum values for PAE and junction temperature of 80% and 250 ◦ C, respectively. At lower frequencies, power gain is large enough so that P AE ≈ DE. The value of Lg /c changes from 1 to 100 GHz but is ∼0.008 below 4 GHz and decreases to ∼0.002 for 40–100 GHz. Based on these assumptions, an optimistic estimated thermal limit of about 100 W/mm is obtained for GaN. It should be emphasized that the estimated thermal limit is for continuous wave operation. Pulsed RF output power will have a higher thermal limit. Figure 2.20 is the same as Fig. 2.10 except now the estimated thermal limit line is included. Below ∼50 GHz, thermal constraints will clearly limit output power before electrical constraints. Despite GaN having a relatively high thermal conductivity, AlGaN/GaN HEMTs are nearing the output power limit based on thermal constraints. Therefore, any semiconductor that expects to produce a higher output power than AlGaN/GaN HEMTs must do so with either less dissipated power, have a better thermal conductivity than GaN (if heat is to be extracted

Thermal Limit

Frequency (GHz) Fig. 2.20 Power density predicted by (2.45), an approximate thermal limit based on (2.106), and experimentally achieved power densities from AlGaN/GaN HEMTs. Below ∼50 GHz, thermal constraints will clearly limit output power before electrical constraints

36

R. L. Coffie

through the backside), or use a different method for extracting the heat from the device. Based on the estimated thermal limit, improvement in critical electric field without a thermal improvement will not lead to a significant improvement in output power for frequencies below ∼50 GHz. It is also important to note that if GaN did not have improved thermal conductivity compared to GaAs, then the thermal limit for output power would only be about 20 W/mm.

8 Heterojunction Advantages Up to this point, we have discussed uniform material systems. Significant improvements in performance can be obtained by allowing different regions of the transistor to be composed of different materials. When two or more materials are used to construct a device, we call it a heterostructure. The first patent filed for a heterostructure structure was in 1948 [26] and the theoretical advantages of heterojunction bipolar transistors were clearly detailed in 1957 [15]. Despite the advantages offered by heterostructures, new growth techniques would need to be invented before suitable heterostructures for transistors could be made. Fifteen years would pass before the first AlGaAs/GaAs bipolar transistor was demonstrated in 1972 [4] and an additional 8 years for the first high electron mobility transistor in 1980 [21]. Since then, heterostructures have truly revolutionized semiconductor electronics. In this section we will focus on three primary advantages heterostructures offer FETs. Although we will not discuss detailed HEMT design approaches (as each HEMT will have unique design considerations depending on application and materials being used), common HEMT themes will be highlighted. For FETs, heterostructures offer a large improvement in low field mobility. As discussed in Sect. 6, when carriers and ionized dopants exist in the same location, ionized impurity scattering can significantly degrade the low field mobility. Heterostructures allow the doped region to be spatially separated from the region occupied by free carriers. As a result, ionized impurity scattering is significantly decreased and low field mobilities that are equivalent to undoped bulk low field mobilities are possible. Due to the increased mobility, FETs that use this design principle are called high electron mobility transistors (HEMTs). Material structures and equilibrium band diagrams for the first 100 nm of semiconductor under the gate of a typical AlGaAs/GaAs HEMT design and a typical AlGaN/GaN HEMT design are shown in Fig. 2.21. In both cases, there is a discontinuity in the conduction band at the heterostructure interface that is a key feature for all HEMT designs. The conduction band discontinuity allows confinement of the channel electrons at the heterojunction interface. Additionally, the source of electrons is located away from this junction. For the AlGaAs/GaAs HEMT, there is a doped layer in the AlGaAs that supplies the electrons that is separated from the channel by a thin undoped AlGaAs layer. For the AlGaN/GaN HEMT, the source of electrons are surface states as previously discussed. The confinement of electrons at the heterostructure interface results in a sheet like charge of electrons that is often referred to as a 2D electron gas (2DEG). The 2DEG is another key feature for all HEMT designs.

2 High Power High Frequency Transistors: A Material’s Perspective

37

Fig. 2.21 Material structures and equilibrium band diagrams for the first 100 nm under the gate of an AlGaAs/GaAs HEMT and AlGaN/GaN HEMT

Mobility in both of these structures either meets or exceeds the bulk intrinsic mobility of the channel layer despite having sheet charge densities comparable to what a MESFET design would use. Next we discuss the advantages of heterostructures for high frequency operation. To translate a transistor design with an upper frequency limit of fa to an upper frequency limit of fb , scaling rules are typically used [32]. An example of a scaling rule is the aspect ratio rule that states the gate length should be at least 5× the distance from the gate to the bottom of active channel [23]. Let the gate sit on top of the active region and let the active region have a thickness a0 . The aspect ratio rule is Lg /a0 > 5

(2.107)

The aspect ratio rule ensures that the gate has proper electrostatic control over the channel. If the aspect ratio is not met, the x-component of the electric field near the source side of the gate becomes a sensitive parameter of the drain voltage and the device is said to be suffering from short channel effects. This in turn leads to a decrease in the output resistance (Rds = 1/gds ) in the model depicted in Fig. 2.14. As a result, fT is impacted as indicated by (2.72). Short channel effects can also impact Pout and DE. Let the short channel effects result in a current βImax (instead of zero) when vDS = VBD . The output power and DC power become

38

R. L. Coffie

Pout = Imax (1 − β) (VBD − Vk ) /8,PDC = Imax (1 + β) (VBD + Vk ) /4 (2.108) As a result, the drain efficiency is DE =

1 (1 − β) (VBD − Vk ) 2 (1 + β) (VBD + Vk )

(2.109)

which is (1 − β) / (1 + β) smaller than a device that has zero current at VBD . To conform to the aspect ratio rule, a planar MESFET must reduce the thickness of its doped channel when Lg is reduced for higher frequency operation. In order to maintain Imax , doping density must then be increased, which in turn reduces mobility. Since the aspect ratio is only concerned with the active layer under the gate, a way around this trade-off is to use a gate recess where the doped layer thickness under the gate is thinner than the doped layer in the access regions. Gate recess adds process complexity, but is commonly used in many transistor designs. On the other hand, the barrier thickness of a HEMT can be thinned down to tens of nanometers before any significant impact on the 2DEG density occurs. Therefore, the aspect ratio rule is much easier to meet without impacting other parameters for HEMTs compared to MESFETs. As a result, HEMTs are more easily scaled for high frequency operation than MESFETs. The last heterostructure advantage we will discuss for FETs is the potential for engineering a layer in a specific location of a device for a specific purpose. For example, the highest electric field in the transistor is often formed near the drain edge of the gate metal touching the semiconductor. Thus, it would be useful to have the gate metal sit on a material that has a high critical field. Additionally, inserting a material with high thermal conductivity under the channel should lower the channel temperature and improve the thermal limit for output power. In practice, arbitrary materials cannot be combined, but occasionally, nature is kind. For example, growing a wider band gap barrier layer that has a higher critical field than the channel for the gate metal to sit on is used for most HEMT designs. This leads to higher breakdown voltages than possible with uniform materials. Another example is the use of a SiC substrate for most RF AlGaN/GaN HEMTs. Although there are defective layers grown on top of the SiC that have reduced thermal conductivity [19], the high thermal conductivity of SiC greatly reduces the thermal resistance of AlGaN/GaN HEMTs. The dream of combining arbitrary materials is still very much alive and being pursued through wafer bonding. If wafer bonding of two very different materials can result in a device quality heterojunction, the design space for heterostructures will basically be unlimited. Having the advantages of heterostructure design cannot be understated, but trying to formulate heterostructure advantages into a figure of merit is difficult. The primarily difficulty is that heterostructure advantages are highly dependent on the semiconductor device type. Therefore, the key criteria is simply if heterojunctions are possible for the proposed material system to be developed. Heterostructures are possible in many material systems, but unfortunately, this is not the case for diamond. To date, a suitable heterostructure has not been formed with diamond. If a

2 High Power High Frequency Transistors: A Material’s Perspective

39

diamond heterostructure could be made, then new paths may emerge to eliminating the trade-off between charge density and the poor transport properties observed in today’s diamond electronics. AlN can form a heterostructure with AlGaN and GaN. Therefore, the use of AlN in heterostructure designs for HEMTs is viewed an extension of today’s AlGaN/GaN HEMTs. As GaN-based transistors continue to push towards higher frequency operation, new wide band gap barriers layers are being researched. These new barrier layers include AlN, ScAlN, and InAlN. Only time will tell which barrier will become the barrier of choice for high frequency GaN-based HEMTs.

9 Discussion Our analysis has shown that Johnson’s figure of merit is important for high power transistors since output power density is proportional to JFoM2 . In addition to JFoM, low field mobility, the ability to engineer free carriers in the semiconductor, thermal constraints, and the ability to form heterojunctions are all important to a semiconductor’s success as a high power high frequency device. We can now rate all the semiconductors in Table 2.1 against these different criteria. We will set the bar of Pout = 25 W/mm at an operating frequency of 50 GHz. With an assumed α = 0.3, Re [Zin ] = 1 -mm, and GP = 10, (2.45) requires a JFoM of at least 7.5 × 1012 THzV. For low field mobility, a minimum of 500 cm2 /Vs is used. Also, the thermal constraints should not limit Pout to below 25 W/mm. Finally, the ability to engineer free carriers at room temperature or form heterojunctions is just a yes or no. Table 2.4 summarizes the capabilities for the semiconductors in Table 2.1. If the semiconductor can meet the criteria set for a column, then a checkmark is placed, otherwise it is left blank. Several things to note from Table 2.4. First, diamond has a high intrinsic mobility, but shallow dopants do not exist. Experimentally, diamond can form large hole sheet densities at the surface, but the mobility of the surface channel is poor. Thus, diamond can have a checkmark in either the μ column or free carriers column, but not both. Next GaN and AlN are the only two semiconductors

Table 2.4 Comparison summary Material Si GaAs GaN 4H-SiC AlN Diamond β-Ga2 O3

JFoM >7 THzV

    

μ >500 cm2 /Vs     

Pout thermal >25 W/mm     

Free carriers       

Heterojunctions     

40

R. L. Coffie

with all columns checked in Table 2.4. This assumes AlN is being used as the barrier layer for a GaN-based HEMT. Since GaN has all columns checked, it should be the semiconductor most capable of producing high power high frequency devices. The success of GaN-based HEMTs clearly proves this point. The one deficiency that GaN-based electronics has is the lack of a shallow p-type dopant. This deficiency has prevented bipolar GaN-based electronics from becoming useful. A few of other important points from the analysis should be emphasized. First, GaN has a very high JFoM that results in little room for improvement in output power by developing new materials that only have larger critical fields. From Fig. 2.7, this is optimistically about a 6× improvement. Even if the electrical limit is 6× higher, the thermal limit must also be improved in order to observe the improvement. At 10 GHz, the thermal limit of GaN is about 20× smaller than the electrical limit. Thus improving the thermal limit has a much higher potential payoff than developing a new material system for 10 GHz operation. Another area of opportunity is in new device designs that create an electric field profile that approaches the ideal electric field profile assumed by Johnson. This is equivalent to improving α so that it is closer to unity. Increasing α from 0.3 to 0.6 would increase the electrical limit by a factor of 4. This is a similar electrical improvement expected from either AlN or diamond. Based on this analysis, the next generation high power high frequency semiconductor will have to have all the boxes checked in Table 2.4 and have significant improvements in the thermal limit if it is going to replace GaN-based electronics. Acknowledgements This work was funded by ONR grant N00014-18-1-2709, monitored by Dr. Paul Maki.

References 1. B.J. Baliga, Semiconductors for high-voltage, vertical channel field-effect transistors. J. Appl. Phys. 53(3), 1759–1764 (1982) 2. B.J. Baliga, Power semiconductor device figure of merit for high-frequency applications. IEEE Electron Device Lett. 10(10), 455–457 (1989) 3. A. Daicho, T. Saito, S. Kurihara, A. Hiraiwa, H. Kawarada, High-reliability passivation of hydrogen-terminated diamond surface by atomic layer deposition of Al2 O3 . J. Appl. Phys. 115(22), 223711 (2014) 4. W.P. Dumke, J.M. Woodall, V.L. Rideout, GaAs-GaAlAs heterojunction transistor for high frequency operation. Solid-State Electron. 15(12), 1339–1343 (1972) 5. D. Fanning, A. Balistreri, E. Beam III, K. Decker, S. Evans, R. Eye, W. Gaiewski, T. Nagle, P. Saunier, H.-Q. Tserng, High voltage GaAs pHEMT technology for S-band high power amplifiers, in CS MANTECH 2007 Digest (2007) 6. K. Hirama, H. Takayanagi, S. Yamauchi, J.H. Yang, H. Kawarada, H. Umezawa, Spontaneous polarization model for surface orientation dependence of diamond hole accumulation layer and its transistor performance. Appl. Phys. Lett. 92(11), 112107 (2008) 7. A.Q. Huang, New unipolar switching power device figures of merit. IEEE Electron Device Lett. 25(5), 298–301 (2004) 8. B. Hughes, P.J. Tasker, Bias dependence of the MODFET intrinsic model elements values at microwave frequencies. IEEE Trans. Electron Devices 36(10), 2267–2273 (1989)

2 High Power High Frequency Transistors: A Material’s Perspective

41

9. J.P. Ibbetson, P.T. Fini, K.D. Ness, S.P. DenBaars, J.S. Speck, U.K. Mishra, Polarization effects, surface states, and the source of electrons in AlGaN/GaN heterostructure field effect transistors. Appl. Phys. Lett. 77(2), 250–252 (2000) 10. E.O. Johnson, Physical limitations on frequency and power parameters of transistors. RCA Rev. 26, 163–177 (1965) 11. M. Kasu, T. Oishi, Recent progress of diamond devices for RF applications, in 2016 IEEE Compound Semiconductor Integrated Circuit Symposium (CSICS) (IEEE, 2016) 12. M. Kasu, K. Ueda, H. Ye, Y. Yamauchi, S. Sasaki, T. Makimoto, 2 W/mm output power density at 1 GHz for diamond FETs. Electron. Lett. 41(22), 1249–1250 (2005) 13. R.W. Keyes, Figure of merit for semiconductors for high-speed switches. Proc. IEEE 60(2), 225–225 (1972) 14. I.-J. Kim, S. Matsumoto, T. Sakai, T. Yachi, New power device figure of merit for highfrequency applications, in Proceedings of International Symposium on Power Semiconductor Devices and IC’s: ISPSD ’95 (Inst. Electr. Eng. Japan, 1995), pp. 309–314 15. H. Kroemer, Theory of a wide-gap emitter for transistors. Proc. IRE 45(11), 1535–1537 (1957) 16. P.H. Ladbrooke, MMIC Design GaAs FETs and HEMTs (Artech House, Boston, 1989) 17. D.S. Lee, Z. Liu, T. Palacios, GaN high electron mobility transistors for sub-millimeter wave applications. Jpn. J. Appl. Phys. 53(10), 100212 (2014) 18. W. Liu, Handbook of III–V Heterojunction Bipolar Transistors (Wiley, New York, 1998) 19. A. Manoi, J.W. Pomeroy, N. Killat, M. Kuball, Benchmarking of thermal boundary resistance in AlGaN/GaN HEMTs on SiC substrates: implications of the nucleation layer microstructure. IEEE Electron Device Lett. 31(12), 1395–1397 (2010) 20. D.J. Meyer, B.P. Downey, D.S. Katzer, N. Nepal, V.D. Wheeler, M.T. Hardy, T.J. Anderson, D.F. Storm, Epitaxial lift-off and transfer of III-N materials and devices from SiC substrates. IEEE Trans. Semicond. Manuf. 29(4), 384–389 (2016) 21. T. Mimura, S. Hiyamizu, T. Fujii, K. Nanbu, A new field-effect transistor with selectively doped GaAs/n-Alx Ga1−x As Heterojunctions. Jpn. J. Appl. Phys. 19(5), L225–L227 (1980) 22. J. Orton, The Story of Semiconductors (Oxford University Press, Oxford, 2004) 23. R.A. Pucel, H.A. Haus, H. Statz, Signal and noise properties of Gallium Arsenide microwave field-effect transistors, in Advances in Electronics and Electron Physics (Elsevier, Amsterdam, 1975), pp. 195–265 24. M. Riordan, L. Hoddeson, Crystal Fire: The Birth of the Information Age (W. W. Norton & Company, London, 1997) 25. K. Shenai, R.S. Scott, B.J. Baliga, Optimum semiconductors for high-power electronics. IEEE Trans. Electron Devices 36(9), 1811–1823 (1989) 26. W. Shockley, Circuit elements utilizing semiconductive material. U.S. Patent 2,569,347, 25 Sept 1951 27. J. Singh, Physics of Semiconductors and Their Heterostructures (McGraw-Hill, New York, 1993) 28. W.R. Smythe, Static and Dynamic Electricity (McGraw-Hill, New York, 1968) 29. M. Sotoodeh, A.H. Khalid, A.A. Rezazadeh, Empirical low-field mobility model for III–V compounds applicable in device simulation codes. J. Appl. Phys. 87(6), 2890–2900 (2000) 30. S.M. Sze, Physics of Semiconductor Devices, 2nd edn. (Wiley, New York, 1981) 31. P.J. Tasker, B. Hughes, Importance of source and drain resistance to the maximum fT of millimeter-wave MODFETs. IEEE Electron Device Lett. 10(7), 291–293 (1989) 32. J.L.B. Walker, High-Power GaAs FET Amplifiers (Artech House, Norwood, 1993) 33. J.L.B. Walker, Extension of the Cripps technique to transistors with feedback, in 32nd European Microwave Conference, 2002 (IEEE, 2002) 34. H. Wang, F. Wang, J. Zhang, Power semiconductor device figure of merit for high-powerdensity converter design applications. IEEE Trans. Electron Devices 55(1), 466–470 (2008) 35. E.W. Weisstein, Dilogarithm. http://mathworld.wolfram.com/dilogarithm.html 36. E.W. Weisstein, Lerch Transcedent. http://mathworld.wolfram.com/lerchtranscendent.html 37. Y.-F. Wu, M. Moore, A. Saxler, T. Wisleder, P. Parikh, 40-W/mm Double Field-plated GaN HEMTs, in 2006 64th Device Research Conference (IEEE, 2006).

Chapter 3

Isotope Engineering of GaN for Boosting Transistor Speeds J. Khurgin and D. Jena

1 Introduction The speed of a transistor is limited by a combination of intrinsic material limits, such as effective electron velocity in a semiconductor, and extrinsic factors, such as parasitic capacitances and resistances necessary to access the intrinsic device. As described in several chapters of this book, the basic transistor cross section is shown in Fig. 3.1. The speed of the transistor is measured by the unity gain cutoff frequency fT and the power gain cutoff frequency fmax . The unity gain cutoff frequency is defined as fT = 1/(2 π τ total ), where the total delay in the transistor is given by the sum of the intrinsic delay and the drain and channel delays. The intrinsic delay is in a sense the transit time of the electron across the foot of the gate, given simply by τ intrinsic = LG /ve , where LG is the gate length and ve is the electron velocity. This chapter singularly focuses on the discussion of new means to increase the electron velocity ve , and thereby boost the transistor speed fT . Electrons can be made to move faster (ve ↑) by applying a higher force on them. This is practically achieved in a semiconductor by forming low-resistance tunnelingtype metal-semiconductor ohmic contacts, and then applying a large voltage across the metal electrodes. This imparts to the mobile electrons a high electric field. When the voltage is increased, the field experienced by the mobile electrons increases, and their velocity increases, but not indefinitely. There is, however, a limit to how high an electric field a semiconductor is able to sustain. Very high energy electrons in the J. Khurgin () Electrical and Computer Engineering, Johns Hopkins University, Baltimore, MD, USA e-mail: [email protected] D. Jena () Cornell University, Ithaca, NY, USA e-mail: [email protected] © Springer Nature Switzerland AG 2020 P. Fay et al., High-Frequency GaN Electronic Devices, https://doi.org/10.1007/978-3-030-20208-8_3

43

44

J. Khurgin and D. Jena

Fig. 3.1 Schematic of typical device dimensions, with the equivalent circuit model. The total delay time is the sum of intrinsic, drain, and channel delays

Fig. 3.2 A universal velocity-field curve and the current-voltage curve of a semiconductor. Note the linear “mobility” regime and the velocity saturation regime, followed by the breakdown regime. The central question of this chapter is: how can we improve the saturation velocity of mobile carriers in a semiconductor?

conduction band collide with the electrons in the valence bands that hold the solid together and ionize them upon impact. This “impact ionization” process can lead to an avalanche-like behavior, causing an electrical breakdown of the semiconductor, as indicated in Fig. 3.2. The fields at which impact ionization sets in determines the upper limit of how high a voltage a semiconductor can safely sustain. Thus, the electron velocity-field curves in semiconductors follow three “phases”: the (a) linear or mobility regime, where ve = μF, where μ is the electron mobility and F is the electric field; (b) the velocity saturation regime, where ve ∼ vsat , where vsat is the saturation velocity; and finally (c) the breakdown regime for F > Fcr for electric fields higher than the critical fields Fcr for impact ionization. As indicated in Fig. 3.2, the primary question one should start out with is the following: can we increase the saturation velocity vsat and as a result increase the current flow in any semiconductor for the same voltage by any materials innovations— without changing the semiconductor material? This may seem to be a rather strange question—what material innovation can there be if you cannot change the material

3 Isotope Engineering of GaN for Boosting Transistor Speeds

45

itself? In what follows, we discuss a surprising solution that originates from the ability to introduce isotopes of the atoms comprising the semiconductor to boost the speed of the transistor. Since this is an unconventional method, and is expected to work primarily for binary semiconductors that are made of atoms with large discrepancies of masses, we first discuss some basics of electron velocity saturation before introducing the new method.

2 Current Saturation The saturation of electric current in doped semiconductors was first observed in the early days of solid-state physics in high electric field transport measurements. It was mysterious at the time, because Ohm’s law and Drude’s model could only explain the linear behavior V = IR for metals and “standard” resistors. Though rectification in metal-semiconductor contacts was well known at the time, nonlinearity and saturation in “ohmic” resistors were uncommon. Figure 3.3 shows one of the earliest observations of current saturation at a high electric field in n-type-doped Germanium, measured by Ryder at Bell Labs. Shockley explained the saturation of current measured in Germanium by invoking the emission of optical phonons by nonequilibrium or “hot” electrons. In an early and influential paper [1], he explains that when electrons are accelerated to high velocities by the electric field, they collide with the atoms of the semiconductor. Since the atoms in a crystal lattice are equivalent to a periodic chain of masses and springs, the displacement of any single atom from its equilibrium site sets up vibrational waves that propagate through the entire crystal. Consider the simplest toy model: the vibrational mode frequency of a massspring system of mass √ M and spring constant K (Fig. 3.4) is obtained from Newton’s law as ω0 = K/M. If there were a large number N of independent oscillators of this kind, the total number of allowed vibrational modes is N, each of frequency ω0 and energy ω0 , and the vibrational density of states is simply ρ 0 (ω) = Nδ(ω − ω0 ). This is sharply peaked at a single frequency, as shown in

Fig. 3.3 Figure reproduced from one of the earliest experimental observation of the phenomenon of the saturation of electronic current observed in Germanium

46

J. Khurgin and D. Jena

Fig. 3.4 Mass-spring system of N mechanical oscillators. If all masses and springs are identical and the masses are not coupled to each other, there are N modes, all of the same frequency. If the masses vary, the number of modes remain the same, but the modes spread out in frequency

Fig. 3.4. On the other hand, if the oscillators were not identical and had, say, a spread of masses Mi → M ± Mi for the masses i = 1, 2, . . . N, the mode spectrum ρ(ω) will acquire a spread in frequency ω0 → ω0 ± ω, while maintaining the same total mode number N. To move the toy model closer to a semiconductor crystal lattice, consider the situation when there are two masses M1 & M2 in adjacent sites and these masses are connected to each other by springs. The two masses could be identical, or distinct, for example, Germanium has two identical Ge atoms in the primitive cell, and GaN has the distinct atoms Ga and N. Then, the wave propagation modes indexed by the phonon wave vector q = 2π /λ split into acoustic and optical branches. There are 2 transverse acoustic (TA) and 1 longitudinal acoustic mode (LA) branches, and 2 transverse optical (TO) and 1 longitudinal optical (LO) modes of vibrational waves. Each of these 6 phonon branches can have any wavelength that can fit in the crystal, meaning n · (λ/2) = L, where L is the macroscopic length and n is an integer. This implies the allowed phonon wave vectors are qn = n · (π /L). By analogy to each allowed mode of electromagnetic radiation in an optical cavity being called a photon, each allowed vibrational mode in a crystal lattice is called a phonon. Figure 3.5a shows the various vibrational modes of the crystal appear as the acoustic mode branch and the optical modes branch. The two branches meet at the Brillouin zone edge q = ± π /L if M1 = M2 , i.e., the two atoms are identical. If they are not, the acoustic and optical phonon branches split at the Brillouin zone edge. There are now two interacting systems that exchange energy: the mobile electrons in the semiconductor and the phonons or the lattice vibrations. When the field

3 Isotope Engineering of GaN for Boosting Transistor Speeds

47

Fig. 3.5 Figures reproduced [1] from W. Shockley’s 1950 explanation of the phenomenon of the saturation of electronic current observed in Germanium by invoking the principle of emission of phonons by hot electrons

m M E

E Egap TLO > Tac > Tsink , where Tsink is the temperature of the heat sink. From traditional scattering rate calculations, it is well known that the electronLO phonon scattering rate is much stronger than the electron-acoustic mode scattering rate for electrons of high kinetic energy. If by some means the hot electron temperature could be reduced, the electron velocity could potentially be increased. This is the main idea behind the introduction of isotope engineering. In this chapter, we consider the influence of hot nonequilibrium phonons on the properties of high-power GaN HFETs. The problem had been approached before by a number of researchers, yet to the best of our knowledge, there is still no

50

J. Khurgin and D. Jena

consensus on this matter. Part of this state of affairs can be traced to the fact that there exist no direct reliable measurements of phonon relaxation times τLO with the estimates result ranging anywhere from 5 ps to 500 fs, depending on temperature and electron density. The situation is further complicated by the fact that the measurements of τLO are usually performed optically which means that only the phonons near the center of Brillouin zone are characterized that can read to the serious underestimation of that lifetime as shown recently [10]. With the zone center LO phonon having energy of about 90 meV and the zoneedge LA phonon having energy that is less than 40 meV, i.e., less than a half of LO phonon energy, the normal Klemens channel for LO decay into two acoustic phonons is suppressed, and the LO phonon lifetime should be longer than in GaAs. So we now investigate rigorously the influence of hot phonons. Let us first state the overall conclusions of an in-depth rigorous analysis to provide the light at the end of the tunnel.

2.1 Main Results 2.1.1

The Effect of Non-equilibrium LO Phonons is Twofold

1. The presence of nonequilibrium LO phonons causes additional momentum scattering, thus reducing the drift velocity of electrons. We have found that this effect definitely exists, yet it is not as strong as believed in the past [11]. The reason for this is that majority of nonequilibrium LO phonons actually occupy states with a low wave vector. At high electron temperatures, the momentum transfer to and from these phonons simply cannot change the electron momentum considerably. The velocity shows only a relatively weak tendency towards saturation at high field [12], compared to the hard saturation predicted in earlier models. 2. The sheer fact of the presence of hot LO phonons indicates that the electrons cannot cool sufficiently fast. This causes a reduction in the effective energy dissipation rate by electrons and a sharp reduction in the drift velocity. The main idea can be simply illustrated by a Drude-like model of electron transport [13]. Newton’s law for conservation of momentum at an external field mc ve e F is −eF = mc dv dt − τm (Te ) , where τ m (Te ) is the electron momentum scattering rate dependent on the electron temperature. The equation for the conservation of energy ωLO is dE dt = (−eF ) ve − τE (Te ) , where τ E (Te ) is the rate of emission of optical phonons by the electrons, which depends in the electron temperature as well. The steady state solution of the energy and momentum conservation relations gives the drift velocity of the electron at a given electron temperature as % v=

& ωLO τm τm · = v0 , mc τE τE

(3.1)

3 Isotope Engineering of GaN for Boosting Transistor Speeds

51

√ where v0 = ωLO /mc ∼ 2.8 × 107 cm/s is a characteristic velocity for GaN. When the LO phonon lifetime is too long, the energy relaxation time τ E increases (the bottleneck effect), while the momentum relaxation time τ m decreases due to additional scattering by hot phonons. It is this “bottleneck” effect that is most detrimental to electron velocity and the speed of the transistor. It is this effect that we shall try to mitigate using, for instance, the isotopic disorder. Let us glimpse the final quantitative results of the rigorous analysis. Result 1: Velocity saturation at different carrier densities for a constant phonon lifetime of τ LO ∼3 picosecond. In Fig. 3.9a, the calculated electron drift velocity strongly depends on the electron carrier concentration. At very low carrier densities, √ the velocity does saturate at value relatively close to v0 = ωLO /mc ∼ 2.8 × 107 cm/s, expected from the most basic considerations. This result can be interpreted quite easily—if the temperature of electrons is high while the LO phonons are at equilibrium with the lattice, each time a LO phonon is emitted, the electron energy is reduced by ωLO and the average momentum is decreased to zero. Thus, the two relaxation times in Eq. (3.1) are essentially equal to each other, τ m ≈ τ E . This relatively high drift velocity represents the lofty promise of GaN technology, but, as we can see, at reasonably high carrier densities (i.e. reasonably high current densities) it is not achievable. The velocity even at high fields is lower than this value. In a typical GaN HEMT, the peak on-state electron density in the 2DEG channel is ∼1020 /cm3 , and approaches ∼1016 /cm3 near pinchoff. The main difference between these results and those of Ridley et al. is that according to our model no hard saturation ever occurs—and this result appears more in accordance with the experimental data [14, 15]. We will discuss why this is so—tied to the fact that while Ridley assumes that every phonon mode is occupied equally, the distribution of phonons is quite nonuniform. Result 2. To see the influence of LO phonon buildup and possibility of its mitigation, we assume that we can reduce the phonon lifetime tenfold to τ LO ∼0.3 ps.

Fig. 3.9 Electron velocity vs. electric field curves for different concentration of electrons in cm−3 . (a) The LO phonon lifetime τ LO is 3 ps. No hard saturation velocity is seen, and higher electron velocities are achieved for lower 3D electron concentrations. (b) The velocity-field curve for a 10× lower LO phonon lifetime τ LO of 0.3 ps

52

J. Khurgin and D. Jena

Fig. 3.10 (a) Velocity vs. field curves for different concentration of electrons assuming only the spontaneous LO emission Phonon lifetime is 3 ps. (b) Velocity-Field curves for three different phonon lifetimes. Dashed line—only spontaneous LO emission is considered when momentum relaxation rates are estimated. Dotted line—equal LO phonon assumption

The results are shown in Fig. 3.9b. According to this result, a tenfold reduction of the phonon lifetime can increase the velocity at a given field (say 100 kV/cm) by a factor of two near the on-state of the transistor, which is highly attractive to increase the transistor speed as well as the transconductance. In Fig. 3.10a, a slightly different plot is shown. Here we have assumed that the hot LO phonons do not play any role, i.e., we only have spontaneous LO phonon emission. Then, as expected, the drift velocities are increased compared to the case where the hot LO phonons are considered in Fig. 3.9a. But at the same time, the electric field in Fig. 3.10a for the large carrier concentration does not increase beyond ∼100 kV/cm because the electrons become excessively hot. This can limit the power of the device. Therefore, the most important task is to assure the reduction of the electron temperature and only then seek a reduction of the momentum scattering. This can be understood best from Fig. 3.10b in which we have plotted five curves for the velocity vs. field dependence. The solid lines represent the results of our model obtained for three different values of LO phonon lifetimes. The dashed line represents the assumption of no effect of the stimulated momentum scattering due to hot phonons with τ p = 3 ps, and the dotted line shows the velocity assuming equal population of all phonon modes (the Ridley-Eastman model). The electron concentration is assumed to be 5 × 1018 cm−3 . One can clearly see that the Ridley model predicts saturation and reduction of electron velocity at values less than seen in experiment. Second, the main effect of the long LO phonon lifetime is the excessive heating of the electrons and not the stimulated scattering processes caused by hot phonons. From these analyses, if the phonon lifetime could be reduced by a factor of 10, a large enhancement in the electron velocity and thus the transistor speed can be expected. Let us now look in depth into the problem. In the remaining part of this section, we describe the problem setup and go through each step systematically in the

3 Isotope Engineering of GaN for Boosting Transistor Speeds

53

Fig. 3.11 Setting up the energy transport problem and defining the temperatures that characterize the energy and the rates that characterize energy flow Fig. 3.12 Setting up the momentum and energy problem in the wave vector spaces of the electrons and of LO phonons

following sections. To obtain the net electron ensemble velocities as a function of the applied electric field, we follow the flow of energy and momentum quantitatively. The flow of energy is shown in Fig. 3.11. The energy input to the electrons is from the externally applied electric field F, which determines how they fill the allowed momentum space as indicated in Fig. 3.12. (a) Because electron-electron scattering events occur much faster than any other time scale in the problem, the electrons reach equilibrium among themselves, as characterized by a distribution function f (k, Te ) at an electron temperature Te . (b) The rate at which electrons emit optical phonons is evaluated using Fermi’s golden rule. The required matrix elements, and the rate of spontaneous and stimulated emission, and absorption of LO phonons in state q by an electron in state k are calculated as We (k, q) as indicated in Fig. 3.12. (c) The rate of decay of LO phonons in mode q is calculated as WLO (q). Its value dictates how far out of equilibrium the LO phonon distribution is out of equilibrium with the lattice. The occupation function of the LO phonon mode q is nq (TLO , TL ), which depends on the LO phonon effective temperature and the temperature of the lattice as indicated in Figs. 3.11 and 3.12. (d) By solving for the steady state solution of all the above processes, the effective electron velocity ve is found as a function of the driving electric field F. This

54

J. Khurgin and D. Jena

was the primary question we set out to solve. In this solution, we are also able to obtain the hot electron temperature Te , the effective “hot” LO phonon temperature TLO , and the lattice temperature TL , all as a function of F. (e) We will find that the introduction of a controlled isotopic disorder [16] will reduce TLO and will increase the electron velocity ve for any applied field F. This effect will be especially pronounced when the electron density ne is high, which is in the “on-state” of a field-effect transistor.

3 Derivation of the Electron-LO Phonon Interaction Hamiltonian In this section, we derive of the microscopic electron-LO phonon interaction Hamiltonian, which provides us the matrix elements that will be needed for the evaluation of phonon emission and absorption rates. The displacement of a phonon is % u=

   aq† + a−q 2MNV ωLO

(3.2)

where M is the reduced mass of ions and NV is the total number of primitive cells in the volume V. N is the concentration. The electric field of the phonon is Ne∗ e∗ E= u= ε∞ ε∞

%

  N aq† + a−q 2MV ωLO

(3.3)

  N aq† + a−q 2MV ωLO

(3.4)

Hamiltonian is eE ee∗ H = = q ε∞ q

%

Matrix element of Hamiltonian % % ∗ ∗ $ ( ' ee N N eE ee √ Mkk  = k |H | k  = = nq + 1 + n−q q ε∞ q 2MV ωLO ε∞ q 2MV ωLO (3.5) and the square of it is (   '  N e2 e∗2 2  Mkk nq + 1 − n−q  = k |H | k = 2 2 ε∞ q 2MV ωLO

(3.6)

3 Isotope Engineering of GaN for Boosting Transistor Speeds

55

To evaluate effective charge we use relation between the LO and TO frequencies:   e∗2 2 2 =N − ωTO M ωLO ε∞ 2 2 = ωLO ωTO

2 ωLO

1 1 − ε∞ εs

(3.7)

ε∞ εs

=

(3.8) N e∗2 2 Mε∞

(3.9)

Substitute (3.9) into (3.6) 2 Mklk  =

where

1 ε

=



1 ε∞



1 εs

  e2 ωLO  nq + 1 − n−q 2ε q 2 V

(3.10)



4 Evaluating the Probability of Scattering into the LO Phonon Mode q Form Fermi golden rule (with spin degeneracy), we obtain Wq = 2

  2 2π −1 Mk,k−q δ Ek − Ek−q − ωLO k     fk 1 − fk−q nq + 1 − fk−q (1 − fk ) nq

)

1  2 q 2 dϕ δ − 2m + = 0 0 −1     × fk nq + 1 − fk−q nq − fk fk−q e2 ωLO 1 ε q 2 4π 2

2π 

BZ 

k 2 dk

2 kq m

(3.11)



cos θ − ωLO d cos θ

Note that V had disappeared because summation over k involved multiplying by V. We neglect the very last term fk fk − q and use the fact that

2 q 2 2 kq δ − + cos θ − ωLO 2m m to obtain



q 2 + q02 m = 2 δ cos θ − 2kq  kq

! (3.12)

56

J. Khurgin and D. Jena

∞

e2 ωLO 1 Wq =  2 2π ε q 4π 2

m

kmin

=

e2 ωLO ε q 3

1 m 2π 2

∞

2 kq

    k 2 fk nq + 1 − fk−q nq dk (3.13)

    k fk nq + 1 − fk−q nq dk

kmin

Now let us deal with the decay rate ωLO μ     2 k 2 fk nq + 1 − fk−q nq = e kTe e− 2mkT e nq + 1 − nq e kTe

(3.14)

The Fermi level can be determined as μ

n = Nc e kT ;

μ

e kT = n/Nc =



3/2 n 2π 2 2 mkT

(3.15)

where Nc is the effective density of states. Now we have ωLO   2 k 2 e− 2mkT e kdk × nq + 1 − nq e kTe = kmin 2  3/2 2 kmin ωLO   e2 ωLO 1 m n 2π 2 mkT − 2mkT kT e e = e × nq + 1 − nq e = ε q 3 2π 2 2 mkT 2 2 2    kmin ωLO 1/2   2 πm = n eεω qLO × e− 2mkT e nq + 1 − nq e kTe 3 22 kT

Wq =

e2 ωLO 1 m n ε q 3 2π 2 2



2π 2 mkT

3/2 ∞ 

(3.16) Introduce the “central” wave vector—wave vector of an electron whose kinetic energy is equal to LO phonon energy. & q0 =

2mω0 = 0.068A−1 2

(3.17)

Then we can write the energy conservation as k 2 = k 2 − 2kq cos θ + q 2 + q02 k=

q 2 +q02 2q cos θ ; kmin

=

q 2 +q02 2q

=

q0 2



q q0

+

q0 q



(3.18)

3 Isotope Engineering of GaN for Boosting Transistor Speeds

57

Now we normalize all our wave vectors to q0 and energies to ωLO = obtain 1 4

2 2 kmin = 2mkT e



qr−1 + qr kTe

2 q02 2m

to

2 (3.19)

and overall Wq (Te ) =



nr qr3 (kT e )1/2 ×e

ωLO

  1 q −1 +q 2 r 4 r kTe

e4 m

1/2

9π 3 (ε )2 22 ωLO

   1 nq + 1 − nq e kTe

(3.20)

where we have normalized electron density to n0 = 3π1 2 q03 = 1.1 × 1019 cm−3 , the density of electrons with energies less than ωLO . All that is left is to evaluate the coefficient—it makes sense to introduce Rydberg energy as ER =

e4 m

= 13.6eV × 0.2/12.892 ≈ 16meV

32π 2 (ε )2 2

(3.21)

Then we can write coefficient C=

16ER 9π ωLO

1/2 ≈ 0.32

(3.22)

and finally Wq (Te ) =

nr ω qr3 (kT e )1/2 LO

× 0.32 × e



  1 q −1 +q 2 r 4 r kTe



  1 q −1 +q 2 r 4 r kTe

−1

nr

= 44ps −1 × 3 nr 1/2 e   qr (kT e ) 1 = Rq (Te ) nq + 1 − nq e kTe

   1 nq + 1 − nq e kTe =

   1 nq + 1 − nq e kTe =

(3.23)

where Rq (Te ) = 44ps

×

qr3 (kT e )1/2

e



  1 q −1 +q 2 r 4 r kTe

(3.24)

58

J. Khurgin and D. Jena

Fig. 3.13 (a) Phonon generation rates at different electron temperatures for n = 5 × 1018 cm3 . (b) Phonon population as a function of wave vector for different electron temperatures. Concentration n = 5 × 1018 cm−3

Figure 3.13a shows a typical example of Rq (Te ) for n = 5 × 1018 cm3 and three different temperatures. As one can see, the action is limited to a very narrow region of the Brillouin zone—basically less than 0.01% of its volume. The picture is, however, somewhat deceptive because the peak phonon generation rates are so high that even near the tail of the distribution the rates are sufficient to create substantial population of LO phonons.

5 Evaluation of the Phonon Population in Each Mode Next we shall find how many phonons exist in a given mode—just solve equation   n −n  1 dnq q qL = Rq nq + 1 − nq e kTe − =0 dt τp

(3.25)

  where nqL = 1/ e1/kT L − 1 is the thermal equilibrium population of LO phonons and τ p is the phonon lifetime. We obtain nq nqL nq = Rq 1 − −1 nqe τp nqL

(3.26)

and nq = nqe

βq + nqL βq + nqe

  where nqe = 1/ e1/kT e − 1 and β q (Te ) = Rq τ p .

(3.27)

3 Isotope Engineering of GaN for Boosting Transistor Speeds

59

Figure 3.13b shows the typical distribution patterns of LO phonons in the Brillouin zone for different electron temperatures, assuming TL = 450 K. Clearly, the region populated by hot LO phonons is broader than scattering rate—it simply has to do with the fact that as long as the phonon generation rate significantly exceeds the phonon decay rate of 3 ps−1 , the population of phonons will rise. Nevertheless, the volume of this region in wave vector space is still less than about 0.1% of the volume of the Brillouin zone. The dissipated power is then    nqe βq 1 − nqL /nqe 1 − nqL /nqe 1  Pq (Te ) = nq − nqL = = Rq nqe τp τp βq + nqe /nqL Rq τp + nqe (3.28) Our total heat dissipation rate is then P (Te ) = dq =

1 2π 2

 Pq (Te ) q 2 dq =

3ω0 n0 2τp



1 1 τp 2π 2



  nq − nqL q 2

  nq − nqL qr2 dqr

(3.29)

Finally, the energy relaxation rate per electron becomes −

3ω0 −1 ω0 ∂E = n (n, Te ) = ∂t τE 2τp r



  nq − nqL qr2 dq r

(3.30)

The results for the energy relaxation rate τE−1 are shown in Fig. 3.14a. One can see the significant decrease in the energy relaxation rate with concentration of electrons. Basically the small number of “active” LO phonon modes simply cannot provide enough cooling power to reduce the electron temperature.

Fig. 3.14 (a) Energy relaxation rates as a function of electron temperature. (b) Effective density of LO phonon modes Neff as a function of electron temperature for different electron concentrations

60

J. Khurgin and D. Jena

As a result, the maximum power one can obtain at given electron temperature is decreased. To get a better insight, we introduce an important parameter—number effective modes interacting with electrons, Neff , that can be found from the following relation   Neff (n, T ) · max nq (n, T ) − nL =



 nq (n, T ) − nqL dq 3

(3.31)

We have plotted Neff in Fig. 3.14b. As one can see, the density of effective phonon modes increases only by slightly more than 1 order of magnitude as the electron density increases by three orders of magnitude. The value of Neff never exceeds 1020 cm−3 by much—thus of more than 5 × 1022 available LO phonon modes only 0.2% participate in cooling the electrons. It makes sense then to plot the effective number of phonon modes per one electron as shown in Fig. 3.15. This is a very important experimental result—it basically indicates that at temperatures of 1000–3000 K, at low electron densities each electron loses heat to as many as a few hundred phonon modes, while at high electron densities that number is only about 10!

Fig. 3.15 Number of effective LO phonon modes per electron vs. electron temperature for different electron concentrations

3 Isotope Engineering of GaN for Boosting Transistor Speeds

61

Fig. 3.16 (a) Maximum LO phonons temperature relative to the electron temperature vs. the electron density. (b) Number of effective LO phonon modes per electron

Since the energy relaxation rates can then be written as τE−1 ≈ (kB (Te − TL ) /ωLO ) (Neff /n) τp−1

(3.32)

these ten modes can provide energy relaxation rate of no more than roughly 15 ps−1 , clearly too low to cool down the electrons. One can get further insight into this by simply plotting the temperature of LO phonons relative to the electron temperature,     max nq (n, T ) − nL / nq (n, T ) − nL ∼ (TLO − TL ) / (Te − TL )

(3.33)

in Fig. 3.16a. When this function approaches 1, we clearly have “phonon bottleneck,” indicating that electrons very quickly transfer their energy to the LO phonons but the latter cannot dissipate their energy quickly enough. Furthermore, this curve is strongly correlated with the curve for the effective density of phonon modes per one electron plotted in Fig. 3.16b, indicating that it is the scarcity of LO phonon modes available for dumping of the excess energy that causes overheating of the electron gas.

6 Momentum Relaxation Time Our next step is to establish the momentum relaxation rates. For the phonon emission, it is rather simple:

62

J. Khurgin and D. Jena

(e) Rmq =2

 k

 q cos θ    2 fk nq + 1 2π −1 Mk,k−q δ Ek − Ek−q − ωLO k

e2 ωLO 1 =  2 ε q 4π 2

2π

BZ 

dϕ 0

1 2 2  q 2 kq k dk δ − + cos θ − ωLO 2m m 2

−1

0

  q cos θ d cos θ × fk nq + 1 = k  

3/2 ∞   2 k 2 e2 ωLO q 2 + q02 1 m 1 2π 2 − 2mkT e k −1 dk × = e nq + 1 = 2π 2 2 mkT 2ε q 3 kmin

 



1/2 e2 ωLO q 2 + q02 1 2π 2 1 = Ei 1 4kT mkT 2 ε q 3

2 2 kmin 2mkT e

! ×

  nq + 1 (3.34)

where Ei 1 (x) =

∞ 

e−tx t −1 dt. After going to the relative units we obtain

1 (e)

Rmq = = =

  e2 ωLO q 2 +q02 ε q 3





1 4kT e

qr +qr−1 e2 ωLO 8kT e ε qr2 q0 ωLO  (e)  Wmq nq + 1

 

2π 2 mkTe

1/2

2π 2 mωLO

1 2 Ei 1

1/2

2 2 kmin 2mkT e



  nq + 1 

(kT e )−1/2 Ei 1

qr +qr−1 4kT e

2



 nq + 1 (3.35)

Similarly for the phonon absorption, where our momentum conservation rule works out as   (k + q)2 − k 2 = q02 and thus kmin = 12 qr − qr−1 which in the end leads us to (a) Rmq

=

=

  e2 ωLO q 2 −q02  3 εq

e2 ωLO ε qr2 q0 ωLO





1 4kT

 −1

qr −qr 8kT e



2π 2 mkTe

2π 2 mωLO

1/2

1/2

1 2 Ei 1

(kT e )

2 2 kmin 2mkT e

−1/2

nq



Ei 1

qr −qr−1 4kT e

2

(e) nq = Wmq nq

(3.36) So overall for the momentum relaxation time by one phonon we get

3 Isotope Engineering of GaN for Boosting Transistor Speeds

63

  (q) (e) nq + 1 + Wmq nq = lRmq = Wmq

1/2 e4 m ωLO 2π 2 = 3 (kT e )−1/2 q0 qr2 8π 3 ε 2 2 ωLO "  2 !

qr − qr−1 qr − qr−1 nq Ei 1 4kT e 2kT e #  2 !

 qr + qr−1 qr + qr−1  nq + 1 +Ei 1 4kT e 2kT e

(3.37)

This is a rather important result—it allows us to take a look at the contributions of different LO phonons. To better understand the influence of the hot phonons, we can break the momentum relaxation rate into two parts (eq)

(hot) Rmq = Rmq + Rmq

(3.38)

where the relaxation rate at equilibrium with lattice (eq)

(e) (a) (e) nL ≈ Wmq Rmq = Wmq (nL + 1) + Wmq

(3.39)

is mostly determined by the spontaneous LO phonon emission, and the hot phonon contribution is      (e) (e) (a) (a) (hot) nq nq − nL ≈ Wmq = Wmq + Wmq + Wmq (3.40) Rmq (e) 2 we have plotted the two contributions—Wmq qr and  In Fig. 3.17a,  (e) (a) 2 Wmq + Wmq qr , where we have multiplied by the square of the wave vector to account for the larger total number of states with larger wave vector. The electron temperature is 4000 K. As one can see, the momentum scattering due to equilibrium phonons reaches its maximum in the vicinity of central wave vector q0 because it is mostly a spontaneous emission process that reaches its maximum when the value of term qr +qr−1 ix maximized near qr = 1. The scattering by hot phonons, however, reaches its maximum at higher values of wave vector. This has a simple explanation— absorption of LO phonon with a small wave vector actually can increase the momentum of electron (hence the negative value of rate in Fig. 3.17a while both absorption and emission of the LO phonon with large wave vector reduce the electron momentum. Now to ascertain the role of hot phonons it is instructive to plot both rate per hot phonon and their distribution (at 4000 K) on the same figure as is done in Fig. 3.17b. Clearly, a large number of hot phonons exist where the momentum scattering is not that strong. Thus if one assumes that the same number of phonons is distributed

64

J. Khurgin and D. Jena

Fig. 3.17 (a) Momentum relaxation rates due to equilibrium and hot phonons at Te = 4000 K. (b) Momentum relaxation rates due to hot phonons and their distribution in the Brillouin zone at Te = 4000 K

Fig. 3.18 Momentum relaxation rates due to hot and equilibrium phonons at Te = 4000 K

throughout the Brillouin zone, their effect will be overestimated. If we multiply two (hot) curves in Fig. 3.12, we shall obtain Rmq qr2 —total rate due to hot phonons which (eq) 2 we plot in Fig. 3.18 next to Rmq qr . As one can see even though there are many hot phonons in the Brillouin zone, their impact on the momentum scattering is not overwhelming. To estimate it we shall calculate the total momentum relaxation rate due to LO phonons as an integral of Eq. (3.37) over 2π1 2 q 2 dq or

3 Isotope Engineering of GaN for Boosting Transistor Speeds −1 τmLO = ωLO



1/2

e4 m

8π 3 ε 2 2 ω

LO

65



(kT e )−1/2

  2  2     qr −qr−1 qr +qr−1 qr −qr−1 qr +qr−1  Ei 1 nq + Ei 1 nq + 1 dqr 4kT e 2kT e 4kT e 2kT e (3.41) The total momentum relaxation rate is then −1 −1 τm−1 = τm0 + τmLO = 7ps−1 + 65ps−1 (kT e )−1/2



  2  2     qr −qr−1 qr +qr−1 qr −qr−1 qr +qr−1  Ei 1 nq + Ei 1 nq + 1 dqr 4kT e 2kT e 4kT e 2kT e (3.42) −1 where τm0 = 7ps−1 is the momentum scattering rate caused by factors other than LO phonons. This rate is temperature independent and accounts for the low field mobility of about 1200 cm2 /V. In Fig. 3.19a we have plotted three different momentum scattering rates as function of temperature for n = 5 × 1018 cm−3 . The lower curve assumes only the presence of equilibrium phonons, −1 τm,eq = 7ps−1 + 65ps−1 (kT e )−1/2 "   2 !

qr − qr−1 qr − qr−1 dqr nL Ei 1 4kT e 2kT e #  2 !

 qr + qr−1 qr + qr−1 dqr + (nL + 1) Ei 1 4kT e 2kT e

(3.43)

The second curve is the plot of Eq. (3.42) that includes the hot phonon contribution, and the highest curve assumes that all the phonon modes are populated equally, −1 τm,eql = 7ps−1 + 65ps−1 (kT e )−1/2 ×

 2    qr −qr−1 qr −qr−1 × nq,max Ei 1 dqr 4kT e 2kT e  + nq,max + 1 Ei 1 



qr +qr−1 4kT e

2 

qr +qr−1 2kT e

(3.44)

 dqr

As one can see the hot phonons do increase the momentum scattering rate but by no more than factor of 2.5 which is quite different from the result one obtains using

66

J. Khurgin and D. Jena

Fig. 3.19 (a) Momentum relaxation rates vs. temperature with and without hot phonons. Dashed line—with all modes having equal number of phonons. (b) Momentum relaxation rates vs. electron temperature for different electron densities

equal mode population assumption used in Ref. (Ridley) in which the momentum scattering rate increase by a factor that is larger than 5. It is this assumption that causes the saturation of velocity shown in Fig. 3.4 in dotted line that had not been observed in practice. On the other hand our results (Fig. 3.1) are close to experimental dependence where no hard saturation is observed. We have plotted the momentum relaxation times for all densities and temperatures in Fig. 3.19b. The influence of the hot phonons is clearly observable as the relaxation rate does not saturate with temperature at large values of electron density. But the resulting increase in τm−1 is less than threefold, while the decrease in τE−1 shown in Fig. 3.14a is about fivefold, indicating that the “bottleneck” of available phonons is the more important of two factors that reduce electron velocity.

7 Calculating Velocity vs. Field Dependence Next we shall evaluate for each temperature and electron concentration two parameters: dissipated power and momentum relaxation time. The energy relaxation time can be found as τE−1 = P (Te ) / (ωLO n) =

3 −1 n 2τp r





 nq − nqL qr2 dqr

(3.45)

All that is left is to solve simultaneously two steady state equations ∂E ∂t ∂v ∂t

= (−eE) v − ωτELO = 0 v = −eE m − τm = 0

(3.46)

3 Isotope Engineering of GaN for Boosting Transistor Speeds

67

Fig. 3.20 (a) Velocity vs. field curves for different concentration of electrons. Phonon lifetime is 3 ps. (b) Momentum relaxation rates due to equilibrium (dotted line) and hot (solid lines) phonons vs. electron temperature for different electron densities

which immediately yields % v=

& ωLO τm τm = v0 , m τE 2τE

(3.47)

 LO where v0 = 2ω = 4 × 107 cm/s is the velocity of electron with energy of m LO phonon. The corresponding electric field is accordingly % E=

 −1 mωLO 2 v = E τ τ 0 E M LO e2 τE τM

(3.48)

√ where E0 = vLO mωLO = 70 kV/cm. The results have been already shown in e Fig. 3.9a, but here we reproduce them again in Fig. 3.20a.

8 Analysis To gain a better understanding of the reasons behind low drift velocity at high electron densities we shall first attempt to develop a simple expression for the dependence of the momentum rate on the number of phonon modes involved in the scattering process. In Fig. 3.20b we replotted the momentum relaxation rates as two components—the one due to the equilibrium phonons which does not depend on electron density and the one that is due to hot phonons.

68

J. Khurgin and D. Jena

By comparing curves in Fig. 3.20b with Fig. 3.15 we have derived an approximate expression for the momentum relaxation rate $ −1 −1 τm−1 = τm,eq (Te ) + τm,hot (Te ) Neff /n0

(3.49)

−1 (T ) (dashed line in Fig. 3.16) is given by Eq. (3.43), where τm,eq e −1 (T ) ≈ 20 $ τm,hot

Te − TL 1 + (Te − TL )2

ps−1

(3.50)

and all the temperatures have been normalized to T0 = ωLO /kB ≈ 1025 K. At temperatures larger than 2000 K phonon relaxation rates saturate at roughly

τm−1



  $ 1$ −1 −1 ≈ 40 + 20 Neff /n0 ps ≈ τm0 1 + Neff /n0 2

(3.51)

−1 where τm0 = 40ps−1 and n0 = 3π1 2 q03 = 1.1 × 1019 cm−3 . Now the drift velocity given by Eq. (3.47) can be estimated using Eqs. (3.32) and (3.51) for the energy and momentum relaxation rates as

 v=v0

τm 2τE

≈ v0



Te −TL 2

≈ 0.25 × 10 cm/s × 7



1/2   1/2  1/2 −1/2 1/2  Neff 1/2 1 Neff n 1+ τm0 /τp ≈ n 2 n n0 Neff n

1/2

(Te − TL )

1/2

 1/2  1/2 −1/2 1 Neff n 1+ 2 n n0 (3.52)

All the essential features of the velocity/field curves of Fig. 3.1 are contained in Eq. (3.52). There is a temperature dependence meaning that the velocity increases with the electron temperature, thus the v(E) curves do not show hard saturation at high electron densities. The hard saturation there will eventually take place once nonparabolicity becomes important, but that will not happen until electron temperatures much higher than 4000 K is considered here. At relatively low electron densities hard saturation occurs because of the reduction in the number of effective phonon modes per one electron Neff /n with temperature and also due to the fact that at high electron temperatures the LO temperature becomes less than that of electrons. But we are interested in high densities and according to Eq. (3.52), the one and only parameter that can influence the velocity is Neff /n. So we either have to decrease the density of electrons or somehow increase the density for effective “cooling” phonon modes. The advantages and shortcoming of using lower density of electrons are obvious. Using lower n will reduce current density, but this can be compensated

3 Isotope Engineering of GaN for Boosting Transistor Speeds

69

Fig. 3.21 Localization of LO phonon displacement in the disordered lattice

by increasing the channel thickness. Then of course the transconductance will be reduced. One can, therefore, always look for a compromise for a given application. The only remaining alternative is then to increase Neff . Since the relative scarcity of LO phonon modes available for cooling is caused by the selection rules, i.e., momentum conservation, one shall find a way to relax the momentum conservation rules. Now, the fact that each lattice vibration state is an eigenstate of momentum is caused by the translational symmetry of lattice. Therefore that symmetry must be disturbed so that at least partial localization of phonons states will take place. Then each mode will be able to play a role in cooling of the electrons. One way to change the character of phonon states is by growing ordered 3-dimensional quantum structure with features as small as a few nanometers. This is beyond capability of today’s growth methods. The more realistic way is to induce a disorder on LO phonon modes. This can be done by either varying element composition (“alloy islands”) or varying isotope composition (“isotope islands”) as shown in Fig. 3.21. In this figure we show the “disorder potential” δU(r) caused by variation of the isotopes of elements. This is indicated by changes of size and color of one of the ions (anions) in Fig. 3.17. As a result the function describing the relative atom displacement uM (r) is partially localized on the variations of the effective potential and definitely cannot be described by a single wave vector [17].

9 “Creative Disorder” With periodicity of the lattice disturbed by the disorder one can introduce a set of new localized modes. The total number of the modes will of course remain the same as the number of states in the Brillouin zone, but the functions describing them will be dramatically different from the periodic ones. The displacement of M-th mode can be described by a function uM (r) that is not periodic but can be represented as a Fourier sum (or integral) of periodic waves,

70

J. Khurgin and D. Jena

 uM (r) =

UM (q) ej q·r dq

(3.53)

Now each phonon mode will contain the small wave vector components that can  will efficiently interact with electrons. Thus a large number of phonon modes Neff participate in the cooling action and the energy relaxation time τ E can be greatly reduced. If one can completely localize the phonon modes on the scale comparable to the lattice constant one could achieve the situation when the electrons would interact with practically each of roughly 0.5 × 1023 cm−3 localized phonon modes—an increase of at least two orders of magnitude compared to the perfectly periodic lattice. But localizing the LO phonon in the strongly polar material is difficult, since the electrostatic coupling between the vibrating polar bonds tends to couple them into the extended state. Therefore localization on the very small scale will be difficult to attain. If the disorder is significantly weaker than the electrostatic coupling, whose strength can be roughly estimated as (ωLO − ωTO )∼30 meV, each phonon mode can still be characterized by a wave vector Q, but the displacement of the Qth disordered mode can be roughly written as uQ (r) = F (r) ej Q·r

(3.54)

where the non-periodic envelope function F(r) can characterized by the autocorrelation function  R ( r) =

2

F (r) F ∗ (r + r) dr = e

− ( r)2 2σr

(3.55)

with the autocorrelation length σ r indicating the average scale on which disorder takes place. This length is also shown in Fig. 3.17. Then we can write the displacement of the Qth disorder mode as a combination of the original modes of the periodic lattice with the wave vector q = Q + q,  uQ (r) =

f ( q) ej q·r d q

(3.56)

F ( r) ej q· r d r

(3.57)

where  f ( q) =

is a Fourier transform of the envelop function. We can now write the expression for the scattering rate into the randomized phonon mode as  RQ (Te ) =

|f ( q)|2 Rq (Te ) d q; q = Q + q

(3.58)

3 Isotope Engineering of GaN for Boosting Transistor Speeds

71

Where according to the Wiener–Khinchin theorem the relative weight of the “q”th component is  |f ( q)|2 =

R ( r) ej q· r d r =

1 (2π )3/2 σq3

2

e

− ( q)2 2σq

(3.59)

and σq = σr−1 is the inverse correlation length. We can now perform integration over the angles between q and Q to obtain  RQ (Te ) =

G (Q, q) Rq (Te ) dq;

(3.60)

where G (Q, q) = =

q2

q (2π )1/2 σq Q

2π 

"0 e



dϕ f (Q − q) sin θ dθ = 0 # (Q−q)2 (Q+q)2



2σq2

−e

π − (Q−q) 2 q2 e 2σq 1/2 (2π ) σq3 0

2

sin θ d θ =

2σq2

(3.61) is the weight of all the periodic states having absolute value of wave vector equal to q in the given disordered state with wave vector Q. This equation of course holds when we go to the relative units of qr and Qr. We have plotted the functions G(Qr ,qr ) for different values of σ q =1.5q0 (correlation length of 10 nm) in the Fig. 3.22a. Also plotted there is the phonon generation rate Rq (Te ) at Te = 3000 K. We can see that delocalized phonons with central wave vectors Q as high as 2q0 still contain appreciable weight of spectral components with wave vectors less than q0 that interact with electrons. Even if this spectral weight, that can be very 1 roughly estimated as SQ ∼ G (Qr , qr ) dqr is much less than unity the number of 0

disordered phonon modes that can be populated can still be much larger than the number of ordered phonon modes because the LO phonon generation rates are so much higher than their relaxation rates τp−1 . Indeed, population of the disordered phonon modes can now be estimated just as in (3.27) nQ = nqe

βQ + nqL βQ + nqe

(3.62)

where β q (Te ) = RQ τ p . Therefore appreciable population nonequilibrium disordered LO phonons will spread out up to the central wave vector Q determined by the relation

72

J. Khurgin and D. Jena

Fig. 3.22 (a) Spatial spectra of the disordered phonons with different “central” wave vectors Q and disorder parameter s∼10 nm. Also plotted is the phonon generation rate at 3000 K. (b) Generation rates of ordered and disordered LO phonons in the Brillouin zone. Electron concentration is 5 × 1018 cm−3 . Lattice disorder is s∼10 nm. Electron Temperature is 3000 K

RQ ∼ SQ R > τp−1 nqe

(3.63)

1 where R (Te ) = 3 Rq (Te ) qr2 dqr is the average phonon generation rate. For 0

Te = 3000 K, we obtain R (Te ) ∼ 28ps−1 —thus we expect the disordered LO phonon states with a relatively small contribution from “central states” SQ > τp−1 nqe /R ∼ 0.03 to interact with the electrons and provide effective cooling channels. In Fig. 3.22b, we plot the generation rates of ordered and disordered LO phonons for the electron density of 5 × 1018 cm−3 , electron temperature of 3000 K, and the aforementioned disorder scale σ q =1.5q0 . The peak LO phonon generation rate is reduced by a factor of close to 50 to about 3 ps−1 but this rate is still substantially (about tenfold) higher than LO phonon relaxation; therefore, large population of hot LO phonon builds up, as shown in Fig. 3.23a. As one can see from this figure, the disorder leads to wider distribution of the hot phonons—their volume in 3-D k-space being larger by a factor close to 2. As a result, the effective energy relaxation rate phonon is also increased roughly by a factor of 2 as follows from the Fig. 3.23b where the temperature dependence of energy relaxation rate is shown. According to our model the increase in the energy relaxation rate should lead to increase in the saturation velocity provided the momentum relaxation rates do not increase. Thus, once we calculated the population of the disordered phonon modes, nQ , we need to estimate the momentum relaxation time. Momentum relaxation by disordered phonon Q can be found by summation over the spectral components of the disordered phonons.

3 Isotope Engineering of GaN for Boosting Transistor Speeds

73

Fig. 3.23 (a) Distribution of ordered and disordered LO phonons in the Brillouin zone. Electron concentration is 5 × 1018 cm−3 . Lattice disorder is s∼10 nm. Electron temperature is 3000 K. (b) Electron temperature Te as a function of applied electric field for the structures with ordered and disordered LO phonons. Electron concentration is 5 × 1018 cm−3 . Lattice disorder is σ ∼10 nm

  (e) (a) RmQ (Te ) = WmQ (Te ) nQ + 1 + WmQ (Te ) nQ =     (e) (a) = |f ( q)|2 Wmq d q nQ + 1 + |f ( q)|2 Wmq d qnq ; q = Q + q (3.64) Then we can write for the total momentum relaxation rate    (e)   (a) τm−1 = 2π1 2 WmQ nQ + 1 + WmQ nQ Q2 dQ =       (e) (a) |f ( q)|2 Wmq = 2π1 2 d q nQ + 1 + |f ( q)|2 Wmq d qnQ Q2 dQ =   (d)    (a) = 2π1 2 Wmq q 2 dq G (q, Q) nQ + 1 dQ + Wmq q 2 dq G (q, Q) nQ dQ =     (e)   (a)  = 2π1 2 Wmq nq + 1 + Wmq nq q 2 dq (3.65) where we have introduced the weight of the qth phonon mode of perfectly ordered  lattice as nq = G (q, Q) nQ dQ is the equivalent population of the periodic LO mode with wave vector q. Introduction of this variable is elucidating because one can think of the effect of the disorder as a perturbation causing scattering of the LO phonons that are generated with the rates Rq into a new distribution instead of original distribution nq . We then obtain by analogy with (3.42) τm−1

==

65ps−1 (kT

e)

−1/2



 2   qr −qr−1 qr −qr−1 nq Ei 1 4kT e 2kT e 

2    qr +qr−1 qr +qr−1  nq + 1 dqr +Ei 1 4kT e 2kT e (3.66)

74

J. Khurgin and D. Jena

Fig. 3.24 (a) Distribution of LO phonons in the Brillouin zone for ordered lattice nq and the equivalent distribution in the disordered lattice nq ’ structures Electron concentration is 5 × 1018 cm−3 . Lattice disorder is σ ∼10 nm. Also shown is the momentum scattering rates by the hot phonons. (b) Momentum relaxation rate as a function of electron temperature Te for the structures with ordered and disordered LO phonons. Electron concentration is 5 × 1018 cm-3. Lattice disorder is σ ∼10 nm

In Fig. 3.24a we show two distributions of LO phonons—the distribution of LO phonons in ordered structure nq and the equivalent distribution nq ’ in the disordered structure. Clearly the effect of disorder is to spread out the phonon distribution to the extent that there are less zone center LO mode excited but more of the LO mode with the wave vectors inthe range of 2–4q  0 . We have also plotted the rate of (e) (a) scattering by hot LO phonons Wmq + Wmq qr2 on the same plot. As one can see the change in the number of LO phonons around 2q0 —the modes that causes the strongest momentum relaxation—is really not very large. Hence one cannot expect a very large decrease in the momentum relaxation rate. This conclusion is confirmed by the results of τ m calculations shown in Fig. 3.24b. The momentum relaxation rate is reduced by less than 10%. Nevertheless, large (more than twofold) increase in the energy relaxation rate is sufficient to increase the velocity by as much as 50% according to Eq. (3.47). This is indeed what one can see in Fig. 3.25a. Clearly most of the improvement is caused by more efficient cooling of hot electrons as can be seen in Fig. 3.25b. In order to attain even larger enhancement of drift velocity we consider the case with larger disorder σ q =2.5q0 (disorder on the scale of 5 nm) and larger density of carriers N = 1019 cm−3 . As expected, larger degree of disorder causes significant increase in the energy relaxation rate (Fig. 3.26a). What is also important is that the momentum relaxation rate gets reduced—as follows from Fig. 3.26b the effect of hot phonons is actually reduced almost by a factor of 2 but the overall reduction is only by 30%. This is connected to the fact that hot phonon are not all that important in the first place. With this reduction of momentum relaxation rate and large increase in the energy relaxation, the results for the velocity-field curve are quite spectacular and can be seen in Fig. 3.27a.

3 Isotope Engineering of GaN for Boosting Transistor Speeds

75

Fig. 3.25 (a) Velocity vs. field curves for the cases with ordered and disordered LO phonons e Electron concentration is 5 × 1018 cm−3 . Lattice disorder is σ ∼10 nm. (b) Electron temperature Te as a function of applied electric field for the structures with ordered and disordered LO phonons. Electron concentration is 5 × 1018 cm−3 . Lattice disorder is σ ∼10 nm

Fig. 3.26 (a) Electron temperature Te as a function of applied electric field for the structures with ordered and disordered LO phonons. Electron concentration is 1019 cm−3 . Lattice disorder is σ ∼5 nm. (b) Momentum relaxation rate as a function of electron temperature Te for the structures with ordered and disordered LO phonons. Electron concentration is 1019 cm−3 . Lattice disorder is ∼5 nm

The electron velocity is increased practically by a factor of 2. What is also important is that the power is increased by a factor of nearly three. This is of course mostly a consequence of more efficient cooling mechanism as shown in Fig. 3.27b. A nice way to look at it is of course to compare the number of effective modes engaged into the energy transfer   (dis) Neff (n, T ) · max nQ (n, T ) − nL =



 nQ (n, T ) − nL dQ3

(3.67)

and compare it with the one for the ordered phonons Eq. (3.31). The results are shown in Fig. 3.28. As one can see, in case of the disordered phonons, the number of

76

J. Khurgin and D. Jena

Fig. 3.27 (a) Velocity vs. field curves for the cases with ordered and disordered LO phonons e Electron concentration is 5 × 1019 cm−3 . Lattice disorder is σ ∼5 nm. (b) Electron temperature Te as a function of applied electric field for the structures with ordered and disordered LO phonons. Electron concentration is 1019 cm−3 . Lattice disorder is σ ∼5 nm

Fig. 3.28 Number of effective LO phonon modes interacting with each electron in ordered and disordered lattices

effective modes per electron is anywhere between 40 and 100 while for the ordered phonons it is only about 10. Clearly, a manifold increase in the number of effective modes provides more cooling channels and dramatically improves the performance.

3 Isotope Engineering of GaN for Boosting Transistor Speeds

77

10 Summary of the Theoretical Analysis Here we reiterate the major points of the analysis. 1. The fact that LO phonons are long lived definitely causes reduction in the drift velocity of the electrons in high-power GaN HFET at high density of electrons (in excess of 1017 cm−3 .) The drift velocity is reduced by a factor of 3 at electron densities approaching 1019 cm−3 . 2. This reduction is mostly (∼2/3 of it) caused by the increase in the electron temperature in the channel as the electrons cannot dump their excess energy. 3. Stimulated and processes caused by “hot” LO phonons do play their role in further limiting the velocity by scattering the momentum. However, this factor is only half as important as the previous one (overheating of electrons) and is definitely not as strong as predicted in Ridley/Eastman work. This happens because majority of hot phonons do not carry momentum sufficiently large to effectively change the hot electron momentums. Revealing this fact should be considered one of the most important contributions of the present work. 4. The only way to improve the performance of high-speed GaN HFET is to increase the number of LO phonon modes per each electron that can efficiently cool it. The most obvious way it can be attained is either by reducing electron density (with easily foreseen consequences of reduced transconductance as the channel thickness increases)or by inducing a disorder and break momentum conservation rules. Our results show that if the disorder on 5 nm scale can be induced, the drift velocity at high electron densities of 1019 cm−3 can be increased by at least factor of 2 to 1.6 × 107 cm/s. This way one can increase both fT by a factor of 2 with power increase by a factor of 3 (of course we assume that the junction temperature can be kept at relatively low 500 K). 5. An in-depth investigation of LO phonons in the alloys and isotopically disordered lattices to establish whether the disorder on a 5 nm scale is realistic. 6. Velocity overshoot effects: In III-V channel FETs made of GaAs and InGaAs, the LO phonon energy is low, and the rate of emission almost matched with the rate of decay. Since the group velocity of the energy band structure E(k) = 2 k 2 2mc increases with increasing momentum, in very short gate length devices, it is possible that the electron is unable to emit optical phonons and stay at higher energies and higher velocities as it traverses the channel. There exists a characteristic gate length below which a semiconductor can take advantage of the overshoot effect to increase the speed. Figure 3.29 shows that a hot electron injected into the band will relax to the bottom by the emission of kTe /ωLO phonons, and this process takes a time τ cool ≈ τ e − LO · (kTe /ωLO ). An estimate for Te ∼5000 K gives the cooling time as ∼50 fs for GaN, and ∼1.2 ps for GaAs. This implies for effective electron velocities not far from ∼107 cm/s; overshoot effects are accessible in GaAs already at gate lengths of ∼600 nm, whereas for GaN the gate lengths must be below ∼25 nm to provide overshoot boost, highlighting the difficulty.

78

J. Khurgin and D. Jena

Fig. 3.29 Velocity overshoot effects in GaN are difficult to exploit compared to GaAs and narrower bandgap III-V semiconductors

11 Experimental Feasibility of Introducing Isotopic Disorder in GaN HEMTs How practical is the proposal to introduce isotopes of nitrogen atoms into a GaN semiconductor crystal and a field-effect transistor? In this last short section, we show that incorporation of isotopes of nitrogen is experimentally achievable in crystal growth, and GaN high-electron-mobility transistors can be fabricated with this approach. Proof of the predicted boost in the speed of the transistor needs further experiments (Fig. 3.29). The epitaxial growth of GaN and Al(Ga)N layers can be performed by molecular beam epitaxy (MBE) or by metal-organic chemical vapor deposition (MOCVD). We focus on the MBE technique here, though a similar method can be followed in the MOCVD process. The two stable isotopes of nitrogen are 14 N and 15 N. Both atoms have exactly the same number of electrons (7) and protons (7), but the 14 N atom has 7 neutrons, whereas the 15 N atom has 8 neutrons. Naturally occurring nitrogen gas is predominantly 14 N, which is orders of magnitudes larger than the 15 N isotope. Ultrahigh purity 7 N purity electronic grade 14 N is available from various sources and widely used for several applications, including epitaxial growth of III-nitride semiconductors. 15 N is not as widely available, certainly not at the level of purity as 14 N. Typical purities are at the 4 N level, a few orders lower than 14 N. However, since nitrogen gas is introduced into typical MBE systems through a purifier, the lower purity of the available 15 N is not a roadblock in epitaxy. Figure 3.30 shows the residual gas analyzer (RGA) scan inside a MBE system with the standard 14 N source (left) and a 50:50 mixture of 14 N and 15 N isotopes (right). The nitrogen molecular species is chemically activated for epitaxial growth of GaN with a radio frequency (RF) plasma. It is found that there is no difference in the RF plasma activation of the 14 N and 15 N species, and mixtures of them in any

3 Isotope Engineering of GaN for Boosting Transistor Speeds

79

Fig. 3.30 Left: Atomic mass spectrum in a GaN MBE system upon the introduction of 14 N source. Note the atomic and molecular N2 species. The 15 N density is 2–3 orders lower than 14 N. Right: Atomic mass spectrum of a 50:50 mixture of 14 N:15 N gases in the MBE chamber. Note that the molecular species has isotopically pure molecules as well as mixed 14 N–15 N molecules

ratio. Thus, introducing isotopically controlled and chemically active mixtures of 14 N and 15 N is experimentally feasible and simple. Though the isotopes of active nitrogen species are present in the MBE environment, do they incorporate into the GaN crystal? Figure 3.31 shows that both isotopes of nitrogen incorporate into the crystal during growth in direct proportion to their ratio in the growth chamber. The heavier 15 N atom causes a lower vibrational frequency, developing a peak to the left of the peak corresponding to the 14 N peak. The particular example indicated here is for a strained GaN quantum well grown inside an AlN matrix, in which the bulk Raman peak does not mask the content in the quantum well, allowing a direct measurement of the isotope incorporation. This measurement indicates that the incorporation of 14 N and 15 N isotopes in the GaN crystals can be achieved at a desired ratio. The final step to confirm the feasibility of the proposed isotope engineering is the fabrication of a GaN HEMT that has an isotopically controlled 14 N:15 N ratio. Figure 3.32 shows a preliminary AlGaN/GaN HEMT structure that is grown by the above isotopic control, with a nearly 50:50 mixture of 14 N:15 N. It is expected that 14 N and 15 N atoms form a random isotopic alloy, with the atoms occupying nitrogen lattice sites randomly without clustering—this is a claim that should be verified in the future by careful Raman or electron microscopy techniques. The 2DEG electron mobility is above 1000 cm2 /V.s at room temperature, and the 2DEG density is ∼1013 /cm2 . The figure on the right demonstrates that an HEMT is indeed feasible in which the underlying semiconductor has a precisely engineered isotopic mixture of 14 N and 15 N. The on-current of ∼1 A/mm and good gate control in the long-channel HEMT shown here can now be used as a platform to start investigating whether the predicted theoretical boost in the speed of the HEMT is indeed realized in the future.

80

J. Khurgin and D. Jena

Fig. 3.31 (a) The E2H Raman vibrational mode of GaN quantum wells grown in an AlN matrix with 14 N, 14 N/15 N mixture, and pure bulk unstrained GaN with 14 N. (b) The A1 (LO) Raman vibrational mode of the same quantum well. (c) and (d) The same Raman peaks of the AlN substrates show the presence of the isotopic mixture of 14 N and 15 N in the crystal [18]

Fig. 3.32 Velocity overshoot effects in GaN are difficult to exploit compared to GaAs and narrower bandgap III-V semiconductors

3 Isotope Engineering of GaN for Boosting Transistor Speeds

81

12 Summary, Conclusions, and Acknowledgements We summarize the main points of this chapter: 1. Because of the combined effects of the high electron densities in GaN HEMTs, the fast emission of LO phonons by energetic electrons, and the relatively slow decay of LO phonons, a high density of nonequilibrium phonons are generated in the channel of the transistor. 2. The high density of LO phonons prevents the high density electrons from reaching a high ensemble electron velocity, placing limits on the speed of the transistor. 3. Introduction of a controlled isotopic disorder in the crystal lowers the electron temperature, lowering its momentum scattering rate and increasing the net ensemble velocity. 4. Introduction of controlled isotopic disorder is experimentally feasible in GaN HEMTs during the epitaxial growth step itself, and initial transistors incorporating this new design paradigm have been realized. The predicted boost in the speed due to the isotopes is expected to be examined in the very near future. In conclusion, the boost in the speed of the transistor by isotopic engineering introduces a new design paradigm for light-atom mass semiconductors. Though this chapter discussed the influence of isotopes for the potential benefit of the speed of the transistor, its effects on several other material parameters and device designs should be of high interest in the future. The authors acknowledge collaboration with Prof. Huili Grace Xing (of Cornell University) and Dr. John Simon (of National Renewable Energy Laboratory) for the experimental part of the work reviewed at the end of the chapter. They gratefully acknowledge initial discussions with Dr. Mark Rosker of DARPA that led to the conception of the idea of isotopic engineering and Dr. Steven Bernstein of Raytheon for initial support of this work in the years 2008–2010.

References 1. W. Shockley, Hot Electrons in Germanium and Ohm’s Law. Bell Syst. Tech. J. 30, 990–1034 (1951) 2. It is interesting to note that around the same time as Shockley invoked the concept of phonons to explain current saturation in semiconductors, and Shockley and Bardeen explained the deformation potential phonon scattering to explain mobilities of semiconductors, Herbert Fröhlich contributed to explaining strong polar electron-phonon interaction that would lead to the discovery of the reason for electron pairing, and form the basis for the Bardeen-CooperSchrieffer (BCS) theory of superconductivity 3. K. Wang, J. Simon, N. Goel, D. Jena, Optical study of hot electron transport in GaN: Signatures of the hot-phonon effect. Appl. Phys. Lett. 88, 022103 (2006) 4. H. Siegle, G. Kaczmarczyk, L. Filippidis, A.P. Litvinchuk, A. Hoffmann, C. Thomsen, Zoneboundary phonons in hexagonal and cubic GaN. Phys. Rev. B 55, 7000 (1997)

82

J. Khurgin and D. Jena

5. T. Ruf, J. Serrano, M. Cardona, P. Pavone, M. Pabst, M. Krisch, M. D’Astuto, T. Suski, I. Grzegory, M. Leszczynski, Phonon dispersion curves in Wurtzite-structure GaN determined by inelastic X-ray scattering. Phys. Rev. Lett. 86, 906 (2001) 6. B.K. Ridley, Quantum Processes in Semiconductors, 4th edn. (Clarendon, Oxford, 1999), p. 358 7. B.K. Ridley, J. Phys. Condens. Matter 8, L511 (1996) 8. K.T. Tsen, D.K. Ferry, A. Botchkarev, B. Sverdlov, A. Salvador, H. Morkoc, Appl. Phys. Lett. 72, 2132 (1998) 9. A. Matulionis, J. Liberis, I. Matulioniene, M. Ramonas, L.F. Eastman, J.R. Shealy, V. Tilak, A. Vertiatchikh, Phys. Rev. B 68, 035338 (2003) 10. J.B. Khurgin, S. Bajaj, S. Rajan, Elastic scattering by hot electrons and apparent lifetime of longitudinal optical phonons in gallium nitride. Appl. Phys. Lett. 107, 262101 (2015) 11. B.K. Ridley, W.J. Schaff, L.F. Eastman, J. Appl. Phys. 96, 1499 (2004) 12. J. Liberis, M. Ramonas, O. Kiprijanovic, A. Matulionis, N. Goel, J. Simon, K. Wang, H. Xing, D. Jena, Appl. Phys. Lett. 89, 202117 (2006) 13. D.K. Ferry, Semiconductors, 1st edn. (Macmillan, New York, 1991) 14. J.B. Khurgin, Y.J. Ding, D. Jena, Hot phonon effect on electron velocity saturation in GaN: A second look. Appl. Phys. Lett. 91, 252104 (2007) 15. S.K. Tripathy, G. Xu, X. Mu, Y.J. Ding, K. Wang, D. Jena, J.B. Khurgin, Appl. Phys. Lett. 92, 013513 (2008) 16. S. Tamura, Isotope scattering of large-wave-vector phonons in GaAs and InSbb: Deformationdipole and overlap-shell models. Phys. Rev. B 30, 849 (1984) 17. P.W. Anderson, Absence of diffusion in certain random lattices. Phys. Rev. 109, 1492 (1958) 18. M. Qi, G. Li, V. Protasenko, P. Zhao, J. Verma, B. Song, S. Ganguly, M. Zhu, Z. Hu, X. Yan, A. Mintairov, H. Xing, D. Jena, Dual optical marker Raman characterization of strained GaNchannels on AlN using AlN/GaN/AlN quantum wells and 15 N isotopes. Appl. Phys. Lett. 106, 041906 (2015)

Chapter 4

Linearity Aspects of High Power Amplification in GaN Transistors Samuel James Bader, Keisuke Shinohara, and Alyosha Molnar

1 Overview of Non-linearity and Its Impacts At its simplest, most communication system designs assume a linear transfer function between input, modulated signals, and output signals. The presence of nonlinear elements in such circuits and systems results in unwanted signal compression, offsets, and intermodulation products which can severely degrade signal fidelity, reducing achievable bandwidth, spectral efficiency, and so data rate. Non-linearity also causes circuits to be sensitive to linearly orthogonal interference (in receivers) or to generate out-of-band spurious spectral terms (in transmitters) leading to violation of mandated spectral masks. The primary source of non-linearity in radio circuits are active devices (transistors) and its mitigation is often the most critical in transistors that are already constrained in terms of noise or power handling such as in RF low noise amplifiers (LNAs), mixers, and efficient RF power amplifiers (PAs). Non-linearity can be considered in two contexts. The first is one where an amplifier is considered to be linear, such that Vout (t) = Av Vin (t), and Av is treated as a constant gain (likely with some frequency dependency). The second is in deliberately non-linear circuits (such as switching PAs) where certain kinds of non-linearity are acceptable, but others are not. In the case of “linear” amplifiers, designs essentially rely on the small signal approximation to describe component devices. A more complete description

S. J. Bader · A. Molnar Cornell University, Ithaca, NY, USA e-mail: [email protected]; [email protected] K. Shinohara () Teledyne Scientific & Imaging, Thousand Oaks, CA, USA e-mail: [email protected]

© Springer Nature Switzerland AG 2020 P. Fay et al., High-Frequency GaN Electronic Devices, https://doi.org/10.1007/978-3-030-20208-8_4

83

84

S. J. Bader et al.

employs a power series description of the input–output relationship, such as a Taylor series [1, 2]: 2 3 4 + a3 Vin + O(Vin ) Vout = a0 + a1 Vin + a2 Vin

(4.1)

where the degree of non-linearity is captured in the coefficients of higher-order terms a2 , a3 , etc. A critical aspect of this viewpoint is that even-order non-linearity n terms where n is an even integer) lead to very different circuit degradations (an Vin than odd-order terms. These effects can be illustrated by simple trigonometric analysis. For narrow-band systems (approximately, systems where signal bandwidth  center frequency/2, including most wireless systems) signals can be treated as a modulated sinusoid with center frequency ωc , amplitude modulation m(t), and phase modulation θ (t), Vin (t) = m(t) cos(ωc t + θ (t))

(4.2)

where, again, the bandwidths of m(t) and θ (t) are  ωc . In this case, 2nd order non-linearity leads to signal-dependent baseband (near-DC) and second harmonic terms: 2 a2 Vin =

a2 m(t)2 (1 + cos(2ωc t + 2θ (t))) 2

(4.3)

These terms, in themselves, are at frequencies very far from the intended operating frequency, and so are easily suppressed by simple band-pass filtering. Indeed, all even-order non-linearity terms generate similar spectral artifacts, which are similarly amenable to band-pass filtering. However, even-order non-linearity can also lead to unwanted artifacts through inter-modulation between signal and lowfrequency (bias) artifacts such as flicker noise and thermal fluctuations: Vin = Vbias (t) + m(t) cos(ωc t + θ (t)) ↓ 2 a2 Vin = a2 (

···

+ Vbias (t)2 + Vbias (t)m(t) cos(ωc t + θ (t)))

where the pure term from Eq. (4.3) is omitted, and critically, the last term represents a signal-dependent up-conversion of bias artifacts to the band of the signal, potentially corrupting that signal. Odd-order non-linearity leads to a different class of artifacts. For example, for the same input as above, the 3rd order term leads to:

3 1 3 cos(ωc t + θ (t)) + cos(3ωc t + 3θ (t)) a3 Vin = a3 m(t)3 (4.4) 4 4 where the second term, at the third harmonic, is easily suppressed by band-pass filtering. However, the first term generates signal-dependent artifacts at the same

4 Linearity Aspects of High Power Amplification in GaN Transistors

85

Fig. 4.1 Common signal degradations due to (a) even-order non-linearity and (b) odd-order nonlinearity

center frequency as the signal itself. This can appear as gain compression or, if the signal is amplitude modulated, as in-band and adjacent-band artifacts. For example, when two closely spaced signals are present (including different sub-channels of the same signal, as in OFDM), odd-order non-linearity will generate near-by (including in-band) intermodulation products: Vin = m1 (t) cos(ωc1 t+ θ1 (t)) + m2 (t) cos(ωc2 t + θ2 (t)) ↓ 3 a3 Vin =

...

a3 m1 (t)2 m2 (t) cos((2ωc1 − ωc2 )t + 2θ1 (t) − θ2 (t))

(4.5)

where, if both ωc1 and ωc2 are in-band, then often 2ωc1 − ωc2 and/or 2ωc2 − ωc1 are also in-band (see Fig. 4.1). Other odd-order non-linearity terms generate similar intermodulation products, albeit with different magnitude coefficients. Thus, for narrow-band applications, odd-order non-linearity is usually more problematic than even order non-linearity. However, it should also be noted that a concatenation of two 2nd-order non-linearities (without sufficient filtering in between) can lead to 3rd-order behavior. In the context of high-power RF circuits, such as RF power amplifiers, a second class of non-linearity is also worth noting and addressing. It is common, when amplifying the power of purely phase modulated signals, to run circuits (and so transistors) in a saturated mode, where the signal is clipped. Such switchedmode operation (e.g., in class-E and class-F amplifiers) allows power gain with significantly greater efficiency. In this context, odd-order non-linearity is expected,

86

S. J. Bader et al.

and accounted for since phase is preserved when a signal is compressed by a 3rdorder non-linearity. These efficiency benefits have led to the use of schemes such as polar modulation, where the supply of a saturated PA is modulated to introduce amplitude modulation on a clipped, phase-modulated RF signal, resulting a fully modulated signal with high efficiency amplification. In such situations, another form of non-linearity can occur, called AM-to-PM conversion, where non-linearity in the amplifier causes amplitude modulation to affect the phase. This is especially a problem when amplitude modulation is being measured and controlled through a peak detector, which does not detect this spurious form of cross-modulation. AM-to-PM conversion largely reflects shifts in the small-signal phase response of the circuit under amplitude variation. In particular, this usually implies that the average value of either a resistance (e.g., the gds of a transistor) or capacitance (cgs , or any junction capacitance) is variable under amplitude modulation, resulting in a change in the circuit’s time constant, and so phase behavior.

2 Trade-Offs Against Other Metrics Increasing the linearity of a circuit typically comes at a cost, in terms of output power, power consumption, frequency of operation and/or noise. A simple way to see why this is true is to first observe that signal swing is typically limited to the available voltage headroom (e.g., the difference between the lowest and highest power supply voltages), or in the case of inductively loaded RF circuits, to twice this headroom. Thus, an amplifier loses gain as input or output signals approach to these limits, such that dVout /dVin → 0. However, having high gain necessitates increasing dVout /dVin over some range of Vin , so as to achieve a gain Av > 1 for some range input and output voltages. This change in slope with Vin implies curvature in the Vin − Vout curve, and so non-linearity in the input–output function. Similar arguments can be made for current-limited designs. From Fig. 4.2, it can be seen that in such a scenario, increasing signal amplitude tends to drive one further into the high-curvature (non-linear) regime, such that higher gain amplifiers can be expected to have higher input-referred non-linearity. Simply increasing one’s rails (voltage or current limits) increases the linear range, but at the cost of higher power consumption. An alternate approach is to attempt to make one’s input–output curve intrinsically more linear by expanding the range with little curvature: this is the approach most device and circuit techniques for enhanced linearity take. Paradoxically, such approaches are limited by the maximum curvature a given device is able to generate in the non-linear parts of the curve (often limited by Boltzmann statistics), since such curvature is needed to generate gain. Increasing the linear range of a circuit limits the range over which the input–output slope can curve upward to provide gain. As a result, expanding the linear range of an amplifier stage often comes at the expense of the intrinsic gain of that amplifier. This loss of intrinsic gain often has negative implications for circuit noise and/or bandwidth, and requires more stages

4 Linearity Aspects of High Power Amplification in GaN Transistors

87

Fig. 4.2 (a) Constraints on linearity set by supply rails. (b) Linearizing at the cost of gain

(and so more power) to achieve a given amount of gain. These trade-offs apply not just to device level techniques, but also to circuit techniques (described in Sect. 6).

3 Origins of Non-linearity in GaN HEMTs While the impact of different physical sources of non-linearity varies by application, the major effects to consider include non-linearity by transconductance derivatives, bias-dependent capacitances, self-heating, and trapping effects.

3.1 Transconductance As discussed in Sect. 1, an ideal transconductance profile would have no curvature in the range of operation, variously expressed as:  (Vgs ) constant, gm

 gm (Vgs ) = 0,

or

ID (Vgs ) = 0.

But in general, any model more complicated than the ideal long channel MOSFET, i.e., ID ∝ (VGS −VT )2 , will deviate to some degree from this expression. The output curve is, in the roughest approximation, the product of a charge to gate voltage relation Q = qn(Vgs ), and a velocity to drain voltage relation v(Vds ), so that ID = qn(Vgs )v(Vds ). These two factors are discussed separately below. The charge relation depends on electrostatics and band structure. In the case of a gallium nitride HEMT with its two-dimensional electron gas (of typical density ≈1 × 1013 /cm2 ) occupying one or two quantized subbands (see Fig. 4.3) in a nearly parabolic conduction band, this can be solved analytically to reasonable

88

S. J. Bader et al. 1.0

Electron density ∼ 1013/cm2

−0.5 −1.0

Quantized energies

10

100 0

−100 (b) k-space

(a) Real space 0

200

Electron density

0.0

300 Energy [meV]

Energy [eV]

0.5

Quan tiz Subb ed ands

400 Co nd uct ion ba nd Fermi Level

20 Depth [nm]

30

40

2/nm 2/nm ← kx Γ ky →

Fig. 4.3 Electrons in a typical GaN HEMT with density on the order of 1 × 1013 /cm2 occupy the lowest one or two quantized subbands, as shown in (a) a real-space band diagram and (b) the transverse E − k dispersion of the electron gas. The simulation above was performed in nextnano [3]

approximation [4]. As higher densities on the scale of ≈2×1013 /cm2 are employed, non-parabolicity [5] may start to be relevant. However, such expressions are rarely employed, and charge density in physical models is typically described by some simple function which interpolates between subthreshold exponential fall-off and super-threshold linear accumulation, see Sect. 4. If a transistor is operated near or below this transition, then this partially exponential charge relation is a major contributor to the non-linearity (see Sect. 5.2 for an example of manipulating this charge relation to improve linearity). Alternatively, if the transistor is operated well above threshold, the charge relation is generally linear. Meanwhile, the velocity relation in GaN depends on the scattering mechanisms of the material, as well as the charge density, which together determine the low-field mobility and high-field saturation effects. This complicated dependence implies that the effective velocity typically cannot be expressed purely as a function of drain voltage v(VDS ) (as written above), but actually requires information about the charge as well: v = v(VDS , VGS ). The dominant scattering mechanism in quality GaN structures is interaction with optical phonons. At an energy of ≈90 meV, this mechanism is decidedly non-elastic and invalidates assumptions often used in mobility analysis of materials. Nonetheless, multiple authors have analyzed optical phonon-limited mobility and velocity profiles [6] and current relations [7, 8] in great detail. Notably, the analyses of Fang [7] and Bajaj [8], under quite distinct √ assumptions, both find that the saturation velocity falls off as roughly 1/ n for large densities. Both predictions are plotted in Fig. 4.4. This inverse dependence of velocity on density helps explain why typical gm (Vgs ) curves (e.g., [9]) peak and fall at larger gate voltage. Finally, the non-trivial velocity curve may impact device performance even outside the gated region: since GaN HEMTs often have significant access regions (Lgs , Lsd on the order of Lg ), this effect may result in a current-dependent resistance on the source and drain [10].

1.25

(a)

1.5

vsat ∝ 1/n0.5

1.0 0.5

vsat ∝ 1/n0.45 Fang ’12 Bajaj ’15

0 1 2 Charge density [x1013/cm2 ]

(b) gm peaks s off and fall 1.00

89 0.5 Transconductance [S/mm]

2.0

Drain Current [A/mm]

Saturation velocity [x107 cm/s]

4 Linearity Aspects of High Power Amplification in GaN Transistors

0.4

0.75

0.3

0.50

0.2

0.25

0.1

0.00

−2 0 Gate Voltage [V]

0.0 2

Fig. 4.4 (a) Saturation velocity in GaN 2DEGs according to the Fang [7] and Bajaj [8] models. (b) The saturation characteristics, as well as other effects such as non-linear access regions and self-heating, are manifested in the shape of the gm peak. Measured transfer characteristics for a long-channel AlN/GaN/AlN HEMT showing such a peak provided courtesy of Austin Hickman

Fig. 4.5 The gate-to-source capacitance of a HEMT modulates drastically as it switches on and off. This can be cancelled in principle by the parallel addition of a second HEMT device with the channel shorted, where the signal runs source-to-gate so the capacitance modulates in the inverse manner. For more information, see [12]. More general techniques for linearization are discussed in Sect. 6

3.2 Capacitance As a HEMT is switched on and off, and the density of states in the channel is moved in and out of range of the Fermi level, the gate-to-source capacitance changes dramatically, as shown in Fig. 4.5. (Beyond threshold, where the Fermi level explores only the constant two-dimensional density of states, the capacitance flattens out.) Thus Class B and AB amplifiers must concern themselves intensely with the nearly complete variation of the input capacitance [11, 12], since variations in input impedance can affect the matching of networks before an amplifier and propagate non-linearity to the output signal. On the other hand, Cds is generally small and a negligibly weak function of the bias [13], at least in the absence of source-connected field plates. That said, since a

90

S. J. Bader et al.

major draw of GaN HEMTs is the high-voltage handling capability, it is common to find designs which enhance the breakdown voltage via carefully optimized field plates connected to either the source or gate, and these in general may drastically alter the capacitances and capacitance non-linearities of all three nodes with respect to both gate and drain voltages [14, 15], as discussed further in Sect. 5.

3.3 Self-heating Since GaN targets high-power applications, self-heating becomes a major concern. Matters of product reliability aside, heating can notably affect output characteristics in complicated-to-model power- and time-dependent ways, most directly by altering the mobility and saturation velocity of the involved carriers [16]. From a physics level, the interaction is subtle because, although optical phonons perform the scattering, their group velocity is small, so they must in turn interact with acoustic phonons in order to dissipate the heat [17]. Broadening to a device-level picture, the heat transfer can be complicated by the multilayer heterostructures consisting of materials with different thermal conductivity, to which Bagnall [18] provides numerous analytical simplifications (Fig. 4.6). Packaging adds yet another layer of complexity and the thermal resistance of many of the involved materials itself depends on temperature [19]. In general, it is expected that several time constants come into play to account for the spreading of non-uniformly generated heat from the channel through the device area, buffer, substrate, die, and any other critical components of the heat conduction path [20]. At the end of the day, despite the significance of precise thermal modelling [18, 19] in understanding where improvements can be made, compact modelling often relies on small empirical pseudo-RC networks for thermal effects.

Fig. 4.6 Non-uniform temperature distribution in a multifinger GaN HEMT, reprinted courtesy of Keven Bagnall [18], copyright MIT. Given the intensely localized area of heat generation, thermal spreading resistance is a major contribution to the peak temperature rise

4 Linearity Aspects of High Power Amplification in GaN Transistors

91

Fig. 4.7 Cartoon of a transient trapping effect. Initially the device is in the off-state. When subject to a drain stress for longer than the trap capture timescale, electrons tunnel in and neutralize surface donor states, extending the depletion of the 2DEG. Then if the device is nominally turned on by raising the gate voltage, the current is initially small because the extra depletion region persists until the emission timescale of the traps has elapsed. The effect of traps is thus dependent on both frequency (as set by the two timescales) and on what stresses apply at the quiescent point

3.4 Trapping Trapping, most notoriously due to surface states [21], causes a “current collapse” phenomena whereby the on-current and/or threshold of a device can shift when the device is subject to stress in the off-state by application of gate or drain voltage. Under high electric field, electrons may tunnel from the gate to fill surface states, thus adding a poorly controlled “virtual gate” either underneath the real gate or in the drain-side access region, as depicted in Fig. 4.7. Such phenomena can be investigated by numerous methods, most essentially by pulsed and transient IV measurement [22]. Despite their demonstrable effects, time-dependent trap effects have only rarely made it into compact models [23, 24] in any physical way.

4 Large-Signal Modelling In order to predict the behavior of GaN devices in practical circuit designs, efficiently computable analytic approximations must be made to the overall device characteristics in the form of a compact model. So, having just enumerated the effects responsible for specific non-linearities in GaN devices, this section will now abstract away those physical details to the level necessary for a circuit engineer.

4.1 Special Concerns for GaN The extreme physics at play in even relatively typical operation of GaN devices makes the proper description of trapping and thermal effects paramount. Consequently, the range of experiments which become necessary for the modelling of devices generally includes at least DC I –V , S-parameter, pulsed I –V , and load-

92

S. J. Bader et al.

pull. Given the dependence on quiescent point and power level, it is essential to characterize in the vicinity of actual operation and model these effects accurately [25]. Due to the high fields present in GaN power devices and the use of non-native dielectrics, GaN devices (particularly MOS-style) are subject to the presence and fluctuating occupation of traps. Equations which reduce the efficacy of gate control based on static trapping can help [26]. But, due to non-symmetric capture and emission time-constants [27], a thorough modelling of the dynamics requires more careful subcircuits [23]. Under high-power operation–which is where GaN generally finds application– self-heating effects critically impact performance. These are typically addressed by the addition of a thermal R-C circuit in the compact model [25], though care must be taken when extracting thermal parameters, in that the pulse-time-dependent thermal effects can be difficult to distinguish from trapping effects [23, 27].

4.2 Available Models Several models will be discussed in this section, in rough order from the most to least physical (“physical” in the sense of deriving relevant equations based on the actual physics of the previous section, rather than from, e.g., polynomial or trigonometric fitting). However, the popularity of these models in high-frequency design follows roughly the opposite ordering.

4.2.1

Physically Derived Models

As discussed in Sect. 3.1, the current can be analyzed in terms of both charge control and field-velocity dependences, and some authors do just that, to a limited extent. These include [28] and [29], which model the occupation along the channel explicitly in terms of the quantized subbands at the heterojunction interface accounting for the relevant material parameters and polarization; however, neither model is extensive enough to fit data beyond DC. Rather than computing the charge along the varying surface potential of the channel, one could adapt the virtual source approach [30], which models the charge sheet at only the top of the channel barrier. Radhakrishna [31] introduced this simplification from the world of short-channel MOSFETs into the analysis of GaN HEMTs. This form just interpolates the charge density from exponential subthreshold behavior to linear superthreshold behavior without dealing with the details of energy levels or polarization, etc. The velocity is then factored in by an empirical saturation function. By adding in submodels for the source and drain access regions as “implicitly gated transistors” (i.e., accounting for the aforementioned non-linear source resistance) and including other GaN-specific effects, this “MVS-G” model has received many extensions and, as of the time of writing, is en route to becoming

4 Linearity Aspects of High Power Amplification in GaN Transistors

93

an industry-standard SPICE model backed by the Silicon Integration Initiative’s Compact Models Coalition [32].

4.2.2

Circuit Models

Other models simply begin from the compact topologies developed for MOSFETs or GaAs HEMTs with varying levels of adaptation. Among these, the Curtice GaAs HEMT model [33] has been developed extensively and adapted to GaN devices. The Curtice model takes the drain current to be the product of a cubic polynomial of the “input voltage” with a hyperbolic tangent dependence on the drain voltage. The input voltage is the gate voltage with a DIBL adjustment and a time delay. This Curtice model has been adapted into distributions such as C_FET and C_HEMT, which are available from W.R. Curtice Consulting. The model is also visible in commercial derivatives such as EEHEMT (Eesof GaAs HEMT), which, among other changes, uses an approach similar to [34] to isolate the DC and AC characteristics into separate parameter sets. The other most common standard model is Angelov/Chalmers [35] (or specifically the Angelov_GaN variation), which employs a drain current composed of polynomials inside a slew of hyperbolic functions and an even larger topology with vastly more parameters. The extended topology, Fig. 4.8, includes a gate delay circuit and a dispersion circuit which feeds back the output voltage as an effective “backgate” for the transistor. The increased complexity also allows for more precise tuning of the higher harmonics of transistor characteristics. Other authors have built upon the Angelov model, e.g., adding in proper trapping effects [27]. This listing is not by any means comprehensive, and references such as [25, 31] provide more information to those interested in the details of the major models. In addition to extensions of the above, this is an active field with enormous industry

Fig. 4.8 Topology of the Angelov model [35], color-coded in broad, non-authoritative strokes: green for intrinsics, purple for parasitics, red for leakage/breakdown paths, and brown for additional dispersion subcircuits (not drawn is the additional “thermal” RC circuit for self-heating)

94

S. J. Bader et al.

participation, which has brought many more possibilities to the forefront, see, for example, the proprietary Cree model [13] or the AMCAD model [23, 24]. Furthermore, as the characterization techniques themselves advance with the technology, new demands on model precision and validation continue to push the envelope of compact models, and in some cases change the form altogether, such as approaches based directly on non-linear techniques such as X-Parameter measurement [36]. As is clear from the above discussion, the numerous models available vary widely in how and to what extent they address these GaN-centric concerns. But as clever device design, continued scaling, and advancing system requirements reshuffle the importance of all these effects, choosing the appropriate model and calibrating and validating against the full range of relevant testbenches will always be essential to successful system design.

5 Device-Level Design for Linearity The search to improve on the classical trade-off between efficiency and linearity has resulted in a variety of circuit-level linearization techniques as discussed in Sect. 6. These techniques have been successfully adopted in GaN power amplifiers used in many applications such as base-station transmitter systems. These circuit techniques, however, are becoming increasingly ineffective due to the increasing requirement on system bandwidth. Eventually, improving the linearity of the transistor itself becomes more important to ease the performance trade-off without increasing the circuit complexity. In this section, novel approaches to improve transistor-level linearity through material and device designs are presented. A key point of this discussion is how to reduce or compensate the impact of the major non-linear elements of the transistor, i.e., transconductance (gm ), output conductance (gd ), and capacitances (Cgs , Cgd ), without sacrificing other important circuit specifications such as gain, noise, or power consumption. Transistors can be modelled by non-linear current and charge sources, which depend on both the drain-source (Vds ) and gate-source voltages (Vgs ). When driven with a modulated signal, these non-linear sources will give rise to distortion. For the moderate power regime, this distortion can be studied through analytical Volterra series method [37]. Using this model, each of the non-linear sources is approximated by a Taylor series, representing the 1st, 2nd, 3rd. . . order dependency of the source quantity on the nodal drain-source and gate-source voltages around the quiescent bias point (vds , vgs ). In this expression, the 1st order terms give the desired linear device transfer, while the higher-order terms provide non-linearities of the transistor, producing intermodulation distortion that corrupts the desired signal transfer.

4 Linearity Aspects of High Power Amplification in GaN Transistors

95

Fig. 4.9 Major linearity features and regions of a generic GaN HEMT transfer curve

5.1 Linearizing the Transconductance Profile One of the major non-linear elements of the GaN HEMT devices is a transconductance (gm ). Figure 4.9 illustrates typical transfer characteristics (Ids −Vgs ) of a GaN  (= ∂ 2 g /∂V 2 ) HEMT together with gm (= ∂Ids /∂Vgs ) and its second derivative gm m gs curves. There are two regions (I and II) to be separately discussed in the following. High carrier-to-third-order intermodulation-ratio (C/IM3) is typically achieved by operating transistors at power levels well backed off from saturation, at the expense of efficiency. For such power levels, non-linear gm near pinch-off (region I) dominates IM3 of the transistor under class-AB operation [38]. gm non-linearity  curve, i.e., curvature of in this regime is characterized by the amplitudes of the gm  the gm . The distinct two peaks of the gm curve are a result of the abrupt pinchoff characteristics inherent to the HEMT operation, where the gate electric field is applied in parallel to the confinement direction of the two-dimensional electron gas (2DEG). There are several approaches to improve gm linearity near pinch-off through material designs. Inoue et al. [38] proposed to insert a thin heavily Si-doped GaN layer in the GaN buffer layer (Fig. 4.10). The insertion of n-GaN layer modulates the band diagram and broadens the 2DEG distribution in the channel, enabling gradual  peaks. The device operated in class-AB exhibited 8-dB pinch-off and lowered gm IM3 improvement at power levels backed off more than 10-dB from saturation. Another material design approach proposed by Park et al. [39] is the polarizationinduced channel engineering. Compositional grading within the channel region from GaN to AlGaN forms quasi three dimensional electron gas (quasi-3DEG) without requiring impurity doping, which enables a tailored gm profile while maintaining high mobility of the channel electrons (Fig. 4.11). At a device level, Joglekar et al. proposed a gm compensation technique [40]. The transistor consists of multiple fin-shaped transistors with various fin widths having different threshold voltages (Vth ). With a careful selection of fin-width combinations, the superposed transfer  curve due to cancellation of g  characteristics enable a lowered composite gm m peaks (Fig. 4.12). 12-dB reduction in IM3 at a backed off power level is achieved as compared to the transistor without the gm compensation.

96

S. J. Bader et al.

Fig. 4.10 (a) Epitaxial structure, (b) band diagram, and (c) transconductance profile of an inibuffer device versus a conventional design. The insertion of the thin n-GaN layer widens the 2DEG distribution, which in turn broadening out the transconductance peak. Reprinted courtesy of Mr. Kazutaka Inoue

For large input power levels, gm linearity near saturation (region II) becomes critical. The typical gm roll-off observed in GaN HEMTs causes gain compression and degrades linearity in the saturated power regime where a peak power added efficiency (PAE) is obtained. The gm roll-off is caused by both extrinsic and intrinsic factors. A well-known extrinsic factor is the device source resistance, which limits gm at high current densities as expressed by gm,ext = gm,int /(1 + gm,int Rs ). The source resistance consists of a contact resistance (Rc ) and an access resistance (Rac ), a resistance of the region between the source and the gate. It has been reported that in GaN HEMTs the source access resistance increases with the increase in the drain current density due to the increase of the electric field in the access region, causing the quasi-saturation of the electron velocity [10]. The intrinsic factor that limits gm linearity is the strong dependence of electron velocity (vs ) on 2DEG density (ns ) in GaN HEMTs, as discussed in Sect. 3.1. The model described there from Fang et al. 1/2 [7], based on an optical phonon emission-limited electron velocity, predicts 1/ns dependence of vs and explains the typical peaky behavior of gm and fT versus Vgs . Many approaches to reduce the source resistance are reported. Moon et al. used an n+GaN source contact ledge formed using a gate recess process [41], a commonly

4 Linearity Aspects of High Power Amplification in GaN Transistors

97

Fig. 4.11 Epitaxial structure of (a) the graded channel HEMT and (b) conventional HEMT. Comparison of (c) extrinsic and (d) intrinsic gm curves for the graded channel and the conventional HEMTs. Graded channel devices spread the 2DEG to a 3DEG, flattening out the gm peak. Reprinted courtesy of Dr. Pil Sung Fig. 4.12 By combining in parallel multiple devices with the same transconductance profiles at slightly shifted thresholds, the net transconductance profile (black curve on right) will be smoothed out. This threshold shift can be achieved at the device level by adjusting the geometry of different fingers of a transistor. Reprinted from [40]

used technique in GaAs or InP-based HEMT fabrication. Regrown n+GaN ohmic contacts by molecular beam epitaxy (MBE) are another viable method. A highly Si-doped n+GaN layer directly grown on sidewalls of the 2DEG channel forms an n+GaN–2DEG interface with an extremely low interfacial resistance of 0.026 mm, enabling an overall source resistance as small as 0.1 mm [42–44]. Nano-channel

98

S. J. Bader et al.

transistors with tri-gates [40, 45, 46] have a wider ohmic contact width than the active channel width, further reducing the effective source resistance.

5.2 BRIDGE FET Technology Figure 4.13 illustrates a device structure designed to improve transistor linearity [47]. This transistor is called BRIDGE FET, a field effect transistor with buried dual gates. The buried gates form a side contact with the 2DEG. The drain current is controlled by modulating the width of the 2DEG via a lateral gate electric field. This device operation principle is similar to that of conventional metal-semiconductor field effect transistors (MESFETs) but utilizing a high-mobility 2DEG for highfrequency operation. A deliberate elimination of the top-contact gate replaces the planar ns modulation with a lateral modulation of the 2DEG area, which in turn mitigates the gm roll-off originating from electron velocity (vs ) modulation. Furthermore, since the channel pinch-off occurs when the lateral depletion from the dual gates is fully extended, a gradual gm profile near pinch-off is realized, and  peaks can be obtained as shown in Fig. 4.14. therefore, lowered gm Other important features of BRIDGE FETs for linear operation are: 1. Negligible current collapse due to the lack of a high electric field region on the surface, which is typically formed at the drain-end of the gate in conventional top-gated HEMTs.

Fig. 4.13 (a) Schematic of a GaN field-effect transistor with buried dual gates (BRIDGE FET), (b) a top view illustrating the device operation principle in that the width of the 2DEG is laterally modulated by the buried dual gates, and (c) a cross-section at the gate in the y-direction

4 Linearity Aspects of High Power Amplification in GaN Transistors

99

Fig. 4.14 Transfer and transconductance profiles demonstrating the linearity benefits of a laterally-depleted BRIDGE FET versus a conventional top-gated approach

Fig. 4.15 (a) DC output and (b) transfer characteristics of a 150-nm-gate BRIDGE FET with Wch = 250 nm. Currents and a resistance are normalized by n×Wch , where n represents the total number of parallel channels (= 200)

2. Low output conductance (gd ) due to an improved electrostatic isolation between the source and the drain, which is attributed to an improved electron confinement in the channel by a raised potential in the buffer layer via Schottky contacts of the buried gates to the buffer (Fig. 4.15). 3. Highly uniform gain and the gate-to-source capacitance (Cgs ) along the resistive load line (Fig. 4.16)

100

S. J. Bader et al.

Fig. 4.16 Contour plots of (a) MSG, (b) Cgs , and (c) Cgd measured at 10 GHz for a 150-nm-gate BRIDGE FET

The transistor’s power density can be increased by stacking multiple 2DEG channels as demonstrated first in super-lattice castellated field effect transistors (SLCFETs) [48]. The lateral gate structure of the BRIDGE FETs enables simultaneous modulation of the multi-channels while maintaining the highly linear device operation. The lack of top gate also eliminates high vertical electric field at the drain end of the gate, which greatly suppresses a well-known inverse-piezoelectric effect and improves reliability [47].

5.2.1

Field Plate Technology

Current collapse is a dynamic phenomenon caused by electron trapping during large voltage swing operation. Suppressing current collapse is essential to maximize the RF output power from any transistor technology. Field plate gate technology was first introduced to alleviate an electric field at the drain end of the gate to increase the device breakdown voltage for maximizing voltage swing, and to suppress current collapse for maximizing the knee current density during large signal operation. Ando et al. [49] developed a transistor with a dual field plate gate (Fig. 4.17). They discussed the additional importance of a field plate technology on the device linearity, gain, and stability. This is enabled by a reduction of the gate-to-drain capacitance (Cgd ) through an increased channel depletion under the field plate (Fig. 4.18). The optimized dual field plate transistor exhibited an approximately 5dB adjacent channel leakage power ratio (ACLR) as compared to the single field plate device. One of the challenges of the field plate technology lies in its application to transistors scaled for higher frequency operations such as millimeter-wave. This is due to a limited cutoff frequency of the field plate transistors due to an additional

4 Linearity Aspects of High Power Amplification in GaN Transistors

101

Fig. 4.17 Schematic of dual field plate field-effect transistor, reprinted from [49]

Fig. 4.18 Cgd vs. Vds extracted from measured S-parameters for a single and a dual field plate FET, reprinted from [49]

electron transit delay associated with the long field plate. Figure 4.19a illustrates one solution to this challenge. The device has a nano-scale field plate embedded under the head of the T-shaped gate. The nano field plate can be independently biased. The transistor is modelled as cascode FETs where two transistors are connected in series (Fig. 4.19b). In this transistor, the 2nd transistor acts as a current source, and Vd1 is pinned when Vd1 = Vg2 − Vp2 , (Vp2 is a pinch-off voltage of the 2nd transistor). This operation results in a lower gd and Cgd due to an early depletion under the nano field plate (Fig. 4.20). Since the size of the field plate is much smaller than the conventional field plate structure, the transistor can operate at a higher frequency while maintaining the advantage of the field plate for high linearity. Overall, the device-level focus on linearity is very much a field in its early stages, but, with major investments from both industrial and military applications (for instance, DARPA’s recently begun Dynamic Range-Enhanced Electronics and Materials Program) this subject is sure to see new advances in the years to come.

102

S. J. Bader et al.

Fig. 4.19 (a) Schematic illustration of a T-gate HEMT with an embedded nano field plate under the gate head. (b) The device operates as cascade FETs built within a single device in close proximity, enabling an early onset of channel pinch-off under the nano field plate

Fig. 4.20 Comparison of contour plots of Cgd and gd for a conventional T-gate GaN HEMT and a T-gate HEMT with an embedded nano field plate at various bias applied between the nano field plate and the source. (a) T-gate HEMT (Lg = 60 nm). (b) T-gate HEMT with embedded nano-FP (Lg = 60 nm, LNFP = 100 nm)

6 Circuit-Level Techniques for Linearity Zooming out from the device level, circuit techniques for enhancing linearity can be broadly lumped into two broad approaches: feedback and counter-distortion [2].

4 Linearity Aspects of High Power Amplification in GaN Transistors

103

Fig. 4.21 Circuit techniques for enhancing linearity over device limits: (a) feedback using resistive source degeneration and (b) counter-distortion using multi-tanh principle

Feedback techniques generally rely on the fact that it is often easier to make a linear feedback path than a linear gain stage. This is because feedback paths are often attenuating, and so can employ passive elements (resistors, inductors) which in turn are intrinsically much more linear than active elements. The simplest version of feedback linearization is via degeneration, where in the simplest case, a resistor or inductor is placed in series with the source or emitter terminal of a commonsource/common-emitter amplifier (see Fig. 4.21a). In such circuits, the intrinsic transconductance of the degenerated device is reduced by a factor 1 + gm Zdeg , (where gm is the transconductance of the device itself, and Zdeg is the degeneration impedance [1]) but the 2nd and 3rd non-linear terms g2 and g3 are reduced by factors of (1 + gm Zdeg )3 and (1 + gm Zdeg )4 , respectively, yielding a relative improvement in linearity by (1 + gm Zdeg ). Note that for resistive degeneration, at least, this improvement comes with an associated cost in terms of input-referred noise and voltage bias (power). More elaborate forms of feedback are also possible, of course. Direct (shuntshunt) voltage feedback, such as is used with operational amplifiers, can provide extremely high linearity by employing multiple stages of amplifier to achieve high loop gain. However, generating high gain at high bandwidths can be costly in power, and maintaining stable operation typically requires compensation techniques that limit bandwidth well below the ωT . In narrow-band applications, indirect forms of feedback may be employed to detect in-band perturbations in amplitude and phase [2], such as are commonly used in polar transmitters. Such approaches can provide significant in-band linearization, but at the cost of significant complexity, and once again with significant bandwidth constraints in order to maintain stability. In contrast, counter-distortion techniques generally employ multiple non-linear elements whose non-linear properties cancel-out over a given range of operation. In many cases this involves placing several devices with different I –V characteristics (due to differences in biasing, sizing, etc.) in parallel such that the non-linear terms in their I –V curves cancel over some range. For example, one can employ the multitanh principle [50] in a differential pair doublet by employing two offset differential pairs to cancel the curvature in one-another’s I –V curves, enhancing linearity over

104

S. J. Bader et al.

the range of such cancellation (Fig. 4.21b). Once again, this comes at some cost in terms of noise (from split biasing) and reduced effective gm relative to bias current. A number of similar techniques can be employed in other structures. An alternate form of counter-distortion that is often used in RF transmitters with non-linear power amplifiers is “pre-distortion” [2]. In such an approach, a circuit preceding the non-linear amplifier generates an inverse non-linearity, such that the pre-distortion and amplifier non-linearities cancel. For example, if the PA has an exponential non-linearity, then a pre-distorter would have a logarithmic non-linearity to compensate. Such pre-distortion can be calibrated through a slow feedback loop to track the slow-changing non-linear coefficient. Indeed, in modern RF systems, “digital pre-distortion” can be implemented, wherein pre-distortion is performed in the digital domain before the signal is converted to baseband analog signals and up-converted. Once again, this requires calibration, and also requires that the signal path be wider bandwidth than the signal, by a factor equal to the order of the non-linearity being cancelled (thus for pre-distortion to suppress 3rdorder non-linear effects requires three times the bandwidth) [51]. Finally, critically, all of these techniques only really suffice for weakly non-linear circuits, describable by a low order polynomial, and with non-linear coefficients small enough that distortion artifacts do not dominate over the fundamental, linear signal. Thus while feedback and counter-distortion can take a moderately non-linear circuit or device and make it behave more linearly, they cannot correct extreme nonlinearities. As GaN HEMTs continue to develop and mature and find their way into a wider range of applications, a deep understanding of linearity, from physics and modelling at the device level all the way to appropriately engineered circuits will be essential to successful systems design.

References 1. T.H. Lee, The Design of Radio-Frequency Integrated Circuits, 2nd edn. (Cambridge University Press, Cambridge, 2004) 2. A. Katz, Linearization: reducing distortion in power amplifiers. IEEE Microw. Mag. 2(4), 37–49 (2001) 3. S. Birner, T. Zibold, T. Andlauer, T. Kubis, M. Sabathil, A. Trellakis, P. Vogl, Nextnano: general purpose 3-D simulations. IEEE Trans. Electron Dev. 54(9), 2137–2142 (2007) 4. S. Khandelwal, N. Goyal, T.A. Fjeldly, A physics-based analytical model for 2DEG charge density in AlGaN/GaN HEMT devices. IEEE Trans. Electron Dev. 58(10), 3622–3625 (2011) 5. S. Syed, J.B. Heroux, Y.J. Wang, M.J. Manfra, R.J. Molnar, H.L. Stormer, Nonparabolicity of the conduction band of wurtzite GaN. Appl. Phys. Lett. 83(22), 4553–4555 (2003) 6. B.K. Ridley, P. Tripathi, Polar-optical-phonon and electron-electron scattering in largebandgap semiconductors. J. Phys. Condens. Matter 10, 6717–6726 (1998) 7. T. Fang, R. Wang, H. Xing, S. Rajan, D. Jena, Effect of optical phonon scattering on the performance of GaN transistors. IEEE Electron Dev. Lett. 33(5), 709–711 (2012)

4 Linearity Aspects of High Power Amplification in GaN Transistors

105

8. S. Bajaj, O.F. Shoron, P.S. Park, S. Krishnamoorthy, F. Akyol, T.H. Hung, S. Reza, E.M. Chumbes, J. Khurgin, S. Rajan, Density-dependent electron transport and precise modeling of GaN high electron mobility transistors. Appl. Phys. Lett. 107(15), 1–5 (2015) 9. K. Shinohara, D. Regan, A. Corrion, D. Brown, S. Burnham, P.J. Willadsen, I. AlvaradoRodriguez, M. Cunningham, C. Butler, A. Schmitz, S. Kim, B. Holden, D. Chang, V. Lee, A. Ohoka, P.M. Asbeck, M. Micovic, Deeply-scaled self-aligned-gate GaN DH-HEMTs with ultrahigh cutoff frequency, in Technical Digest - International Electron Devices Meeting, IEDM, vol. 2(D) (2011), pp. 453–456 10. T. Palacios, S. Rajan, A. Chakraborty, S. Heikman, S. Keller, S.P. DenBaars, U.K. Mishra, Influence of the dynamic access resistance in the gm and fT linearity of AlGaN/GaN HEMTs. IEEE Trans. Electron Dev. 52(10), 2117–2123 (2005) 11. H. Sarbishaei, D. Yu-Ting Wu, S. Boumaiza, Linearity of GaN HEMT RF power amplifiers - a circuit perspective, in 2012 IEEE/MTT-S International Microwave Symposium Digest (2012), pp. 1–3 12. S. Xie, V. Paidi, S. Heikman, L. Shen, A. Chini, U.K. Mishra, M.J.W. Rodwell, S.I. Long, High linearity GaN HEMT power amplifier with pre-linearization gate diode. Int. J. High Speed Electron. Syst. 14(3), 847–852 (2004) 13. R. Pengelly, B. Millon, D. Farrell, B. Pribble, S. Wood, Application of non-linear models in a range of challenging GaN HEMT power amplifier designs, in International Microwave Symposium (2008) 14. K. Sharma, A. Dasgupta, S. Ghosh, S.A. Ahsan, S. Khandelwal, Y.S. Chauhan, Effect of access region and field plate on capacitance behavior of GaN HEMT, in Proceedings of the 2015 IEEE International Conference on Electron Devices and Solid-State Circuits, EDSSC 2015 (2015), pp. 499–502 15. S.A. Ahsan, S. Ghosh, K. Sharma, A. Dasgupta, S. Khandelwal, Y.S. Chauhan, Capacitance modeling in dual field-plate power GaN HEMT for accurate switching behavior. IEEE Trans. Electron Dev. 63(2), 565–572 (2016) 16. T. Chowdhury, Study of Self-Heating Effects in GaN HEMTs. PhD thesis, Arizona State University, 2013 17. B. Padmanabhan, D. Vasileska, S.M. Goodnick, Current degradation in GaN HEMTs: is selfheating responsible. ECS Trans. 49(1), 103–109 (2012) 18. K.R. Bagnall, Device-level thermal analysis of GaN-based electronics. Mechanical Engineering, MS(2009), 2009 19. A. Prejs, S. Wood, R. Pengelly, W. Pribble, Thermal analysis and its application to high power GaN HEMT amplifiers, in 2009 IEEE MTT-S International Microwave Symposium Digest, June (2009), pp. 917–920 20. K.R. Bagnall, O.I. Saadat, S. Joglekar, T. Palacios, E.N. Wang, Experimental characterization of the thermal time constants of GaN HEMTs via micro-Raman thermometry. IEEE Trans. Electron Dev. 64(5), 2121–2128 (2017) 21. R. Vetury, N.Q. Zhang, S. Keller, U.K. Misha, The impact of surface states on the DC and RF characteristics of AlGaN/GaN HFETs. IEEE Trans. Electron Dev. 48(3), 560–566 (2001) 22. G. Meneghesso, M. Meneghini, D. Bisi, I. Rossetto, A. Cester, U.K. Mishra, E. Zanoni, Trapping phenomena in AlGaN/GaN HEMTs: a study based on pulsed and transient measurements. Semicond. Sci. Technol. 28(7), 074021 (2013) 23. O. Jardel, F. De Groote, T. Reveyrand, J.C. Jacquet, C. Charbonniaud, J.P. Teyssier, D. Floriot, R. Quéré, An electrothermal model for AlGaN/GaN power HEMTs including trapping effects to improve large-signal simulation results on high VSWR. IEEE Trans. Microw. Theory Tech. 55(12), 2660–2669 (2007) 24. H. Maehara, T. Gasseling, S. Dudkiewicz, Nonlinear characterization and modeling through pulsed IV/S parameters. Available online: https://www.maurymw.com/pdf/datasheets/ CompactModeling.pdf 25. L. Dunleavy, C. Baylis, W. Curtice, R. Connick, Modeling GaN: powerful but challenging. IEEE Microw. Mag. 11(6), 82–96 (2010)

106

S. J. Bader et al.

26. K. Yuk, G.R. Branner, D. McQuate, An improved empirical large-signal model for high-power GaN HEMTs including self-heating and charge-trapping effects, in IEEE MTT-S International Microwave Symposium Digest (2009), pp. 753–756 27. C.P. Baylis, Improved techniques for nonlinear electrothermal FET modeling and measurement validation. PhD thesis, University of South Florida, 2007 28. H. Morkoc, J. Leach, Polarization in GaN based heterostructures and heterojunction field effect transistors (HFETs), in Polarization Effects in Semiconductors: From Ab Initio Theory to Device Applications (Springer, New York, 2008), pp. 373–466 29. J. Zhang, B. Syamal, X. Zhou, S. Arulkumaran, G.I. Ng, A compact model for generic Mishemts based on the unified 2DEG density expression. IEEE Trans. Electron Dev. 61(2), 314– 323 (2014) 30. A. Khakifirooz, O.M. Nayfeh, D. Antoniadis, A simple semiempirical short-channel MOSFET current–voltage model continuous across all regions of operation and employing only physical parameters. IEEE Trans. Electron Dev. 56(8), 1674–1680 (2009) 31. U. Radhakrishna, A compact transport and charge model for GaN-based high electron mobility transistors for RF applications. PhD thesis, Massachusetts Institute of Technology, 2013 32. Si2 Approves Two IC Design Simulation Standards for Gallium Nitride Market (2018) 33. W.R. Curtice, M. Ettenberg, A nonlinear {G}a{A}s {FET} model for use in the design of output circuits for power amplifiers. IEEE Trans. Microw. Theory Tech. 33(12), 1383–1394 (1985) 34. J.M. Golio, M.G. Miller, G.N. Maracas, D.A. Johnson, Frequency-dependent electrical characteristics of GaAs MESFETs. IEEE Trans. Electron Dev. 37(5), 1217–1227 (1990) 35. I. Angelov, K. Andersson, D. Schreurs, D. Xiao, N. Rorsman, V. Desmaris, M. Sudow, H. Zirath, Large-signal modelling and comparison of AlGaN/GaN HEMTs and SiC MESFETs, in Asia-Pacific Microwave Conference Proceedings, APMC, vol. 1 (2006), pp. 279–282 36. R. Essaadali, A. Jarndal, A. Kouki, F.M. Ghannouchi, A new GaN HEMT equivalent circuit modeling technique based on X-parameters. IEEE Trans. Microw. Theory Tech. 64(9), 1–20 (2016) 37. P. Wamback, W. Sansen, Distortion Analysis of Analog Integrated Circuits (Kluwer Academic Publishers, Dordrecht, 1998) 38. K. Inoue, H. Yamamoto, K. Nakata, F. Yamada, T. Yamamoto, S. Sano, Linearity improvement of GaN HEMT for RF power amplifiers, in Technical Digest - IEEE Compound Semiconductor Integrated Circuit Symposium, CSIC, vol. 2(1) (2013), pp. 6–9 39. P.S. Park, D.N. Nath, S. Krishnamoorthy, S. Rajan, Electron gas dimensionality engineering in AlGaN/GaN high electron mobility transistors using polarization. Appl. Phys. Lett. 100(6), 1–4 (2012) 40. S. Joglekar, U. Radhakrishna, D. Piedra, D. Antoniadis, T. Palacios, Large signal linearity enhancement of AlGaN/GaN high electron mobility transistors by device-level VT engineering for transconductance compensation. IEDM Tech. Dig. 3(d), 613–616 (2017) 41. J.S. Moon, D. Wong, M. Hu, P. Hashimoto, M. Antcliffe, C. McGuire, M Micovic, P. Willadson, 55% PAE and high power Ka-band GaN HEMTs with linearized transconductance via n+ GaN source contact ledge. IEEE Electron Dev. Lett. 29(8), 285–287 (2008) 42. Y.F. Wu, D. Kapolnek, P. Kozodoy, B. Thibeault, S. Keller, B.P. Keller, S.P. DenBaars, U.K. Mishra, AlGaN/GaN MODFETs with low ohmic contact resistances by source/drain n+re-growth, in Proceedings of the IEEE 24th International Symposium on Compound Semiconductors, ISCS 1997 (1997), pp. 431–434 43. J. Guo, G. Li, F. Faria, Y. Cao, R. Wang, J. Verma, X. Gao, S. Guo, E. Beam, A. Ketterson, M. Schuette, P. Saunier, M. Wistey, D. Jena, H. Xing, MBE-regrown ohmics in InAlN HEMTs with a regrowth interface resistance of 0.05 mm. IEEE Electron Dev. Lett. 33(4), 525–527 (2012) 44. K. Shinohara, D.C. Regan, Y. Tang, A.L. Corrion, D.F. Brown, J.C. Wong, J.F. Robinson, H.H. Fung, A. Schmitz, T.C. Oh, S.J. Kim, P.S. Chen, R.G. Nagele, A.D. Margomenos, M. Micovic, Scaling of GaN HEMTs and Schottky diodes for submillimeter-wave MMIC applications. IEEE Trans. Electron Dev. 60(10), 2982–2996 (2013)

4 Linearity Aspects of High Power Amplification in GaN Transistors

107

45. K. Zhang, Y. Kong, G. Zhu, J. Zhou, X. Yu, High-linearity AlGaN/GaN FinFETs for microwave power applications. IEEE Electron Dev. Lett. 38(5), 615–618 (2017) 46. K. Ohi, J.T. Asubar, K. Nishiguchi, T. Hashizume, Current stability in multi-mesa-channel AlGaN/GaN HEMTs. IEEE Trans. Electron Dev. 60(10), 2997–3004 (2013) 47. K. Shinohara, C. King, A.D. Carter, E.J. Regan, A. Arias, J. Bergman, M. Urteaga, B. Brar, GaN-based field-effect transistors with laterally gated two-dimensional electron gas. IEEE Electron Dev. Lett. 39(3), 417–420 (2018) 48. R.S. Howell, E.J. Stewart, R. Freitag, J. Parke, B. Nechay, H. Cramer, M. King, S. Gupta, J. Hartman, M. Snook, I. Wathuthanthri, P. Ralston, K. Renaldo, H.G. Henry, R.C. Clarke, The super-lattice castellated field effect transistor (SLCFET): a novel high performance transistor topology ideal for RF switching, in Technical Digest - International Electron Devices Meeting, IEDM, 2015 February, pp. 11.5.1–11.5.4 49. Y. Ando, A. Wakejima, Y. Okamoto, T. Nakayama, K. Ota, K. Yamanoguchi, Y. Murase, K. Kasahara, K. Matsunaga, T. Inoue, H. Miyamoto, Novel AlGaN/GaN dual-field-plate FET with high gain, increased linearity and stability, in Electron Devices Meeting, 2005. IEDM Technical Digest. IEEE International (c) (2005), pp. 576–579 50. B. Gilbert, The multi-tanh principle : a tutorial overview. IEEE J. Solid-State Circ. 33(1), 2–17 (1998) 51. K. Mekechuk, W. Kim, Linearizing power amplifiers using digital predistortion, EDA tools and test hardware. High Frequency Electronics (April) (2004), pp. 18–24

Chapter 5

III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA) Zhichao Yang, Digbijoy N. Nath, Yuewei Zhang, Sriram Krishnamoorthy, Jacob Khurgin, and Siddharth Rajan

1 Introduction to Concept of THETA III-Nitride materials including InN, GaN, and AlN and their alloys have a wide range of applications in high frequency, high voltage and power electronics [1–3] and optoelectronics [4, 5]. Considerable advantages of III-Nitrides such as larger conduction band offset (1.8 eV between AlN and GaN [6]), better thermal stability, larger band gap (3.42 eV for GaN [7]), and higher breakdown field (~3.3 MV/cm [8]) enables great device performance in high-frequency high-power electronics such as GaN high electron mobility transistors (HEMTs). GaN HEMTs have the ability to deliver high-power density for high-frequency applications [9]. Lateral scaling and Ohmic contact regrowth has enabled high cutoff (fT ) and maximum oscillation frequency (fmax ) demonstrations [9–11]. However, the frequency and power density of lateral HEMTs face some fundamental physical limits. To achieve amplification at higher frequency, the power gain at high frequency needs to be increased by reducing transit and RC delays, while maintaining low output conductance. In lateral structures, reducing the transit delay, which is done by shrinking lateral dimensions, also leads to an increase in the output conductance, which degrades high-frequency power gain [12, 13]. A second limitation of lateral

Z. Yang · Y. Zhang · S. Rajan () Ohio State University, Columbus, OH, USA e-mail: [email protected] D. N. Nath Indian Institute of Science, Bengaluru, Karnataka, India S. Krishnamoorthy University of Utah, Salt Lake City, UT, USA J. Khurgin Electrical and Computer Engineering, Johns Hopkins University, Baltimore, MD, USA © Springer Nature Switzerland AG 2020 P. Fay et al., High-Frequency GaN Electronic Devices, https://doi.org/10.1007/978-3-030-20208-8_5

109

110

Z. Yang et al.

transistors is related to thermal management. While wide band gap materials such as GaN operate at higher power density than traditional GaAs and Si amplifiers due to the larger band gap and sheet charge density, they do not have significantly higher thermal conductivity. As a result, in systems with highly scaled 2D electron gases such as AlGaN/GaN HEMTs, the energy dissipation in a thin sheet-like volume leads to significant local heating and temperature rise. Vertical geometry transistors have advantages both in terms of scaling and thermal management, and are therefore especially favorable for wide band gap semiconductors. For vertical devices, electron transport can be defined by heterojunction growth at a scale shorter than 10 nm, and output conductance can be controlled through doping and epitaxial engineering (Figs. 5.1 and 5.2). Since power dissipation in a vertical device occurs over a volume rather than in a 2D sheet, the local temperature rise is not as significant as in the lateral case.

Fig. 5.1 Breakdown voltage versus cutoff frequency for various materials

Fig. 5.2 Contour plot of electric field distribution in HEMT and HBT

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

111

Fig. 5.3 Schematics of electron transport in HEMT and HBT

Moreover, electron transport can be engineered more easily in a vertical geometry. As shown in Fig. 5.3, electrons flow in a direction parallel to the gate stacks. In this case, the gate only plays a role in modulating the electron density in the channel but does not control the velocity of the electrons. Therefore, the intrinsic speed of the lateral HEMT is mainly limited by the ratio of gate length Lg to the saturation velocity of the electrons υsat . In a vertical device such as HBT, however, the intrinsic speed is affected not only by the junction capacitance but also by the transit delays over the base and collector region. In this geometry, electron can be accelerated by the emitter-base bias to overcome the transit region and therefore the speed of the device can be engineered [14–17]. Also, the heterojunction band offset in vertical devices plays a critical role in enhancing electron velocity in vertical transit as compared to lateral cases [18–20].

τT ,HEMT =

 * Cgs + Cgd [1 + (Rs + Rd ) gds ] +

τT ,HBT ≈

gm

gm Cgs +Cgd Cgd

(Rs + Rd )

+ ≈

Lg υsat (5.1)

tb tb tc tc KT Cbe + + + CEB rE + CBC (rE + RC ) ≈ + υe,b 2υe,c qJ υe 2υe (5.2)

Meanwhile, the electron saturation velocity υsat is limited by the longitudinaloptical (LO) phonon energy ωLO ωLO ~92 meV [12, 13] in HEMTs, which can be hardly obtained over 3 × 107 cm/s. While in HBTs, ballistic or quasi-ballistic transport can be achieved to greatly improve electron velocity.

112

Z. Yang et al.

1.1 Motivation for III-Nitride Vertical Unipolar Devices As discussed above, vertical devices have several advantages of easier scaling, better power dissipation, and enhanced electron transport. The most common highfrequency vertical devices, heterojunction bipolar transistors (HBTs), are difficult to achieve in III-Nitride system for high-frequency operations due to the low conductivity of p-type GaN, caused by low hole mobility [21, 22].

1.2 III-Nitride THETA Therefore, we investigate THETA. THETA had been previously demonstrated in GaAs systems [8–10], and current gain in excess of 10 had been achieved with wide-bandgap AlSbAs emitter at room temperature [11]. In analogous to HBTs and GaAs THETA, we consider III-Nitride THETA in this work. THETA is composed of n++ GaN emitter contact layer, i-GaN/i-AlN emitter-base barrier, n++ GaN base, i-AlGaN base-collector barrier, and n+ GaN subcollector. Under emitter-base bias VEB < 0, a quasi-mono-energetic hot electron beam (emitter current, IE ) is injected from the emitter, and transferred to the subcollector (collector current, IC ) via quasiballistic transport, with a small fraction of hot electrons relaxed into the base (base current, IB ). The base current is thus determined by the electrons thermalized within the base and/or reflected by the base-collector barrier. By applying sufficiently high emitter-base bias, IC exceeds IB , giving rise to a common-emitter current gain [23].

1.2.1

Brief Discussion on Hot Electron Transport in THETA

The structure and band diagram of the III-Nitride THETA are shown in Fig. 5.4a, b. Under reverse emitter-base bias, the Fermi-level of the emitter is raised above that of the base, and electrons are thus tunnel-injected into the base. The dependence of electron energy distribution on the base-emitter bias can be written as [24]. +∞ 

P (Ex , VBE ) dEx ∝ dEx T (Ex , VBE )

dEf (E) [1 − f (E + VBE )] ,

(5.3)

Ex

E P (E, VBE ) dE ∝ dEf (E) [1 − f (E + VBE )]

dEx T (Ex , VBE ) ,

(5.4)

0

where Ex is the energy component normal to the emitter barrier interface, E is the total energy, VBE is the base-emitter bias, T(Ex , VBE ) is the tunneling probability which can be obtained using WKB approximation, f (E) is the Fermi-

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

VE

b

Inject electrons through emitter

Emitter

metal Electrons injected

base

Electrons relaxed into base Hot Electrons transfered

Collector SubCollector

6 VB

metal

Energy (eV)

a

113

e–

3

Emitter

0

Base collector Subcollector

–20

chuck VC

0 20 40 60 80 100 120 140 Distance from the front (nm)

Fig. 5.4 (a) Schematic of the operation of III-Nitride THETA (b) band diagram at equilibrium (dashed) and under reverse emitter-base bias (solid)

b Electron Distribution

a Tunneling E

EF

y

Distribution in k-space at 3V 1 0.5

0 6 4 2 0 kx (nm–1) –2

Electron temperature Te~785K

1 0.9 0.6 0.4 0.2

0

4 2 ky (nm–1)

0

Fig. 5.5 (a) tunneling process and (b) energy distribution upon injection

Dirac distribution of the electrons on the emitter side, f (E + VBE ) is the Fermi-Dirac distribution of the electrons on the base side. The distribution of the injected electrons is depicted in Fig. 5.5a, b. It can be seen that electrons come in a narrow cone upon injection due to the exponential dependence on the tunneling probability. Therefore, the momentum of the injected electrons has a very small component parallel to the injection plane. This is favorable for a THETA since the small angle of the electron beam with respect to the normal incident direction will result in a small chance for scattering. After injected from the emitter, electrons encounter various scattering events in the highly-doped base. These events mainly involve electron-electron (EE), coupled plasmon-LO phonon (PLP), ionized impurity (ION). The comparison between the backscattering and the transit time in the base gives the probability of the electrons transiting across the base without being relaxed. Electrons which transit across the base without being backscattered have chances to overcome the base-collector barrier and reach the subcollector, enabling common-emitter operation of THETA.

114

Z. Yang et al.

1.3 Overview of the Chapter Section 2 presents Monte Carlo simulation of III-Nitride THETA. Hot electron transport mechanisms are discussed, and an ensemble Monte Carlo simulation is studied to understand the process of electrons transiting from the emitter to the collector. Section 3 presents small signal circuit model developed for high frequency performance of THETA. The effect of base thickness/doping and emitter-base current density on the current gain, transconductance, delay component, and ft and fmax are investigated. Section 4 describes polarization-engineered base-collector barrier. Heterojunction barrier based on conduction band offset does not simply work for AlGaN/GaN structures in a vertical transport. High vertical leakage is found in these structures. In order to address such problem, a polarization-induced electrostatic barrier is designed. Vertical leakage is suppressed by several orders of magnitude for a polarization-engineered barrier. Section 5 presents the demonstration of first III-Nitride THETA with commonemitter current gain with the help of successfully designed polarization-engineered base-collector barrier. Hot electron spectrometry and negative differential resistance effect are investigated to assist the understanding of electron transport in THETA. By emitter-base barrier engineering, common-emitter current gain is improved to be >10. This chapter concludes with discussions on improvement on DC characteristics and future works involving RF designs and process.

2 Analysis of Hot Electron Transport and Monte Carlo Simulation To understand in detail the transport properties of the hot electrons, ensemble Monte Carlo (EMC) simulation is performed with size of 1E6. The energy and momentum distributions of the hot electrons after being injected through the tunneling barrier are described by Eqs. (5.3) and (5.4), and the energy associated with the momentum normal to the injection plane is shown by the red curves in Fig. 5.6b. The energy of the ensemble is distributed close to the Fermi energy of the emitter metal, indicating a quasi-mono-energetic hot electron beam is generated by the thin tunneling barrier. After tunnel-injected from the emitter, the hot electrons undergo various scattering events in the base. Electron transport properties including energy, momentum, and angle with respective to the injection plane across the base and collector region are updated in the simulation.

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

115

Fig. 5.6 THETA structure and Monte Carlo simulation

2.1 Electron Transport Scattering Mechanisms In the highly doped base, the scattering events of the tunnel-injected hot electrons mainly involve electron-electron (EE)-coupled plasmon-LO phonon (PLP), ionized impurity (ION). The backscattering rates for each of the events can be estimated as follows [25–29]: √ + e4 ND Eγ (1 + 2αE) −1    τbs,ion = (5.5) √ 2 2 ∗ 2πεS E0 2m 1 + 4E 1 + 2E E0 E0

τbs,plp −1

  √ √

2m∗ e2 ωλ Sλ 1∞ − 1S 1 + 1 − ωλ /E ≈ √ √   ln 2 − ωλ /E 8π E 1 − e−ω/kT λ=± 

τbs,ee

−1

√ 2Nd e4 ≈ √ √ 32π m∗ εS 2 E0 E

where α is the nonparabolicity, E0 =



π2 e2 NC 2m∗ εS kT

ciated with the screening in the base, Sλ = ωλ =

&  1 2



ωλ ωLO

(5.7)

1 √ 3 π Nd 3 4NC

+ 1−

(5.6)

ωλ 2 ωT O 2

is the energy asso1

2

2ω 2 ω  ε T O p S 3 ε∞ −1 ωLO ωλ

and

   2 + ω2 ± ω2 + ω2 − 4ω2 ω2 ωLO p p p T O are the phonon strength and LO &

frequency for the upper and lower branch, ωp =

+ 2 ND e m∗ εS is

the plasmon wavevector.

The backscattering rate gives the estimation of the duration of electron traveling in the base before getting backscattered and relaxed.

116

Z. Yang et al.

Fig. 5.7 (a) Backscattering and base transit time as a function of electron energy at doping density of 1E20 cm−3 and (b) backscattering and base transit time as a function of doping density at electron energy of 1 eV

The comparison between the backscattering and the transit time in the base gives the probability of the electrons transiting across the base without being relaxed. From Fig. 5.7a, the backscattering time is predominantly determined by electronelectron scattering at doping density as high as 1E20 cm−3 and tends to saturate as the energy of electrons increases. From Fig. 5.7b, the transit time exceeds backscattering time for the doping range from 1E19 to 1E20 cm−3 at electron energy larger than 1 eV, indicating higher probability of electrons transiting over than relaxed into the base.

2.2 Monte Carlo Simulation To understand in detail the transport properties of the hot electrons, ensemble Monte Carlo (EMC) simulation is performed with size of 1E6. The tunnel-injected hot electrons undergo various scattering processes in the base and the scattering rates for EE, ION and PLP events used in simulation are characterized as follows [25–29]: √ τee

−1

=

2Nd e4

√ √ 32π m∗ εS 2 E0 E τion −1 =

τplp −1 =

 λ=±



"

6 1+

E0 E



+ e4 ND √ 2π εS 2 E0 2 2m∗

8 2+



E E0

# E ln 1 + E0

γ (1 + 2αE) 1+

4E E0

(5.8)

(5.9)

√ √    γ + (E−ωλ )[1+α(E−ωλ )] 2m∗ e2 ωλ Sλ 1∞ − 1S [1 + 2α (E − ω )] A ln √γ −√(E−ω )[1+α(E−ω )] + B λ λ λ   √ γ C 8π 1 − e−ω/kT

(5.10)

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

117

where A = [α (γ + (E − ωλ ) [1 + α (E − ωλ )]) + 2 (1 + αE) (1 + α (E − ωλ ))]2 ,  $ B = 2 γ (E − ωλ ) [1 + α (E − ωλ )] 4 (1 + αE) (1 + α (E − ωλ ))  + α (γ + (E − ωλ ) [1 + α (E − ωλ )]) , C = 4 (1 + 2αE) (1 + 2α (E − ωλ )) (1 + αE) (1 + α (E − ωλ )) . Using the Eqs. (5.8)–(5.10), both energy and momentum of the electrons are updated after each scattering event in the presence of the quasi-electric field in the conduction band of the base. The fraction of electrons which have angle larger than 90 with respect to the injection plane are considered backscattered due to minimum chance of getting over the base, and the rest of electrons remain forward and their energy and momentum are still updated. After such process in the base, the electrons face the finite barrier at the base-collector interface, which result in the quantum scattering. Using transfer matrix method, the transmission probability of each electron in the ensemble is calculated and the transferred fraction is calculated using random numbers weighted by the transmission probability. The energy distribution associated with the momentum in the normal direction after tunnel-injection through the emitter-base barrier, transition across the base, and transfer over the base-collector barrier is plotted in Fig. 5.8b. It is important to examine several transport parameters for the THETA, which are ballistic fraction, transmission fraction, and emitter-collector transit time. The ballistic fraction is the fraction of electrons which do not undergo any scattering events during the transport as shown in Fig. 5.9a. Due to frequent scatterings with cold electrons, coupled plasmon-LO phonon mode, and ionized

Fig. 5.8 (a) Heterostructure used for the EMC simulation, and (b) energy distribution from EMC simulation with respect to the conduction band

118

Z. Yang et al.

Fig. 5.9 (a) Ballistic fraction, (b) transmission fraction, and (c) emitter-collector transit time simulated from EMC

impurities, the ballistic fraction decreases rapidly as electrons travel farther in the base. The increase in the voltage (i.e., the electron energy) will slightly raise this fraction, resulting in 10% at 3 V after transiting 10 nm in the base. More importantly is the transmission fraction after traveling certain distance in the base as shown in Fig. 5.9b. The transmission decrease as the distance increase as well but more slowly. This fraction reaches 90% at 3 V after traveling 10 nm in the base. This indicates a current gain β (=IC /IB ) close to 10 can be obtained undergoing such quasi-ballistic transport. The other important figure of merit is the emitter-collector delay τ ec which determines the intrinsic speed of the THETA. Such term can be expressed as below: τec ≈

tb tc + + CEB rE + CBC (rE + RC ) υe,b 2υe,c

(5.11)

wherein the first two terms are the total of base and collector transit time, the third term is the emitter-base charging time, and the last term is the base-collector charging time. From Fig. 5.9c, both charging and transit delays decreases with increasing the emitter-base bias, giving a total intrinsic delay of less than 150 fs at 3 V. This indicates the intrinsic speed of THETA can potentially enter the THz regime.

2.3 Conclusions This section described Monte Carlo simulation of III-Nitride THETA. The scatterings mainly happen in heavily doped base which relax certain portion of tunnel-injected hot electrons. The majority of electrons still have sufficient energy to overcome base and base-collector barrier (collector) to reach the subcollector, enabling common-emitter amplification operation.

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

119

3 Small Signal Models for High-Frequency Performance Equivalent circuit model and delay components are considered for high-frequency operation of THETA (Fig. 5.10) In this model, device parameters including emitter contact area, emitter width, collector mesa area, collector thickness, base thickness and doping are considered. The total delay of THETA is expressed as below: 1 = τf + rE (CEB + CBC ) + (RE + RC ) CBC ≈ rE CEB + τB + τC + rE CBC 2πfT (5.12) which consists of emitter charging delay rE CEB , base and collector delay τ B and τ C , and collector charging delay rE CBC . The emitter charging delay rE CEB is τE = rE CEB = wherein Fig. 5.10 Equivalent circuit of THETA

 dVEB 1 1+β  = dJE TE + TE gm β TE,eff

(5.13)

120

Z. Yang et al.

CEB =

JE =

em1 2π 2 3

eV BE

EC

LE WE TE,eff

(5.14)

 eVBE −Ex  √ kt log 1 + e 3 4 2m2 kt dEx (5.15) (∅B + eV BE − Ex ) 2 Ex 3eE 1 + e− kt

The collector charging delay rE CBC is τCC = rE CBC = rE

 (LE + WEB + WB ) (WE + 2WEB + 2WB ) TC

(5.16)

Therefore, the cutoff frequency and maximum oscillation frequency can be obtained: fT =

gm + CBC + gm (τB + τC ))

2π (CEB

(5.17)

% fmax =

fT 8π RBB CBC

, RBB = RB,sh

WEB LE

(5.18)

EB CEB and dV dJE are calculated using Fowler-Nordhem tunneling model based on the band diagram shown in Fig. 5.11a and parameters shown in Table 5.1. Base and collector delay τ B and τ C are simulated from EMC method discussed in Section 2 and expressed as below:

τB =

TB ve,b

(5.19)

Fig. 5.11 (a) Band diagram at VBE = 3 V for emitter charging delay calculation and (b) emitterbase capacitance as a function of base thickness and doping

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA) Table 5.1 Parameters used for small signal model

Parameters WE LE WEB WB LC Wc TE TB TC ND,B m1 m2 VEB E vsat

τc,bs =

121 Value 150 nm 1 um 200 nm 500 nm LE + WEB + WB WE +2WEB + 2WB 2.5 nm (5,10,15,20,25,30) nm 76 nm (2,5,10,20) × 1019 cm−3 0.2 m0 0.35 m0 3V (2VEB + 6.8) × 108 V/m 2 × 105 m/s

Wbs x Wc − Wbs 1− tc + x=0 Wc 2vsat

τc,f s =

Wc

τc =

x=0

1−

x Wc

(5.20)

tc

τc,bs + τc,f s N

(5.21)

(5.22)

wherein τ c, bs is the collector transist delay for electrons with forward scattering (transferred to collector) and τ c, fs is that for electrons with back scattering (relaxed to base), Wbs is the distance of electrons traveled before relaxation, Wc is the thickness of collector, vsat is the electron saturation velocity, and N is the total number of electrons. Therefore, the collector charging delay τ c is the average delay of τ c, bs and τ c, fs . The electron free flight time is tc = 10 ln 1r in EMC simulation, and Γ 0 , r are self-scattering rate (2 × 1015 /s), and random number (0< r 1

There are few reports of hot electron transistors (or THETA) in GaN [9–12]. One of the main challenges in GaN-based hot electron transistors is the high leakage current through the unipolar heterojunction barrier, making it difficult to achieve current and voltage gain in the hot electron transistors. Our previous work showed that the barrier based on conduction band discontinuity between a random alloy AlGaN and GaN is not sufficient to block the leakage due to random-alloy-mediated leakage [8, 12]. Accordingly, we designed the base-collector (BC) barrier using polarizationengineered barrier between AlGaN and GaN, and obtained low leakage.

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

131

Fig. 5.25 (a) Epitaxial structure, (b) scanning electron micrograph, (c) energy band diagram at equilibrium, and (d) lateral dimensions of the III-Nitride THETA

As the leakage through the base-collector is suppressed, common-emitter modulation is possible when high current is injected from the emitter and transferred over the base to reach the subcollector. By using a thin AlN tunneling barrier, electrons with energies sufficient to surmount the collector barrier are injected and transferred, resulting in a DC current gain of 1.3 in common-emitter configuration.

Epitaxy and Device Fabrication The investigated structure was grown on freestanding Ga-polar-oriented GaN substrates (St. Gobain, 5 × 1018 cm−3 Si-doped, threading dislocation density (TDD) ~5 × 107 cm−2 ) by plasma-assisted molecular beam epitaxy (PA-MBE). The sample has emitter barrier of 3 nm AlN with 1 nm unintentionally doped (UID) GaN cap, base layer of 8 nm n++ GaN (5 × 1019 cm−3 Si-doped), spacer of 4 nm UID GaN, collector-base (CB) barrier of 6 nm 25% AlGaN/5 nm 20% to 25% AlGaN (from top to bottom)/42 nm 30% AlGaN/28 nm UID GaN, and subcollector of 210 nm n + GaN (1 × 1019 cm−3 Si-doped), as shown in Fig. 5.25a. The AlGaN/AlGaN/GaN stacks form a polarization-engineered base-collector barrier

132

Z. Yang et al.

[17, 30] for low leakage. Device fabrication started with Ni/Au/Ni (25/50/25 nm) emitter metallization. The base contact region was patterned by etching down to the n++ GaN base layer using BCl3 /Cl2 inductively coupled plasma reactive ion etching (ICP-RIE). Al/Ni/Au/Ni (20/20/50/25 nm) metal stack was deposited as the base Ohmic contact. Finally, ICP-RIE mesa etching was performed again to isolate the entire device (down to the subcollector) to a depth of ~100 nm. The subcollector contact was formed by putting an In dot on the backside of the sample. The devices have emitter area of ~14 μm2 and device mesa area ~120 μm2 , as shown by the scanning electron micrograph (SEM) in Fig. 5.25b. Figure 5.25c shows the equilibrium energy band diagram of the investigated device. The 1 nm UID GaN/3 nm AlN thin layer forms a tunneling barrier between metal emitter and base. The AlGaN/AlGaN/GaN layer forms a polarizationengineered barrier between base and collector to block the leakage current. By applying a negative emitter voltage with respect to the base (VEB < 0), hot electrons with energy well above the base Fermi-level are tunnel-injected into the base and reach the collector barrier after transiting the thin base layer quasi-ballistically. The quasi-ballistic transport takes place since the base layer thickness is comparable to the mean free path of electrons and most of the electrons reach collector barrier with their forward momentum either intact or only slightly lowered, because inelastic and elastic scattering in the base favor small scattering angles. If the kinetic energy of forward motion of electrons is higher than potential barrier they get transferred to the collector (IC ), while the lower energy electrons get reflected off the barrier and eventually thermalize and flow out of base (IB ). Under a positive collector bias with respect to the base (VCB > 0), potential barrier gets lowered and the flow of electrons out of the collector exceeds the flow of electrons out of base, giving rise to a current gain.

Electrical Characteristics The two terminal characteristics on the EB and CB junctions are shown in Fig. 5.26. The EB junction exhibits an injection current ~33 kA/cm2 at VBE = 5 V, and the CB junction has comparatively low leakage ~0.9 A/cm2 at VCB = 5 V. Base sheet resistance Rsh of ~1130 /sq. and contact resistance RC of ~3 ·mm were determined from base transmission line measurements. The total sheet resistance between emitter and base is ~290 , and the total contact resistance for the base pad is ~440 (estimated from Fig. 5.25d). The base access resistance results in a lateral voltage drop along the distance from base to the emitter edge, e.g. ~1.5 V at base current of 2 mA. Optimization of the base contact will reduce base access resistance and thereby the lateral voltage drop. The common-emitter transfer characteristics at VCE = 8 V (Fig. 5.27a) show a monotonic increase in the collector current IC from 11.5 to 63.2 kA/cm2 with increasing base-emitter bias. As depicted by the dashed line in Fig. 5.27b, the transistor transconductance gm ranges from 5.4 to 12.4 kS/cm2 , corresponding to 200 to 460 mS/mm (normalized to effective emitter width). The dc current gain

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

133

Fig. 5.26 Two-terminal characteristics: (a) emitter-base (EB) diode and (b) collector-base (CB) diode

Fig. 5.27 Common-emitter transfer characteristics: (a) transconductance gm and (b) dc current gain β dc at VCE = 8 V

β dc = IC /IB in this measurement ranges from 0.4 to 1.5 at VBE from 3 to 8 V, as shown in Fig. 5.27b. As expected, as the base-emitter voltage is increased, the average kinetic energy of injected electrons increases, and a larger fraction of them reaches the collector rather than gets reflected back into the base. Figure 5.28a shows the Gummel plot in the common-base mode with VCB = 0 V. At VBE = 8 V, the dc current gain β dc is approaches 1.5 with the collector current density 62.2 kA/cm2 , which is consistent with the common-emitter transfer characteristics at VBE and VCE of 8 V. Common-emitter output characteristics (Fig. 5.28b) were measured at VCE = 4–8 V with varying base current IB . As expected, collector current modulation IC was observed with increasing base current IB . At VCE = 8 V, the largest modulation IC was 1 mA for a base current step of 0.2 mA, giving an incremental current gain hfe (= IC / IB ) of 5. The corresponding dc current gain β dc was ~1.3. The higher current gain for positive collector bias VCE (compared to the lower collector voltages) can be attributed to the lowering of the collector barrier height, resulting in larger fraction of electrons being able to reach the collector before relaxation into the base.

134

Z. Yang et al.

Fig. 5.28 (a) Common-base characteristics showing dc current gain β dc ~1.5 at VBE = 8 V and (b) common-emitter output characteristics showing an incremental current gain hfe ~5 at VCE = 8 V

The results here show current gain above 1 in a THETA. Further improvements in the design are necessary to achieve higher gain. Most important is increase in base transport factor, limited by scattering in the base. In addition to phonon and ionized impurity scattering, common to all high-speed devices, electron-electron scattering plays a critical role in the relaxation of carriers in quasi-ballistic devices. Our preliminary analysis shows that electron-electron scattering could be mitigated by further scaling of the base thickness and/or base doping. The current gain can also be improved by further suppressing leakage paths from the emitter to the base at low bias through optimization on the growth and design. A fraction of electrons with energy lower than the Fermi level can leak directly from the metal to the base due to trap-assisted tunneling or other transport mechanisms. Such leakage reduces the emitter injection efficiency since cold electrons cannot overcome the collector barrier, and contribute only to the base current. The use of semiconductor based emitter could enable better collimation of these electrons since conduction electrons in an n-type semiconductor have a narrower distribution of energies than a metal. Another challenge in these devices is the high output conductance. We attribute the output conductance to the reduction in the collector barrier, and improved collector efficiency with increasing collector voltage VCE [32]. Due to the energy relaxation events in the base, a large fraction of electrons has energies close to the collector barrier, and therefore can be easily influenced by the collector barrier lowering. Further optimization of the collector barrier to reduce the barrier lowering would reduce such effects. In addition, engineering the injected electron beam to be better collimated and at a higher energy could reduce the effect of the collector barrier on the output current.

5.1.2

N Polar THETA

N polar THETA is also investigated. In this case a GaN/AlGaN junction is applied to induce polarization-engineered barrier and to achieve common-emitter operation.

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

135

Epitaxy and Device Fabrication Two structures were grown on freestanding N-polar-oriented GaN substrates (St. Gobain, n-type doped, threading dislocation density (TDD) ~5 × 107 cm−2 ) by PAMBE. Both samples have a 4 nm Al0.35 Ga0.65 N emitter barrier, n++ GaN base, 5 nm UID GaN spacer, AlGaN/GaN base-collector barrier, and 210 nm n+ GaN subcollector (Si doping of ~1 × 1019 cm−3 ). Sample A has a 13 nm GaN base with Si doping of 4.5 × 1020 cm−3 and 11.5 nm 18% AlGaN/44 nm 8% AlGaN/28 nm UID GaN BC barrier, and sample B has a 11 nm GaN base with Si doping of 1.7 × 1020 cm−3 and 11.5 nm 18% AlGaN/46 nm 8% AlGaN/28 nm UID GaN BC barrier (Fig. 5.21b). Both samples used a polarization-engineered barrier between AlGaN and GaN [17, 30] for low leakage. The energy band diagram is shown in Fig. 5.29c. The effective base thickness in samples A and B is 29.5 nm and 27.5 nm, respectively. Device fabrication was started with Ti/Au/Ni (20/50/25 nm) emitter metallization. The base contact region was patterned by etching down to the n++ GaN base layer using BCl3 /Cl2 ICP-RIE. Al/Ni/Au/Ni (20/20/50/25 nm) metal stack was deposited as the base contact. Finally, BCl3 /Cl2 ICP-RIE was performed again to etch the device mesa to a depth of 100 nm. The subcollector contact is formed by putting In dot on the backside of the sample. The devices have emitter area 10 μm2 and device mesa area 100 μm2 .

Fig. 5.29 (a) Epitaxial structures, (b) schematic of the devices, and band diagram (c) at equilibrium and (d) under operation condition (sample B)

136

Z. Yang et al.

Fig. 5.30 Two terminal characteristics: (a) base-collector diode, (b) base-base Ohmic, and (c) emitter-base diode

Electrical Characteristics Figure 5.30 shows the two-terminal characteristics of the devices on both samples A and B. Base collector leakage (Fig. 5.30a) lower than 50 A/cm2 at a bias of VCB = 5 V was achieved using the polarization-engineered barrier formed by the GaN/AlGaN heterostructure. Within the base-collector barrier, the top AlGaN layer is much thinner than the underlying AlGaN and UID GaN layers. Therefore, under forward collector-base bias VCB > 0, the voltage drop across the first AlGaN is minimized, leaving sufficient BC barrier height (Fig. 5.29b-d). The two-terminal breakdown voltage VBE and VCE would be limited by the Schottky breakdown in the emitter-base diode and electron injection from base to collector in the basecollector diode. Ohmic base-base characteristics were achieved (Fig. 5.30b) with sheet resistance of 290 /sq. and contact resistance of 0.55 ·mm for samples A, and 343 /sq. and 1.2 ·mm for sample B, from transmission line measurements. The emitter-base junction for samples A and B has high current density of ~110 kA/cm2 and ~80 kA/cm2 , respectively at VBE = 3 V (Fig. 5.30c). The lower current density in sample B is attributed to marginally higher base resistance. Both devices showed positive transconductance gm when the base-emitter voltage VBE was swept from 3 to 6 V with the collector bias VCE maintained at 6 V (Fig. 5.31a, b). Due to reduced doping and thinner base in sample B, transconductance gm is greatly improved, with peak value of 12 kS/cm2 as compared with 1 kS/cm2 sample A (Fig. 5.31a, b). From Fig. 5.31c, d, it is clear that the improvement in gm is due to larger β dc since rBE is similar in both samples. Due to lower doping in sample B, the scattering from coupled plasmon-LO phonon mode, ionized impurity, and cold electrons in the base are significantly reduced, and therefore a higher percentage of the injected electrons are collected, resulting in higher dc current gain β dc . Figure 5.32a, b show common-base characteristics with VBE ranging from 0 to 6 V for samples A and B. As depicted by the dotted lines, the dc current gain at VCB = 0 V, β dc is ~0.01 and ~0.21, respectively for samples A and B. The increase in β dc is consistent with the transconductance measurement discussed earlier. By applying forward collector-base bias VCB = 3 V, the back barrier peak presented at equilibrium (Fig. 5.29c) is eliminated. Therefore, the barrier which blocks electrons

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

137

Fig. 5.31 Common emitter: (a) and (b) transconductance gm , (c) dc current gain β dc , and (d) base-emitter resistance rBE for samples A and B with collector bias of VCE = 6 V

is reduced from ~1.95 to ~1 eV, resulting in large increase in the fraction of electrons transferred to the subcollector. As a result, the dc current gain βdc under collector bias is increased to ~0.4 for both samples. Both samples A and B show common-emitter operation in the range VCE = 4–7 V when the base current is varied. As can be seen from Fig. 5.32c, d, the modulation in collector current is obtained with increasing base bias, and the incremental current gain, hfe = ΔIC /ΔIB , can be extracted. For sample A, the largest current modulation

IC is ~0.35 mA for base current step IB of 0.5 mA, which gives hfe of ~0.7 at VCE = 7 V. For sample B, the modulation IC is ~0.12–0.14 mA for base current step IB of 0.1 mA, and the hfe is ~1.2–1.4 at VCE = 7 V. Under positive collector bias, the base-collector barrier height is lowered, resulting in larger fraction of electrons collected. As a result, the modulation of the collector current becomes higher than that of the base current, making hfe larger than unity. Therefore, an incremental current gain in common-emitter modulation is also obtained in an N polar THETA. However, the dc current gain β dc less than unity for both samples. In order to make N polar THETA useful, current gain needs to be improved. In the present designs, the large base thickness (> 25 nm) and high doping (>1020 cm−3 ) are limiting the current gain. For high doping levels and thick base, coupled plasmon-LO phonon mode, ionized impurity, and electronelectron scattering result in significant relaxation of carriers in quasi-ballistic devices, common to all high speed devices. These relaxation processes greatly

138

Z. Yang et al.

Fig. 5.32 Gummel plot (a) and (b) in common-base mode with VCE = 0 V (dotted) and 3 V (solid); common-emitter output (c) and (d) showing current gain

reduce the fraction of hot electrons transmitted across the base. Therefore, it would be beneficial to reduce the base doping to mitigate these.

5.1.3

Hot Electron Transport in Vertical AlGaN/GaN Heterostructures

To better understand these III-Nitride THETA devices, we study the hot electron transport in THETA by hot electron spectroscopy [31, 33]. Through the commonbase characteristics and the spectroscopic information in the common-emitter configuration, the energy distribution of the hot electrons was determined. Figure 5.33b schematically shows the operation principle of the THETA, and the energy band diagram is shown in Fig. 5.33c. The emitter-base(EB)/basecollector(BC) junctions act as hot electron injector and spectrometer, respectively. Polarizations induce electrostatic barriers in both junctions to obtain low leakage current. Under emitter-base bias VEB < 0, the emitter Fermi-level is raised above the base Fermi-level, causing tunnel injection of the hot electrons (IE ) into the base. The injected hot electrons undergo various energy and momentum relaxations due to interactions with cold electrons, coupled plasmon-LO phonon modes, ionized impurities in the base. If backscattered due to the scatterings in the base or quantum-mechanically reflected from the BC barrier, the electrons are relaxed into the base (IB ). The remaining electrons overcome the BC barrier and reach the

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

139

Fig. 5.33 (a) Epitaxial structure, (b) operation principle, and (c) equilibrium energy band diagram of THETA

subcollector (IC ). The BC barrier serves as the energy high pass filter for the hot electrons and can be used to analyze the energy distribution of the transmitted hot electrons.

Epitaxy and Device Fabrication The investigated structure was grown on freestanding Ga-polar GaN substrates by PA-MBE. The structure is composed of UID barriers between three heavily doped layers (emitter, base, and subcollector), as shown in Fig. 5.33a. The first barrier (EB barrier) is made of 10 nm GaN/2 nm 20% AlGaN/1.5 nm AlN, and the second barrier (BC barrier) is made of 4 nm GaN/6 nm 16% AlGaN/5 nm 11–16% (top to bottom) AlGaN/42 nm 19% AlGaN. The 4 nm GaN serves as a doping buffer layer to prevent dopant diffusion. The emitter, base, and subcollector contact layers are 20 nm 5 × 1019 cm−3 , 8 nm 5 × 1019 cm−3 , and 210 nm 1 × 1019 cm−3 Si-doped GaN, respectively. Al/Ni/Au/Ni metal stacks were electron beam evaporated to form emitter and base Ohmic contacts, and Indium dots were put on the backside of the substrate to form subcollector Ohmic contact. The final device has an emitter area of 10 μm2 and a collector mesa area of 100 μm2 .

140

Z. Yang et al.

Fig. 5.34 Two-terminal characteristics of emitter-base (EB) and base-collector (BC) diodes. VA represents VBE and VCB of the EB and BC junctions, respectively

Electrical Characterization Two-terminal characteristics of EB and BC diodes are shown in Fig. 5.34. The injection through the EB diode shows two orders larger than the leakage through the BC junction, for the bias range VBE > 2.2 V and −1 V < VCB < 5 V. This gives the feasible range of biasing both diodes to perform transistor operation and the hot electron spectra analysis. The base Ohmic contact has a sheet resistance Rsh of 626 /sq. and a contact resistance Rc of 1.75 ·mm, determined from the transmission line measurements. Common-base characteristics are measured to determine the electron transfer properties without biasing the BC diode. The current transfer ratio (α = IC /IE ) increases to 0.23 when the bias is increased to 6 V, suggesting 23% of the hot electrons are eventually transferred to the subcollector. Common-emitter characterization is done to further determine the collection efficiency of the hot electrons. A modulation in the collector current is clearly seen by increasing the base current in a step of 0.2 mA. The difference in α in the common-base and common-emitter configurations is due to BC barrier lowing for positive VCB . By applying base-collector bias VCB , the spectroscopic information can be extracted from the I-V characteristics shown in Fig. 5.35b. Figure 5.36a shows the collector current IC versus the base-collector bias VCB . At VCB = 0 V, the bias condition becomes identical to the common-base measurement, and α is consistent in both cases. The hot electron spectra information can be obtained from dIC /dVCB . The analysis is given as follows. The energy distribution of the hot electrons which contribute to the collector current is determined by the BC barrier energy CB . At certain VCB , the collector current IC is proportional to the fraction of electrons capable to overcome the BC barrier. The barrier energy CB sets the energy threshold for the collected hot electrons, and therefore the collector current flow has the following dependence [33].  IC = eA



n (Ex ) dEx , CB

(5.23)

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

141

Fig. 5.35 (a) Common-base and (b) common-emitter characteristics of the THETA device. The current transfer ratio α indicates the fraction of the injected electrons transferred to the subcollector

where Ex is the energy associated with the momentum normal to the interface (“normal energy”) for the electron arriving at the BC barrier, and n(Ex ) is the energy distribution. Taking the derivative dIC / dVCB of Eq. (5.23) and considering the linear dependence of Φ CB on VCB (Fig. 5.36b inset), it gives [33, 34] dI C ∝ n (Ex ) . dVCB

(5.24)

Therefore, the continuous variation of VCB (or CB ) gives the spectroscopic information about JC . From Fig. 5.36b, the hot electron spectra are shown based on the above analysis. As the base current IB increases, the main peak in the hot electron energy distribution shifts linearly to the left, indicating a linear increase of the hot electron energy with increasing the emitter-base bias. The magnitude of the peaks also increases as the base current increases, suggesting a larger fraction of electrons are collected. For the topmost curve at IB = 3 mA, the base-emitter bias VBE is 3.1 V, and the energy peak is observed at VCB = −0.36 V, corresponding to BC barrier energy of 1.37 eV (Fig. 5.36b inset). Figure 5.36c gives the energy distribution dIC /(e·dVCB ) plotted versus barrier energy CB . The shift of the peak position indicates the increase in the electron energy. At relatively low injection voltage (when electrons have low energy), the peak at high barrier energy is weak. As the injection voltage (energy) increases, the peak becomes more prominent and narrower. This indicates higher fraction of electrons overcome the barrier to reach the subcollector and contribute to the collector current. The peak energy ~ 1.37 eV at injection energy ~3.1 eV suggests the majority electrons lose more than half the energy when reaching the collector. The broad distribution and lower peak energy compared to injection energy indicate significant energy and momentum relaxation in the base. Among these relaxation processes, electron-electron (EE) and coupled plasmon-LO phonon (PLP) mode scattering are dominant at a doping level of 2 × 1019 cm−3 in the

142

Z. Yang et al.

Fig. 5.36 (a) Output characteristics replotted versus the base-collector bias VCB, energy distribution of the collected electrons with respect to (b) VCB , and (c) base-collector barrier energy CB . The inset of (b) shows the dependence of CB on VCB

base, since both events involve relatively large energy loss. For the EE scattering, the hot electron collides with cold electrons in the base and therefore loses half of its energy on average, which could be the main reason for the significant energy loss of the energy shown in Fig. 5.28c inset. The PLP scattering is also important due to large scattering rate associated with relatively large energy of the hybrid mode    2 1  2 2 2 2 2 2 2 ωLO + ωp ± ωLO + ωp ω± = − 4ωp ωTO , 2

(5.25)

where ω+ ~170 meV, ω− ~60 meV, ωLO is the LO $ phonon frequency, ωTO is the transverse optical (TO) phonon frequency, ωp = e2 Nd /m∗ ∞ is the plasmon frequency) [35]. Typically, for 1 eV electrons, the scattering time is estimated to be ~26 fs for electron-electron, and ~100 fs and ~10 fs for ω± modes, respectively. The backscattering time due to EE binary collision is approximated as τbs,ee

√ √ 16π 2m∗ εS 2 E0 E ≈ , Nd e4

(5.26)

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

where E0 =

2 e2 N √ C m∗ π εS kT



143

1 √ 3 π Nd 3 4NC

is the energy associated with the screening wave 2 √ 20 3 . At the vector. It can be simplified as τbs,ee ≈ 3.1 × 10−14 E [eV ] N 10cm−3 ] d[ −14 √ 19 −3 doping level of 2 × 10 cm , τbs,ee ≈ 9.1 × 10 E [eV ]. As injected electrons are scattered by cold electrons in the base, they lose on average half of the energy to the cold electrons and therefore have increased probability of relaxing into the base. This could explain the small transfer ratio α observed in both common-base and common-emitter measurements. Since the PLP scattering is mostly frequent for the lower hybrid branch ω− (momentum scattering time ~60 fs for 1 eV electron at doping level of 2 × 1019 cm−3 ), it mainly plays a role in the thermalization of the hot electrons and gives rise to the broadening in the energy distribution. At low injection energies, the thermalization due to the frequent PLP scattering is more obvious, giving rise to larger broadening. Since the EE scattering induces large energy loss for hot electrons, it is more important to reduce the EE scattering by increasing the injection energy (elevating the EB barrier) or reducing the doping level in the base. From the above analyses, the electron-electron and coupled plasmon-phonon scatterings are mainly responsible for the hot electron energy relaxation and broadening across the thin base region, which limits the collection efficiency. Increasing the injection barrier height or reducing doping would be helpful in the collection of the injected hot electrons.

5.1.4

Negative Differential Resistance in III-Nitride THETA

In the GaAs-based THETA proposed by M. Heiblum et al., [24, 31] an electron energy spectrometer formed by the collector barrier can be used to create negative differential resistance (NDR). In common-base mode, when the electrons are injected from the emitter at low energy, most of them are reflected from the collector barrier and contribute to the base current. As the electron energy (or base-emitter bias) is increased, a large fraction of them travel ballistically or quasi-ballistically through the base layer to reach the collector, causing decrease in the base current. The collector barrier serves as energetic high pass filter for the transmitted electrons, and therefore creates the NDR effect. In this work we show NDR based on quasi-ballistic transport and energy filtering in III-Nitride THETA, which helps understanding THETA from another perspective. The epitaxial stack is shown in Fig. 5.37a. The collector barrier with graded AlGaN layers was designed to minimize the base-collector leakage [30]. A high Al composition tunneling barrier was used to give hot electron injection because it narrows the injected electron energy distribution and reduces percolation transport in low composition barriers. Major scattering events were considered to obtain the electron distribution before and after transiting the base region by ensemble Monte Carlo simulation, including electron-electron, coupled plasmon-LO phonon mode, LO phonon, and ionized impurity scatterings. In Fig. 5.37d, the blue and green curves with filled area show the electron distributions at VBE of 1 V and

144

Z. Yang et al.

Fig. 5.37 (a) Epitaxial stack of the investigated device (b) schematic of the device (c) equilibrium energy band diagram (d) energy band diagram under bias

2 V, respectively. Due to the scattering processes, the injected electrons with small energy distribution become thermalized to a certain degree after transiting the degenerately doped base, but still remain energetic. The difference between the two distributions is the energy of majority electrons relative to the collector barrier height. At the bias of VBE = 1 V indicated by the blue curves, most of the transmitted electrons do not have sufficient energy to surmount the collector barrier, resulting in electron relaxation into the base. In contrast, at VBE = 2 V indicated by the green curves, a large fraction of electrons has enough energy to overcome the barrier, and therefore the electron relaxation is significantly reduced, causing the reduction in the base current. The results indicate that NDR is achievable in GaN systems based on hot electron induced real space transfer (RST) [31, 36]. Structures were grown by PA-MBE on freestanding GaN substrates. Ti/Au/Ni (20/50/30 nm) was evaporated as emitter contact, and Al/Ni/Au/Ni (20/20/50/30 nm) was formed as base contact after ICP-RIE etching of the top 3.8 nm Al0.6 Ga0.4 N layer. Device mesa was isolated to a 100 nm depth. The active emitter is ~10 μm2 , and the total mesa area (including both base and emitter contacts) is ~100 μm2 . The devices were measured in common-base mode by applying negative emitter bias with both base and collector grounded.

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

145

Fig. 5.38 (a) Base current-voltage characteristics showing negative differential resistance (NDR) at room temperature at base-emitter bias from 0 to 6 V (b) negative differential conductance dIB /dVBE shown in the bias range of 1.5–2 V

The experimental NDR results are shown in Fig. 5.38. Fig. 5.38a shows the full sweep of common-base I-V characteristics measured at room temperature. The IV curve shows an NDR region at base-emitter bias VBE from 1.5 to 2.8 V, with peak-to-valley current ratio (PVCR) of 7.2 and peak current density (PCD) of 143 A/cm2 in the base current JB at 1.5 V. Figure 5.38b shows the corresponding negative differential conductance Gd at base-emitter bias VBE ranging from 1.5 to 2 V, with a peak value of 210 S/cm2 at 1.8 V. From the energy distribution in Fig. 5.37d, it is expected that the relaxation of electrons at the base-collector heterojunction barrier is reduced as the injection energy is raised above the base-collector barrier. Also from the experiments, the voltage at which NDR appears (1.5 V) is close to the collector barrier height, indicating the role of electron reflection on the base current reduction. The base current JB contributed mainly from the reflection at the barrier is much lower than the emitter and collector current JE and JC. The low efficiency is limited by the ratio of the transferred electrons (contributing to JC ) to the reflected electrons (contributing to JB ). Meanwhile, the background leakage current from the emitter to the collector is high, which makes the efficiency low. To improve the efficiency, reducing doping in the base and using sharp base-collector interface can reduce the energy relaxation and make the quantum reflection more prominent. Also, applying polarization-engineered base-collector barrier can reduce the leakage, thereby increasing the efficiency [30]. In Fig. 5.39a, the I-V characteristics of the device as a function of collector base voltage are shown. It is seen that the NDR peak positions and current densities are tunable by applying negative collector bias VC . From Fig. 5.39b, the NDR peak shifts linearly with increasing collector bias VC from 0 to −0.6 V. The linear dependence further indicates that NDR is attributed to the reflection of electrons from the collector barrier, as the barrier height depends linearly on the collector bias VC for negative voltage. The results suggest that repeatable NDR can be achieved

146

Z. Yang et al.

Fig. 5.39 (a) Tunable NDR with different collector bias VC , (b) NDR peak position shift for different collector bias VC

by reflection from the barrier based on quasi-ballistic transport, and can also be controlled by the barrier height. From the above results, ballistic electron reflection in THETA was found with the evidence of room temperature negative differential resistance (NDR). The onset of NDR matches theoretical estimates based on heterojunction band offsets and electron energy distributions.

5.2 Generation II: Current Gain > 10 in III-Nitride HETs From previous discussions, reduction in leakage and enhancement in ballistic transport are favorable in a THETA device. Earlier works on III-Nitride THETA report small value of current gain [15–17], which in our view can be traced to using a ternary AlGaN barrier as the electron injector [17]. Random alloy-mediated leakage leads to injection of low-energy electrons directly into the base, reducing the collector current, and therefore lowering the current gain. To solve this issue, we implement emitter-base engineering to enhance electron transport.

5.2.1

Emitter-Base Barrier Engineering

The current gain was proposed to be limited by the leakage through the emitter-base barrier. When electrons penetrate into the base through the emitter-base barrier, their energy is insufficient to overcome the base-collector barrier, causing rise in the base current. The suppression of leakage current is done by using UID GaN/AlN for the emitter-base barrier. Two structures were grown to investigate the emitter-base junction characteristics (Fig. 5.40a, b). The only different between these two structures is the emitter-base (EB) junction. For sample A, a metal emitter was put on top of 1 nm GaN/3 nm

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

147

Fig. 5.40 (a) Epitaxial structure and (b) band diagram for THETA with metal and polarization semiconductor emitters

Fig. 5.41 Band diagram under reverse emitter-base bias for THETA with (a) metal emitter and (b) polarization semiconductor emitter

AlN EB junction; for sample B, a 20 nm n++ GaN was grown on 111 nm GaN/1.5 nm AlN EB junction. From Monte Carlo simulation as shown in Fig. 5.41a, the energy of electrons as injected from the emitter is well collimated for polarization-engineered semiconductor emitter as compared to metal emitter (red curves). Therefore, for semiconductor emitter, electrons have higher energy when entering the base (blue curves) and a larger fraction of them can be transferred to the subcollector (green curves). As shown in Fig. 5.42, current is much lower at small VEB bias and voltage is shifted to higher value for same current. This indicated smaller electron leakage contribution to the total emitter injection current and hotter electron injection will be expected.

148

Z. Yang et al.

Fig. 5.42 I-V characteristics of the emitter-base junction for THETA with metal and polarization semiconductor emitters

5.2.2

Current Gain Above 10 in III-Nitride HETs

In previous works, when the electrons penetrate into the base through the emitterbase barrier, their energy is insufficient to overcome the base-collector barrier, causing rise in the base current. By using UID GaN/AlN for the emitter-base barrier, leakage current was suppressed and common-emitter current gain in excess of 10 was achieved.

Epitaxy and Device Fabrication The THETA structure was grown on Ga-polar freestanding GaN substrates as shown in Fig. 5.43a. Both emitter and base Ohmic contacts were formed by evaporating Al/Ni/Au/Ni stacks. ICP-RIE with BCl3 /Cl2 /Ar gas was used to recess to base region for tunneling contact to the base, and to form mesa isolation. Subcollector Ohmic contact was formed by Indium dot on the backside of the samples. The devices had emitter area of ~10 μm2 and device mesa area ~100 μm2 . The band diagram of the GaN THETA is shown in Fig. 5.43b. Polarization charges are induced at the UID GaN/AlN interface which pull up the conduction band of the thick UID GaN layer, leading to the formation of a wide electrostatic emitter-base barrier to suppress low energy electron leakage [17, 30, 37]. Therefore, a quasi-mono energetic hot electron beam is tunnel-injected over the emitter-base barrier as shown in the inset of Fig. 5.43b.

Electrical Characteristics The effect of using polarization-engineered barrier to prevent cold carrier injection is evident from the two-terminal I-V characteristics of the emitter-base diode shown in Fig. 5.44a. The I-V curve showed a turn-on voltage around 4.5 V, at which bias the conduction band in the 111 nm UID GaN region is flattened and electrons are allowed to tunnel through the 2.5 nm of AlN into the base. The maximum current density flowing through the emitter-base diode reached 17 kA/cm2 at VBE

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

149

Fig. 5.43 (a) Epitaxial structures and (b) energy band diagram of THETA at forward operation condition

Fig. 5.44 Two-terminal characteristics: (a) emitter-base diode and (b) base-collector diode

up to 15 V. This behavior shows a clear contrast with the previously investigated emitter-base diode without polarization engineering that had not shown significant rectification [38]. The two terminal I-V characteristics of the base-collector diode (Fig. 5.44b) also showed relatively low leakage current density of less than 100 A/cm2 at base-collector bias −8 V < VCB < 3 V. The contact and sheet resistance of the base have been determined from TLM and found to be 3.8 ·mm and 2 k /sq., respectively. Figure 5.45a shows the common-base characteristics of THETA taken at VCB = −7 V. For small VBE < 10 V, low leakage going into the base was observed. As voltage increases, the tunneling through AlN commences, and hot electrons are injected into the base. Most of these carriers reach the collector, but some end up in the base due to scatterings and quantum reflection; hence both base and collector currents increase rapidly. As tunneling current surpasses the leakage, the onset of DC current gain (when β = IC /IB exceeds unity) occurs at VBE around 10 V. β continues to increase until it reaches 23 at VBE = 11 V.

150

Z. Yang et al.

Once the fact that most of the hot carriers injected into the base do find their way into the collector had been verified, the common-emitter output characteristics were measured as shown in Fig. 5.45b. The DC current gain β increased with the base current IB and a peak β value of 14.5 was measured at IB = 0.32 mA (JB = 3.2 kA/cm2 ) and VCE = 3.5 V, with IC = 4.66 mA (JC = 46.6 kA/cm2 ). This indicates 93% of electrons (estimated as IC /(IC + IB )) injected into the base region reached the collector, despite scattering in the base and quantum reflections at the collector barrier—a most significant result achieved by using narrow base and polarizationengineered barriers. Figure 5.46 shows the incremental current gain (hfe = dIC /dIB ) and transconductance (gm = dIC /dVBE ) extracted from Fig. 5.45b. As IB increased to 0.26 mA, hfe reached 40 at VCE = 3.5 V. The corresponding gm was 30 kS/cm2 (3 mS). An additional improvement in these two parameters may be attained if the base thickness is further reduced and its doping increased. However, it should be noted that increased doping will lead to the upsurge of scattering of hot electrons by cold electrons residing near the bottom of the conduction band in the base, which

Fig. 5.45 (a) Common-base and (b) common-emitter characteristics

Fig. 5.46 (a) Incremental current gain hfe and (b) transconductance gm extracted from commonemitter characteristics in Fig. 5.37

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

151

will reduce the current gain. This scattering mechanism has been shown from our preliminary analysis to be dominant in the highly-doped base, hence the limit to which the base thickness can be reduced requires further in-depth study. With the high current gain achieved, the other remaining barrier separating GaN THETA from high frequency applications is high output conductance (g0 = dIC /dVCE ) evident in the common-emitter characteristics of Fig. 5.46b. This output conductance as high as 2 mS makes voltage gain hard to achieve. There are many factors that can cause the high output conductance. First of all, there is a modulation of the height of the collector barrier11 that causes increase in the current gain, essentially an equivalent of the Early effect in bipolar transistors. An improved design of the base-collector barrier should mitigate this problem. Furthermore, engineering the emitter-base barrier to make the injected electron beam more collimated could also reduce the barrier lowering effect. A second factor behind high g0 is the fact that the applied field modifies the emitter/base barriers causing increase in the emitter current as carriers in the base fail to completely screen out the field—this situation is analogous to the one arising in vacuum triodes where it is solved by introducing a fourth, screening electrode in tetrodes. Similar strategy can be pursued by introducing additional doped regions in the collector/base junction to screen out the voltage applied to the collector. In conclusion, common-emitter current gain up to 14.5 was demonstrated for in a GaN-based THETA, by using a polarization induced GaN/AlN emitter barrier to inject hot electrons. The results indicate 93% of the electrons are collected after being injected into the base from the emitter contact layer, despite multiple scattering processes and quantum reflections. The work has demonstrated the potential of III-Nitride THETA based on quasi-ballistic hot electron transport.

5.2.3

Effect of Barrier Thickness on Current Gain

Furthermore, different designs of the polarization-engineered UID GaN/AlN are considered to investigate the influence of emitter-base junction on the current gain. Three structures were grown with 20 nm GaN/2 nm AlN, 91 nm GaN/2 nm AlN, and 111 nm GaN/5 nm AlN. From the band diagram (Fig. 5.47b), conduction band is pulled up with increasing the thickness of GaN and AlN emitter. Therefore, higher energy of electrons is expected to be injected from the emitter into the base and thereby improves the current gain. As shown in Fig. 5.48, with increasing the thickness of GaN and AlN emitter (from Fig. 5.48a–c), higher current gain (IC /IB ) was observed, confirming the above hypnosis.

5.3 Conclusions This section presented the demonstration of first III-Nitride THETA with commonemitter current gain with the help of polarization-engineered base-collector barrier.

152

Z. Yang et al.

Fig. 5.47 (a) Epitaxial structures and (b) band diagram for THETA with various UID GaN layer thickness

Fig. 5.48 Common-emitter output characteristics for a series of emitter UID layer thickness (20, 91, 111 nm)

Hot electron spectrometry and negative differential resistance effect are investigated to understand of electron transport in THETA. Ballistic electron reflection in THETA was found with the evidence of room temperature negative differential resistance (NDR). The electron-electron and coupled plasmon-phonon scatterings are key factors for the hot electron energy relaxation and broadening across the thin base region, limiting the collection efficiency. Increasing the injection barrier height or reducing doping would be favorable for improving the electron collection. By engineering the hot electron injection using polarization emitter-base barrier, common-emitter current gain greater than 10 at a collector current density in excess of 40 kA/cm2 was achieved. The GaN/AlN (111 nm/2.5 nm) emitter was found to greatly improve injection efficiency of the emitter and reduce cold electron leakage. Using an 8 nm base to reduce relaxation, 93% of the injected hot electrons were collected, enabling a common-emitter current gain up to 14.5. This work improves understanding of the quasi-ballistic hot electron transport and may impact the development of high-speed devices based on unipolar hot electron transport.

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

153

6 Conclusions and Future Work 6.1 Conclusions This chapter studied the theory and experimental demonstration of III-Nitride THETA. Monte Carlo simulation of III-Nitride THETA was carried out to understand the hot electron transport in THETA. The scatterings mainly happen in heavily doped base which relax the tunnel-injected hot electrons, and electron-electron and coupled plasmon-phonon scatterings are found to be the key events. With proper design to inject hot electrons and lower scattering and quantum reflection, the majority of electrons have sufficient energy to overcome base and base-collector barrier to reach the subcollector. Based on the hot electron transport model, a small signal circuit model was developed for high frequency performance of THETA. The effect of base thickness/doping and emitter-base current density on the current gain, transconductance, delay component, and ft and fmax were investigated. It is found that ft and fmax can be improved by shrinking base thickness and increasing emitter injection current density, and high frequency operation above 200 GHz can be expected with a current density above 500 kA/cm2 , base thickness of 5 nm and base doping of 2E20 cm2 for a scaled device with mesa area less than 5 μm2 . To realize common-emitter amplification in THETA, leakage problem found in the random alloy AlGaN/GaN base-collector heterojunctions was investigated. Percolation-based transport was found to be the reason for the high vertical leakage. With such understanding, a polarization-engineered base-collector barrier was designed to reduce the leakage, and thereby common-emitter current gain of first III-Nitride THETA was demonstrated. Hot electron spectrometry and negative differential resistance effect are investigated to understand of electron transport in THETA. Ballistic electron reflection in THETA was found with the evidence of room temperature negative differential resistance (NDR). The electron-electron and coupled plasmon-phonon scatterings are key factors for the hot electron energy relaxation and broadening in base, in accordance with Monte Carlo simulation. This also indicates increasing the injection barrier height or reducing doping would be favorable for improving the electron collection. By engineering the hot electron injection, common-emitter current gain >10 was achieved. This work improves understanding of the quasi-ballistic hot electron transport and may impact the development of high speed devices based on unipolar hot electron transport.

154

Z. Yang et al.

6.2 Future Work 6.2.1

Reducing Output Conductance

The high output conductance (g0 = dIC /dVCE ) evident in the common-emitter characteristics makes voltage gain hard to achieve. There are many factors that can cause the high output conductance. First of all, there is a modulation of the height of the collector barrier that causes increase in the current gain, essentially an equivalent of the Early effect in bipolar transistors. An improved design of the base-collector barrier should mitigate this problem. Furthermore, engineering the emitter-base barrier to make the injected electron beam more collimated could also reduce the barrier lowering effect. A second factor behind high g0 is the fact that the applied field modifies the emitter/base barriers causing increase in the emitter current as carriers in the base fail to completely screen out the field—this situation is analogous to the one arising in vacuum triodes where it is solved by introducing a fourth, screening electrode in tetrodes. Similar strategy can be pursued by introducing additional doped regions in the collector/base junction to screen out the voltage applied to the collector.

6.2.2

Reducing Parasitics

The total delay in the extrinsic THETA is mainly determined by the parasitic delay, which is emitter-base and base-collector charging delay (Fig. 5.49). The current device is fabricated by i-line lithography with emitter-base area of ~10 μm2 and base-collector area of ~100 μm2 . The corresponding parasitic capacitance is estimated to be ~70 fF and ~100 fF, respectively. The charging delay therefore easily exceeds 10 ps which hinders RF operation. Therefore, devices need to be scaled properly. The scaling laws are discussed in detail in Section 3. For high frequency operation at high emitter injection current density, current crowding issue will be presented due to voltage drop VBE = IE /(1 + β)∗ RB,sh∗ WE /LE , causing reduction in actual VBE . Shorter emitter width WE , higher current gain β, lower base sheet resistance will reduce such issue. Since transconductance gm and base resistance RB,sh both decreases with base thickness and doping, tradeoff needs to be made between high gm and low RB,sh . In a THETA, charging delay is dominating the total delay. In order to reduce the delays, the thickness of emitter UID region under reverse emitter-base bias has to be thick to reduce emitter-base capacitance, requiring careful design of the structure of emitter-base junction. Meanwhile, gm and β both need to be as high as possible to reduce emitter charging delay. High emitter current injection JE also helps reduce emitter charging delay as it can reduce the dynamic resistance of emitter-base junction rE . Finally, base resistance has to be as small as possible to get high fmax .

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

155

Fig. 5.49 Equivalent circuit of III-Nitride THETA

Fig. 5.50 (a) T-emitter and (b) emitter-base alignment using EBL process

6.2.3

E-Beam Devices

The contribution from parasitic components can be reduced with more advanced device technology, such as using e-beam lithography, to define ultra-scaled device dimension. Eventually, the minimization of the parasitic elements is required to enhance the high-frequency performance of III-Nitride THETA. E-beam lithography can minimize the parasitic elements and deliver higher ft proportional to the scaled mesa areas. T-shaped stripes for emitter contact and the corresponding scaled device processing schemes can be applied to enable high frequency performance III-Nitride THETA. Figure 5.50 shows the structures made by Vistec EBPG5000 Electron Beam Lithography System with PMMA/MMA resists and MIBK/IPA developer. Here it shows critical emitter-base alignment in order to get ultra-scaled device area. Similar process in HBT using such as sidewall technique can also be transferred to fabricate ultra-scaled THETA devices [39–44]. In summary, the development of submicron III-Nitride THETA with suppressed output conductance could be an important aspect of future work following this chapter.

156

Z. Yang et al.

References 1. U.K. Mishra, L. Shen, T.E. Kazior, Y.-F. Wu, GaN-based RF power devices and amplifiers. Proc. IEEE 96(2), 287–305 (2008) 2. Y.-F. Wu, D. Kapolnek, J.P. Ibbetson, P. Parikh, B.P. Keller, U.K. Mishra, Very-high power density AlGaN/GaN HEMTs. IEEE Trans Electr Devices 48(3), 586–590 (2001) 3. J. Kuzmík, Power electronics on InAlN/(In) GaN: Prospect for a record performance. IEEE Electr Device Letters 22(11), 510–512 (2001) 4. S. Nakamura, T. Mukai, M. Senoh, Candela-class high-brightness InGaN/AlGaN doubleheterostructure blue-light-emitting diodes. Appl. Phys. Lett. 64(13), 1687–1689 (1994) 5. S. Pimputkar, J.S. Speck, S.P. DenBaars, S. Nakamura, Prospects for LED lighting. Nat. Photonics 3(4), 180 (2009) 6. G. Martin et al., Valence-band discontinuity between GaN and AlN measured by x-ray photoemission spectroscopy. Appl. Phys. Lett. 65(5), 610–612 (1994) 7. N. Nepal, J. Li, M.L. Nakarmi, J.Y. Lin, H.X. Jiang, Temperature and compositional dependence of the energy band gap of AlGaN alloys. Appl. Phys. Lett. 87(24), 242104 (2005) 8. T.P. Chow, R. Tyagi, Wide bandgap compound semiconductors for superior high-voltage unipolar power devices. IEEE Trans Electr Devices 41(8), 1481–1483 (1994) 9. Y. Yuanzheng et al., InAlN/AlN/GaN HEMTs With Regrown Ohmic Contacts and of 370 GHz. IEEE Electr Device Letters 33(7), 988–990 (2012) 10. U.K. Mishra, P. Parikh, W. Yi-Feng, AlGaN/GaN HEMTs-an overview of device operation and applications. Proc. IEEE 90(6), 1022–1031 (2002) 11. T. Yan et al., Ultrahigh-speed GaN high-electron-mobility transistors With of 454/444 GHz. IEEE Electr Device Letters 36(6), 549–551 (2015) 12. J.B. Khurgin, D. Jena, Y.J. Ding, Isotope disorder of phonons in GaN and its beneficial effect on high power field effect transistors. Appl. Phys. Lett. 93(3), 032110-1-032110-3 (2008) 13. F. Tian, W. Ronghua, X. Huili, S. Rajan, D. Jena, Effect of optical phonon scattering on the performance of GaN transistors. IEEE Electr Device Letters 33(5), 709–711 (2012) 14. S. Dasgupta, A. Nidhi, J. Raman, S. Speck, U.K. Mishra, Experimental demonstration of IIInitride hot-electron transistor with GaN base. IEEE Electr Device Letters 32(9), 1212–1214 (2011) 15. G. Gupta et al., Common emitter operation of III-N HETs using AlGaN and InGaN polarization-dipole induced barriers. Device Research Conference (DRC), 2014 72nd Annual (2014), pp. 255–256 16. Z. Y. Digbijoy N. Nath, Pil Sung Park, and Siddharth Rajan, III-Nitride TUNNEL Injection Hot Electron Transfer Amplifier(THETA) with Common-emitter Gain. International Semiconductor Research Conference (ISDRS) (December, 2013) 17. Z. C. Yang, D. N. Nath, Y. Zhang, and S. Rajan, N-polar III-nitride tunneling hot electron transfer amplifier. Device Research Conference (DRC), 2014 72nd Annual (2014), pp. 173– 174 18. M.J.W. Rodwell et al., Submicron scaling of HBTs. IEEE Trans Electr Devices 48(11), 2606– 2624 (2001) 19. S.E. Laux, W. Lee, Collector signal delay in the presence of velocity overshoot. IEEE Electr Device Letters 11(4), 174–176 (1990) 20. T. Ishibashi, Influence of electron velocity overshoot on collector transit times of HBTs. IEEE Trans Electr Devices 37(9), 2103–2105 (1990) 21. S. Strite, H. Morkoç, GaN, AlN, and InN: A review. J. Vac. Sci. Technol. B 10(4), 1237–1266 (1992) 22. L. B. R. D.K. Gaskill, K. Doverspike, Electrical transport properties of A1N, GaN and AlGaN, ed. By J. Edgar. Properties of Group III Nitrides, vol. N11, EMIS Datareviews Series (1995), pp. 101–116

5 III-Nitride Tunneling Hot Electron Transfer Amplifier (THETA)

157

23. D. N. Nath, PhD thesis (The Ohio State University, 2013) 24. M. Heiblum, M.V. Fischetti, Ballistic hot-electron transistors. IBM J. Res. Dev. 34(4), 530–549 (1990) 25. M. Lundstrom, Fundamentals of Carrier Transport (Cambridge University Press, New York, 2000) 26. B.K. Ridley, M. Al-Mudares, The effect of hot phonons and coupled phonon-plasmon modes on scattering-induced NDR in quantum wells. Solid State Electron. 31(3), 683–685 (1988) 27. E.M. Conwell, M.O. Vassell, High-field transport in n- type GaAs. Phys. Rev. 166(3), 797–821 (1968) 28. W. Fawcett, A.D. Boardman, S. Swain, Monte Carlo determination of electron transport properties in gallium arsenide. J. Phys. Chem. Solids 31(9), 1963–1990 (1970) 29. J. Singh, Physics of Semiconductors and Their Heterostructures (McGraw-Hill series in electrical and computer engineering. Electronics and VLSI circuits) (McGraw-Hill, New York, 1993) 30. D.N. Nath, Z.C. Yang, C.-Y. Lee, P.S. Park, Y.-R. Wu, S. Rajan, Unipolar vertical transport in GaN/AlGaN/GaN heterostructures. Appl. Phys. Lett. 103(2), 022102–022104 (2013) 31. M. Heiblum, M.I. Nathan, D.C. Thomas, C.M. Knoedler, Direct observation of ballistic transport in GaAs. Phys. Rev. Lett. 55(20), 2200–2203 (1985) 32. A.F.J. Levi, T.H. Chiu, Room-temperature operation of hot-electron transistors. Appl. Phys. Lett. 51(13), 984–986 (1987) 33. J.R. Hayes, A.F.J. Levi, W. Wiegmann, Hot-Electron Spectroscopy of GaAs. Phys. Rev. Lett. 54(14), 1570–1572 (1985) 34. R.F. Kazarinov, S. Luryi, Charge injection over triangular barriers in unipolar semiconductor structures. Appl. Phys. Lett. 38(10), 810–812 (1981) 35. B.B. Varga, Coupling of Plasmons to Polar Phonons in Degenerate Semiconductors. Phys. Rev. 137(6A), A1896–A1902 (1965) 36. A. Kastalsky, S. Luryi, Novel real-space hot-electron transfer devices. IEEE Electr Device Letters 4(9), 334–336 (1983) 37. Z. Pei, A. Verma, J. Verma, X. Huili, P. Fay, and D. Jena, GaN heterostructure barrier diodes (HBD) with polarization-induced delta-doping. Device Research Conference (DRC), 2013 71st Annual (2013), pp. 203–204 38. Z. Yang, Y. Zhang, D.N. Nath, J.B. Khurgin, S. Rajan, Current gain in sub-10 nm base GaN tunneling hot electron transistors with AlN emitter barrier. Appl. Phys. Lett. 106(3), 032101 (2015) 39. M. S. William Snodgrass, and M. Feng, 150 nm InP HBT Process with Two-Level Airbridge Interconnects and MIM Capacitors for Sub-Millimeter Wave Research. presented at the CS MANTECH Conference (Tampa, Florida, USA, May 18th-21st, 2009) 40. J.W. LAI, W. HAFEZ, M. FENG, Vertical scaling of type I InP HBT with FT > 500 GHZ. 14(03), 625–631 (2004) 41. M.L. Mark Rodwell, B. Brar, InP Bipolar ICs: Scaling Roadmaps, Frequency Limits, Manufacturable Technologies. IEEE Proc 96(2), 271–286 (2008) 42. M. Urteaga, M. Seo, J. Hacker, Z. Griffith, A. Young, R. Pierson, P. Rowell, A. Skalare, V. Jain, E. Lobisser, M.J.W. Rodwell, InP HBTs for THz Frequency Integrated Circuits, presented at the 23rd International Conference on Indium Phosphide and Related Materials (2011) 43. M. Urteaga et al., A 130 nm InP HBT Integrated Circuit Technology for THz Electronics, 2016 IEEE International Electron Devices Meeting (IEDM) (2016), pp. 29.2.1–29.2.4 44. M. Urteaga, Z. Griffith, M. Seo, J. Hacker, M.J.W. Rodwell, InP HBT technologies for THz integrated circuits. Proc. IEEE 105(6), 1051–1067 (2017)

Chapter 6

Plasma-Wave Propagation in GaN and Its Applications Hugo O. Condori Quispe, Berardi Sensale-Rodriguez, and Patrick Fay

Electron plasma waves are oscillations of electron density in space and time. They have attracted significant attention for the development of terahertz detectors and sources. Electron plasma waves are generated when electrons in the channel of a transistor are not able to follow high-frequency oscillations and lag behind. This introduces a delay or phase shift manifested as an inductive behavior, the socalled kinetic inductance. This electron inertia is essential for the propagation of 2D plasmonic waves. In this chapter, we discuss the physical origin of these plasma waves and describe the latest experimental results reported in GaN-based devices. In addition, we outline the prospective applications in the context of a terahertz power amplifiers. We conclude with an outlook of the future directions in this emerging field.

1 Electron Plasma Waves: Physical Origin In the two-dimensional electron gas (2DEG) defining the channel of field-effect transistors (FETs), at high enough frequency and under appropriate conditions, electron plasma waves can be excited. These electron plasma waves are collective oscillations of electron density in space and time, and their origin is a direct consequence of the electron inertia due to the delay in the response of electrons

H. O. Condori Quispe · B. Sensale-Rodriguez () Department of Electrical and Computer Engineering, The University of Utah, Salt Lake City, UT, USA e-mail: [email protected] P. Fay () University of Notre Dame, Notre Dame, IN, USA e-mail: [email protected] © Springer Nature Switzerland AG 2020 P. Fay et al., High-Frequency GaN Electronic Devices, https://doi.org/10.1007/978-3-030-20208-8_6

159

160

H. O. Condori Quispe et al.

to an applied voltage. This inertia can be modeled through an inductive response (L), which in turn, together with the gate-to-channel capacitor (C), defines a LC distributed equivalent circuit and can give origin to a resonant behavior [1]. The first report demonstrating an experimental observation of electron plasma waves was shown in a silicon inversion layer and dates back to 1977 [2]. In this seminal paper, it was shown that normally incident terahertz electromagnetic radiation can induce resonant plasma-wave excitation in the transistor channel. In this case, electromagnetic radiation is coupled to plasmons via a grating gate, and plasmons are characterized by a peak in the extinction spectra. Furthermore, since the plasmon resonance frequency (ωp ) is proportional to the charge carrier concentration, it is possible to electrically control the resonance position by altering the charge density in the 2DEG by means of applying a DC voltage between gate and source/drain terminals. Since this early work, much progress has been made in terms of modeling and understanding electron plasma waves as well as harnessing these for practical applications. In particular, as a result of its large charge density and relatively high mobility, which is a result of a long momentum relaxation time thus large kinetic inductance, GaN has emerged as a prospective candidate material for harnessing electron plasma waves for practical applications. The rest of this section will summarize the main properties and applications of electron plasma waves towards the development of emerging plasma-wave electronic THz sources and amplifiers.

1.1 Drude Conductivity and Distributed Models for HEMTs In a first approximation, the electron plasma waves traveling in the channel of a high-electron-mobility transistor (HEMT) can be treated via a transmission line distributed circuit model as discussed in [3–6]. In the rest of this section, it will be assumed that the transistor is operating with a small drain to source bias so that the electron density can be considered as constant along the channel. Under this approximation, the high-frequency conductivity of the 2DEG can be modeled using a Drude model: σ (ω) =

σ0 , 1 + j ωτ

(6.1)

where σ 0 is the zero-frequency differential conductivity of the 2DEG, τ is the electron momentum relaxation time, and ω is angular frequency. From Eq. (6.1), by taking the inverse of the conductivity, it follows that the 2DEG can be represented as a complex impedance, Z2DEG (ω), with its real and imaginary parts given by Z2DEG (ω) = R + j ωL,

(6.2)

where R = 1/σ 0 represents the distributed channel resistance and L = τ /σ 0 its inductance (kinetic inductance, which accounts for the inertia of electrons in the

6 Plasma-Wave Propagation in GaN and Its Applications

161

2DEG). When accounting for the distributed gate-capacitance arising from the transistor structure as well as a conductance having its origin on leakage through the gate dielectric, the HEMT channel can be considered as a transmission line; after assuming the proper charge density dependencies (arising from electrostatics of the gate and channel), the resulting transmission line parameters are given by R=

m∗ εb m∗ ; L = ; C = W ; G = G0 W, 2 2 d W e τ ns W e ns

(6.3)

where G0 represents the distributed gate conductance, e and m∗ are the electron charge and effective mass, respectively, W the transistor width, ns the 2DEG sheet charge density, εb is the gate dielectric permittivity, and d is the dielectric barrier thickness. From this perspective, voltage and current waves can be excited and propagate in the channel following the propagation constant given by γ = α + jβ =

$

(R + j ωL) (G + j ωC),

(6.4)

In addition, the characteristic impedance, Z0 , associated with the transmission line can be written as % R + jωL . (6.5) Z0 = G + jωC From this perspective, one can see that it is possible to characterize the propagation of electron plasma waves following traditional transmission line theory. For instance, for low-loss plasma-wave propagation, one of the conditions that should be satisfied is R=

m∗ m∗  ωL = ω ⇒ ωτ  1. W e2 τ ns W e2 ns

(6.6)

This means that electron plasma waves can be excited and propagate long enough to have a role in transistor operation at frequencies larger than approximately 1/(2πτ ). Since τ is typically in the order of hundreds of femtoseconds in GaNbased HEMTs, this is a phenomenon observable particularly at mm-wave through THz frequencies. In addition, under the low-loss approximation, from Eq. (6.4), it is possible to define an electron plasma-wave (phase) velocity as % s=

q 2 ns t , m∗ εb

(6.7)

That is, the electron plasma-wave velocity depends on the charge density as well as on the effective mass, but not on the scattering time. This means that the mobility

162

H. O. Condori Quispe et al.

of the material has no role on the velocity of the electron plasma waves; however, the effective mass (and by extension its crystal and band structure) has an important role. In addition, as discussed in [7], this velocity is typically one order of magnitude higher than the electron drift velocity. This observation has twofold implications: first it allows transit times to be associated with terahertz frequencies, and second, it opens the possibility to push three terminal device operation (usually limited by the saturation of the electron drift velocity) to much higher frequencies. In addition, from Eqs. (6.3) and (6.4), it follows that the attenuation constant will have the form α=

R 1 ∝ . 2Zo τ

(6.8)

As a result, in a first approximation, attenuation is inversely proportional to the momentum relaxation time. Furthermore, it is possible to express the propagation length of plasma waves, Lp , as Lp = 1/2α. From Eq. (6.8), it follows that the propagation length is proportional to the momentum relaxation time, Lp ∝ τ . From this perspective, it can be seen that the scattering time in a semiconductor has an important role in defining the loss of electron plasma waves. That is, short momentum relaxation time values will lead to small propagation lengths and large attenuation. In order for electron plasma waves to propagate over long distances, it is necessary long relaxation times, ideally above 1 ps. In typical semiconductors at room temperature, this is very challenging which is one of the reasons that it is typically difficult to observe this phenomenon at room temperatures. Electron plasma waves have been experimentally observed in high mobility semiconductors such as GaAs at cryogenic temperatures [8], but also in other high mobility materials like exfoliated graphene at room temperature [9–11]. For the case of GaN, experimental demonstration of electron plasma waves were initially observed at cryogenic temperatures [12, 13]. Alternatively, electron plasma waves can be understood without resorting to an equivalent transmission line model, following the discussion in [14]. In this approach, the electric field vector, E, is related to the current density, j, via ∂j/∂t = Eσ.

(6.9)

In addition, following the general continuity equation, the charge density, n, and the current density, j, are related via ∂ (en)/∂t = −∇· j.

(6.10)

As a result, differentiating Eqs. (6.9) and (6.10), the electric field can be expressed as: ∂ 2 (e n) + σ ∇· E = 0. ∂t 2

(6.11)

6 Plasma-Wave Propagation in GaN and Its Applications

163

For a three-dimensional bulk material, the electric field is related to the electric carrier concentration via the differential form of Gauss’s law: ∇· E =

en . ε

(6.12)

However, for a 2D case, as in the case of a gated plasma wave in a FET, the electron channel concentration is proportional to the channel potential (under the gradual channel approximation) [15–17]. In addition, the relationship between electric field E and scalar potential U is given by E = −∇U. As a result, in a 2D plasma wave, the electric field is related to the 2D carrier concentration, ns , via 1 E = − ∇ (ens ) , C

(6.13)

where C is the gate to channel capacitance. By appropriately using Eqs. (6.9) to (6.13), it is possible to obtain expressions for the 3D and 2D gated/ungated plasmawave resonances as % e2 n 3D : ωp = , (6.14) ε m∗ % 2D gated : ωp =

e2 ns d k, ε m∗

(6.15)

% 2D ungated : ωp =

e2 ns k, 2 ε m∗

(6.16)

where k represents the wave vector of the plasma wave.

1.2 Hydrodynamic Transport Equations and Non-linear Effects In addition to the linear properties of electron plasma waves, which are captured by the previously discussed approaches, the non-linear properties can enable the possibility to engineer new types of active devices such as detectors, mixers, and frequency multipliers whose performance might surpass that of current state of the art terahertz approaches [18]. Let’s consider the configuration for a detector depicted in Fig. 6.1 in which a transistor is integrated with an antenna so to couple in terahertz radiation. Let us also assume that a DC voltage bias is applied between the gate and the source, and also consider that the antenna feeds an AC voltage Uac between the gate and the source. The electron plasma-wave velocity is determined by the applied

164

H. O. Condori Quispe et al.

Fig. 6.1 Schematic of a HEMT in a THz detector configuration, a THz signal is applied between gate and source for example via the integration of an antenna. Extracted from [19]

√ DC gate to source bias and is given by s = eU0 /m∗ , with U0 = Ugs − Uth , where Uth is the threshold voltage (characteristic of the transistor) and Ugs is the gate-tosource voltage. As a control parameter, in general, U0 determines the velocity of the plasma waves as it modulates the concentration in the gated region of the 2DEG channel (active device region). The relationship between the surface carrier concentration, nS , and the gate voltage swing, U0 , is given by nS =

CU0 . e

(6.17)

Following a hydrodynamic model [18], electron transport in the 2DEG is governed by Euler’s equation: ∂v e ∂U v ∂v +v + ∗ + = 0, ∂t ∂x m ∂x τ

(6.18)

where ∂U ∂x is the longitudinal electric field in the channel and v(x,t) is the electron velocity. The last term in Eq. (6.18) accounts for electronic collisions or impurity scattering. Euler’s equation has to be solved together with the continuity equation: ∂n ∂(nv) + = 0. ∂t ∂x

(6.19)

For the analyzed detector configuration (see Fig. 6.1), the boundary conditions are U(0, t) = U0 + Ua cos ωt (source end) and v(L,t) = 0 (evaluated at the drain). One can now normalize the gate voltage swing as u = eU m∗ and find solutions to Eqs. (6.18) and (6.19) of the form [18]:

6 Plasma-Wave Propagation in GaN and Its Applications

165

u = u + u1 + u2 + u3 . . .

(6.20)

v = v + v1 + v2 + v3 . . .

(6.21)

where u1 is proportional to Ua , and u, u2 are proportional to Ua2 . Linearizing Eqs. (6.18) and (6.19) to the first order in Ua , one can obtain [18]: ∂u1 v1 ∂v1 + + = 0, ∂t ∂x τ ∂u1 ∂v1 + u0 = 0. ∂t ∂x

(6.22)

(6.23)

By considering solutions of the form u1 , v1 ∝ exp [i(kx − ωt)] and substituting in Eqs. (6.22) and (6.23), the following dispersion relation is obtained [18]:    k0 = s −1 ω2 + iω τ −1 .

(6.24)

Retaining the second order time-independent terms in Eqs. (6.18) and (6.19) after substitution of Eqs. (6.20) and (6.21), it is possible to determine expressions for the rectified (detected) voltage Δu = < u(0, t) − u(L, t)>. The DC detector response

U, induced by the incoming AC signal Ua , is therefore given by [18]

U 1 Ua 2 = f (ω) , U0 4 U0

(6.25)

where the function f (ω) is given by:   cos 2k0 L f (ω) = 1 + $ −1+ $     , 2  (ωτ )−2 + 1 (ωτ )−2 + 1 sinh k0 L + cos2 2k0 L (6.26) 2

2

with k0 L

⎛ ⎞1/2 ⎛ ⎞1/2 1 1 ωτ ⎝ 1 + (ωτ )−2 2 + 1 ⎠ ωτ ⎝ 1 + (ωτ )−2 2 − 1 ⎠  = and k0 L = . sτ/L 2 sτ/L 2

(6.27) From Eqs. (6.26) and (6.27), one can see that the function f (ω), and as a result the detector responsivity, is a function of the frequency of the incoming signal, the plasma-wave velocity, the momentum relaxation time, and the gate length. Interestingly, and as a result of these observations, by properly arranging terms in Eqs. (6.26) and (6.27), it can be noticed that the detector responsivity depends

166

H. O. Condori Quispe et al.

on the interplay of the two dimensionless parameters: ωτ and sτ /L. In the highfrequency regime, i.e., when ωτ > 1, electron inertia has an important impact on the propagation of the plasma waves. In this regime, when the gate length is small (and thus sτ /L > 1) electron plasma waves can propagate with low loss along the channel. In this situation, interference between incident and reflected waves can take place, therefore, leading to standing waves. In this regime, it is possible to observe plasma-wave resonances as well as to take advantage of this resonant response as a mechanism to attain superior detector performance (as discussed in [18]). However, if the gate length is large so that sτ /L  1, electron plasma waves launched from the source terminal will decay before reaching the drain terminal. In this case, the detector response is non-resonant. In contrast to the resonant case, in the nonresonant regime the detector response is broadband. It is worth highlighting that the continuity equation in the hydrodynamic model recently discussed does not consider current flow from the channel to the gate. This “leakage” mechanism is the source of performance degradation in many real-world device implementations. Considering the leakage current, the continuity equation can be rewritten as [19] ∂n ∂(nv) jz (x) + = , ∂t ∂x e

(6.28)

where the term jz (x) represents the gate leakage current. This leakage current affects the electron plasma-wave dispersion as well as the loss. Although in general leakage has an adverse effect that reduces detector responsivity, as discussed in [20–22], if a gain medium is integrated into the channel the plasma losses might be counteracted, which in turn could potentially lead to terahertz power amplification [22, 23].

2 Electron Plasma Waves in GaN Experimental Demonstration 2.1 Direct Electrical Probing As noted above, due to the modest mobility typically achieved in GaN-based 2DEGs, previous demonstrations of plasma-wave effects in GaN have been limited to either quasi-optical techniques or cryogenic measurements. While these measurements serve to help validate the underlying physics of plasma-wave propagation in polarization-induced 2DEGs in GaN, they provide only limited guidance on the impact of plasma-wave propagation in device structures of practical interest (e.g., HEMTs) or under conditions more typical of practical applications (e.g. room temperature and above). For exploitation of plasma-wave effects in novel device concepts operating at room temperature, direct electrical measurements of plasma-wave effects are potentially valuable, since they can provide a more direct connection to the conditions present in devices of interest.

6 Plasma-Wave Propagation in GaN and Its Applications

167

To address this need, room temperature on-wafer s-parameter-based measurements of plasma-wave effects in GaN 2DEGs have been demonstrated and the electrical effects quantitatively analyzed. The resulting characteristics are in excellent agreement with the model presented above and show that, for example, plasma-wave effects lead to bias-dependent inductances in ultra-fast devices. Effects such as these may well prove to be of importance as GaN electronics transitions from the domain of RF power electronics into more advanced applications such as mm-wave and sub-mm-wave sensors and systems. For the results discussed here, devices based on InAlN/AlN/GaN heterostructures and a grating gate structure were used. The choice of InAlN was made to allow higher carrier concentrations to be characterized than is possible with more typical AlGaN/GaN heterostructures, but the typically lower mobility in these structures also reduces the size of the observed plasma-wave effects. To make these effects more visible in measurements, a grating gate structure was employed. Figure 6.2 shows the basic heterostructure and device design used. For the results reported here, devices with between 1 and 4 gates were used (Fig. 6.2 shows a version with 4 gates).

Fig. 6.2 (a) Heterostructure cross section and (b) scanning electron micrograph of a typical fabricated device structure including launching structures, with de-embedding reference planes shown in red. On-wafer bias-dependent s-parameters of these structures (with 1–4 gates) were used to directly probe the electrical characteristics of plasma waves propagating in the 2DEG channel

168

H. O. Condori Quispe et al.

To characterize the impact of plasma-wave propagation on the performance of these devices, on-wafer s-parameter measurements as a function of gate bias were performed. The drain-to-source bias was set to zero so that high-field driftrelated effects could be minimized. Measurements were performed in two different frequency ranges: G band (140–220 GHz) and the WR 1.5 band (500–750 GHz). In both cases, the network analyzer was initially calibrated using off-wafer TRL standards, followed by a second-tier calibration using on-wafer standards. Due to the potential for layout discontinuities and extrinsic capacitances and inductances to influence the measurements, a rigorous de-embedding procedure based on the measurement of additional on-wafer structures similar to the devices being tested was included [24]. This systematic approach allows unambiguous de-embedding of the device performance without fitting parameters and is especially critical for the deep sub-millimeter-wave frequency ranges explored here (e.g., 500–750 GHz). To more closely align the measured s-parameters (in which port 1 was connected to the gate and port 2 was connected to the drain, with the source held at a common ground) with the “plasma-wave transmission line model” described above, the measured common-source s-parameters were mathematically transformed to common-gate s-parameters after de-embedding [25]. After application of this transformation, the s-parameters are configured so that port 1 is at the device source, port 2 is at the device drain, and the gate is the potential reference (AC ground). Figure 6.3 shows a typical set of common-gate s-parameters measured at Gband for 4-gate HEMTs with two different gate pitches (see Fig. 6.2). Along

Fig. 6.3 Measured and modeled G-band common-gate s-parameters for typical grating gate HEMTs with gate pitch of 110 nm (a) and 170 nm (b) (from [25])

6 Plasma-Wave Propagation in GaN and Its Applications

a

4

169

b

⫻ 10–6 theory extracted L

Inductance (H/m)

3.5 3 2.5 2 1.5 1 –1

–0.5

0 Gate Voltage (V)

0.5

1

Fig. 6.4 Extracted kinetic inductance from (a) G-band and (b) WR 1.5 band measurements as a function of applied gate bias. Data extracted from s-parameter measurements are shown as open squares; the solid lines are the expected fit from the transmission line model (from [25])

with the measured data (data points shown as open circles) the predictions of the transmission-line based model are also shown. As can be seen, good overall agreement between the trends for the measurement and model are observed; the apparent discrepancies are 0.5 dB or less in magnitude and 8◦ or less in phase; similar trends have been obtained for the WR 1.5 band measurements (500– 750 GHz). These measured data have been used to extract the kinetic inductance associated with the plasma-wave propagation. By performing a least-squares fit of the transmission line model to the measured s-parameter data, the inductance as a function of channel concentration and/or gate bias was obtained. In this fitting, each bias point was treated completely separately, so none of the bias-dependent features of the model were present during the curve fitting. Figure 6.4 shows the resulting kinetic inductance obtained from both the G-band and WR 1.5 band measurements as a function of gate bias (and thus 2DEG concentration). For reference, the solid lines in Fig. 6.4 show the expected kinetic inductance from the transmission line model [25]. As can be seen, excellent agreement is obtained, confirming the presence of plasma waves in these devices. A clear bias-dependent inductance effect—that may prove useful for forming tunable mm-wave amplifiers or other ultra-high-frequency applications—is obtained [25].

2.2 Quasi-Optical Excitation To couple THz radiation into plasma waves in the transistor channel, different approaches have been reported, such as grating couplers [26–30] and antennas [31–34]. In particular, grating gate structures defined by a series of alternating

170

H. O. Condori Quispe et al.

gated and ungated 2DEG regions are a promising approach that allows plasmawave momentum and energy to match that of terahertz free-space radiation. In this regard, Popov theoretically proposed a HEMT array configuration that would allow an improvement of the terahertz to plasma-wave coupling particularly for higher order modes, via the addition of source and drain contacts [29, 30]. This HEMT array concept was experimentally explored in ultra-thin membrane GaN HEMT arrays [13]. A thin-membrane configuration enables removal of substrate effects and further enhances the electromagnetic coupling. With this approach, a more efficient excitation of high order plasmonic modes and enhanced overall coupling could be achieved, even in configurations having a smaller number of devices per unit area. Figure 6.5 shows the schematic cross section of the HEMT array as well as the grating gate approach. In both cases, periodic boundary conditions are set by the periodicity of the structure; however, in the HEMT array configuration, in addition to these periodic boundary conditions, the source and drain contacts effectively impose Perfect Electrical Conductor (PEC) boundary conditions for the plasma waves. A further insight into the cell-to-cell interactions in these structures can be obtained by considering the traditional coupled harmonic resonator models shown in Fig.6.5c-d. As illustrated in Fig. 6.5d, PEC boundary conditions imposed by the source and drain electrodes effectively isolate adjacent

Fig. 6.5 (a-b) Schematic cross section for the unit cell of (a) a grating gate structure and (b) a HEMT array configuration. The inset shows the oscillating charges of opposite sign across the vertical gap between the gate and ohmic contacts in every unit cell. (c-d) Modeling of mobile carrier interactions in the 2DEG in a grating gate configuration (c) and in a HEMT array (d) via a coupled harmonic resonator. Blue circles represent mobile carriers in the gated regions while red circles represent the mobile carriers in the ungated circles. The interaction between plasma waves in the gated and ungated region is represented via springs (from [13])

6 Plasma-Wave Propagation in GaN and Its Applications

171

Fig. 6.6 Representative simulated THz absorption for (a) a grating gate configuration and (b) a HEMT array configuration, for various gate lengths (the unit cell of the periodic structure and the S/D length is fixed). The color maps correspond to different absorption levels. The dashed lines map the evolution of the frequency of resonance in both structures when altering gate length. Stronger and much well-defined resonance features are observed in the HEMT array configuration; furthermore, a stronger dependence of the resonance frequency with gate length is also noticed (from [13])

unit cells within the HEMT array structure, whereas in the case of the grating gate configuration (Fig. 6.5c) adjacent unit cells can electrically interact with each other. As a result, in the HEMT array approach the system behaves as an array of synchronized resonators, whereas in the grating gate case the system behaves as a coupled resonant system. Figure 6.6 shows full wave simulation results for absorption versus frequency for grating gate and HEMT array structures for different gate lengths (unit cell is fixed). In the case of the grating gate configuration, when decreasing the gate length there is a small blue shift in the frequency of resonance. However, for the case of the HEMT array configuration, a stronger frequency shift is observed when altering the gate length. In general, it is observed that the position of the frequency of resonance in the grating gate configuration depends mainly on the unit cell period rather than on the gate length. This is in contrast to the situation in the HEMT array, where the position of the frequency of resonance depends mainly on the gate length rather than on the unit cell period. These observations serve to clearly illustrate the fundamentally different nature of these two approaches. Furthermore, Fig. 6.6 clearly highlights one of the most important advantages of the HEMT array approach: its ability to more efficiently excite higher order plasmonic resonances. A common feature that both approaches share is that the ungated region strongly contributes to the coupling of THz radiation into the gated region, as shown in Fig. 6.5. When THz radiation impinges upon both structures, it couples into

172

H. O. Condori Quispe et al.

Fig. 6.7 (a, b) Simulated and measured transmission spectra for the representative grating gate samples with different gate length as shown in circles in (c). The unit cell is fixed in both cases. The insets depict the SEM detail of the array, measurements were taken at 77 K. (c) Position of the resonance frequency vs. gate length, a small dependence is observed; furthermore, as the gate length is reduced, the resonance weakens (from [13])

plasmons via the ungated region [30]. In the absence of an ungated region, for example, as experimentally demonstrated in [13] when the 2DEG in the ungated region is etched away, mobile charges in the gated 2DEG are screened by the metal gate, thus THz radiation cannot be coupled into plasma waves in the gated region. As a result, a reduction in the electromagnetic coupling of THz radiation into plasma waves is observed. The experimental transmission spectra for the case of the grating gate approach and the HEMT array approach are shown in Figs. 6.7 and 6.8, respectively. Strong spectral signatures of plasmonic resonances are observed as dips in the transmission spectra. In the case of the grating gate approach, when comparing the quality factor of the frequency of resonance, it can be seen that the resonance is strengthened as the gate to gate separation is reduced. Figure 6.8 shows the transmission spectra for the case of the HEMT array, where higher order plasmonic modes are clearly noticeable in the transmission spectra. In this case, when varying the unit cell periodicity (leaving gate length fixed), as the unit cell increases the frequency of resonance does not shift. This is in sharp contrast with what occurs in the grating gate approach where the unit cell defines the position of the plasmonic of resonance. Under this HEMT array configuration plasmons in adjacent unit cells are effectively isolated by the S/D ohmic contacts. As a result of this observation, it can be experimentally concluded that unit cells in the HEMT array are effectively independent.

6 Plasma-Wave Propagation in GaN and Its Applications

HFSS Exp.

0.4

0.3

5 µm

0.2

HFSS Exp.

0.3

5 µm G

G

G

S/D 1.2 0.4 0.8 Frequency (THz)

1.4 1.2

0.4

0.2 G

0.1

c

0.5 Transmittance

Transmittance

0.5

b 0.6

wp/2p(THz)

a 0.6

173

1.0

Second resonance

0.8 measured HFSS 0.6 0.4

First resonance

S/D 0.1 0.4 0.8 1.2 Frequency (THz)

0.2

1

3 4 2 LS/D (mm)

5

Fig. 6.8 (a, b) Simulated and measured transmission spectra for the HEMT array approach for different S/D lengths as shown in (c). The insets depict a SEM detail of the array. The unit cell period is varied via changing the length of the S/D electrodes. (c) Position of the resonance frequency (first and second resonances) vs. LS/D , no dependence is observed (from [13])

3 Prospective Applications 3.1 RTD-Gated HEMT As discussed in the previous section, a THz beam impinging on a HEMT can excite electron plasma waves in the channel of a transistor. The quality of the plasmonic response is inversely proportional to the temperature as demonstrated experimentally in [12] where a low quality factor resonance was observed at room temperature. The reason behind the small resonance strengths usually observed at room temperature is due to a large electron-plasma-wave damping when ωτ < 0, we define i+ 2

Conv|ni+1/2 =

For v n

i+ 12



n   t

n

t

n

n j ni+1/2 − j j i+1/2 v|ni+1/2 − vi−1/2 v

+ i−1/2

x

x i+1/2 (7.8)

> 0, we define

Conv|ni+1/2



n   t

n

t

n

n

n n

j i+3/2 − j j v v| = − vi+1/2 + i+1/2

x i+1/2 i+3/2

x i+1/2 (7.9)

In the above equations, i refers to the grid point index along x-direction, ˆ and nˆ represents the time iteration index. Time step is t, and mesh step size along x-direction ˆ is x. Note that nonuniform outside the channel but is chosen to be uniform across the channel.

7 Numerical Simulation of Distributed Electromagnetic and Plasma Wave. . .

189

3.2 Time-Space Discretization of Maxwell’s Equation Next, we consider the discretized version of electrodynamic equations. Since the Efield perturbations in the channel is along the x-direction ˆ only, we only expect field variations in Ex, Ey, and Hz field components, and therefore modeling a TEz (TE to the zˆ -direction) field profile is sufficient. By removing other field components and using first-order space and time difference approximations, we get

n

n+1

Ey i+1/2,j = Ey

i+1/2,j

n

Ex n+1 i,j +1/2 = Ex



i,j +1/2

e − tJ 

1 − σx t/2 1 + σx t/2 1−σy t/2 1+σy t/2







+



1 1 + σx t/2

1 1+σy t/2







n+1/2

t

n+1/2

Hz i+1,j − Hz i,j

x



t

y

(7.10)

n+1/2

n+1/2

Hz i,+1 − Hz i,j

(7.11)

n−1/2

n+1/2

Hzx i,j = Hzx i,j



1 − σxm t/2μ 1 + σxm t/2μ





1 1 + σx∗ t/2μ





n

t

Ey ni+1/2,j − Ey i−1/2,j





(7.12)

n−1/2 1 − σ t/2μ

ym

n+1/2

− Hzy i,j = Hzy i,j 1 + σym t/2μ

1 1 + σy∗ t/2μ

!



n

t

Ex ni,j +1/2 − Ex i,j −1/2



(7.13) As before, indices i and j refer to cell numbers along xˆ and yˆ directions and are the time iteration index.  and μ are, respectively, the permittivity and permeability of the media having a dielectric constant  r σ x and σ y , andσx∗ and σy∗ are the electric and magnetic conductivity of the media for the waves traveling in x and y directions, respectively. For the implementation of the PML boundary conditions, we model the PML layer as a hypothetical anisotropic media, which requires such separation of the conductivity for x and y propagating waves. This is also the reason we have two equations for updating the magnetic fields. The solver’s time step is restricted by the Courant-Friedrich-Levy (CFL) condition [20]. For 2D solution, the condition states that

t ≤  c

1 1 ( xmin )2

+

1 ( ymin )2

(7.14)

where xmin and ymin are the minimum step sizes in the grid. Since the plasma wavelengths are much smaller that the free space wavelengths ( 1/τ is satisfied, and oscillations are supported as suggested in [28]. For vo = 2×105 m/s (Fig. 7.13b), we again observe oscillations. But this time, oscillations can be sustained for

200

S. Bhardwaj and J. Volakis

Fig. 7.13 Modeling of DS instability in InGaAs HEMT. (a) Schematic used to model the HEMT (not to scale), dbarr = 22 nm, ds = 1.5 μm, p = 50 nm, dPML = 5 μm. (b) Current density with time as recorded at D for varying Lc, (vo = 1 × 105 m/s). (c) Current density with time as recorded at D for varying Lc (vo = 2 ×105 m/s). (d) AC current and AC field variation in the channel near S terminal during one frequency cycle. (e) Corresponding radiation spectra as recorded at the measurement boundary. The figure is reprint with permission from IEEE. © 2016 IEEE

Lc = 500 nm or smaller (2vo /Lc > 0.8 ps). Thus, finite substrate dimensions and presence of ohmic contacts alter the condition of instability, making it more relaxed (e.g., velocity may be slightly smaller or channel length larger) as compared to that proposed in [24]. Figure 7.13c shows the channel current and channel field variations near the terminal S during one frequency cycle (we considered nsh = 1 × 1012 cm−2 , Lc = 100 nm, and vo = 1 × 105 m/s for these plots). At steady state, 2D Efield distribution for phase points A, B, C, and D are also shown in Fig. 7.14. The plots show that the channel radiates as a leaky cavity. That is, EM waves near the

7 Numerical Simulation of Distributed Electromagnetic and Plasma Wave. . .

201

Fig. 7.14 2D electric fields (Ex component) associated to phase points A, B, C, and D in Fig. 7.15d. The figure is reprint with permission from IEEE. © 2016 IEEE

channel interact (via reflection and diffraction) with the ohmic contacts and radiates. Therefore, the structure inherently allows for the free space coupling of plasmonic waves. Such coupling is usually achieved by using grating gate couplers [29]. Thus, sustained current oscillations in the channel act as a THz emitter. This power is determined using Eq. (7.18) and is shown in Fig. 7.13d after normalization. Absolute power levels along with first harmonic resonance frequencies for these cases are shown as a function of varying channel length Lc in Fig. 7.15. As expected, the resonance frequencies decrease with increasing Lc . We note that calculated resonant frequencies are smaller than that predicted from theoretical analysis in [24]. This implies that the resonance occurs at a larger 2DEG lengths than expected quarter wavelengths. Likely reason is that the fringing fields spread well outside the channel dimensions. From Fig. 7.13d, we observe that most of the power is radiated at the fundamental resonance frequency, with a decrease of 20 dB or more at higher resonances. Tens of nW of power can be expected from a mm wide device with nsh = 1012 cm−2 and vo = 2 ×105 m/s. We also observe that, although amplitude of the channel current decreases with increasing Lc, the total radiated power is increased. This is because longer Lc enables larger radiating area, and therefore an increase in the radiated power. Figure 7.15 shows the effect of changing the electron carrier concentration in the channel on the frequency and power in the radiated signal. The resonance frequency

202

S. Bhardwaj and J. Volakis

increases with increasing electron concentration and is confirmed in Fig. 7.15. Again, we observe that due to the presence of ohmic contacts, finite dimensions of the channel predicted plasma resonances are significantly different from those calculated using the analytical model. Also, the power of the radiated field increases (with near quadratic dependence) with the increase in the carrier concentration. This is attributed to as 0.5 μW of power can be expected from this type device. Our simulations also reveal that the resonant frequency is not a strong function of electron drift velocity or the thickness of the 2DEG layer although the emitted power is indeed a function of these parameters. Tables 7.1 and 7.2 describe the corresponding trends. With increasing drift velocity, we also notice increase in the radiated power.

Fig. 7.15 Frequency and power dependence on channel carrier density, nsh , in the 2DEG layer (Lc = 100 nm, vo = 2 × 105 m/s). The figure is reprint with permission from IEEE. © 2016 IEEE Table 7.1 Radiated power with changing carrier velocity vo , (Lc = 100 nm, nsh = 1012 cm−2 ). The table is reprint with permission from IEEE. © 2016 IEEE vo (m/s) Prad (μm/mm)

105 0.013

2 × 105 0.027

3 × 105 0.032

4× 105 0.033

Table 7.2 Radiated power with changing 2DEG confinement t2DEG , (Lc = 100 nm, vo = 105 m/s, nsh = 1012 cm−2 ). The table is reprint with permission from IEEE. © 2016 IEEE t2DEG Prad (μm/mm)

5 0.013

8 0.013

12 0.013

16 0.013

20 0.01236

7 Numerical Simulation of Distributed Electromagnetic and Plasma Wave. . .

203

Fig. 7.16 Effect of velocity saturation and decreased mobility on the emitted power from the device. The considered case is for Lc = 100 nm, nsh = 1 × 1012 cm −2 . The figure is reprint with permission from IEEE. © 2016 IEEE

5.2.4

Effects of Velocity Saturation and Reduced Mobility

So far, we have simplified our model by assuming a linear relationship between the channel E-field and the electron velocity. Thus, at steady state, the oscillation amplitude is limited by the nonlinearity in the channel, rather than by the velocity saturation effect. In this section, we consider this effect by introducing a velocity saturation at high fields. We further include the effect of reduced momentum relaxation times, since τ = 1 ps can only be observed at cryogenic temperatures. Here, we consider these effects in our simulations. In accordance with [30], we have used saturation velocity at vo = 1.2×107 cm/s. Figure 7.16 shows the effect of velocity saturation and decreased relaxation time on the emitted power. We consider two cases: (1) velocity saturation effect and (2) velocity saturation effect combined with decrease in the relaxation time. In both cases, the power emitted at fundamental resonance frequency is decreased. In the former case, we observe a 12 dB drop in the power due to clipping of the velocity amplitude in the channel. In the latter case, the emitted power further decreases by 10 dB. This is due to increased scattering losses in the channel. We also note that for τ < 0.8 ps, oscillations were not sustained due to excessive scattering losses. Therefore, no emitted power was observed for τ < 0.8 ps. This leads to the conclusion that this phenomenon should be expected at cryogenic temperatures only.

5.2.5

Summary of Findings

Using a coupled full-wave numerical model, we have shown that the asymmetrical boundary conditions in HEMTs can cause a plasma wave instability, leading to terahertz emissions. This numerical model contained the interaction between 2DEG plasma waves and the surrounding fields, accounting for nonuniform media and

204

S. Bhardwaj and J. Volakis

finite dimensions of the device. Our model was used to predict (1) more accurate plasma resonance threshold conditions, (2) accurate resonance frequency for a given set of channel parameters, and (3) maximum achievable power emissions from such devices.

5.3 RTD-Assisted Amplification of Plasmons in HEMTs As noted in previous sections, low loss electron plasma wave propagation at room temperature and self-sustained oscillations in traditional semiconductor 2DEG structures are not yet practical. This is due to the limited electron mobility and large electron plasma wave damping at room temperature in these materials. To develop such plasma wave THz devices at room temperature, this low mobility limitations must be overcome by inserting additional gain mechanisms. One of such approaches can be realized with the assistance of resonant tunnel diode (RTD) introduced at the gate and biased in the negative differential conductance region of its I-V characteristics. RTDs operating beyond 1 THz have already been demonstrated [31, 32]. In this work, we show via analytical and numerical means that plasmons in RTD-gated HEMTs can indeed show amplification. Such a demonstration could indeed pave way to THz sources and amplifiers. Such RTD-gated devices could also serve as replacements for lossy dielectric waveguides in future terahertz integrated circuits.

5.3.1

Full-Wave Modeling of RTD-Gated HEMT: Validation and Results

In this section, we analyze the plasmonic propagation in RTD-gated HEMT device using numerical finite difference time domain (FDTD) simulation approach that was developed in Section 2. We use the FDTD-HD solver as originally developed, with hydrodynamic equations modified to account for the RTD AC current as follows: ∂j ∂nsh + = ∂t ∂x



∂nsh ∂t

,

(7.19)

∂v qEch v ∂v +v =− − , ∂t ∂x me τ

(7.20)

RTD

  To account for the small-signal current due to RTD, the term ∂n∂tsh is RTD added on the right-hand side of Eq. (7.19). This term is much like generation recombination terms used in [33]. Using the definition of the negative differential = −gVRTD /q, where VRTD is applied AC resistance, we also conclude ∂n∂tsh RTD voltage across the RTD layer and g is absolute value of the negative differential resistance for the RTD layer.

7 Numerical Simulation of Distributed Electromagnetic and Plasma Wave. . .

5.3.2

205

Comparison of Numerical and Analytical Solutions

Analytical solution for this problem can be referred from [22]. It assumes lineariza2 , n2 , tion of the hydrodynamic equations. Specifically, second-order variations (vac ac etc.) are ignored, as noted in 4.15. Additionally, we also assume that βyd  1 and β2 ≈ − β x 2  β y 2. These assumptions were needed to obtain a closed form solution. Therefore, to validate the analytical results using full-wave simulations, we must carefully choose the frequency band and the parameters where these approximations hold true. For the comparison, we chose parameters typical to a 2DEG channel in GaN/AlGaN heterojunctions, except for its dielectric constant. We chose  r = 1 so that approximation βyd  1 is valid due to larger plasma wavelength for smaller  r . Other parameters used were no = 5 × 1012 cm−2 , τ = 0.137 ps, vo = 107 cm/s, and me = 0.2 mo. We chose the thickness of the 2DEG layer to be t2DEG = 2.5 nm. To obtain the dispersion curve, we need to excite a broadband THz plasma wave in 2DEG and then record its propagation and attenuation constant as it travels along the channel. To do so, we model a THz pulse incident on the HEMT which contains a long and RTD-gated channel (Lc = 10 μm), having a small gap discontinuity at the gate (Fig. 7.17). The incident wave diffracts through the gap and couples with the channel to excite the propagating plasmonic modes. We record the time domain signal at different points in the channel and extract the attenuation and phase constants by Fourier transformation across the band of interest. The results for _r = 1 case is plotted in Fig. 7.18. Notably, both models predict only a negligible change in the wavenumber with varying g. These plots also demonstrate an agreement in the attenuation constants calculated via the two models. Here, we also confirm that the attenuation constant decreases with the increasing −g. Small

Fig. 7.17 Model used for the numerical calculations. The size of the discontinuity is chosen to be p = 40 nm

206

S. Bhardwaj and J. Volakis

Fig. 7.18 Wavenumbers and the attenuation constants obtained using the full-wave method and the analytical method. The symbol lines refer to numerical simulations, and the solid lines refer to the analytical model. Choice of parameters:  r =1, d = 25 nm, no = 5 × 1012 cm−2 , τ =0.137 ps, vo = 107 cm/s, and me = 0.2mo . Reprinted from Journal of Applied Physics, vol. 119, no. 1, pp. 013102, 2016, with the permission of AIP Publishing

variations in the simulated results were observed due to the inherent nonlinearity of the numerical solver and reflection from source and drain terminals—both effects not accounted for by the analytical solution. Next, a similar comparison is made for a practical case of GaN/AlGaN device, where dielectric constant is set  r = 9.5. The comparisons are shown in Fig. 7.19. We again confirm the reduction of the attenuation constant as predicted by the analytical model. Notably, more deviations are observed between the two models especially at larger frequencies. This is due to the higher dielectric constant causing βyd to become large, violating the assumptions made in the analysis. For example, at 3 THz β y d is close to 0.3. From above, we infer that the analytical model correctly captures the phenomenon in the regime of long plasma wavelengths. On the other hand, the full-wave hydrodynamic model provides rigorous and accurate solution for all frequencies. It is also noted that for  r > 1, analytical solution deviates from fullwave simulations. In such cases, the use of full-wave hydrodynamic simulations is recommended for design, while the analytical model can be used for initial estimates.

7 Numerical Simulation of Distributed Electromagnetic and Plasma Wave. . .

207

Fig. 7.19 Wavenumbers and the attenuation constants for GaN-based RTD-gated HEMT obtained using the full-wave method and the analytical method. The “symbol” lines refer to numerical simulations, and the solid lines refer to the analytical model. Choice of parameters:  r = 9.5, d = 25 nm, no = 5×1012 cm−2 , τ =0.137 ps, vo = 107 cm/s, and me = 0.2mo . Reprinted from Journal of Applied Physics, vol. 119, no. 1, pp. 013102, 2016, with the permission of AIP Publishing

5.3.3

Plasmon Propagation in RTD-Gated GaN/AlGaN Heterojunctions

In this section, we employ numerical solution to show the field visualization for intuitive understanding of the proposed concept. The model and the excitation method are the same as in the previous sub-section, but now we chose single excitation frequency of 5 THz. Other parameters are set as no = 5 ×1012 cm−2 , τ = 0.137 ps, vo = 107 cm/s,  r = 9.5, and me = 0.2mo. Figure 7.20 shows the coupling and propagation of plasma waves for varying values of RTD-NDC. For g = −0.5 S/μm2 , plasmon attenuation is reduced allowing propagation to longer distances. This observation is very useful for active terahertz waveguides. For the case of large NDC (g = −0.8 S/μm2 and − 1 S/μm2 ), a growing propagating plasma wave was also observed. With appropriate antennas at the input (source gate) and output (drain gate) terminals, an antenna-coupled amplifier configuration can be achieved. Clearly, this would require impedance matching of the input and output terminals with the corresponding radiating structures. If left un-matched, the amplified plasma wave would simply be reflected back from the drain terminal. This backward

208

S. Bhardwaj and J. Volakis

Fig. 7.20 Left: schematic of the RTD-gated HEMT with RTD typical characteristics. Right: Ex field snapshots taken at t = 2 ps. Detail along the partial section of the channel. Plasma wave propagation for varying values of the RTD-NDC g is shown. Reduced plasma wave losses and amplification can be obtained with increasingly g (note: x and y axis are drawn with respect to the corner of the 2Dsimulation domain, and figure shows the zoomed in view)

traveling wave would quickly attenuate due to opposite flow of electrons and large −g. Another way of achieving input-output coupling in the amplifier mode could be by using grating gates. The shown concept can also be utilized to obtain a terahertz source as well, as shown in Fig. 7.20. The RTD gate provides the much needed gain media for the propagating plasmons. Alongside this gain media, a resonance mechanism is also needed to complete the oscillator action. This resonance can be obtained by having periodic grating gates instead of a single continuous gate. Further, these grating gates also provide the needed method for coupling of the plasmons to the free space. Thus, obtained RTD-gated, grating-gated HEMT is expected to emit terahertz radiations. For such devices, the frequency of resonance would be decided by the grating periodicity, 2DEG electron density, and barrier thickness.

5.3.4

Conclusion

We demonstrated that RTD-gated HEMTs support low attenuation and growing plasma modes within the 2DEG channel. Depending on the value of the RTDNDC, either a long distance plasma wave propagation or amplification action can be sustained within the gated channel. This mode enhancement is supported by the

7 Numerical Simulation of Distributed Electromagnetic and Plasma Wave. . .

209

RTD-gain mechanism, which counters the scattering losses in the channel. Our fullwave hydrodynamic model and analytical expressions are validated for this concept. We also concluded that in practice such devices would depend on fabrication of the state-of-the-art RTDs, operating at THz frequencies. Such RTDs are already being reported [32, 33]. The NDC values available in these RTDs are of the order of 10 mS/μm2. Although these values are smaller than the required, development of low-loss active THz waveguides is possible using these RTDs. For THz sources and amplifiers, higher NDC values would be required, which could allow these applications in the future.

6 Experimental Work for Confirmation of Plasma Modes in 2DEG Sample A few experiments have been conducted in the past to verify the understanding of terahertz plasmonic resonances in 2DEG systems. Commonly, a periodic grating gate is placed over a 2DEG sheet for generating plasma wave resonances. Effect of these resonances can be observed as transmission valleys in the spectra due to an impinging terahertz wave. In other words, researchers have used large area bulk mode resonances in the 2DEG grating gate system to validate the expected resonances in such devices. Clearly, terahertz spectroscopic measurement experimental set-up is ideal for conducting such measurements. Most practical terahertz devices, however, utilize plasma resonances within a single device (or using a single gate). For example, a resonant terahertz detector uses a single-gated 2DEG resonance, as opposed to resonances in large area gratinggated structures. With that in mind, we develop experimental devices to demonstrate the presence of plasmonic resonances in a finite length of 2DEG channel.

6.1 Capacitively-Coupled HEMT Device For the proposed experiment, circuit-based measurements are needed, since spectroscopic measurements will be ineffective for such micrometer scale devices. Therefore, the device and feeding mechanisms should be carefully designed. The developed device is shown in Fig. 7.21. As shown, we use co-planar waveguide (CPW) that is terminated with an open circuit. At the open end of the CPW, the device mesa is etched (below the CPW line). The mesa is passivated using 40 nm thick Al2 O3 layer. Thus, there is no ohmic contact between the input signal/ground lines and the heterojunction mesa. Note that we employ non-contact (antenna-based) one-port network measurement approach for our measurements. Details of the measurements and calibration method can be referred from [34]. We

210

S. Bhardwaj and J. Volakis

Fig. 7.21 Device schematic of the proposed device for measurement of terahertz resonances in HEMT. (a) Top and cross-sectional views of the device. The measured electron density was nsh = 1.39 × 1013 cm−2 , and mobility was μ = 1290 cm2 /(V.s) (b) HFSS simulation model. (c) Field distribution at resonance frequency

measure the reflection coefficients, and using the CPW line characteristic impedance (Zo = 64 ), we calculate the input impedance of the 2DEG sample. Motivations of this device and the measurement set-up come from another similar experiment conducted at microwave frequencies [35], where such plasma wave resonances were measured using a AlGaAs/GaAs FET fed via a coaxial cable. In the experiment a 2DEG channel along with the metal gate and an open boundary condition was used to create a FET resonant cavity. Note that there was no ohmic contact between the 2DEG and source/drain terminals. That is, the cavity is excited by capacitive coupling of input power to the 2DEG. The resonances were observed as peaks in real part of input impedance (as de-embedded to the device plane). The modeling of the device along with the CPW line feeding was conducted using high frequency structural simulation (HFSS tool). The 2DEG channel was modeled using Drude model, using the measured DC mobility and electron density data. Figure 7.22 shows the Real(Zin ) as obtained at the sample plane. As noted, we observed broad peaks in impedance, with variation of peaking frequency for different Lr . The variation of resonance frequency suggests that observed resonances are indeed plasma wave resonances in the channel cavity (Fig. 7.22).

7 Numerical Simulation of Distributed Electromagnetic and Plasma Wave. . .

211

Fig. 7.22 Results of HFSS simulation modeling. Left: variations in resonance frequency, seen as the peak of Real(Zin ) as observed at the sample plane. Dotted black curves are at 0.2 μm steps of Lr . Center: Phase(Zin ) at the sample plane. Right: normalized phase of Zin (phase is normalized by subtracting uniformly varying phase of −80◦ to −40◦ across the band)

6.2 Fabrication and Measurements The samples were grown and processed by our collaborators at University of Notre Dame and Cornell University. Figure 7.23a, b shows the devices along with feeding antenna structures. Several samples of varying Lr were identified on the die, and measurements were conducted. The real part of the input impedance at the sample plane along with phase of the input impedance is shown in Fig. 7.23c, d. Measurement results show that we observe the expected trend of decreasing resonant frequency with increasing Lr although the shift in the resonant frequency is quite small. We register a frequency shift of 15 GHz due to Lr variation of 1.67 μm (see Fig. 7.23c). According to simulation data, this shift should be close to 150 GHz. We observe similar artifacts in the phase of the measured input impedance as shown in Fig. 7.23d.

6.3 Conclusions and Further Steps We consider the results only partially successful. We conclude that the shift in the resonance frequencies is small, as only a small portion of 2DEG sample actually participates in the wave-resonances. This could be due to inferior quality of 2DEG toward the edges of the mesa. However, this reasoning needs to be further verified. To improve the 2DEG edges, the next step is to fabricate the devices using ion implantation method. After this initial fabrication and experimental iteration, we are currently further pursuing these leads.

212

S. Bhardwaj and J. Volakis

Fig. 7.23 (a) Fabricated samples along with antenna structure for non-contact probing. (b) Fabricated devices with varying sample lengths. (c) Measured (Zin) for different samples. (d) Measured phase and variation due to sample length variation

References 1. M. Dyakonov, M. Shur, Detection, mixing, and frequency multiplication of terahertz radiation by two-dimensional electronic fluid. IEEE Trans Elec Devices 43(3), 380–387 (1996) 2. W. Knap, S. Nadar, H. Videlier, S. Boubanga-Tombet, D. Coquillat, N. Dyakonova, F. Teppe, K. Karpierz, J. Usakowski, M. Sakowicz, D. Seliuta, Kasalynas, G. Valuis, S. Monfray, and T. Skotnicki, Field effect transistors for fast terahertz detection and imaging, in 18-th International Conference on Microwaves, Radar and Wireless Communications, 2010, p. 1–3 3. J.-Q. Lu, M.S. Shur, Terahertz detection by high-electron-mobility transistor: Enhancement by drain bias. Appl. Phys. Lett. 78(17), 2587–2588 (2001). https://doi.org/10.1063/1.1367289 4. J.-Q. Lu, M.S. Shur, J.L. Hesler, L. Sun, R. Weikle, Terahertz detec- tor utilizing twodimensional electronic fluid. IEEE Elect Device Lett 19(10), 373–375 (Oct 1998) 5. W. Knap, V. Kachorovskii, Y. Deng, S. Rumyantsev, J.-Q. LU, R. Gaska, M.S. Shur, G. Simin, X. Hu, M.A. Khan, C.A. Saylor, L.C. Brunel, Nonresonant detection of terahertz radiation in field effect transistors. J Appl Phys 91(11), 9346–9353 (2002). https://doi.org/10.1063/1.1468257 6. W. Knap, Y. Deng, S. Rumyantsev, M.S. Shur, Resonant detection of subterahertz and terahertz radiation by plasma waves in submicron field-effect transistors. Appl. Phys. Lett. 81(24), 4637 (2002) 7. W. Knap, Y. Deng, S. Rumyantsev, J.-Q. Lu¨, M. Shur, C. Saylor, L. Brunel, Resonant detection of subterahertz radiation by plasma waves in a submicron field-effect transistor. Appl. Phys. Lett. 80(18), 3433–3435 (2002)

7 Numerical Simulation of Distributed Electromagnetic and Plasma Wave. . .

213

8. A. El Fatimy, F. Teppe, N. Dyakonova, W. Knap, D. Seliuta, G. Valusis, Shchepetov, Y. Roelens, S. Bollaert, A. Cappy, S. Rumyantsev, Reso- nant and voltage-tunable terahertz detection in InGaAs/InP nanometer Tran- sistors. Appl. Phys. Lett. 89(13), 131 926–131 926–3 (2006) 9. W. Knap, F. Teppe, Y. Meziani, N. Dyakonova, J. Lusakowski, F. Boeuf, T. Skotnicki, D. Maude, S. Rumyantsev, M. Shur, Plasma wave detec- tion of sub-terahertz and terahertz radiation by silicon field-effect transistors. Appl. Phys. Lett. 85(4), 675–677 (2004) 10. R. Tauk, F. Teppe, S. Boubanga, D. Coquillat, W. Knap, Y. Meziani, C. Gallon, F. Boeuf, T. Skotnicki, C. Fenouillet-Beranger, et al., Plasma wave detection of terahertz radiation by silicon field effects transistors: Responsivity and noise equivalent power. Appl. Phys. Lett. 89(25), 253511 (2006) 11. S. Allen Jr., D. Tsui, R. Logan, Observation of the two-dimensional plasmon in silicon inversion layers. Phys. Rev. Lett. 38(17), 980 (1977) 12. F. Stern, Polarizability of a two-dimensional electron gas. Phys. Rev. Lett. 18(14), 546 (1967) 13. A. Eguiluz, T. Lee, J. Quinn, K. Chiu, Interface excitations in metal-insulator-semiconductor structures. Phys. Rev. B 11(12), 4989 (1975) 14. M. Ali Khorrami, S. El-Ghazaly, S.-Q. Yu, H. Naseem, Terahertz plasmon amplification using two-dimensional electron-gas layers. J. Appl. Phys. 111(9), 094501 (2012) 15. D. Mari, Accurate numerical steady-state and transient one-dimensional solutions of semiconductor devices,” Ph.D. dissertation (California Institute of Technology, 1968) 16. R.O. Grondin, S.M. El-Ghazaly, S. Goodnick, A review of global modeling of charge transport in semiconductors and full-wave electromagnetics. IEEE Trans Micr Theory Tech 47(6), 817– 829 (1999) 17. J. Mateos, T. Gonzalez, Plasma enhanced terahertz rectification and noise in InGaAs HEMTs. IEEE Trans Terahertz Sci Technol 2(5), 562–569 (2012) 18. D. Vasileska, S. Goodnick, G. Klimeck, Computational Electronics, Semiclassical and Quantum Device Modeling and Simulation (CRC Press, Boca Raton, 2010) 19. U. Mishra, J. Singh, Semiconductor Device Physics and Design, 1st edn. (Springer, Netherlands, 2008) 20. A. Taflov, S.C. Hagness, Computational Electrodynamics, the Finite Difference Time Domain Method (Artech House, Norwood, 2005) 21. A.V. Muravjov, D.B. Veksler, V.V. Popov, O.V. Polischuk, N. Pala, X. Hu, R. Gaska, H. Saxena, R.E. Peale, M.S. Shur, Temperature dependence of plasmonic terahertz absorption in gratinggate gallium-nitride transistor structures. Appl. Phys. Lett. 96(4), 042105 (2010) 22. S. Bhardwaj, B. Sensale-Rodriguez, H.G. Xing, S. Rajan, J.L. Volakis, Resonant tunneling assisted propagation and amplification of plasmons in high electron mobility transistors. J. Appl. Phys. 119(1), 013102 (2016) 23. M.A. Alsunaidi, S.S. Imtiaz, S.M. El-Ghazaly, Electromagnetic wave effects on microwave transistors using a full-wave time-domain model. IEEE Trans Micro Theory Tech 44(6), 799– 808 (1996) 24. S. Bhardwaj, N.K. Nahar, S. Rajan, J.L. Volakis, Numerical analysis of terahertz emissions from an ungated hemt using full-wave hydrodynamic model. IEEE Trans Electr Devices 63(3), 990–996 (2016) 25. M. Dyakonov, M.S. Shur, Current instability and plasma waves generation in ungated twodimensional electron layers. Appl. Phys. Lett. 87(11), 111501 (2005) 26. M. Dyakonov, M. Shur, Detection, mixing, and frequency multiplication of terahertz radiation by two-dimensional electronic fluid. IEEE Trans Electr Devices 43(3), 380–387 (1996) 27. M. Dyakonov, M. Shur, Plasma wave electronics: Novel terahertz-devices using twodimensional electron fluid. IEEE Trans Electr Devices 43(10), 1640–1645 (1996) 28. M. Dyakonov, M. Shur, Shallow water analogy for a ballistic field effect transistor: New mechanism of plasma wave generation by dc current. Phys. Rev. Lett. 71, 2465–2468 (1993). https://doi.org/10.1103/PhysRevLett.71.2465 29. T. Otsuji, M. Hanabe, T. Nishimura, E. Sano, A grating bicoupled plasmawave photo mixer with resonant-cavity enhanced structure. Opt. Express 14(11), 4815–4825 (2006)

214

S. Bhardwaj and J. Volakis

30. T. Akazaki, K. Arai, T. Enoki, Y. Ishii, Improved InAlAs/InGaAs HEMT characteristics by inserting an InAs layer into the InGaAs channel. IEEE Electr Device Lett 13(6), 325–327 (1992) 31. M. Asada, S. Suzuki, N. Kishimoto, Resonant tunneling diodes for sub-terahertz and terahertz oscillators. Jpn. J. Appl. Phys. 47(6R), 4375 (2008) 32. Y. Koyama, R. Sekiguchi, T. Ouchi, Oscillations up to 1.40 thz from resonant-tunneling-diodebased oscillators with integrated patch antennas. Appl. Phys. Express 6(6), 064102 (2013) 33. K. Blotekjaer, Transport equations for electrons in two-valley semiconductors. IEEE Trans Electr Devices 17(1), 38–47 (1970) 34. C. Caglayan, G.C. Trichopoulos, K. Sertel, Non-contact probes for on-wafer characterization of sub-millimeter-wave devices and integrated circuits. IEEE Trans Micr Theory Tech 62(11), 2791–2801 (2014) 35. P. Burke, I. Spielman, J. Eisenstein, L. Pfeier, K. West, High frequency conductivity of the high-mobility two-dimensional electron gas. Appl. Phys. Lett. 76(6), 745–747 (2000)

Chapter 8

Resonant Tunneling Transport in Polar III-Nitride Heterostructures Jimy Encomendero, Debdeep Jena, and Huili Grace Xing

1 Introduction The phenomenon of resonant tunneling transport has been studied extensively since Raphael Tsu and Leo Esaki proposed its realization using GaAs/AlGaAs semiconductor heterostructures [1]. The contemporaneous development of molecular beam epitaxy (MBE) was crucial for the first experimental realization of the resonant tunneling diode (RTD), in 1974 [2]. These initial experiments led to a rapidly growing interest in resonant tunneling, its fundamental transport physics, and technological applications. Over the last five decades, various photonic and electronic devices that exploit this quantum effect were conceived and realized. It should be noted however that almost a decade before Chang, Esaki, and Tsu demonstrated resonant tunneling injection, this transport mechanism had already been proposed in the then-Soviet Union [3, 4]. In 1963, Iogansen studied the possibility of realizing a double-barrier resonant tunneling structure using dielectric barriers. In this initial proposal, theoretical calculations were employed to estimate the magnitude of the resonant tunneling currents and predict the characteristic negative differential conductance (NDC) [4]. Over the last decades the most important developments in resonant tunneling physics and its practical applications have been dominated by arsenide-based resonant tunneling devices. However, in the last few years, a MURI research effort sponsored by Office of Naval Research (ONR) resulted in the first resonant tunneling devices based on III-Nitride semiconductors. In this MURI program, we proposed a novel terahertz (THz) amplifier called RTD-gated plasma-wave high electron mobility transistor [5, 6]. In this device, the negative differential

J. Encomendero · D. Jena · H. G. Xing () Cornell University, Ithaca, NY, USA e-mail: [email protected]; [email protected]; [email protected] © Springer Nature Switzerland AG 2020 P. Fay et al., High-Frequency GaN Electronic Devices, https://doi.org/10.1007/978-3-030-20208-8_8

215

216

J. Encomendero et al.

conductance (NDC)—provided by the RTD—between two transmission line conductors (gate and transistor channel) overcomes the intrinsic loss in the plasma-wave channel, thus providing gain to amplify THz waves traveling from the transistor source to the drain. The details of this device are documented in another chapter in this book. In this chapter, we present one key element of the RTD-gated plasma-wave HEMT: the resonant tunneling diode. We discuss various aspects of heterostructure design, epitaxial growth and device fabrication, which have led to the first unequivocal demonstration of robust resonant tunneling transport, and reliable room temperature negative differential conductance resulting in the generation of GHz oscillations in III-Nitride semiconductors. The epitaxial growth techniques and RTD design developed by our group were nearly concurrently adopted by the Naval Research Laboratory (NRL), leading to the successful demonstration of GaN/AlN RTDs by the Ohio State University (details are found in the next chapter of this book). These results attest to the reproducibility of the epitaxial techniques and robustness of the III-Nitride resonant tunneling technology, presented in this work. To get further insight into the physics of resonant tunneling transport across polar heterostructures, we also introduce an analytical quantum transport model, which reproduces the experiential tunneling features of our devices. After realizing highcurrent-density III-Nitride RTDs, the first RTD-oscillator is demonstrated using GaN/AlN RTD as the gain element.

1.1 Background on Resonant Tunneling Devices After the first realization of resonant tunneling injection in GaAs/AlGaAs RTDs by Leroy Chang et al. [2] and the following demonstration of robust negative conductance (NDC) at room temperature [7], interest grew on these devices and their potential practical applications. During the 1980s and 1990s, multiple theoretical and experimental studies led to the development of various electronic and photonic devices that rely on this artificial quantum transport regime [8–12]. Resonant tunneling transport was employed as the injection mechanism into the upper lasing level of the first quantum cascade laser (QCL) [9]. In these devices, population inversion and optical gain is attained by choice of the height and thickness of the tunneling barriers which control the characteristic injection times. The versatility of these devices manifests in the possibility of designing different lasing frequencies employing the same material system. These characteristics make QCLs important photonic devices currently employed in a variety of practical applications such as biomedical imaging [13], spectroscopy [14], and metrology [15]. On the other hand, resonant tunneling diodes (RTDs) which are the simplest devices that exhibit resonant tunneling transport hold the record for the fastest electronic devices to date [16]. State-of-the-art RTDs exhibit sub-picosecond tunneling times of the order of ∼35 fs [17]. This ultra-fast transport dynamics, in conjunction with their hallmark negative differential conductance (NDC), make RTDs attractive for manufacturing compact, ultra-fast THz oscillators [18]. Applications such as on-

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

217

chip spectroscopy tools [19] and high data-rate communication systems [20, 21] are a few of the technologies that require these type of compact THz sources. The performance of RTD oscillators and QCLs operating within the THz band has seen a considerable progress over the last decades. However, despite these advances, today’s devices manufactured with well-developed material systems such as GaAs/AlGaAs [22] and InGaAs/InAlAs [18] still present limitations that prevent their widespread use in practical applications. In this context, alternative semiconductor material families are investigated to overcome today’s limitations of arsenide-based resonant tunneling injection. The III-Nitride family of semiconductors is a promising alternative for the development of resonant tunneling devices which offer new capabilities in terms of power and thermal stability that go beyond the present arsenide-based standard.

1.2 III-Nitride-Based Resonant Tunneling Devices With the beginning of the present century, a new research effort started with the goal of demonstrating resonant tunneling transport in III-Nitride semiconductors [23– 30]. This initiative was motivated by the outstanding material properties that III-Nitride heterostructures offer for manufacturing intersubband (ISB) emitters working at room temperature and high-power RTD oscillators. With their high longitudinal optical (LO) phonon energy (ωLO ≈ 92 meV), III-Nitride heterostructures hold the promise for the realization of THz ISB lasers operating at room temperature. Furthermore, the family of III-Nitride semiconductors exhibit a wide range of tunable bandgaps from 0.7 to 6.1 eV, high thermal conductivity, and high breakdown electric fields, which make them also suitable for high-power applications. These properties, combined with the ultra-fast carrier dynamics provided by the resonant tunneling phenomena, make III-Nitride RTDs ideal candidates for high-power ultra-fast applications. Double-barrier GaN/AlGaN RTDs are the simplest devices in which resonant tunneling transport can be investigated. Initial experiments focused on RTDs grown on sapphire templates; however, these devices usually exhibit non-repeatable current–voltage (J–V) characteristics [25, 31–33]. This behavior has been explained by the high density of defects present in GaN films grown on sapphire which effectively act as carrier traps, preventing coherent tunneling transport across the double-barrier active region. However, we should point out that repeatable NDC was reported at room temperature in a multiple quantum well heterostructure grown on AlN templates [34]. In this heterostructure, multiple AlN tunneling barriers attenuate the non-resonant current component allowing the measurement of multiple tunneling current peaks at room temperature. However the resonant tunneling current component is also severely attenuated, resulting in a peak current of ∼4.2 mA/cm2 and a peak-tovalley-current-ratio (PVCR) of ∼1.4. It should be noted however that the authors did not report whether oscillations were obtained from these devices, which represents

218

J. Encomendero et al.

the ultimate test of a robust NDC (millions of sweeps per second through the NDC region of the current–voltage curve). Other strategies have also been utilized to reduce the density of defects across the active region; for example, the use of low-temperature grown superlattices [24] and lateral epitaxial overgrowth [35, 39]; however, the NDC still exhibited a degradation with consecutive measurements [36]. A highly scaled nanowire geometry was also investigated to obtain defect-free RTDs. In these one-dimensional conductors, multiple resonances were measured at cryogenic temperatures [37]. III-Nitride RTD nanowires with room temperature NDC were also reported later by Shao et al.; however, the tunneling features disappeared after subsequent measurements [38]. More recently, RTDs with AlGaN tunneling barriers were also studied at cryogenic temperatures [40–42]. Single-crystal GaN substrates were employed in these devices, which featured small mesa areas (∼16 μm2 ) to minimize the number of defects present in each device. Peak currents as high as ∼375 kA/cm2 were obtained as a result of the lower conduction-band discontinuity: Ec ≈ 0.58 eV [41]. However, at room temperature the NDC region disappeared as the thermally activated leakage current masked the resonant tunneling current component [41]. It has been only during the last 2 years that highly repeatable NDC has been engineered in GaN/AlN double-barrier heterostructures [43–47]. Initial devices exhibit peak currents up to ∼6.4 kA/cm2 using AlN barriers with a thickness of ∼2 nm and a ∼3 nm-GaN quantum well [45]. However, we have recently reported higher peak currents on the order of ∼220 kA/cm2 by decreasing the thickness of the GaN well [47]. Almost at the same time, Growden et al. reported resonant tunneling currents as high as ∼431 kA/cm2 by decreasing the thickness of the tunneling barriers [46]. These results show that III-Nitride heterostructures are capable of robust resonant tunneling injection at room temperature. In the following sections we will discuss the different aspects of epitaxial growth, heterostructure design, device fabrication, and quantum transport theory in polar heterostructures that have led to the successful realization of III-Nitride RTDs and the first microwave oscillator driven by a GaN/AlN double-barrier heterostructure [47].

2 Polar Double-Barrier Heterostructures In this section we discuss the important aspects of epitaxial growth and heterostructure design of III-Nitride double-barrier structures. We detail the optimal growth conditions that have been employed during epitaxial growth of GaN/AlN RTDs. In addition, we introduce an analytical electrostatic model which can be employed to predict the main tunneling features of polar RTDs. Using this model we identify the polarization-induced threshold voltage which is intimately connected to magnitude of the internal polarization fields present in polar RTDs [45].

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

219

2.1 Molecular Beam Epitaxy of III-Nitride RTDs Resonant tunneling devices rely on quantum interference effects which enable completely transparent transmission across double-barrier structures at the resonant energies. To achieve ideal interference effects, the formation of atomically smooth interfaces across the active region is of paramount importance. This requirement was identified early after the first demonstration of NDC in double-barrier structures [7, 48]. In this sense, towards the realization of III-Nitride RTDs, similar interface qualities are required. It is well known that growth of smooth III-Nitride films can be achieved under metal-rich growth conditions. In this regime, the adatom mobilities at the surface are favored by the presence of a metallic adlayer [49–51]. Figure 8.1 shows the clear difference in surface morphologies for GaN films grown under Nitrogen-rich and Metal-rich conditions, using single-crystal GaN substrates. To obtain atomically smooth interfaces, step-flow growth mode within the intermediate regime should be maintained throughout the whole epitaxial process. Figure 8.1b shows the atomic force microscope (AFM) scan of a GaN/AlN RTD grown within the step-flow growth mode. Optimal conditions for attaining this epitaxial regime were obtained by monitoring the desorption time of the metal adlayer using reflection high energy electron diffraction (RHEED) [7, 48]. Another critical aspect of the growth of double-barrier heterostructures is attaining precise control over the thickness of the AlN tunneling barriers. Employing RHEED intensity oscillations we are able to monitor, in real time, the incorporation of single atomic monolayers in each of the tunneling barriers. RHEED intensity

Fig. 8.1 Surface morphology of a GaN films grown on single-crystal GaN substrates. (a) Sample grown under Nitrogen-rich conditions in which adatoms exhibit limited mobility, leading to a 3D-growth mode and rough surface morphology. (b) Under Gallium-rich growth conditions, the metallic adlayer favors adatom diffusion, resulting in a smooth surface morphology with a subnanometer roughness of 0.172 nm

220

J. Encomendero et al.

oscillations were initially discovered in the GaAs-material system [52–54]. Since the oscillation period is directly related to the periodic incorporation of single monolayers (MLs), RHEED oscillations have also been used to monitor the growth rate in III-Nitride epitaxial films [55–57]. Figure 8.2a shows the typical RHEED pattern projected on a fluorescent screen and captured by an external camera. Using a constant substrate temperature of 750 ◦ C, we deposit Al by opening only the Al-source shutter during 40 s, as shown in Fig. 8.2b. Immediately after the deposition of Al, the Al-shutter is closed and the N-shutter is opened, resulting in the incorporation of AlN to the heterostructure. From the number of oscillations shown in Fig. 8.2b, we can count up to 11 AlN MLs. We confirmed that the period of the oscillations corresponds to the growth rate

Fig. 8.2 RHEED intensity oscillations are employed to monitor, in situ, the number of AlN monolayers incorporated in the AlN barriers and determine the growth rate. (a) Shows the typical electron diffraction pattern projected on a fluorescent screen and captured by an external camera. Using RHEED intensity oscillations, we can determine the growth rate as shown in (b), and the number of AlN-monolayers incorporated into the crystal in real time (c)

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

221

by performing the same deposition-incorporation experiments under two different plasma powers and Nitrogen flow rates, as detailed in Fig. 8.2b. Thus, we obtained a growth rate of ∼3 s/ML using a plasma power of 200 W and a flow rate of 1.5 sccm. On the other hand, a lower growth rate of ∼5.9 s/ML is measured when the plasma power is fixed at 100 W with a flow rate of 1.0 sccm. A similar procedure can be employed during the formation of the AlN barriers to control the number of AlN-monolayers that incorporate into each of the tunneling barriers. This procedure has been successfully employed previously to control the formation of the active regions of deep-ultraviolet light emitting diodes in which single-monolayer quantum wells are epitaxially grown [58, 59]. For the case of RTDs, we employ this technique to calibrate the total amount of aluminum required for the formation of a desired thickness of the AlN barriers. As shown in Fig. 8.2b, the amount of aluminum can be controlled by the deposition time which we vary between 20 and 40 s. During the incorporation step, only the Nitrogen shutter is kept open. Using a constant growth rate of ∼3 s/ML, we can see that between 6 (∼1.5 nm) and 12 MLs (∼3 nm) are incorporated intro the crystal. Using this method we can determine the right amount of aluminum required for the formation of tunneling barriers with a specific thickness. Figure 8.3 shows the surface morphology of a GaN/AlN RTD grown under optimized growth conditions. Homoepitaxy was performed on single crystal-GaN substrates with a nominal dislocation density of ∼5 × 104 cm−2 , using a constant heater temperature of 700 ◦ C and a growth rate of ∼3 nm/min. Figure 8.3a, b shows the height scans of the film surface obtained with atomic force microscopy (AFM). From these images, it can be seen that atomically smooth surfaces with sub-nanometer roughness are obtained under optimal growth conditions. The 2 × 2 μm2 -scan shown in Fig. 8.3 reveals a surface morphology exhibiting atomic steps towards the m-plane direction and with a step-height of two monolayers (MLs). Figure 8.3c shows a smaller area scan, taken from Fig. 8.3b, and rendered in 3D so that the atomic steps can be appreciated more clearly. Achieving these atomically smooth interfaces is of central importance for the engineering of reliable resonant tunneling transport.

2.2 GaN/AlN Resonant Tunneling Diodes GaN/AlN double-barrier RTDs were grown using optimal epitaxial growth conditions detailed in the previous section. The structure of the typical double-barrier active region is shown in Fig. 8.4a. The resonant tunneling cavity comprises a 3-nm GaN quantum well sandwiched between two thin AlN tunneling barriers. Unintentionally (UID) doped GaN spacer regions are also incorporated next to each tunneling barrier to prevent dopant diffusion into the active region, thus minimizing impurity scattering. The emitter and collector contact regions consist of n-type GaN layers doped with silicon donors. Typical doping levels are on the order of 7 × 1018 or higher. An optional n++ -GaN layer is also grown on top of the collector layer to reduce the contact resistance at the metal-semiconductor interface [60].

222

J. Encomendero et al.

Fig. 8.3 Surface morphology of a GaN film grown by MBE. (a) A 20 × 20 μm2 -scan shows a very smooth surface with a root-mean-square (RMS) roughness of 0.172 nm. (b) A smaller area scans reveals the presence of atomic steps with a height of two atomic monolayers (MLs). (c) Three-dimensional image of the area enclosed by the red square in part (b)

Diode fabrication is done employing contact lithography, reactive ion etching, and electron beam metal evaporation. A self-aligned process was employed to define mesa areas between 6 and 48 μm2 . The collector metal contact, shown schematically in Fig. 8.4b, comprises a stack of Ti/Al/Au/Ni metal layers. A gas mixture of Ar/Cl2 /BCl3 was employed to etch the structure via reactive ion etching and define the device mesa. The emitter metal contact stack was then evaporated to obtain the final structure depicted in Fig. 8.2b. After fabrication, the devices were tested at room temperature using a semiconductor parameter analyzer. Voltage bias was applied in closed loops employing dual-sweep scans. As shown in the inset of Fig. 8.5a, each bias scan is performed starting at 0 V up to 16 V, and then back to 0 V. Immediately after the forward bias measurement, a reverse bias double sweep is carried out with a minimum bias of −4.4 V. In Fig. 8.5a, we show the very first device where a robust NDC is observed even though a shift in the resonant voltage is also observed, which we believe is due to filling some trap states present in the heterostructure. In our subsequent devices, after growth optimization, such shift is eliminated.

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

223

Fig. 8.4 GaN/AlN double-barrier heterostructure (a). Schematic of the RTD device structure grown by MBE. (b) Schematic of the fabricated RTD with the metal contacts and the voltage bias source. Figure reprinted from reference [45]

Fig. 8.5 GaN/AlN RTD current–voltage characteristics and band diagram. (a) Multiple currentvoltage scans are performed in the RTD devices to confirm the repeatable nature of the negative differential conductance (NDC). During the first scan forward bias bias scan, a peak current of ∼5.7 kA/cm2 is measured at a voltage of ∼10.7 V. In the subsequent measurements, a shift in the resonant voltage is observed. Under reverse bias, no resonant peaks are observed, instead the current increases exponentially with the presence of a characteristic threshold voltage VTH . The bottom inset shows the closed-loop voltage bias applied during each scan. The top inset shows the measured peak current density and its corresponding peak voltage for every single scan. This results shown the repeatable nature of the NDC. To understand the origin of the resonant tunneling current, we calculate the RTD-band diagram under equilibrium (b) and resonant (c) conditions. Figure reprinted from reference [45]

As can be seen from Fig. 8.5a, a region of low current injection is present around zero bias (i.e., Vbias < 4 V). This region of low current levels is a direct consequence of the blocking effect of the double-barrier active region. This effect can be seen from the equilibrium band diagram of the device shown in Fig. 8.5b. The GaN/AlN hetero-interface with a conduction-band offset of ∼1.75 eV blocks carrier injection from the emitter region into the GaN quantum well and collector side. In addition, due to the internal polarization fields, the active region sustains intense electric

224

J. Encomendero et al.

fields which redistribute carriers and raise the ground-state energy ∼1 eV above the equilibrium Fermi level [See Fig. 8.5b]. As a result, the peak voltage is expected to occur under strong non-equilibrium conditions. Under higher injection levels (i.e., Vbias > 5 V), carriers tunnel across the doublebarrier active region as a result of the alignment of the resonant levels with the emitter conduction band. As can be seen from Fig. 8.5a, NDC is measured under forward bias in every single voltage scan. During the first upward bias scan, the peak current of ∼5.7 kA/cm2 occurs at a voltage of ∼10.7 V. This peak current is attributed to resonant tunneling injection across the ground-state inside the GaN quantum well as shown in Fig. 8.5c. During the first downward sweep, a shift in the peak voltage is recorded. This effect points to the presence of traps inside the double-barrier active region. However, it should be noted that despite the presence of these imperfections, resonant tunneling transport remains the dominant current injection mechanism. This can be seen from the repeated scans that are performed for the present device. From the top inset of Fig. 8.5a, we can see that the peak voltage stabilizes with additional scans to the value of ∼13.2 V. On the other hand, the peak-to-valley-current ratio (PVCR) decreases from ∼1.5 and reaches a stable value of ∼1.3. In fact, these devices do oscillate albeit exhibiting a degree of jittering. From Fig. 8.5a, we can see that when diodes are biased in the reverse direction, a region of low current injection is also measured for low voltages. However, when the applied bias becomes increasingly negative (i.e., Vbias < −3 V), a transition to a higher current injection regime is observed. We identify a threshold voltage Vth = −3.6 V which is labeled in Fig. 8.5a. For voltages Vbias < Vth , the current increases dramatically, reaching a current density of ∼15 kA/cm2 at Vbias = −4.4 V. In contrast, under forward bias, the same current level is reached at a much higher voltage of Vbias = 16 V. In the following sections we will discuss the origin of this asymmetric current injection and threshold voltage and show that they are both direct consequences of the internal polarization fields present in III-Nitride semiconductors. We perform further temperature-dependent current–voltage measurements in our devices. Figures 8.6 and 8.7 show the diode characteristics measured at cryogenic temperatures all the way down to 4.2 K. The device presented in these figures has a mesa area of 12 μm2 . From Fig. 8.6, we can identify different conduction regimes which can be clearly identified due to their different behavior as a function of temperature. Under low forward bias (i.e., Vbias < 5 V) transport is supported mainly by thermionic emission over the polar active region. From Fig. 8.6, we can see that in this regime, the injected current is strongly temperature-dependent. As the voltage bias is increased the resonant levels are modulated and their eigen-energies shift towards lower energies enabling resonant tunneling injection. This transition can be seen in the region 2 of Fig. 8.6 in which progressively, the tunneling current component becomes dominant and the total current becomes weakly-temperature dependent. At the border between regions 2 and 3 in Fig. 8.6, we can see a slight modulation in the current around Vbias ≈ 10 V. This feature, which is also visible in Fig. 8.7, is attributed to the tunneling coupling between the ground-state of the quantum well,

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

225

Fig. 8.6 Semilogarithmic plot of the GaN/AlN RTD current–voltage characteristics measured at different temperatures. Different transport regions are identified due to their unique behavior as a function of temperature. Reproduced from reference [45]

Fig. 8.7 GaN/AlN RTD current–voltage characteristics under forward bias showing the ground-state resonance and the NDC region associated with the first-excited state. Reproduced from reference [45]

and the scattering states on the emitter GaN contact layer. This tunneling feature has been reported previously in the case of GaAs/AlGaAs RTDs which results in a slight modulation of the current on the rising side of the resonant tunneling peak [61, 62]. For voltages Vbias > 10 V, multiple resonances are measured at cryogenic temperatures, as a result of the resonant tunneling injection into the excited-states of the GaN quantum well. Figure 8.7 shows more clearly the resonant tunneling regime with two regions of NDC measured at cryogenic temperatures. The first

226

J. Encomendero et al.

current peak is attributed to the tunneling coupling between the accumulation subband and the ground-state of the GaN quantum well. This resonant configuration can be seen in Fig. 8.5c. As expected the peak current is temperature-independent with a magnitude of ∼6.4 kA/cm2 . For temperatures below 250 K, a characteristic chair-like feature is visible within the NDC region. This behavior results from the circuit instability which leads to self-oscillations. This effect has been employed to demonstrate the first III-Nitride microwave oscillator [47] which will be detailed in Sect. 4 of the present chapter. A second resonant tunneling peak is also measured in Fig. 8.7. This resonance is attributed to the alignment between the first-excited state of the GaN quantum well and the accumulation subband. As the temperature is reduced, the peak current increases, reaching a maximum value of ∼15 kA/cm2 at 4.2 K.

2.3 Polar RTD Model A fundamental difference between III-Nitride- and arsenide-based RTDs is the presence of strong polarization fields along the tunneling path. Due to their large magnitude, these internal polarization fields can be used for band structure engineering. This approach, also known as polarization engineering, has been employed in various device designs to assist in p-type doping [63], induce 2Delectron gases [64], and promote Zener interband tunneling [65]. In the previous subsection, we have already seen that the presence of the polarization fields modifies considerably the band diagram of the double-barrier active region, resulting in a large ground-state energy. In this section, we will discuss quantitatively these effects by introducing an analytic electrostatic model for polar RTDs which takes into consideration the polarization charges induced at the polar hetero-interfaces. Let’s consider a general double-barrier RTD with a device structure similar as the one shown in Fig. 8.4a. Along the transport direction we can calculate the distribution of charge and the electric field configuration. In the present model we use the depletion approximation approach to calculate the conduction-band diagram as shown in Figs. 8.8 and 8.9. The polarization charges which comprise both the spontaneous and piezoelectric components are also considered. The total polarization charge ±σπ present at every GaN/AlN interface is included in the charge distributions shown in Figs. 8.8 and 8.9. The magnitude of the polarization field originated by these polarization charges is given by Fπ = eσπ /s , where e is the electron charge and s is the dielectric constant of the semiconductor crystal. The conduction-band diagram of the RTD under different forward bias conditions is shown in the bottom panel of Fig. 8.8. Under equilibrium conditions (blue line), we can see that a depletion region is formed on the collector side as a result of the repulsion of the nearby negative polarization charge. The extension of the depletion region xd can be calculated using the depletion approximation mentioned before. On the other side of the active region, an accumulation well is induced as a result of the

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

227

Fig. 8.8 Electrostatic model for a polar RTDs under forward bias. Figure reprinted from reference [45]

large conduction-band offset at the GaN/AlN interface and its positive polarization charge. The 2D-electron gas (2DEG) formed on this region is modeled by a sheet of charge with a density nac . The depletion space charge distribution gives rise to a finite electric field within this region. We label the maximum electric field generated by the depletion region as F0 [see middle panel of Fig. 8.8]. Therefore, within the collector UID space

228

J. Encomendero et al.

layer, the electric field remains constant with the same magnitude. Inside the barriers, however, the electric field will flip its direction due to the presence of the polarization fields. Then, the magnitude of the electric field within the barriers will be: F0 − Fπ . When a voltage bias is applied, the extension of the depletion region is modulated which in turn modifies the magnitude of F0 and the electric fields inside the barriers and quantum well [see middle panel of Fig. 8.8]. An analytical expression that relates the bias VBias and the internal electric fields F0 and Fπ can be derived [45]: VBias =

s F 2 + (ts + 2tb + tw + tc ) F0 − 2tb Fπ . 2eNd 0

(8.1)

Here, Nd is the dopant concentration. ts , tb , and tw are the spacer, barrier, and well thickness as shown in Figs. 8.8 and 8.9. tc is the distance between the emitter AlN barrier and the centroid of the 2DEG formed in the emitter contact. A self-consistent Schrödinger–Poisson solver [66] is employed to calculate tc at equilibrium conditions. We found that tc ≈ 1 nm and we will consider the magnitude of tC to be approximately constant for different bias conditions. With this approximation, we can find F0 for any applied voltage using Eq. (8.1). Under forward bias, the extension of the depletion region increases and the electric field F0 intensifies as can be seen in Fig. 8.8. As a result, the subband energies are modulated and get closer to the Fermi level of the emitter contact. The alignment between the ground-state energy and the bottom of the conduction band on the emitter side enables maximum resonant tunneling current injection. To calculate this resonant voltage Vres , we compute the eigen-energies of the boundstates as a function of the electric field F0 present inside the well. Since F0 is bias-dependent, perturbation theory can be employed to calculate the subband energies for any biasing voltage. If we label the energy of the ground-state as E0 when the electric field inside the well is zero, then we can find the magnitude of the electric field inside the well F0res , that satisfies the resonant configuration [45]: F0RES =

E0 + Fπ etb .  tw e 2 + tb + tc

(8.2)

Using Eq. (8.2), we can replace F0RES in Eq. (8.1) to obtain the resonant voltage In the next subsection, we will show that there is a good quantitative agreement between the theoretical and experimental values of the resonant voltage. We can now consider the double-barrier structure biased in the reverse direction. Figure 8.9 shows the charge distribution, electric field profile, and conductionband diagram of the polar RTD under an increasing reverse bias voltage. In this situation, the depletion region shrinks and the electric field F0 decreases. From the bottom panel of Fig. 8.9, we can see that the initial depletion barrier for electrons injected from the collector side decreases; as a result carriers are able to diffuse towards the collector AlN barrier before tunneling across the active region. A critical configuration is reached when the depletion region vanishes (i.e., xd = 0 nm) and RES . VBias

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

229

Fig. 8.9 Electrostatic model for a polar RTDs under reverse bias. Reproduced from reference [45]

the electric field in the GaN contacts and quantum well becomes zero. This flat-band configuration is shown in the magenta band diagram of Fig. 8.9. From this plot, it is clear that the applied voltage is dropped exclusively across the tunneling barriers. Furthermore, since all the space charge is screened, the electric field sustained by the barriers is originated by the polarization charges ±σπ . Consequently, the threshold voltage VTH depends on only two parameters:

230

J. Encomendero et al.

1. The magnitude of the polarization fields Fπ , and 2. The thickness of the tunneling barriers. Thus, if we consider a symmetric double-barrier structure, we can write the polarization-induced threshold voltage using the simple expression: VTH = −2tb Fπ

(8.3)

It should be noted that since we can engineer the thickness of the tunneling barriers using appropriate growth conditions, the relationship in Eq. (8.3) gives us a direct access to the magnitude of the polarization fields by the measurement of the threshold voltage VT H . To do so, we can manufacture a series of polar RTDs with different barrier thicknesses and from Eq. (8.3), we can see that their experimental threshold voltage values will scale linearly with a slope of ∼−2Fπ . In the next section, we will discuss a method to experimentally measure VTH and from these values extract the magnitude of the internal polarization fields.

3 New Tunneling Features in Polar RTDs In the case of arsenide-based RTDs, their current–voltage characteristics exhibit a symmetric behavior with respect to the applied bias polarity. However, as we have seen in the previous section, the internal polarization fields within III-Nitride RTDs break the symmetry of the active region, leading to a highly asymmetric current injection characteristics. The effects of these internal electric fields were studied initially in the resonant tunneling current of InGaAs/AlGaAs [67] and InGaAs/InAlAs [68] RTDs. However, magnitude of these strain-induced polarization fields is much weaker (∼0.1 MV/cm) than the spontaneous and piezoelectric polarization fields present in III-Nitride heterostructures (∼11 MV/cm). In this section we study the important effects of these intense internal electric fields on the main features present in the resonant tunneling current of a polar RTD.

3.1 Polar RTD at Resonance RES , as shown in Let’s consider a GaN/AlN RTD biased at the resonant voltage VBias Fig. 8.10. Under these conditions, the ground-state is aligned with the pocket of free electrons on the emitter side and the transmission probability function exhibits a resonant peak at the subband energy Er . Consequently, we can approximate the transmission function, to the first order, using the Breit–Wigner resonant lineshape [69–72]:

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

231

Fig. 8.10 GaN/AlN resonant tunneling diode biased at its RES . The resonant voltage VBias different energy barriers considered for the calculation of the single-barrier transmission coefficients [See Eq. (8.6)] and tunneling current are also included. Reproduced from reference [45]

T (E) = Tmax

(/2)2 (E − Er )2 + (/2)2

.

(8.4)

Here,  is the width of the resonant lineshape and Tmax is the peak transmission across the active region. Under the resonant configuration depicted in Fig. 8.10, /2 and Tmax are given by [71, 72]:   = 2 2

$

2Er /m∗ (TE + TC ) , 2tw

Tmax =

4TE TC . (TE + TC )2

(8.5a) (8.5b)

Here, Er is the ground-state energy referred to the bottom of the well and TE and TC are the emitter and collector single-barrier transmission probabilities at resonance [see Fig. 8.10]. The single-barrier transmission probabilities appearing in Eq. (8.5) can be computed using an analytical expression obtained from the Wentzel–Kramers–Brillouin (WKB) analysis. This approach allows us to write the single-barrier electron transmission Ti , with i = {E, C}, in the following form [45]:   ⎞   $ ∗ (2) 3/2 (1) 3/2 − i i 4 2m ⎟ ⎜ b   Ti ∼ exp ⎝− ⎠. 3 e Fπ − F0RES ⎛

(8.6)

232

J. Encomendero et al. (1)

(2)

Here the potential barriers i and i correspond to the potential discontinuities encountered by a tunneling electron with energy Er , as shown in Fig. 8.10. Finally, F0RES can be calculated from the general expression given in Eq. (8.2). To obtain the peak resonant tunneling current J RES , we consider the Tsu– Esaki integral [1] and the Breit–Wigner form of the transmission function given by Eq. (8.4). Under the condition that the resonant lineshape exhibits a narrow full width at half maximum, we can approximate the total tunneling current using the simple expression [73]: JRES 

em∗ Tmax 2π 3



 EF , 2

(8.7)

where EF is the Fermi energy in the emitter contact. To conclusively demonstrate the validity of our model, we fabricate a series of GaN/AlN RTDs in which we only vary the thickness of the tunneling barriers. The device structure for this set of RTDs is shown in Fig. 8.11a. A silicon doping level of ∼2 × 1019 cm−3 is incorporated in the contact regions to decrease the resonant voltage. In addition asymmetric spacers are also adopted to prevent dopant diffusion into the active region. After device fabrication, each of the samples exhibits reproducible NDC at room temperature. Figure 8.11b shows the current– voltage characteristics of these diodes measured at 77 K. We can observe that both the peak current and peak voltage exhibit a clear dependence on the barrier thickness. The experimental peak tunneling current and peak voltage obtained from this set of RTDs with varying barrier thickness are plotted in Fig. 8.12a, b. In the same plots RES and J RES as a function we also include the expected theoretical values of VBias of the barrier thickness tb . We can see that our theoretical calculations exhibit a

Fig. 8.11 (a) Schematic of the layer thicknesses and doping concentrations of a set of GaN/AlN RTDs in which the barrier thickness tb is systematically varied. (b) Current–voltage characteristics of each diode measured at 77 K. A region of NDC is measured in each of the fabricated devices

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

233

Fig. 8.12 (a) Agreement between the theoretical resonant tunneling current and the experimental peak current densities obtained from the set of RTDs presented in Fig. 8.11 (b) The resonant voltage also exhibits a reasonable agreement between experimental results and theoretical calculations. Figure reprinted from reference [45]

good quantitative agreement with experimental values. This agreement confirms the usefulness of our model to predict the peak current and voltage in polar RTDs. Consequently this simple analytical model can be used for the design of GaN/AlN RTDs with desired values of resonant tunneling currents and peak voltages.

3.2 Polarization-Induced Threshold Voltage We have previously introduced the polarization-induced threshold voltage VTH , whose magnitude can be written by the simple expression given in Eq. (8.3). From this equation it is clear that we can extract the magnitude of the polarization fields from a series or RTDs in which the thickness of the tunneling barriers is systematically varied. Figure 8.13 shows the current–voltage characteristics of three representative RTDs with different barrier thickness, measured at 77 K. We can clearly see that the turn-on voltage under reverse bias shifts towards larger negative values as the barrier thickness is increased. This trend is consistent with Eq. (8.3) which predicts that VTH scales linearly with barrier thickness. The procedure employed to extract the threshold voltage consists in uncoupling the intrinsic voltage applied to the RTD structure from the voltage dropped across the parasitic series resistance. Consequently, we define the critical voltage (VTH ) as the voltage intercept of the linear extrapolation of the current–voltage characteristics under high injection levels (i.e., J > 10 kA/cm2 ). Figure 8.13 depicts this procedure

234

J. Encomendero et al.

Fig. 8.13 Cryogenic current–voltage characteristics of GaN/AlN RTDs with different barrier thicknesses showing the characteristic threshold voltages in reverse bias conditions

Fig. 8.14 The measured X-ray diffraction patterns are fitted using a diffraction model to obtain the experimental barrier thicknesses (tb ) of each RTD sample

by including the linear fit obtained from the least squares method and the extracted threshold voltages for each RTD. The thickness of the tunneling barriers was measured using high-resolution Xray diffraction (HRXRD) and then fitting the diffracted pattern with a theoretical model. The results of this fitting procedure are shown in Fig. 8.14, confirming the systematic variation of the barrier thickness for each RTD structure. However, it should be noted that the thickness of these layers exhibits random fluctuations at the single monolayer (ML) level. These variations have been measured in GaN/AlN RTDs using scanning transmission electron microscopy (STEM) imaging. The STEM image shown in Fig. 8.15a was obtained by imaging a region of the RTD double barrier which has a width of ∼160 nm. In this image, random fluctuations in the barrier thickness can be observed. Using a digital image processing technique we have extracted the distribution of the number of monolayers that form each of the tunneling barriers and quantum well. The statistical results are shown

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

235

Fig. 8.15 (a) STEM image used to measure the thickness of the RTD barriers and their statistical distribution over a width of ∼160 nm. (b) Zoomed-in STEM image. (c) Distribution of the number of monolayers that comprise the AlN barriers and GaN quantum well. Figure reprinted from reference [45]

in Fig. 8.15c, from which it is clear that the mean thickness of each AlN barrier is effectively 2 nm (i.e., 8 MLs).

3.3 Measurement of the Magnitude of the Polarization Fields Having discussed previously the procedure employed to measure both the critical threshold voltage and the thickness of the tunneling barriers, we can now harness their relationship to extract the magnitude of the internal polarization fields. To do so we have measured the current–voltage characteristics of a total of 60 RTD devices with mesa areas of ∼20 × 20 μm2 at 77 K. Repeated measurements were performed in each device both in forward and reverse bias, with injection currents up to 30 kA/cm2 . In each of these measurements, repeatable resonant tunneling injection was confirmed by verifying the presence of the resonant tunneling peak and NDC.

236

J. Encomendero et al.

Fig. 8.16 Schematic representation of the sample space employed to obtain the different datasets

RTD Sample Space

RTD Sample 1 tb=1.5 nm

RTD Sample 2 tb=2.0 nm

RTD Sample 3 tb=2.4 nm

20 Devices Measured

20 Devices Measured

20 Devices Measured

Dadaset 1 20 I-Vs

Dadaset 2 20 I-Vs

Dadaset 3 20 I-Vs

The total set of 60 RTD devices is composed of three different subsets of 20 RTDs. Each subset corresponds to 20 RTDs measured from a single chip as shown in Fig. 8.16. The voltage of each I–V measurement was corrected employing the measured specific contact resistance: ρC ≈ 30 · μm2 . The corrected current– voltage characteristics of each diode was employed to extract the reverse bias threshold voltage. The experimental values of VTH measured from our devices are plotted in Fig. 8.17a. To extract the slope of the best fit to this dataset, we perform multiple fits using all possible combinations of the three different critical voltages. The distribution of these linear fits is shown in Fig. 8.17b, from which we can obtain the numerical value for the best fit. From this distribution, we can see that the mean of the estimated value of the polarization field is: Fπ = 11.01 MV/cm. The uncertainty in Fπ can be also extracted from Fig. 8.17b using the single standard deviation confidence interval. Thus, we get: Fπ = 11.01 ± 0.25 MV/cm.

(8.8)

It should be noted the extracted value of the polarization field generated at the GaN/AlN polar hetero-interface agrees well with previous theoretical calculations [74] and measurements performed in AlN/GaN high electron mobility transistors (HEMTs) [75]. The magnitude of the polarization fields plays a crucial role in the performance of III-Nitride-based electronic and photonic devices. Consequently different theoretical and experimental techniques have been employed to measure their magnitude. With the present work, we introduced a completely

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

a)

237

b)

Slope:

Fig. 8.17 (a) The reverse threshold voltage (VTH ) was measured in 60 devices in total across the three different device designs. The experimental data shows that VTH scales linearly with barrier thickness, as expected from our model. After fitting the data, the magnitude of the polarization field Fπ = 11.01 ± 0.25 MV/cm as obtained from the fitting distribution shown in (b)

new method to directly measure the intensity of the polarization field harnessing tunneling transport, a phenomenon which is exponentially sensitive to electric fields.

4 III-Nitride Resonant Tunneling Diode Oscillators In this section, we discuss the fabrication of GaN/AlN RTDs with high peak current densities which have been employed to demonstrate the first III-Nitride RTD microwave oscillators [47]. In the first part we discuss the device structure and the DC current–voltage characteristics of the GaN/AlN double-barrier structure. Record-high peak current densities of Jpeak ≈ 220 kA/cm2 are reported at room temperature. In the second part, we discuss the AC behavior of these diodes and show how these devices have been harnessed for the generation of microwave power. Using a simple model we also predict the maximum oscillation frequency at which these devices can operate as active elements and suggest new designs for achieving gain within the THz band.

4.1 High Current-Density RTDs Theoretical calculations performed with the analytical model, discussed in Sect. 2, show that resonant tunneling currents > 100 kA/cm2 are expected if the barriers or well thicknesses are reduced below 2 nm. To corroborate these predictions, we have

238

J. Encomendero et al.

Fig. 8.18 (a) Band diagram and device structure of a high-current-density GaN/AlN RTD. (b) Scanning transmission electron microscopy image of the active region of a RTD with peak current densities Jpeak ≈ 220 kA/cm2 . Figure reprinted from [47], with the permission of AIP Publishing

grown a GaN/AlN double-barrier RTD exhibiting a thinner GaN quantum well of 1.75 nm. Figure 8.18a shows the band diagram and device structure of these diodes. To confirm the layer thicknesses, we employ STEM imaging of the active region as shown in Fig. 8.18b. It can be seen that even though the RTD structure was designed to have symmetric tunneling barriers with a thickness of 2 nm, there exists a clear asymmetry between the emitter and collector AlN barriers. After growth, device fabrication was performed to define arrays of RTDs with mesa areas of ∼5 × 5 μm2 . In addition, transfer length method (TLM) structures were also included in the design to extract the series contact resistance. TLM measurements result in a specific contact resistance of ρc ≈ 100 · μm2 . Thus, for the present set of devices with typical peak current densities between 150 and 200 kA/cm2 , the series resistance will shift the peak voltage ∼200 mV. It should be noted however that reducing the magnitude of ρc is of paramount importance since this passive element reduces the maximum frequency of oscillation provided by the RTD. Multiple devices were measured at room temperature to obtain the statistical distribution of the peak current (Jp ), peak voltage (Vp ), and PVCR. Figure 8.19a– c shows the results obtained from a set of 62 devices. Jp exhibits a distribution between a minimum current ∼103 kA/cm2 and a maximum value of ∼220 kA/cm2 . This variation in the peak current can be understood by calculating the expected theoretical tunneling current. Using the analytical model introduced in Sect. 2, we calculate the expected peak current densities for the different asymmetries in the double-barrier active region. These results are organized in Table 8.1 which shows that the theoretical current densities are consistent with the experimental distribution shown in Fig. 8.19a. Figure 8.19e and f shows the full current–voltage characteristics of a highcurrent-density RTD with Jpeak = 181.2 kA/cm2 and PVCR = 1.34. From Fig. 8.19e, we can see that when the device is biased in the NDC region, self-

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

239

Fig. 8.19 (a)–(d) Statistical distribution of the peak current, PVCR, peak voltage, and valley voltage measured in 62 devices. (e) Room temperature current–voltage characteristics under forward bias. (f) Semilogarithmic plot of the full RTD current–voltage characteristics. Figure reprinted from [47], with the permission of AIP Publishing Table 8.1 Theoretical calculation of the modulation of the peak tunneling current Jpeak due to asymmetries in the thickness of the tunneling barriers

RTD structure Symmetric barriers Thinner emitter Thinner collector Thicker emitter Thicker collector

Active region Emitter barrier (nm) 2 1.75 2 2.25 2

Quantum well (nm) 1.75 1.75 1.75 1.75 1.75

Collector barrier (nm) 2 2 1.75 2 2.25

Jpeak (kA/cm2 ) 140 200 180 70 80

oscillations occur, resulting in the presence of the chair-like feature in the I-V curve [76–78]. In the next subsection we discuss how this gain mechanism is employed to demonstrate the first III-Nitride RTD-oscillator.

4.2 III-Nitride RTD-Oscillator As mentioned before, a very important feature of RTDs is the possibility of engineering the characteristic electronic resonant tunneling times, enabling subpicosecond charge transport dynamics. This versatility has led to the development of microwave [76], millimeter-wave [79–82], and THz RTD-oscillators [16, 18]. In this subsection we discuss the development of the first III-Nitride microwave oscillator employing GaN/AlN RTDs. The circuit of the III-Nitride RTD oscillator is shown schematically in Fig. 8.20a. We connect the RTD through an on-wafer probe, coaxial cables, and a bias tee to the DC voltage source and a spectrum analyzer. Figure 8.20b shows the DC

240

J. Encomendero et al.

Fig. 8.20 (a) Schematic circuit of the III-Nitride RTD oscillator. (b) RTD DC characteristics around the NDC region. A theoretical model is employed to extract the maximum value of the NDC. (c) Power spectral density obtained from this RTD oscillator. Figure reprinted from [47], with the permission of AIP Publishing

current–voltage characteristics of the RTD that drives the oscillations. It can be seen that when the diode is biased within the NDC region, it generates self-oscillations in this circuit. The oscillatory signal was recorded using a spectrum analyzer and the output power spectrum is shown in Fig. 8.20c. The microwave oscillator provides an output power of ∼3 μW with a fundamental frequency of ∼0.94 GHz. It should be noted however that this circuit topology has not been optimized for attaining the maximum oscillation frequency. To calculate an upper bound for the maximum frequency of oscillation, we can consider the small-signal equivalent RTD model introduced by Sollner et al. [83]. Using this approach, the maximum oscillation frequency can be calculated by: % fmax

1 = 2π C

−Gmax − G2max . Rs

(8.9)

In this expression, C is the capacitance of the biased RTD and Gmax is its maximum NDC. A physics-based model [84] can be employed to fit the DC RTD characteristics as shown in Fig. 8.20b. From this model we obtained Gmax ≈ −25 mS (∼−1 mS/μm2 ). The capacitance of the RTD is obtained by considering the device geometry and the depletion region that builds up on the collector contact [See Fig. 8.18b]. Using this approach we calculate C ≈ 60 fF, which corresponds to

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

241

a normalized capacitance of ∼2.4 fF/μm2 . Finally, Rs can be calculated from the contact series resistance. Employing Eq. (8.9), we calculate an upper bound for the maximum oscillation frequency which is estimated to be ∼200 GHz. Looking forward, III-Nitride RTDs with larger peak-current-densities will be required for achieving gain within the THz band. According to our analytical mode, peak current densities in excess of 1 MA/cm2 could be obtained with thinner tunneling barriers of 1.25 nm and a narrower quantum well of 2 nm. This improvement in current density coupled with a reduction in the series resistance and further optimization of the RTD capacitance should enable the realization of III-Nitride RTD-oscillators generating power at ∼1 THz [47].

5 Conclusions In this chapter we have presented various aspects of heterostructure design, epitaxial growth and device fabrication which have led to the successful demonstration of robust resonant tunneling transport, and reliable room temperature negative differential conductance in III-Nitride semiconductors, overcoming the non-repeatable RTD features that plagued this family of devices in the past two decades. These advances allowed us to shed light into the physics of resonant tunneling transport in polar semiconductors which had remained hidden until now. This insight was obtained using a combined experimental and theoretical approach, leading to the discovery of new tunneling features, unique in polar RTDs. After identifying the intimate connection between the polarization fields and the resonant tunneling current, we harnessed this relationship by introducing a completely new approach to determine the magnitude of these internal polarization fields. Moreover, precise epitaxial growth at the single-monolayer level is employed to engineer resonant tunneling currents >200 kA/cm2 and demonstrate the first microwave oscillator driven by a GaN/AlN resonant tunneling diode. The analytical model presented in this chapter can be employed to map the entire design space of GaN/AlN RTDs. Figure 8.21a depicts the device structure of a general GaN/AlN RTD in which we consider the thickness of the barriers and quantum well as variables. Using Eqs. (8.7) and (8.2), we can calculate the expected resonant tunneling current and theoretical resonant voltage as shown in Fig. 8.21b, c. It can be seen that III-Nitride RTDs with peak currents approaching ∼1 MA/cm2 can be manufactured employing thinner AlN barriers and quantum wells. These results raise hopes for the demonstration of ultra-fast high-power oscillators in the near future. To conclude we would like to put in context the advances in III-Nitride RTDs presented in this chapter. To do so, we compare the evolution of the peak current density of different RTDs over the years. Figure 8.22 shows the evolution of this

242

J. Encomendero et al.

Fig. 8.21 Full design space of polar GaN/AlN RTDs. (a) Employing the analytical model for polar RTDs, we can calculate the expected resonant tunneling current and resonant voltage for a general GaN/AlN double-barrier heterostructure. (b) The peak tunneling current exhibits a clear exponential relationship with the barrier thickness. These results demonstrate that RTDs with resonant tunneling currents approaching ∼1 MA/cm2 are feasible. (c) The resonant voltage has also been calculated for the entire RTD design space Fig. 8.22 Improvement in the peak resonant tunneling current of various resonant tunneling diodes (RTDs) over the years. Each of these RTDs has been employed to realize RTD-oscillators operating at room temperature. Arsenide RTDs are taken from multiple references [16, 76, 80– 83, 85–92]. On the other hand, III-Nitride RTDs were all developed during the present ONR DATE MURI program [43, 45, 47]

important figure of merit in arsenide-based and nitride-based RTDs. It is interesting to note that in the case of arsenide RTDs, it took around 15 years, since the first RTD demonstration, to reach current levels of ∼200–500 kA/cm2 . After that, over a period of around 10 years no more improvements were made and it was only after 2010 that extremely-high-current RTDs were realized (i.e., Jpeak > 1 MA/cm2 ). In contrast, the development of III-Nitride RTDs exhibits a different trend with peak currents already in the level of ∼200–400 kA/cm2 [46, 47]. This dramatic evolution might allow us to predict the realization of III-Nitride-based THz oscillators before the end of the present decade.

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

243

References 1. R. Tsu, L. Esaki, Tunneling in a finite superlattice. Appl. Phys. Lett. 22, 562–564 (1973) 2. L.L. Chang, L. Esaki, R. Tsu, Resonant tunneling in semiconductor double barriers. Appl. Phys. Lett. 24, 593–595 (1974). 3. L.V. Iogansen, The possibility of resonance transmission of electrons in crystals through a system of barriers. JETP 18(1), 146 (1964) 4. L.V. Iogansen, Resonance tunneling of electrons in crystals. JETP 20(1), 180 (1965) 5. B. Sensale-Rodriguez, L. Liu, P. Fay, D. Jena, H. G. Xing, Power amplification at THz via plasma wave excitation in RTD-gated HEMTs. IEEE Trans. Terahertz Sci. Technol. 3, 200– 206 (2013) 6. H.O. Condori-Quispe, J.J. Encomendero-Risco, H.G. Xing, B. Sensale-Rodriguez, Terahertz amplification in RTD-gated HEMTs with a grating-gate wave coupling topology. Appl. Phys. Lett. 109, 063111 (2016) 7. M. Tsuchiya, H. Sakaki, J. Yoshino, Room temperature observation of differential negative resistance in an AlAs/GaAs/AlAs resonant tunneling diode. Jpn. J. Appl. Phys. 24, L466 (1985) 8. M. Asada, S. Suzuki, Room-temperature oscillation of resonant tunneling diodes close to 2 THz and their functions for various applications. J. Infrared Millimeter Terahertz Waves 37, 1185– 1198 (2016) 9. J. Faist, F. Capasso, D.L. Sivco, C. Sirtori, A.L. Hutchinson, A.Y. Cho, Quantum cascade laser. Science 264, 553–556 (1994) 10. M.A. Belkin, F. Capasso, New frontiers in quantum cascade lasers: high performance room temperature terahertz sources. Phys. Scr. 90, 118002 (2015) 11. E.R. Brown, J.R. Sderstrm, C.D. Parker, L.J. Mahoney, K.M. Molvar, T.C. McGill, Oscillations up to 712 GHz in InAs/AlSb resonant-tunneling diodes. Appl. Phys. Lett. 58, 2291–2293 (1991) 12. A.N. Baranov, R. Teissier, Quantum cascade lasers in the InAs/AlSb material system. IEEE J. Sel. Top. Quantum Electron. 21, 85–96 (2015) 13. B. Bird, M.J. Baker, Quantum cascade lasers in biomedical infrared imaging. Trends Biotechnol. 33, 557–558 (2015) 14. H.-W. Hübers, R. Eichholz, S.G. Pavlov, H. Richter, High resolution terahertz spectroscopy with quantum cascade lasers. J. Infrared Millimeter, Terahertz Waves 34, 325–341 (2013) 15. S. Bartalini, M.S. Vitiello, P. De Natale, Quantum cascade lasers: a versatile source for precise measurements in the mid/far-infrared range. Meas. Sci. Technol. 25, 012001 (2014) 16. R. Izumi, S. Suzuki, M. Asada, 1.98 THz resonant- tunneling-diode oscillator with reduced conduction loss by thick antenna electrode, in 42nd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz) (IEEE, Piscataway, 2017), pp. 1–2 17. H. Kanaya, T. Maekawa, S. Suzuki, M. Asada, Structure dependence of oscillation characteristics of resonant-tunneling-diode terahertz oscillators associated with intrinsic and extrinsic delay times. Jpn. J. Appl. Phys. 54, 094103 (2015) 18. H. Kanaya, R. Sogabe, T. Maekawa, S. Suzuki, M. Asada, Fundamental oscillation up to 1.42 Thz in resonant tunneling diodes by optimized collector spacer thickness. J. Infrared Millimeter Terahertz Waves 35, 425–431 (2014) 19. S. Kitagawa, M. Mizuno, S. Saito, K. Ogino, S. Suzuki, M. Asada, Frequency-tunable resonanttunneling-diode terahertz oscillators applied to absorbance measurement. Jpn. J. Appl. Phys. 56, 058002 (2017) 20. N. Oshima, K. Hashimoto, S. Suzuki, M Asada, Wireless data transmission of 34 Gbit/s at a 500-GHz range using resonant-tunnelling-diode terahertz oscillator. Electron. Lett. 52(1), 1897–1898 (2016) 21. S. Watson, W. Zhang, J. Wang, A. Al-Khalidi, H. Cantu, J. Figueiredo, E. Wasige, A.E. Kelly, Resonant tunneling diode oscillators for optical communications. Proc. SPIE 10453, 10453– 10453-6 (2017)

244

J. Encomendero et al.

22. S. Kumar, C.W.I. Chan, Q. Hu, J.L. Reno, A 1.8-THz quantum cascade laser operating significantly above the temperature of ω/kB . Nat. Phys. 7, 166 (2010) 23. A. Kikuchi, R. Bannai, K. Kishino, AlGaN resonant tunneling diodes grown by RF-MBE. Phys. Status Solidi A 188, 187–190 (2001) 24. A. Kikuchi, R. Bannai, K. Kishino, C.-M. Lee, J.-I. Chyi, AlN/GaN double-barrier resonant tunneling diodes grown by RF-plasma-assisted molecular-beam epitaxy. Appl. Phys. Lett. 81, 1729–1731 (2002) 25. C.T. Foxon, S.V. Novikov, A.E. Belyaev, L.X. Zhao, O. Makarovsky, D.J. Walker, L. Eaves, R.I. Dykeman, S.V. Danylyuk, S.A. Vitusevich, M.J. Kappers, J.S. Barnard, C.J. Humphreys, Current-voltage instabilities in GaN/AlGaN resonant tunnelling structures. Phys. Status Solidi C 2003, 2389–2392 (2003) 26. A.E Belyaev, O Makarovsky, D.J Walker, L Eaves, C.T Foxon, S.V Novikov, L.X Zhao, R.I Dykeman, S.V Dany- lyuk, S.A Vitusevich, M.J Kappers, J.S Barnard, C.J Humphreys, Resonance and current instabilities in AlN/GaN resonant tunnelling diodes. Phys. E 21, 752– 755 (2004) 27. M. Hermann, E. Monroy, A. Helman, B. Baur, M. Albrecht, B. Daudin, O. Ambacher, M. Stutzmann, M. Eickhoff, Vertical transport in group III-nitride heterostructures and application in AlN/GaN resonant tunneling diodes. Phys. Status Solidi C 1, 2210–2227 (2004) 28. S. Golka, C. Pflugl, W. Schrenk, G. Strasser, C. Skierbiszewski, M. Siekacz, I. Grzegory, S. Porowski, Negative differential resistance in dislocation-free GaNAlGaN double-barrier diodes grown on bulk GaN. Appl. Phys. Lett. 88, 172106 (2006) 29. A.M. Kurakin, S.A. Vitusevich, S.V. Danylyuk, A.V. Naumov, C.T. Foxon, S.V. Novikov, N. Klein, H. Luth, A.E. Belyaev, Capacitance characterization of AlN/GaN double-barrier resonant tunnelling diodes. Phys. Status Solidi C 3, 2265–2269 (2006) 30. M.V. Petrychuk, A.E. Belyaev, A.M. Kurakin, S.V. Danylyuk, N. Klein, S.A. Vitusevich, Mechanisms of current formation in resonant tunneling AlNGaN heterostructures. Appl. Phys. Lett. 91, 222112 (2007) 31. M. Boucherit, A. Soltani, E. Monroy, M. Rousseau, D. Deresmes, M. Berthe, C. Durand, J.C. De Jaeger, Investigation of the negative differential resistance reproducibility in AlN/GaN double-barrier resonant tunnelling diodes. Appl. Phys. Lett. 99, 182109 (2011) 32. M. Nagase, T. Tokizaki, Bistability characteristics of GaN/AlN resonant tunneling diodes caused by intersubband transition and electron accumulation in quantum well. IEEE Trans. Electron Devices 61, 1321–1326 (2014) 33. M. Nagase, T. Takahashi, M. Shimizu, Investigating the bistability characteristics of GaN/AlN resonant tunneling diodes for ultrafast non-volatile memory. Jpn. J. Appl. Phys. 54, 034201 (2015) 34. S. Sakr, Y. Kotsar, M. Tchernycheva, E. Warde, N. Isac, E. Monroy, F. H. Julien, Resonant tunneling transport in a GaN/AlN multiple-quantum-well structure. Appl. Phys. Express 5, 052203 (2012) 35. C. Bayram, Z. Vashaei, M. Razeghi, AlN/GaN double-barrier resonant tunneling diodes grown by metal-organic chemical vapor deposition. Appl. Phys. Lett. 96, 042103 (2010) 36. C. Bayram, Z. Vashaei, M. Razeghi, Reliability in room-temperature negative differential resistance characteristics of low-aluminum content AlGaN/GaN double-barrier resonant tunneling diodes. Appl. Phys. Lett. 97, 181109 (2010) 37. R. Songmuang, G. Katsaros, E. Monroy, P. Spathis, C. Bougerol, M. Mongillo, S. De Franceschi, Quantum transport in GaN/AlN double-barrier heterostructure nanowires. Nano Lett. 10, 3545–3550 (2010) 38. Y. Shao, S.D. Carnevale, A.T.M.G. Sarwar, R.C. Myers, W. Lu, Single nanowire AlN/GaN double barrier resonant tunneling diodes with bipolar tunneling at room and cryogenic temperatures. J. Vac. Sci. Technol. B 31, 06FA03 (2013) 39. C. Bayram, Z. Vashaei, M. Razeghi, Room temperature negative differential resistance characteristics of polar III-nitride resonant tunneling diodes. Appl. Phys. Lett. 97, 092104 (2010)

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

245

40. D. Li, L. Tang, C. Edmunds, J. Shao, G. Gardner, M.J. Manfra, O. Malis, Repeatable low-temperature negative-differential resistance from Al0.18 Ga0.82 N/GaN resonant tunneling diodes grown by molecular-beam epitaxy on free-standing GaN substrates. Appl. Phys. Lett. 100, 252105 (2012) 41. D. Li, J. Shao, L. Tang, C. Edmunds, G. Gardner, M.J. Manfra, O. Malis, Temperaturedependence of negative differential resistance in GaN/AlGaN resonant tunneling structures. Semicond. Sci. Technol. 28, 074024 (2013) 42. A. Grier, A. Valavanis, C. Edmunds, J. Shao, J.D. Cooper, G. Gardner, M.J. Manfra, O. Malis, D. Indjin, Z. Ikonic, P. Harrison, Coherent vertical electron transport and interface roughness effects in AlGaN/GaN intersubband devices. J. Appl. Phys. 118, 224308 (2015) 43. J. Encomendero, F. Afroz Faria, S.M. Islam, V. Protasenko, S. Rouvimov, P. Fay, D. Jena, H.G. Xing, Repeatable room temperature negative differential conductance in GaN/AlN resonant tunneling diodes. arXiv preprint, arXiv:1606.08100 (2016) 44. T.A. Growden, D.F. Storm, W. Zhang, E.R. Brown, D.J. Meyer, P. Fakhimi, P.R. Berger, Highly repeatable room temperature negative differential resistance in AlN/GaN resonant tunneling diodes grown by molecular beam epitaxy. Appl. Phys. Lett. 109, 083504 (2016) 45. J. Encomendero, F.A. Faria, S.M. Islam, V. Protasenko, S. Rouvimov, B. Sensale-Rodriguez, P. Fay, D. Jena, H.G. Xing, New tunneling features in polar III-Nitride resonant tunneling diodes. Phys. Rev. X 7, 041017 (2017) 46. T.A. Growden, W. Zhang, E.R. Brown, D.F. Storm, K. Hansen, P. Fakhimi, D. J. Meyer, P.R. Berger, 431 kA/cm2 peak tunneling current density in GaN/AlN resonant tunneling diodes. Appl. Phys. Lett. 112, 033508 (2018) 47. J. Encomendero, R. Yan, A. Verma, S.M. Islam, V. Protasenko, S. Rouvimov, P. Fay, D. Jena, H.G. Xing, Room temperature microwave oscillations in GaN/AlN resonant tunneling diodes with peak current densities up to 220 kA/cm2 . Appl. Phys. Lett. 112, 103101 (2018) 48. H. Sakaki, M. Tanaka, J. Yoshino, One atomic layer heterointerface fluctuations in GaAs-AlAs quantum well structures and their suppression by insertion of smoothing period in molecular beam epitaxy. Jpn. J. Appl. Phys. 24, L417 (1985) 49. C. Adelmann, J. Brault, G. Mula, B. Daudin, L. Lymperakis, J. Neugebauer, Gallium adsorption on (0001) GaN surfaces. Phys. Rev. B 67, 165419 (2003) 50. C. Adelmann, J. Brault, D. Jalabert, P. Gentile, H. Mariette, G. Mula, B. Daudin, Dynamically stable gallium surface coverages during plasma-assisted molecular-beam epitaxy of (0001) GaN. J. Appl. Phys. 91, 9638 (2002) 51. P.K. Kandaswamy, F. Guillot, E. Bellet-Amalric, E. Monroy, L. Nevou, M. Tchernycheva, A. Michon, F.H. Julien, E. Baumann, F.R. Giorgetta, D. Hofstetter, T. Remmele, M. Albrecht, S. Birner, L.S. Dang, GaN-AlN Short-period superlattices for intersubband optoelectronics: a systematic study of their epitaxial growth, design, and performance. J. Appl. Phys. 104, 093501 (2008) 52. C.E.C. Wood, RED intensity oscillations during MBE of GaAs. Surf. Sci. 108, L441–L443 (1981) 53. J.J. Harris, B.A. Joyce, P.J. Dobson, Oscillations in the surface structure of Sn-doped GaAs during growth by MBE. Surf. Sci. Lett. 103, L90–L96 (1981) 54. J.J. Harris, B.A. Joyce, P.J. Dobson, Comments on “RED intensity oscillations during MBE of GaAs”. Surf. Sci. 108, L444–L446 (1981) 55. Z. Yang, L.K. Li, W.I. Wang, High-quality GaN and AlN grown by gas-source molecular beam epitaxy using ammonia as the nitrogen source. J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom. 14, 2354–2356 (1996) 56. B. Daudin, F. Widmann, Layer-by-layer growth of AlN and GaN by molecular beam epitaxy. J. Cryst. Growth 182, 1–5 (1997) 57. J. Massies, N. Grandjean, Real-time control of the molecular beam epitaxy of nitrides. J. Cryst. Growth 201–202, 382–387 (1999) 58. D. Bayerl, S.M. Islam, C.M. Jones, V. Protasenko, D. Jena, E. Kioupakis, Deep ultraviolet emission from ultra-thin GaN/AlN heterostructures. Appl. Phys. Lett. 109, 241102 (2016)

246

J. Encomendero et al.

59. S.M. Islam, V. Protasenko, K. Lee, S. Rouvimov, J. Verma, H.G. Xing, D. Jena, Deep-UV emission at 219 nm from ultra-thin MBE GaN/AlN quantum heterostructures. Appl. Phys. Lett. 111, 091104 (2017) 60. F.A. Faria, J. Guo, P. Zhao, G. Li, P. Kumar Kandaswamy, M. Wistey, H.G. Xing, D. Jena, Ultra-low resistance ohmic contacts to GaN with high Si doping concentrations grown by molecular beam epitaxy. Appl. Phys. Lett. 101, 032109 (2012) 61. J.S. Wu, C.Y. Chang, C.P. Lee, K.H. Chang, D.G. Liu, D.C. Liou, Resonant tunneling of electrons from quantized levels in the accumulation layer of double barrier heterostructures. Appl. Phys. Lett. 57, 2311 (1990) 62. M. Buchanan, H.C. Liu, T.G. Powell, Z.R. Wasilewski, Magneto-resonant tunneling from a lightly doped contact region interacting with quasi-two-dimensional states in an accumulation layer. J. Appl. Phys. 68, 4313 (1990) 63. J. Simon, V. Protasenko, C. Lian, H. Xing, D. Jena, Polarization-induced hole doping in wide– band-gap uniaxial semiconductor heterostructures. Science 327, 60–64 (2010) 64. M. Asif Khan, J.N. Kuznia, J.M. Van Hove, N. Pan, J. Carter, Observation of a two dimensional electron gas in low pressure metal organic chemical vapor deposited GaNAl/GaN hetero junctions. Appl. Phys. Lett. 60, 3027–3029 (1992) 65. J. Simon, Z. Zhang, K. Goodman, H. Xing, T. Kosel, P. Fay, D. Jena, Polarization-induced zener tunnel junctions in wide–band-gap heterostructures. Phys. Rev. Lett. 103, 026801 (2009) 66. I.H. Tan, G.L. Snider, L.D. Chang, E.L. Hu, A Self-consistent solution of Schrödinger–Poisson equations using a nonuniform mesh. J. Appl. Phys. 68, 4071 (1990) 67. I.H. Campbell, M.D. Joswick, D.L. Smith, R.H. Miles, Observation of piezoelectric effects in strained resonant tunneling structures grown on (111)B GaAs. Appl. Phys. Lett. 66, 988 (1995) 68. J.M. Hernández, I. Izpura, E. Calleja, E. Muoz, Piezoelectric induced current asymmetry in [111] InGaAs/InAlAs resonant tunneling diodes for microwave mixing. Appl. Phys. Lett. 63, 773 (1993) 69. A. Douglas Stone, P.A. Lee, Effect of inelastic processes on resonant tunneling in one dimension. Phys. Rev. Lett. 54, 1196–1199 (1985) 70. P.J. Price, Resonant tunneling properties of heterostructures. Superlattice. Microst. 2, 593–596 (1986) 71. M. Jonson, A. Grincwajg, Effect of inelastic scattering on resonant and sequential tunneling in double barrier heterostructures. Appl. Phys. Lett. 51, 1729–1731 (1987) 72. R.A. Kiehl, T.C.L. Gerhard Sollner, High Speed Heterostructure Devices (Academic Press, London, 1994) 73. D.D. Coon, H.C. Liu, Frequency limit of double barrier resonant tunneling oscillators. Appl. Phys. Lett. 49, 94 (1986) 74. O. Ambacher, B. Foutz, J. Smart, J.R. Shealy, N.G. Weimann, K. Chu, M. Murphy, A.J. Sierakowski, W.J. Schaff, L.F. Eastman, R. Dimitrov, A. Mitchell, M. Stutzmann, Two dimensional electron gases induced by spontaneous and piezoelectric polarization in undoped and doped AlGaN/GaN heterostructures. J. Appl. Phys. 87, 334 (2000) 75. K. Jeganathan, T. Ide, M. Shimizu, H. Okumura, Two-dimensional electron gases induced by polarization charges in AlN/GaN heterostructure grown by plasma-assisted molecular-beam epitaxy. J. Appl. Phys. 94, 3260 (2003) 76. T.C.L.G. Sollner, P.E. Tannenwald, D.D. Peck, W.D. Goodhue, Quantum well oscillators. Appl. Phys. Lett. 45, 1319–1321 (1984) 77. L. Wang, J.M.L. Figueiredo, C.N. Ironside, E. Wasige, DC characterization of tunnel diodes under stable non-oscillatory circuit conditions. IEEE Trans. Electron Devices 58, 343–347 (2011) 78. M. Feiginov, C. Sydlo, O. Cojocari, P. Meissner, Operation of resonant-tunnelling-diode oscillators beyond tunnel-lifetime limit at 564 GHz. EPL 97, 58006 (2012) 79. E.R. Brown, T.C.L.G. Sollner, W.D. Goodhue, B.J. Clifton, P.E. Tannenwald, VIB-1 millimeter-band oscillations in a resonant-tunneling device. IEEE Trans. Electron Devices 33, 1864–1864 (1986)

8 Resonant Tunneling Transport in Polar III-Nitride Heterostructures

247

80. E.R. Brown, T.C.L.G. Sollner, W.D. Goodhue, C.D. Parker, Millimeter-band oscillations based on resonant tunneling in a double barrier diode at room temperature. Appl. Phys. Lett. 50, 83–85 (1987) 81. E.R. Brown, W.D. Goodhue, T.C.L.G. Sollner, Fundamental oscillations up to 200 GHz in resonant tunneling diodes and new estimates of their maximum oscillation frequency from stationary state tunneling theory. J. Appl. Phys. 64, 1519–1529 (1988) 82. E.R. Brown, T.C.L.G. Sollner, C.D. Parker, W.D. Goodhue, C.L. Chen, Oscillations up to 420 GHz in GaAs/AlAs resonant tunneling diodes. Appl. Phys. Lett. 55, 1777–1779 (1989) 83. T.C.L.G. Sollner, E.R. Brown, W.D. Goodhue, H.Q. Le, Observation of millimeter-wave oscillations from resonant tunneling diodes and some theoretical considerations of ultimate frequency limits. Appl. Phys. Lett. 50, 332–334 (1987) 84. J.N. Schulman, H.J. De Los Santos, D.H. Chow, Physics-based RTD current–voltage equation. IEEE Electron Device Lett. 17, 220–222 (1996) 85. M. Reddy, S.C. Martin, A.C. Molnar, R.E. Muller, R.P. Smith, P.H. Siegel, M.J. Mondry, M.J.W. Rodwell, H. Kroemer, S. J. Allen, Monolithic Schottky-collector resonant tunnel diode oscillator arrays to 650 Ghz. IEEE Electron Device Lett. 18, 218–221 (1997) 86. N. Orihashi, S. Hattori, M. Asada, Millimeter and submillimeter oscillator using resonant tunneling diode and slot antenna with a novel RF short structure, in Conference Digest of the 2004 Joint 29th International Conference on Infrared and Millimeter Waves, and 12th International Conference on Terahertz Electronics (IEEE, Piscataway, 2004), pp. 121–122 87. N. Orihashi, S. Hattori, S. Suzuki, M. Asada, Experimental and theoretical characteristics of sub-terahertz and terahertz oscillations of resonant tunneling diodes integrated with slot antennas. Jpn. J. Appl. Phys. 44, 7809 (2005) 88. S. Suzuki, A. Teranishi, K. Hinata, M. Asada, H. Sugiyama, H. Yokoyama, Fundamental oscillation up to 831 GHz in GaInAs/AlAs resonant tunneling diode, in 2009 IEEE International Conference on Indium Phosphide Related Materials (2009), pp. 192–195 89. S. Suzuki, M. Asada, A. Teranishi, H. Sugiyama, H. Yokoyama, Fundamental oscillation of resonant tunneling diodes above 1 Thz at room temperature. Appl. Phys. Lett. 97, 242102 (2010) 90. M. Feiginov, C. Sydlo, O. Cojocari, P. Meissner, Resonant-tunnelling-diode oscillators operating at frequencies above 1.1 THz. Appl. Phys. Lett. 99, 233506 (2011) 91. M. Feiginov, H. Kanaya, S. Suzuki, M. Asada, Operation of resonant-tunneling diodes with strong back injection from the collector at frequencies up to 1.46 THz. Appl. Phys. Lett. 104, 2243509 (2014) 92. T. Maekawa, H. Kanaya, S. Suzuki, M. Asada, Oscillation up to 1.92 Thz in resonant tunneling diode by reduced conduction loss. Appl. Phys. Express 9, 024101 (2016)

Chapter 9

Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes W. D. Zhang, T. A. Growden, E. R. Brown, P. R. Berger, D. F. Storm, and D. J. Meyer

1 Introduction and Summary Electron tunneling through potential energy barriers in semiconductor heterostructures is a fast process as its transit time can be 100 fs or less. In resonant tunneling diode (RTD) structures, such tunneling can support high-speed, negative differential resistance (NDR), as first described in the pioneering work of Tsu and Esaki [1, 2]. The NDR generally occurs under applied bias as the lowest occupied (quasibound) energy level in the heterostructure drops in energy below the occupied states on the emitter side. This is the famous resonant tunneling process, and its “N-shape” I–V characteristic can be utilized for high frequency self-oscillation and fast switching. Among tunneling devices, the double-barrier resonant tunneling diode (DBRTD) is the most extensively studied NDR device. Waveguide-based RTD oscillations up to 712 GHz were demonstrated in the early 1990s [3] and then extended up to 1.1 THz by planar circuit resonator techniques [4]. More recently the oscillation frequency has been extended to ∼1.5 THz and beyond with efficient antenna coupling and electronic frequency tuning [5–7], providing a rejuvenated interest in resonant tunneling devices. This chapter summarizes our own efforts in the past few years on high-speed double-barrier RTD devices made from GaN/AlN heterostructures, and using

W. D. Zhang · E. R. Brown () Departments of Physics and Electrical Engineering, Wright State University, Dayton, OH, USA e-mail: [email protected] T. A. Growden · P. R. Berger Department of Electrical and Computer Engineering, The Ohio State University, Columbus, OH, USA D. F. Storm · D. J. Meyer U.S. Naval Research Laboratory, Washington, DC, USA © Springer Nature Switzerland AG 2020 P. Fay et al., High-Frequency GaN Electronic Devices, https://doi.org/10.1007/978-3-030-20208-8_9

249

250

W. D. Zhang et al.

InGaAs/AlAs RTDs as a benchmark. The former is relatively new and did not provide stable, room temperature NDR until our recent effort was carried out [8]. The latter is much older and still serves as the gold standard in high-speed RTDs after ∼30 years of development. Our major achievements are briefly listed as follows. First, we applied plasma-assisted molecular-beam epitaxy on bulk GaN substrates to grow GaN/AlN heterostructures having very high material quality with abrupt heterointerfaces and low defect density. We then developed a fabrication procedure using state-of-the art dry etching and ohmic contact technology to produce mesaisolated device on the micron size scale. The resulting GaN/AlN RTDs displayed stable, reproducible NDR at room temperature with a peak-to-valley current ratio (PVCR) of ≈1.5 [8–11]. This was after a long period of study by other groups which showed either no NDR at room temperature, or NDR was likely associated with electron trapping, not resonant tunneling [12–24]. We then demonstrated the high-speed nature of our devices through NDR switching experiments, achieving a 10–90% peak-to-valley switching time of ≈55 ps. In parallel, our benchmark InGaAs/AlAs RTDs, produced by similar fabrication procedures, displayed a room temperature PVCR of ≈10 and a switching time of ≈22 ps, both consistent with the expected performance of these devices [25]. In addition, we discovered bright near-UV electroluminescence (EL) in the new GaN/AlN RTD devices in spite of them being unipolar, n-doped everywhere in the structure [26]. Through spectral measurements, the near-UV emission was found to be centered near the GaN bandgap wavelength around 365 nm. Furthermore, recent noise measurements showed that the transport displayed normal shot noise, except for a suppression effect associated with the resonant tunneling [27]. These results combined with detailed quantum transport computation suggested that the near-UV emission was by cross-gap radiative recombination between electrons accumulated on the emitter side of the device, and holes created in the same region by interband tunneling, which is enabled in vertical GaN heterostructures by the huge polarization fields at the GaN/AlN heterointerfaces [26]. The same mechanism for light emission in GaN-based RTDs was then observed in an In0.53 Ga0.47 As/AlAs DBRTD, but at the In0.53 Ga0.47 As bandgap wavelength around 1650 nm [28]. This discovery strongly suggests that the resonant and interband co-tunneling of electrons is a universal feature of unipolar DBRTDs and, remarkably, one that has never been reported in the vast literature of resonant tunneling diodes over the past 40+ years. This chapter is organized as follows: Sect. 2 presents material growth and fabrication; Sect. 3 discusses dc properties of both GaN/AlN RTDs and InGaAs/AlAs RTDs; Sect. 4 discusses switching time measurements of both GaN/AlN and InGaAs/AlAs RTDs; Sect. 5 discusses electroluminescence of both GaN/AlN and InGaAs/AlAs RTDs; finally, Sect. 6 is conclusion.

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

251

Fig. 9.1 (a) The stack structure of GaN RTDs. (b) The TEM figure showing sharp transition between layers

2 Material Growth and Fabrication 2.1 GaN/AlN RTDs The samples were synthesized via plasma-assisted molecular-beam epitaxy (PAMBE) at 860 ◦ C on freestanding Ga-polar semi-insulating GaN substrates grown separately using hydride vapor phase epitaxy (HVPE) (Kyma Technologies, Inc.). The substrates have low dislocation densities of approximately 106 cm−2 . The substrate wafers were cleaned using an aggressive wet chemical etch prior to loading in the ultrahigh vacuum MBE system [29]. Once loaded into the ultrahigh vacuum environment, the wafers were de-gassed for 30 min at 600 ◦ C and transferred into the MBE deposition chamber. The device layers were grown continuously without interruptions at constant temperature and at a constant growth rate of 3 nm/min. The layer structure and doping profile are shown in Fig. 9.1a. A TEM image in Fig. 9.1b displays abrupt interfaces between the heterostructure layers. The GaN/AlN RTD devices were fabricated using a five-level mask set that consisted of the following steps: (1) top contact/mesa definition, (2) bottom contact definition, (3) device isolation, (4) via creation, and (5) RF pad definition. Both the top and bottom ohmic contacts were a Ti/Al/Ni/Au stack. The isolation was done with a patterned PECVD-SiO2 top layer, and the via holes were dry etched with a CF4 plasma.

2.2 InGaAs/AlAs RTDs The benchmark InGaAs/AlAs double-barrier RTD device under test (DUT) was grown by molecular-beam epitaxy as an In0.53 Ga0.47 As/AlAs heterostructure on a semi-insulating InP substrate with a layer structure and doping profile as shown

252

W. D. Zhang et al.

Fig. 9.2 The stack structure of InGaAs RTDs

in Fig. 9.2. Its active region is comprised of two unintentionally doped (UID) AlAs barriers (thickness = 2.4 nm) separated by an undoped In0.53 Ga0.47 As quantum well (width = 4.4 nm) layer, such that a quasibound level E1 occurs in the quantum well at an energy of ≈0.23 eV above the In0.53 Ga0.47 As conduction band edge under zero bias. This rather high confinement energy compared to typical DBRTDs means that a large bias is required to reach the condition of NDR, especially under forward bias (positive on top contact), because of the 100-nm low-doped spacer layer on the top side that depletes and supports a large voltage drop and electric field. The InGaAs/AlAs RTDs were fabricated following the similar procedures of GaN/AlN devices. The contact pads (top and bottom) are a Ge/Au-based stack, while the RF pads are a Ti/Au stack, different from the GaN/AlN RTDs.

3 Characterization 3.1 Current-Voltage Curves 3.1.1

GaN RTDs at Room Temperature

Room temperature dc electrical characterization of the GaN RTD devices was carried out with a Keysight B1500A parameter analyzer [8]. Voltage-source bias was applied to the top contact via tungsten probes. To verify correct operation of a device initially, a full voltage sweep from −5 V up to +6 V and then back to −5 V was employed (illustrated in Fig. 9.3a inset). Negative differential resistance

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

253

Fig. 9.3 (a) Current density-voltage characteristics of three different device sizes illustrating the similarities in both current density and NDR onset voltage. Inset displays a full sweep from −5 V up to 6 V and then from 6 V back to −5 V of a 7 × 10 μm device. (b) Current-voltage curve of a 7 × 10 μm device after increasing sweeps. One sweep was 2.5 V–5.5 V followed by 5.5 V–2.5 V (up-and-down sweep). Each sweep shown displays both the up and down curve in order to display the lack of hysteresis

was routinely observed around +4.1 V across the sample on roughly 90% of the devices, regardless of size. The remaining 10% of the devices were usually flawed in some way as a result of process error and manifested as a short or an open. The consistency of the NDR onset voltage across devices of different sizes can be observed in Fig. 9.3a. The peak current density, Jp , and PVCR scale with device size. The I-V curves seen in Fig. 9.3b show very stable NDR over 1000 continuous sweeps, with only a very slight shift with time from Joule heating effects. This is contrary to any past reports, which generally detail a degradation of any observed NDR with subsequent sweeps [12–24]. A representative 7 × 10 μm device was measured, and its I-V is shown in Fig. 9.3b where it was swept from +2.5 V up to +5.5 V and then from +5.5 V down to +2.5 V. This up-and-down measurement was considered one “sweep.” This approach was taken to display the lack of hysteresis which is usually observed in III-nitride tunneling devices during the downward part of the sweep. Additionally, this particular measurement illustrates the high degree of thermal stability available to III-nitride tunneling devices. The chair-like pattern in the NDR region is indicative of self-oscillation as the voltage-source bias presents negligible series resistance. Traditionally, RTDs exhibit an antisymmetric I-V characteristic with respect to bias if the heterostructure and doping profiles are both symmetric about the center of the quantum well. As seen in Fig. 9.3a (inset displaying negative bias), our GaN/AlN RTD I-Vs are distinctly not antisymmetric partly because of asymmetry in the spacer layer thickness and in the doping concentration in the contact layers. However, we believe a more important asymmetric effect is from the polarization fields present at the heterojunctions. The asymmetry is apparent in the band diagrams of Fig. 9.4 (a, b). The polarization charge is responsible for inducing a

254

W. D. Zhang et al.

Fig. 9.4 (a) Energy band diagram at 3 V. (b) Energy band diagram at 4 volts

significant increase in the height of the first (top) barrier, as well as the creation of a thick space charge immediately outside of it. Both features greatly affect the probability for tunneling, especially through the first quasibound state, E1 . To help minimize these effects, very high doping levels were utilized in the top contact layer. Additionally, an accumulation region is formed immediately following the second barrier. Energy band diagrams for the early generation GaN/AlN RTDs calculated using numerical simulations indicate the existence of three quasibound states located within the RTD quantum well and one bound state located in the accumulation region [30]. The first state is located deep within the potential well where the barriers are much thicker, the tunneling probability resonance is very narrow, and thus it has little or no impact on the overall current contribution. The second state, E2 , has a much broader resonance and is responsible for most of the measured current and NDR observed in this study. Additionally, the bound state in the outer accumulation well, E0 , could be creating another, less pronounced resonance, contributing to the current significantly at the valley point and beyond. As the bias is increased past the first NDR region, one would expect to see a second NDR region resulting from the third quasibound state, E3 ; this, however, is not the case. With increasing bias, as shown in Fig. 9.4b, the first barrier eventually drops too low with respect to the second barrier, resulting in very poor confinement prior to the occurrence of resonant tunneling. Despite a high degree of repeatability, further testing was carried out to verify the NDR observed in our devices was not the result of severe trapping effects. To this end, the probe station and the devices were isolated from all light sources, and a baseline I-V was performed (in the same manner as described previously) and plotted in Fig. 9.5. After a period of time, a high-power (1.15 W) white light was put directly over the device, and another I-V sweep was measured. This same process was carried out for lower power (∼150 mW) white, blue, green, and red light sources. If there were any significant contribution from trapping effects, one would expect to observe a noticeable difference in the device operation; however,

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

255

Fig. 9.5 I-V curve of a 3 × 4 μm device under different light exposures. Inset displays the current at +1.5 V to illustrate that the only difference is related to a very small photocurrent

as seen in Fig. 9.5, the device remains stable and repeatable during the forward and backward voltage sweeps. The inset does show a very small difference when zoomed in on a point. The observed difference is only ∼1 nA and is most likely a result of photocurrent.

3.1.2

GaN RTDs at Cryogenic and High Temperatures

Low temperature measurements were carried out at 8, 50, 100, and 297 K for the device stack displayed in Fig. 9.6a [10]. It was observed that the peak current Ip remains stable; however, the valley current Iv begins to rise at temperatures above 100 K (Fig. 9.6b). Figure 9.6c displays high temperature I-V measurements, from a different device, and, again, Ip remained stable, while Iv continued to increase. The heated probe station platen could not safely operate above 475 K, but if the Iv trend observed in Fig. 9.6c continues, then the NDR would disappear above ≈485 K. This sets an upper limit on operational temperatures for these specific RTDs. Based on a theoretical model on GaN/Alx Ga1− xN heterostructures given by Ridley [31] and an approximation that the incoherent phonon-assisted tunneling current is proportional to the inverse of scattering time constant 1/τ , a simple analysis of Iv at both low and high temperatures (Fig. 9.6) was performed, showing Iv can be written into a sum of two parts: one tends to be a constant as the

256

W. D. Zhang et al.

Fig. 9.6 (a) The device stack with the UID GaN collector-spacer region decreased to 4 nm, (b) Low temperature I-V sweeps illustrating a significant change in valley current. (c) High temperature I-V sweeps showing the disappearance of NDR as temperature increases. Insets: peak and valley current values displaying the temperature dependence of differing current mechanisms

Fig. 9.7 (a) and (b) are the fittings of valley currents vs. temperatures

temperature approaches zero, and the other has a linear temperature dependence (Fig. 9.7). The former is likely contributed by LO phonon scattering via phonon emission, which has a very weak temperature dependence as the relevant temperature term is exp(--hωLO /kB T)kB T (-hωLO -LO phonon energy ∼87.3 meV(1011 K) for GaN and kB -Boltzmann constant). Other scattering mechanisms such as interface roughness and dislocations are possible candidates too [32]. The latter likely comes from piezoelectric acoustic phonon scattering, which has a linear dependence on temperature. Thus, a better understanding of the underlying thermal effects—primarily a mixing of LO phonon and piezoelectric acoustic phonon scattering—should help mitigate the high valley current and thus improve the PVCR.

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

257

Fig. 9.8 I-V characteristic of an InGaAs/AlAs RTD

3.1.3

InGaAs RTDs

The experimental I-V curve was measured with a Keithley 2400. As displayed in Fig. 9.8, a local peak in current occurs at the start of the NDR region at 1.80 V and a valley at the end of the NDR region at 2.65 V with a peak-to-valley current ratio (PVCR) of 9.2. This excellent PVCR is characteristic of InGaAs- vs. GaAs-based DBRTDs going back to their first demonstrations [33, 34]. The peak current density JP is 3.5 × 104 A/cm2 in this 9 × 6 μm2 active area device.

3.1.4

High Current Density GaN RTDs

Another figure of merit achieved in the GaN/AlN RTDs is high peak current density, much larger than expected comparatively for a wide bandgap versus an InGaAsbased RTD. This is partly due to the excellent thermal conductivity of GaN (K ∼ 1.4 W/cm-K). For example, the device displayed in Fig. 9.9 has an area of ∼20 μm2 ; thus, the current density is at the level of ∼140kA/cm2 . By shrinking the AlN barrier thickness to 1.5 nm (Fig. 9.6a), a record of 431 kA/cm2 is obtained in an RTD with a small area of ∼4.3 μm2 [10]. Despite the high current density, the I-V characteristic is still highly repeatable (Fig. 9.9).

258

W. D. Zhang et al. 450

Unannealed (1.8 µm ⫻ 2.4 µm)

400

Current Density (kA/cm2)

Fig. 9.9 Bi-directional J-V curve displaying anomalous high current density from a small area device with slight hysteresis in the NDR region. Inset: magnified NDR region

440 420

350

400

300

380

250

360 340

200

320

150

8.0

8.5

9.0

9.5

100 Sweep 1 Sweep 20

50 0 0

1

2

3

4

5

6

7

8

9

Voltage (V)

4 High-Speed Characterization 4.1 Switching 4.1.1

Switching Methods

The measurement of switching events on a ps-time scale has a long history going back to the 1980s and is usually done by one of two methods: [35] electrically with a fast oscilloscope (o-scope) or optically by ultrafast electro-optic sampling [36]. Oscopes are generally more accurate than electro-optic sampling, providing voltage or current measurements in MKSA units. But electro-optic sampling is inherently more precise at the ps time scale where modern o-scopes, even the sampling variety, are hampered by rise time limitations. Nevertheless, we have opted to carry out switching time measurements on GaN (and the benchmark InGaAs) RTDs using o-scopes primarily because of the packaging and coupling issues. For switching time and all other high-speed characterization, we packaged the RTDs in the monolithic coplanar waveguide (CPW) structure shown in Fig. 9.10a. The device chip was mounted on the probe station shown in Fig. 9.10b and contacted with a 200-μm-pitch GSG probe operable in the frequency domain up to 40 GHz. As shown in Fig. 9.11, DC bias was applied with a 40-GHz bias tee, which was carefully chosen to have low series resistance (∼1 Ohm) and short rise time (t ∼7 ps). The bias tee was connected to both a triangle wave generator and the high-speed o-scope, the first of which was a digital “real-time” variety (Tektronix MS073304DX). Since modern digital o-scopes are still limited to rise times in the 10–100 ps range, we also employed a digital “sampling” unit (Tektronix DSA 8200). The latter has a rise time of approximately 7 ps but is more difficult to trigger.

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

259

Fig. 9.10 (a) The RTD packed into a monolithic coplanar waveguide. (b) The probe station for RF characterization

Fig. 9.11 Experimental setup used to measure switching time

Prior to conducting the high-speed measurement, a standard 50-ohm o-scope was used to set the DC bias voltage and triangle amplitude correctly for balanced switching, meaning that peak-to-valley and valley-to-peak both occur within one cycle of the triangle. It is wise to keep the triangle amplitude just high enough to see the balanced switching, since much higher amplitude uses o-scope digital capacity with no additional information. The DC bias point is best adjusted to occur either in the positive differential resistance region just before the peak or just beyond the valley points. Figure 9.12a shows a typical trace from a standard o-scope with an 8.6 kHz triangle signal, after adjustment of the amplitude and DC bias are made.

260

W. D. Zhang et al.

Fig. 9.12 (a) An example of a triangle signal (RF + DC port) on the standard o-scope. The red arrows show the switching events. The measurement was done for an InGaAs/AlAs RTD. (b) An example of a time domain signal on the fast scope (RF port of the bias tee)

The replacement of o-scope by the high-speed real-time variety scope then yields the trace in Fig. 9.12b where the switching events appear as spikes in voltage.

4.1.2

Qualification with InGaAs RTDs

To qualify the switching technique on the ps time scale, we tested two InGaAs/AlAs RTDs on the same substrate, labeled A and B in Fig. 9.13 [25]. The resulting peakvalley (P-V) switching times between the two samples are practically identical. Additionally, the valley-peak (V-P) switching times are slower than the P-V times, as expected. This is caused by the fact that the valley region of RTDs is generally much broader (in voltage) than the peak region, so the available current (difference between the RTD resistive current and the load current at any given voltage) is smaller for P-V switching which makes the process slower, especially during the initial stage. However, the values measured here are not the intrinsic RTD switching times, but rather a combination of all significant rise times in the circuit from the RTD, the bias tee, and the o-scope. The measured rise time is then given by ttot = [(t1 )2 + (t2 )2 + (t3 )2 ]1/2 . A rise time of 13 ps is reported for the Tektronix MS073304DX oscilloscope [37], and the rise time of our 40-GHz bias tees is ≈7 ps. The rise time measured by the oscilloscope for the P-V switching time was determined to be approximately 24 ps for both samples A and B, as seen in Fig. 9.13a–d. This value was determined using the on-tool functionality of the oscilloscope, as well as an analysis of the point-by-point data. After many measurements, there existed an average value of 25.3 ps and a standard deviation of 2.6 ps. This results in a coefficient of variation of approximately 10%. Given the effects of jitter in the triangle generator and scope trigger, physical noise and numerical errors (e.g., roundoff) in the oscilloscope, this is a reasonable variation. De-convolving the intrinsic RTD switching time yields a value of around 21.7 ps. This time would be much faster if the barriers were thinner, leading to a much higher-speed index that tracks linearly with current density, but for the purpose of this qualification, a

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

261

Fig. 9.13 (a) The high-speed switching time measurement shown for sample A was taken using a real-time Tektronix MS073304DX oscilloscope which has a 10–90 rise time of 13 ps. (b) The switching time measurement for sample B was measured in the same manner as sample A. (c) The valley-to-peak switching time data of sample A. (d) The valley-to-peak switching time data of sample B

robust InGaAs/AlAs RTD device design was chosen to enhance the reliability and measurability. Calculation of the theoretical peak-to-valley switching time is possible if a few assumptions are made. The diode is biased at the peak tunneling voltage, VP , through a load resistance, RL , consistent with DC bistability. In this particular case, RL > V/ I, where V/ I is the NDR resistance, RNDR . The values for the voltage span, V, and current span, I, can be calculated by taking the difference of the peak voltage and valley voltage (VP − VV ) and the peak current and the valley current (IP − IV ), respectively. The diode capacitance is assumed to be constant and equal to the value at the VP . A slight increase in the bias at this point causes a switch to the stable point (valley or beyond). The I-V curve in the NDR and valley regions is modeled as a parabolic form [38] id =

I (V − VV )2 + IV

V 2

(9.1)

262

W. D. Zhang et al.

Fig. 9.14 Circuit used to model the RC-limited switching behavior through the NDR region

id

iC

iR

where id is the diode current as seen in the circuit model in Fig. 9.14. Applying Kirchhoff’s current law for a load resistance equal to V/ I the 10–90% RC switching time, tR , can be determined by evaluating using the equation VV −0.1 V 

tR = VP +0.1 V

CdV

I − V (V − VV ) −

I (V

V 2

− VV )2

(9.2)

where C is the diode capacitance. Evaluation of this integral yields tR ≈ 4.4 

V S

(9.3) 

where S ≡ J/C , which is the speed index, and C is the specific capacitance. The capacitance for the RTDs in this study was measured using a standard fourpoint probe, C-V measurement and consistently yielded values of approximately 1.8 fF/μm2 . Applying the experimental values to Eq. (9.3) resulted in a RC switching time, tR , of 14.5 ps. We also considered the effect of quasibound-state lifetime on the measured switching speed of the InGaAs/AlAs RTDs. In a previous analysis, the quasiboundstate lifetimes τ QW of various RTD designs with varying barrier thicknesses was calculated using a quasi-stationary state, envelope function method to compute the transmission probability, T(E), where E is the kinetic energy of electrons incident on the double-barrier structure from the emitter side [39]. The lifetime was then calculated using the Breit-Wigner approximation, τ QW ≈ -h/(2Γ ), where Γ is the full width at half maximum of the first resonant peak in T(E). By utilizing this data, it was determined that the first quasibound-state lifetime for the RTDs considered in this study was equal to 5.5 ps. By taking the sum of the theoretical values for the RC switching time and the first quasibound-state lifetime, a resulting intrinsic switching time for the RTD is 20 ps. When compared to the experimental value of 21.7 ps for these devices, there is only a slight difference (1.7 ps) which is well within any error due to assumptions, jitter, and oscilloscope physical noise and numerical errors. These results suggest the RC time is independent of the QW lifetime and that both contribute in an additive manner to the intrinsic switching time of an RTD.

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

263

Fig. 9.15 I-V characteristic of an GaN/AlN RTD

4.1.3

GaN RTD Switching

Next we investigated the switching time constants of GaN/AlN RTDs using a similar experimental setup as above. An Anritsu bias tee was used. The DC + RF port of the bias tee was connected to a triangle signal generator while the RF port was connected to an Infiniium MSOS804A 8GHz oscilloscope. The Infiniium scope itself has an internal rise/fall time of t2 = 53.8 ps [40], which along with the bias tee rise time of t3 ≈ 7 ps needs to be deducted from the total 10–90% time ttot measured by the scope. The I-V characteristic of a GaN/AlN RTD diode under test is shown in Fig. 9.15. It has a peak voltage at 6.90 V and a valley at 7.01 V. Accordingly, the peak current is Ip = 24.64 mA, and the valley current is Iv = 17.64 mA, reaching a PVCR of ∼1.4, which is much less than that of an InGaAs/AlAs RTD. In this case, a respectable PVCR is important, as small PVCRs don’t trigger switching events, and according to Eq. (9.3), a large PVCR will increase the speed index, thereby shortening the switching time. The negative resistance at the NDR region is estimated RD ∼ −16 ohm. Hence any self-oscillation can be subdued simply with the 50-ohm impedance of the coaxial cable connected to the bias tee. As shown in Fig. 9.16, the 10–90% rise time measured with the Infiniium scope was determined to be ttot(rise) ∼149.3 ps, while the fall time was estimated to be ttot(fall) ∼77.0 ps. Measurements on other devices from the same substrate yielded similar results. After the subtraction of the o-scope and bias tee rise (fall) times 

2 − t 2 − t 2 , the actual rise time of the switching is t ∼139.1 ps, but the through ttot r 2 3 fall time is only tf ∼54.7 ps. Noticeably, the fall time is ∼2.54 times shorter than the rise time. This is opposite to the case in InGaAs RTDs, where the fall time is the shorter one of the two time constants. Nevertheless, to the best of our knowledge, this is the first direct measurement of switching time constants ever done for the vertical transport in GaN/AlN/GaN heterostructure RTDs. Then we estimated the capacitance of the GaN/AlN/GaN RTDs by evaluating the space charge vs. bias voltage relationship with a Poisson solver provided by Silvaco Atlas [30]. The capacitance per unit area is roughly ∼4.8 fF/μm2 . Given the device area of A ∼11 μm2 , the capacitance for the RTD is about C ∼52.8 fF.

264

W. D. Zhang et al.

Fig. 9.16 (a) Switching events of a GaN RTD device. (b) The rise time measurement of the GaN/AlN RTD, (c) the fall time of the GaN/AlN RTD

Solutions from other Poisson solvers yield similar results. According to Eq. (9.3), the 10–90% peak-to-valley switching time is estimated to be tR ∼3.7 ps, which is significantly less than the experimental values. A possible explanation for the discrepancy is that the calculation of tR with Eq. (9.3) considers only the switching operation in the NDR region. However, switching events generally involve not only the NDR but also the second positive differential region (PDR) as well as the first PDR. In particular, it may be the case as the voltage range of the valley region of the GaN RTD is very narrow ( V only ∼0.11 V). The inclusion of the PDRs can significantly slow down the switching action. This has been demonstrated in our large-signal-model numerical studies [41].

4.1.4

Effect of GaN Growth Methods on Switching Speed

In addition to the DC and switching measurements, we also investigated the influence on the devices’ function by changing the recipe of material growth. For example, our research reveals that varying the surface preparation of the freestanding GaN substrates prior to epitaxial growth can lead to substantially different performances of GaN/AlN RTD devices even though the heterostructure layers deposited are nominally the same and the growth conditions are kept identical.

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

265

Fig. 9.17 Typical I-V curves from a GaN RTD (a) grown on a standard-clean substrate, and (b) grown on a substrate which was cleaned using Ga-desorption

Fig. 9.18 SIMS results for epilayers grown on two GaN substrates prepared with different cleaning procedures

Devices on standard-clean substrates have higher PVCRs (∼1.5) and faster switching time constants (Fig. 9.17a); on the contrary, devices on substrates cleaned with Ga-deposition-desorption tend to have smaller PVCRs (∼1.1), and slower switching time constants, but allow for high current densities (Fig. 9.17b). Also, the secondary-ion mass spectrometry (SIMS) results plotted below support the subtle differences between the two heterostructures when their respective substrates were prepared using different cleaning methods (Fig. 9.18). Descriptions of the surface preparation recipes can be found in [11, 42]. Clearly, continuous improvements of GaN/AlN heterostructure’s quality are crucial for getting better performance devices.

266

W. D. Zhang et al.

4.2 GaN RTD Oscillations When biased into the NDR region, the GaN RTDs are capable of self-oscillation by the same mechanism that RTD oscillators demonstrated in the past going back 30+ years. Unlike the RTD switching process, the start-up condition for such oscillations is simply that the real part of the terminal impedance (RT ) be negative, which is a small-signal condition. The frequency where RT crosses from negative to positive is called the maximum frequency of oscillation, fmax . Knowing the capacitance C, the differential conductance (which is negative) G, and the device series resistance Rs , fmax is given by [43] % fmax

1 = 2π C



G − G2 RS

(9.4)

where G = −1/RD is the conductance. In the present case (Figs. 9.15 and 9.16), Rs is ∼9.1 ohm from the contact resistance measurement ∼1.1 × 10−7 ohm-cm2 and the device active area of ∼11 μm2 . So fmax is estimated ∼164 GHz. As shown in Fig. 9.19, in principle, according to Eq. (9.4), a reduction of the series resistance can boost the maximum frequency to an even higher range of ∼600 GHz.

4.3 A Spice Model for GaN RTDs Now we apply a SPICE model developed previously for InGaAs RTDs [44] to study the I-V characteristics of the GaN/AlN RTDs. The I-V curves can be described by the following equation

Fig. 9.19 Estimation of fmax . (a) fmax vs. Rs , (b) fmax vs. Rs and G

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

267

Fig. 9.20 Fittings of I-V curves with the SPICE model: (a) for a low current density RTD, (b) for the RTD in Fig. 9.15 after the voltage drop across Rs = 9.1 ohm is subtracted

+ * I = C1 V i tan−1 [C2 (V − VT )] − tan−1 [C2 (V − VN )] + C3 V j + C4 V k (9.5) where C1 , C2 , C3 , and C4 are numerical constants. VT is defined as the threshold voltage where the second-order derivative d2 I/dV2 has a local maximum. VN is defined as the bias in the NDR region where the current’s variation is the steepest. i, j, and k are integer exponents ≥2. In the case of InGaAs/AlAs RTDs, the exponents were taken i = 3, j = 5, and k = 3; these values are kept the same when modeling the GaN/AlN RTDs. Equation (9.5) has proven to be able to generate excellent fittings on I-V curves of GaN/AlN RTDs. An example is shown in Fig. 9.20a, and the fitting parameters are C1 = 4.36 × 10−5 , C2 = 3.9, C3 = 3.7 × 10−6 , C4 = −3.1 × 10−6 , VT = 2.8, and VN = 3.9, respectively. Figure 9.20b shows the second example of fitting on the I-V curve in Fig. 9.15 after the voltage drop across the series resistance Rs is considered.

5 Electroluminescence 5.1 GaN RTDs Light emission was initially observed by eye through the probe station microscope when the RTDs were biased beyond ∼5 V. Strong violet light was observed coming from the mesa periphery, as displayed in Fig. 9.21, with fully repeatable NDR at room temperature [26]. The light emission was sufficiently bright that it could easily be measured by a commercial grating spectrometer coupled to the device through a bundled fiber probe placed in close proximity. The emission spectra of all three

268

W. D. Zhang et al.

Fig. 9.21 A top-down image of the Ti/Au pad contact. Photograph of 7 × 10 μm2 GaN RTD structure showing the three dc-coupled electrodes and the RTD mesa device under test (DUT). Strong violet light was observed emitting from the RTD structures under bias, but this was found to be the long-wavelength tail of a much stronger near-UV emission around 360 nm Table 9.1 Device structures and parameters Sample Emitter layer Emitter spacer Barriers Quantum well Collector spacer Collector layer

A 300 nm GaN 5 × 1019 /cm3 12-nm UID GaN 2 nm UID AlN 3 nm UID GaN 6 nm UID GaN 100 nm GaN 8 × 1019 /cm3

B 300 nm GaN 5 × 1019 /cm3 Digital AlGaN alloy (2.5 Å AlN/ 10 Å GaN) 2 nm UID AlN 3 nm UID GaN 6 nm UID GaN 100 nm GaN 8 × 1019 /cm3

C 300 nm GaN 5 × 1019 /cm3 12-nm UID GaN 2 nm UID AlN 3.5 nm UID GaN 6 nm UID GaN 100 nm GaN 8 × 1019 /cm3

samples (Table 9.1, Fig. 9.22a–c) exhibited a dominant peak centered near 360 nm that increases in intensity with increasing bias voltage and has full width at half maximum (FWHM) values of 14 nm for Sample A, 16 nm for Sample B, and 14 nm for Sample C. While under positive voltage bias, the FWHM remains ≤16 nm, even at the highest applied bias levels, with no significant spectral broadening. The 360-nm emission is attributed to cross-gap transitions because the wavelength corresponds closely to the 3.44 eV bandgap of GaN at room temperature. With increasing voltage bias, the emitted light remains quite optically pure in all three samples without any significant sub-bandgap emission, as reported in many other GaN light emission results. However, the devices studied in this work often failed in the form of a short circuit as the bias was raised above a critical breakdown voltage (e.g., ≈7 V). The room temperature current-voltage (I-V), light intensity vs. voltage (L-V), and light intensity vs. current (L-I) characteristics for all three samples are displayed in Fig. 9.23. Although both the L-V and L-I curves exhibit a threshold effect, the L-I curves are distinct between the samples, whereas the L-V curves display a common threshold (∼4.7 V). Above the threshold, the L-V curve displays an exponential increase of light emission vs. bias voltage.

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

269

Fig. 9.22 The measured light spectrum emitted from representative 7 × 10 μm2 devices parameterized by bias voltage and current for: (a) Sample A, (b) Sample B, and (c) Sample C

Fig. 9.23 DC I-V and L-V curves from all three samples during both positive voltage bias and negative voltage bias. The inset displays the L-I curves for all three samples

270

W. D. Zhang et al.

Fig. 9.24 (a) Experimental set-up used to measure the emitted power at various angles θ from the polar axis and into a solid angle Ω p defined by the silicon photodiode area and range r from the GaN unipolar-doped light emitter. (b) Photocurrent vs. elevational angle obtained with the set-up in (a). The data points are shown as solid circles, and the cubic polynomial curve fit as a dashed line

The far-field intensity of the RT-LEDs was measured, despite the shadowing of the GSG probe pads atop the DUT. The far field was found to be significantly dependent on the elevational angle θ in Fig. 9.24a but relatively independent of the azimuthal angle ϕ. This observation is consistent with symmetry considerations given that the radiating structure is a mesa with exposed sidewalls around the periphery. Optical measurements at five elevational angles for Sample B are shown in Fig. 9.24b, all at a range of 1.8 cm from the mesa. The resulting data points were fit with a cubic polynomial, and the best fit was I(θ ) = −7.6 × 102 θ3 + 1.5 × 103 θ 2 − 3.3 × 102 θ + 450. The total power was estimated into the upper hemisphere j) max   by a rectangle approximation Ptot ≈ 2π · I θj · sin θj · θ , where I ≈ 0.1 I p j =1

A/W is the current responsivity of the photodiode at 360 nm and p is the solid angle subtended by the photodiode with respect to an origin defined by the emitting diode 1.8 cm away, such that Ω p ≈ 0.010 str. Setting θ = 1.0◦ (0.017 rad), we find Ptot = 4.7 × 10−6 W. The EQE, ηext , was calculated with additional parameters IB = 18.8 mA and hν = 3.4 eV and led to the lower limit estimation of ηext ≈ 0.0074%. This ηext value is well below the state-of-the-art values of ∼50% for optimized bipolar-doped (p-n) GaN LEDs [45]. However, we emphasize that this value is conservative, considering emission into only the upper hemisphere and ignoring internal loss mechanisms such as total internal reflection. In addition, these devices are not designed to balance electron and hole currents such that the electron-hole radiative recombination reported is currently hole limited. Even so, to the best of our knowledge, this value is higher than the values reported for any other unipolar-doped GaN emitter to date, such as the 10−6 % UV value reported in reference Zimmler et al. [46].

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

271

Fig. 9.25 (a) Room temperature I-V curve (left vertical axis), and L-V curve measured with the NIR Ge photodiode. (b) Spectrally resolved emission at four different bias voltages. (c) Spectral emission at the most intense spectrum in (b) and the plot of theoretical electroluminescence according to Eq. (9.6)

5.2 InGaAs RTDs After the discovery of unipolar EL in GaN/AlN RTDs, we went forward to investigate the EL phenomena in InGaAs/AlAs RTDs [28]. Shown in Fig. 9.25a (right vertical axis) is the photocurrent from the Ge diode as a function of RTD bias voltage (L-V curve). The photocurrent from the electrometer rises significantly above the noise floor at a bias voltage of ≈1.0 V and increases monotonically with higher voltage through the NDR region up to the valley point. Then there is a precipitous drop at the valley voltage followed by a slow increase above that. That is, the change in photocurrent in the NDR region is anticorrelated to the change in electrical current. This behavior is similar to that observed for the near-UV photocurrent from one of the GaN/AlN DBRTDs, but for reasons that are not yet understood. Plotted in Fig. 9.25b are the spectral emission curves vs. wavelength and parameterized by bias voltage at VB = 1.7, 2.1, 2.5, and 3.0 V. The middle two

272

W. D. Zhang et al.

bias points are in the NDR region, and the first and last points are just below and above it, respectively. All four curves show a peak emission λ around 1580 nm and a long-wavelength cut-off behavior around 1650 nm. Superimposed in Fig. 9.25b is the In0.53 Ga0.47 As band edge wavelength reference, λ = 1684 nm corresponding to the bandgap energy of 0.736 eV at 295 K, and calculated with the Varshni formula [47]. The intersection of this reference line with all four spectral curves in their steeply rising edge suggests that the observed emission is occurring at or near the In0.5 Ga0.47 As band edge. However, the strongest curves in the NDR region (i.e., VB = 2.1, 2.5 V) are distinctly asymmetric with short wavelength tails that extend to 1300 nm or less. In addition, the weaker emission curve at bias outside the NDR region (i.e., VB = 1.7, 3.0 V) also displays short-wavelength tails but appears more symmetric. The light emission with a UV-VIS fiber spectrometer was examined next. No peak feature was observed in the wavelength range of 200–800 nm. This together with the IR spectrum suggests little possibility of recombination between confined electrons and holes in their respective potential wells. To understand the emission process better, Fig. 9.25c shows the brightest of the emission curves plotted against the ideal spontaneous emission expression for a bulk semiconductor EL [48] S (ν) = Aν 2 (hν − EG )1/2 exp . [(EG − hν) /) /kB T )]

(9.6)

where EG is the In0.53 Ga0.47 As bandgap (0.736 eV at 295 K) and A is a frequencyindependent constant, and no external cavity effects are included. The agreement is satisfactory on the low frequency (long-wavelength) end, but clearly Eq. (9.6) decays much faster than the experiment on the short-wavelength end.

5.3 Explanation for EL in GaN RTDs Initial non-equilibrium Green’s function (NEGF) modeling indicates that the holes necessary for the observed cross-gap emission are created by interband tunneling across the UID GaN collector spacer (Fig. 9.26a) [30]. A large internal electric field is present because of polarization-induced charge density caused by two mechanisms: one from piezoelectric polarization because of the abrupt lattice mismatch between the c-axes of AlN (4.982 Å) and GaN (5.185 Å) and the other from the discontinuity of spontaneous polarization between AlN (−0.081 C/m2 ) and GaN (−0.029 C/m2 ) [49]. The induced surface charge density might reach levels of σ ∼5.5 × 1013 /cm2 , which leads to fields approaching 10 MV/cm in the AlN and at its interface with the GaN layers [49]. These enormous polarization-induced electric fields present in III-nitride heterostructures have been recently confirmed by direct measurement with nano-beam electron diffraction [50]. The induced field creates a depletion region within the UID collector spacer and an accumulation region in the UID emitter spacer. Under the external voltage bias, the field increases further, which makes interband tunneling possible even though the potential barrier (cross-

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

273

Fig. 9.26 (a) The band diagram of the GaN/AlN heterostructure generated with a NEGF simulation. The holes are generated in the interband region; they then tunnel through the RTD region into the emitter spacer where they recombine. The lack of observable emission from transitions between bound conduction and valence band states within the quantum well is attributed to the quantum-confined Stark effect, resulting in a small wavefunction overlap. (b) Forward bias fittings of emission vs. bias voltage with Kane’s Zener interband tunneling model

bandgap GaN) is ∼3.44 eV. For perspective, if the internal field is F = 2 MV/cm, the interband hole generation density is estimated to be ∼0.66/cm3 /s with Kane’s model [51], whereas when it increases to F = 5 MV/cm, the hole density rate increases to ∼3.1 × 1020 /cm3 /s. Once generated, the holes can migrate by tunneling (possibly by Auger recombination as well) to the emitter side of the structure where electron-hole recombination occurs. For small bias, estimations with a Bardeen transfer Hamiltonian method indicate the hole transmission through the double-barrier structure is smaller than the electron transmission due to the larger light-hole mass (mlz ≈ 1.1 vs. me ≈ 0.2 m0 ), despite a smaller valence band offset barrier ( Ev_GaN/AlN ≈ 0.7 eV vs. Ec_GaN/AlN ≈ 2.0 eV [52]). However, the hole transmission increases considerably because the hole quasibound level moves downward as the internal field increases (Fig. 9.26a). This observation is essential to the “co-tunneling” effect. Fitting of the experimental photocurrent at both bias polarities was conducted, and the results agree well with Kane’s model, thus supporting interband tunneling as the primary source of hole generation (Fig. 9.26b).

5.4 Explanation for EL of InGaAs RTDs A simple qualitative model that explains the experimental data is shown schematically in Fig. 9.27a. The spectra of Fig. 9.25b, c clearly indicate that the emission is most likely free-carrier cross-gap recombination occurring at or near the

274

W. D. Zhang et al.

Fig. 9.27 (a) Band-bending model with positive bias below the NDR region showing simultaneous electron resonant and interband tunneling. (b) Interband tunneling probability according to Kane model over the range of bias fields in the present device, along with bias voltages at the boundary fields

In0.53 Ga0.47 As band edge, which requires free holes. Judging from the threshold in emission shown in Fig. 9.25a just below 1.0 V bias, the likely generation mechanism for holes is the interband tunneling mechanism of Fig. 9.27a. The band bending in Fig. 9.27a is such that electrons can readily flow by resonant tunneling from the emitter to the collector through the quantum well quasibound level (E1 ). Furthermore, the bias is large enough that unoccupied conduction band states on the collector side line up energetically with occupied valence band states on the emitter side, so that interband tunneling can occur while conserving energy and crystal momentum. The lowest possible threshold bias for this process is approximately the In0.53 Ga0.47 As bandgap of ≈0.75 eV, which is reasonably close to the experimental threshold. Note that this model is subtly different than that proposed for the GaN/AlN DBRTD where the interband tunneling can occur from the valence band quasibound level in the quantum well to the collector side, followed by tunneling of the holes to the emitter side where radiative recombination occurs. This is because in GaN, the key factor in the interband tunneling is the huge interfacial polarization field, whereas with In0.53 Ga0.47 As, the key factor is the narrow bandgap. We present a calculation of the valence-to-conduction band tunneling probability according to the classic Kane expression 2 ·m π 2 EG π2 exp − T = 9 2hP · F

! (9.7)

where m is the electron mass in vacuum; h is Planck’s constant; P is the momentum matrix element between the valence and conduction band cell-periodic wavefunctions, which is generally defined as EP ≡ P2 /2m; and F is the electric field in units of eV/cm [53].

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

275

In Fig. 9.27b we plot Eq. (9.7) assuming EG = 0.736 eV and EP = 25.3 eV [54] and as a function of F between 1.0 × 105 and 3.0 × 105 eV/cm (this value of EG is established by device and bandgap modeling described below). The tunneling probability increases more than six orders of magnitude over this range of bias field and is essentially a decaying exponential dependence on the length of the bandgap barrier given roughly as LB ≈ EG /F. Between the bias voltage where we first see significant light emission, VB ≈ 0.75 eV, and the peak voltage VB = 1.75 V, we observe T increase ∼50 times from 2 × 10−7 to 1 × 10−5 . While these values may at first seem small compared to the transmission probabilities for resonant tunneling, which routinely fall in the range 0.1–1.0, the overall interband tunneling current also depends on the “supply function” of electrons occupying the valence band on the emitter side, which is very large because of the large effective density of states and the high Fermi occupancy factor.

5.5 Comparison of EL in GaN and InGaAs RTDs To further emphasize the universal nature of the co-tunneling and enhance the accuracy of the analysis, Fig. 9.28 compares the physical characteristics of the In0.53 Ga0.47 As/AlAs emitter structure studied here to a GaN/AlN structure studied previously. The band-bending plots in Fig. 9.28a, b were computed as self-consistent solutions to the coupled Poisson-Schrödinger equations at a bias voltage just below the respective NDR regions. The high electric field in the barrier region of the InGaAs structure, combined with its relatively narrow bandgap, makes interband tunneling a significant transport mechanism. The much greater polarization-induced electric field in the GaN/AlN again makes interband tunneling plausible in spite of the much larger GaN bandgap. The essential tunneling parameters of Eq. (9.7) for In0.53 Ga0.47 As and GaN are listed in Table 9.2. Of utmost importance are the electric fields at the peak voltage, FP (from Fig. 9.27(a, b)), 2 × 105 V/cm and 5 × 106 V/cm for the In0.53 Ga0.47 As and GaN RTDs, respectively. This large difference makes the factor (EG )2 /F in Eq. (9.7) remarkably close at F = FP : (EG )2 /FP = 2.7 × 10−8 and 2.3 × 10−8 for the InGaAs and GaN, respectively. The only other materialdependent factor in Eq. (9.7) is P, which is only ≈25% different between the two materials and is similarly comparable among all the common semiconductors independent of bandgap [54]. Also included in Table 9.2 is the bandgap at the operating temperature of each device. The bandgap is calculated using the Varshni expression EG (T) = EG (T = 0) − αT2 /(T + β) with parameters given in Table 9.2. The operating temperature is estimated by T = 295 K + T with T ≈ P0 ·RTH , where P0 is the dc power dissipation and RTH is the thermal resistance, also included in Table 9.2. As both devices were mesas having 54 μm2 active area, the only difference in RTH is the higher thermal conductivity of the GaN-based device compared to the InP-based device in the heat “spreading” region below the

276

W. D. Zhang et al.

Fig. 9.28 (a) Band bending at a bias voltage just below the NDR region for (a) InGaAs RTD, and (b) GaN/AlN RTD, both computed by a self-consistent Poisson-Schrödinger solver. Spectral emission curves at the same bias as in (a) and (b) for (c) the InGaAs emitter and (d) the GaN emitter, respectively. Also plotted are the ideal electroluminescence curves according to Eq. (9.6)

Table 9.2 Parameters for RTDs Physical Electrical

Thermal Varshni

Kane

Parameter Mesa area (um2 ] IP (mA) VP (V) P0 (mW) RTH (K/W)

T (K) EG (T = 0) α (meV/K) β (K) EG (295 K) (eV) EG (T + T) (eV) FP (V/m) EP (eV) (EG )2 /FP

In0.53 Ga0.47 As on InP 54 17.1 1.7 29.1 798 23.2 0.803 0.400 226 0.736 0.729 2.0 × 107 25.3 2.7 × 10−8

GaN on GaN 54 23.0 6.2 144.9 418 60.5 3.51 0.909 830 3.44 3.41 5.0 × 108 20.2 2.3 × 10−8

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

277

mesa. Heat transport to above the mesa through the contact metal is negligible in comparison. The EL spectra for both structures are plotted in Fig. 9.28c, d vs. wavenumber (σ [cm−1 ]) on an identical scale, with Fig. 9.28c being the same data as in Fig. 9.25b at 1.7 V bias. Also plotted in Fig. 9.28c, d are the ideal EL curves according to Eq. (9.6) assuming for the In0.53 Ga0.47 As device: T = 318 K and EG = 0.729 (σ G = 5.883 × 103 cm−1 ); and for the GaN device, T = 355 K and EG = 3.410 (σ G = 27.48 × 103 cm−1 ). For the InGaAs device, the experimental EL curve peaks well above (in σ ) the maximum of its ideal EL spectra, so it emits the majority of its radiation above the band edge σ G , consistent with the “pre-well” quantization effect described above. However, for the GaN device, the experimental EL curve peaks close to the ideal spectrum maximum and has a much broader width, such that the emissions above and below the bandgap are roughly equal. We again attribute the blue-shifted radiation to the “pre-well” quantization effect, which is strong in GaN as well as In0.53 Ga0.47 As. The red-shifted radiation is not as straightforward. In our previous analysis, the redshift was obviated by renormalization of the GaN bandgap—an effect which decreases the bandgap energy in proportion to the free carrier concentration [55–58]. However, the lack of red-shifted radiation in the InGaAs device of Fig. 9.28a, even in the presence of the high accumulated electron density in the emitter region, suggests that bandgap renormalization may not be significant. Another possibility for the red-shifted GaN radiation is shallow traps that occur at the GaN emitter layer or at the GaN/AlN interfaces. This is supported by the experimental fact that the total GaN emission spectrum is significantly broader (FWHM =1060 cm−1 in Fig. 9.28d) than the InGaAs spectrum (FWHM = 896 cm−1 in Fig. 9.28c). However, more research is necessary to resolve this discrepancy.

5.6 Estimate of Quantum Efficiency in GaN RTDs This section is focused on the light emission efficiency in GaN/AlN RTDs. To estimate the internal quantum efficiency (IQE), we must first determine the injection efficiency (IE) and the light extraction efficiency (LEE). However, given the uniqueness of the device layout and hole injection, certain assumptions must be made. Because light emission from these devices is hole limited, we can estimate the IE from the ratio between the measured electron and calculated hole current densities (Jp /Jn ). Applying this methodology to Sample B (Table 9.1) results in an IE value of ∼1.0%. As mentioned earlier, the current RTD/LED structure was designed for stable NDR at room temperature and is therefore non-optimal as an LED. The top surface is largely covered by a thick metal (>400 nm), resulting in ∼40–60% of the surface area emitting light. Additionally, due to a large refractive index difference between GaN (n = 2.6 at 360 nm) and air (n = 1.0), the maximum emission efficiency dictated by the narrow escape cone (22.6◦ ) is 3.8%. A concatenation of these effects led us to a LEE estimation of ∼1.5–2.3%. Subsequently, combining the

278

W. D. Zhang et al.

Fig. 9.29 (a) The fitting of the experimental electron current of sample A with the analytic model [26]. (b) The proposed approach for balancing electron and hole current densities by reducing the n-type doping concentration on the emitter side

measured EQE for Sample B and the estimated IE and LEE values, we approximate the IQE to fall within a range of ∼30–50% (IQE = EQE/[IE × LEE]). This range seems quite reasonable because the IQE generally reflects the quality of the crystal and these devices were grown on low dislocation bulk GaN and are also functional RTDs, which is indicative of excellent epitaxy. Improvement of the EQE would involve a more evenly balanced electron and hole current ratio. To balance the electron and hole current, we investigated the separate current mechanisms of Sample A (Table 9.1) using the previously discussed modeling techniques. Figure 9.29a compares the experimental J-V curve (current of Sample A in Fig. 9.22 divided by the device area) against our electron and hole current models. The combination of resonant tunneling and leakage current of the electrons offers a good fit to the experimental J-V clearly showing the NDR while the hole current is much smaller in comparison. Above ∼5.0 V, near where the experimentally measured device displays a threshold in near-UV light emission, the hole current density begins to take off as well. Further investigation shows that the simplest way to shrink the electron-hole difference is to reduce the electron current density while holding the hole density nearly constant. A reduction in the Fermi energy (EF ) on the emitter side does exactly this, and the electron resonant tunneling and leakage mechanisms both fall monotonically, whereas the interband tunneling of holes has practically no dependence on EF at all. The n-type doping concentration outside the spacer layer on the emitter side determines EF , and for the existing structure with ND = 5 × 1019 cm−3 , EF = 0.25 eV using the conduction band parameter of GaN and me = 0.20 m0 . A reduction of ND to 5 × 1018 cm−3 would drop EF to 0.05 eV, and the resulting model J-V curves are plotted in Fig. 9.29b. The electron current drops dramatically but not the hole current such that the two currents are equal at ∼5.7 V bias. This simple scaling in an easily controllable material growth parameter should significantly improve the balance between electrons and holes, thereby greatly enhancing the light emission.

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

279

6 Conclusion We have successfully demonstrated working GaN/AlN and InGaAs/AlAs RTDs. The GaN/AlN RTDs can operate well even under the flux of very high current densities (e.g., ∼431 kA/cm2 ) without thermal breakdown. Their switching time constants were measured in the order of ∼55 ps. A fmax calculation shows a smallsignal oscillation with frequency up to 164 GHz is possible. Compared to the InGaAs/AlAs RTDs, the NDR region in these early generation GaN/AlN RTDs occurs through the second quasibound energy level instead of the first quasibound energy level. This is largely attributed to the significant electron accumulation in the interface of the emitter and the left barrier due to the strong field polarization at the GaN/AlN heterostructures. However, in recent batches of high-current-density devices, there are indications of resonant tunneling through the first quasibound state. Unlike the InGaAs/AlAs RTDs, the PVCRs of GaN/AlN RTDs remain low with maximum at only ∼1.5, so far. This would hinder any useful high-speed power output. A possible cause to the low PVCR is the scattering mechanisms to the valley current from LO phonon, piezoelectric acoustic phonons, surface roughness, and dislocations. Some of the scatterings may be alleviated by the growth of high-quality GaN/AlN heterostructures with abrupt interfaces as well as the evolution of bulk GaN substrates. Further, the bias voltage of the NDR region (>4 V) is significantly higher than that of InGaAs/AlAs (∼2.5 V), and the PDR region is broader. This would generate a lot of wasteful DC power consumption when the device is used for RF circuits. A possible solution is to increase the width of the quantum well region lowering the quasibound energy levels. All these factors need to be considered when designing next-generation GaN/AlN RTDs for high-speed, high-power applications. Unipolar electroluminescence, without the presence of p-type doping, was observed in both GaN/AlN and InGaAs/AlAs RTDs. This is strong evidence for a co-tunneling mechanism occurring in the RTDs: one is resonant tunneling, and the other is interband tunneling. The two processes are relevant to electron injection and hole generation, respectively. For GaN/AlN, the interband tunneling process is most likely due to the strong electric fields originating from polarization effects native to wurtzite structures; and for InGaAs/AlAs, the interband tunneling is largely due to the narrow bandgap of InGaAs semiconductor. The scaling study of the Kane model suggests the unipolar electroluminescence is not an isolated effect; it may occur to other RTDs based upon different heterostructure systems. An interesting question will be how to engineer useful devices out of this unique co-tunneling mechanism and the resulted electroluminescence. Acknowledgements All these works were performed under the sponsorship of a Multi-University Research Initiative (MURI), “Devices and Architectures for THz Electronics (DATE),” managed by Dr. Paul Maki, and the NRL Base program, and have been either published in the literature, dissertations, or under preparation for near-term publications. We also acknowledge the National Science Foundation (Dr. Dimitris Pavlidis) for support under Grants #1711733 & #1711738, and we thank Dr. Ravi Droopad for providing the InGaAs/AlAs RTD structures used as a benchmark for this work.

280

W. D. Zhang et al.

References 1. Tsu, L. Esaki, Appl. Phys. Lett. 22, 562 (1973) 2. L.L. Chang, L. Esaki, R. Tsu, Appl. Phys. Lett. 24, 593 (1974) 3. E.R. Brown, J.R. Soderstrom, C.D. Parker, L.J. Mahoney, K.M. Molvar, T.C. McGill, Appl. Phys. Lett. 58, 2291 (1991) 4. M. Feiginov, C. Sydlo, O. Cojocari, P. Meissner, Appl. Phys. Lett. 99, 233506 (2011) 5. M. Feiginov, H. Kanaya, S. Suzuki, M. Asada, Appl. Phys. Lett. 104(1–4), 243509 (2014) 6. T. Maekawa, H. Kanaya, S. Suzuki, M. Asada, Electron. Lett. 50(17), 1214–1216 (2014) 7. S. Kitagawa, S. Suzuki, M. Asada, IEEE Electron Dev Lett 35, 1215–1217 (2014) 8. T.A. Growden, D.F. Storm, W. Zhang, E.R. Brown, D.J. Meyer, P. Fakhimi, P.R. Berger, Appl. Phys. Lett. 109, 083504 (2016) 9. T.A. Growden, Ph.D. Dissertation (The Ohio State University, 2016) 10. T.A. Growden, W.-D. Zhang, E.R. Brown, D.F. Storm, K. Hansen, P. Fakhimi, D.J. Meyer, P.R. Berger, Appl Phys Lett 112, 033508 (2018) 11. D.F. Storm, T.A. Growden, W. Zhang, E.R. Brown, N. Nepal, D.S. Katzer, M.T. Hardy, P.R. Berger, D.J. Meyer, J Vac Sci Tech B 35(2), 02B110 (2017) 12. A. Kikuchi, R. Bannai, K. Kishino, C.M. Lee, J.I. Chyi, Appl. Phys. Lett. 81, 1729 (2002) 13. K. Kishino, A. Kikuchi, Phys. Status Solidi 190(a), 23 (2002) 14. S.N. Grinyaev, A.N. Razzhuvalov, Semiconductors 37, 450 (2003) 15. C.T. Foxon, S.V. Novikov, A.E. Belyaev, L.X. Zhao, O. Makarovsky, D.J. Walker, L. Eaves, R.I. Dykeman, S.V. Danylyuk, S.A. Vitusevich, M.J. Kappers, J.S. Barnard, C.J. Humphreys, Phys. Status Solidi a 7, 2389 (2003) 16. M. Hermann, E. Monroy, A. Helman, B. Baur, M. Albrecht, B. Daudin, O. Ambacher, M. Stutzmann, M. Eickhoff, Phys Stat Sol 8, 2210 (2004) 17. S. Golka, C. Pflugl, W. Schrenk, G. Strasser, Appl. Phys. Lett. 88, 172106 (2006) 18. C. Bayram, Z. Vashaei, M. Razeghi, Appl. Phys. Lett. 96, 042103 (2010) 19. Z. Vashaei, C. Bayram, M. Razeghi, Appl. Phys. Lett. 107, 0835053 (2010) 20. L. Yang, H. He, W. Mao, Y. Hao, Appl. Phys. Lett. 99, 153501 (2011) 21. T. A. Growden, S. Krishnamoorthy, D.N. Nath, A. Ramesh, S. Rajan, and P.R. Berger, in Proceedings of Device Research Conference (University Park, 2012), pp. 163–164 22. D. Li, L. Tang, C. Edmunds, J. Shao, G. Gardner, M.J. Manfra, O. Malis, Appl. Phys. Lett. 100, 252105 (2012) 23. D. Li, J. Shao, L. Tang, C. Edmunds, G. Gardner, M.J. Manfra, O. Malis, Semicon Sci Tech 28, 074024 (2013) 24. A. Grier, A. Valavanis, C. Edmunds, J. Shao, J.D. Cooper, G. Gardner, M.J. Manfra, O. Malis, D. Indjin, Z. Ikonic, P. Harrison, Appl. Phys. Lett. 118, 224308 (2015) 25. T.A. Growden, E.R. Brown, W.-D. Zhang, R. Droopad, P.R. Berger, Appl. Phys. Lett. 107, 153506 (2015) 26. T.A. Growden, W. Zhang, E.R. Brown, D.F. Storm, D.J. Meyer, P.R. Berger, N. Light, Sci Appl 7, 17150 (2018) 27. E. R. Brown, W-D. Zhang, T. A. Growden, D. F. Storm, D. J. Meyer, and P. R. Berger, Noise Measurements of High-Speed, Light-Emitting GaN Resonant-Tunneling Diodes, (2018). https://arxiv.org/pdf/1806.09270.pdf 28. E. R. Brown, W-D. Zhang, T. A. Growden, P. R. Berger, R. Droopad, (2018)., https://arxiv.org/ abs/1804.07666 29. D.F. Storm, D.A. Deen, D.S. Katzer, D.J. Meyer, S.C. Binari, T. Gougousi, T. Paskova, E.A. Preble, K.R. Evans, D.J. Smith, J. Cryst. Growth 380, 14–17 (2013) 30. Silvaco ATLAS. (2016). www.silvaco.com 31. B.K. Ridley, B.E. Foutz, L.F. Eastman, Phys. Rev. B 61, 16862 (2000) 32. T.P.E. Broekaert, W. Lee, C.G. Fonstad, Pseudomorphic In0.53 Ga0.47 As/AlAs/InAs resonant tunneling diodes with peak-to-valley current ratios of 30 at room temperature. Appl. Phys. Lett. 53, 1545 (1988)

9 Fabrication and Characterization of GaN/AlN Resonant Tunneling Diodes

281

33. D. Zanato, S. Gokden, N. Balkan, B.K. Ridley, W.J. Schaff, Semicond. Sci. Technol. 19, 427– 432 (2004) 34. T. Inata, S. Muto, Y. Nakata, S. Sasa, T. Fujii, S.A. Hiyamizu, Jpn. J. Appl. Phys. 26, L1332– L1334 (1987) 35. E. Ozbay, D.M. Bloom, D.H. Chow, J.N. Schulman, IEEE Electron Dev. Lett. 14, 400 (1993) 36. J.F. Whitaker, G.A. Mourou, T.C.L.G. Sollner, W.D. Goodhue, Appl.Phys. Lett. 53, 385 (1988) 37. Digital and Mixed Signal Oscilloscopes, MSO/DPO70000 Series Datasheet, (Tektronix, U.S., 2015) p. 17 38. E.R. Brown, C.D. Parker, T.C.L.G. Sollner, A.R. Calawa, M.J. Manfra, C.L. Chen, S.W. Pang, K.M. Molvar, High-speed resonant-tunneling diodes made from the In0.53Ga0.47As/AlAs system. SPIE Proc High Speed Elec Device Scaling 1288, 122 (1990) 39. E.R. Brown, High-speed resonant-tunneling diodes, in Heterostructure and Quantum Devices, ed. by N. G. Einspruch, W. R. Frensley, (Academic, Orlando, 1994), pp. 306–347 40. Keysight, https://literature.cdn.keysight.com/litweb/pdf/5991-3904EN.pdf?id=2447379 41. W.-D. Zhang, E.R. Brown, T.A. Growden, P.R. Berger, R. Droopad, IEEE Trans Electron Devices 63, 4993–4997 (2016) 42. D. F. Storm, T. A. Growden, W-D. Zhang, D. S. Katzer, M. T. Hardy, D. J. Meyer, E. R. Brown and P. R. Berger, RF-MBE growth of AlN/GaN/AlN resonant tunneling diodes on freestanding GaN and GaN templates, in Proceedings of 34th North American Molecular Beam Epitaxy Conference (Alberta, 2018) 43. E.R. Brown, W.D. Goodhue, T.C.G. Sollner, J. Appl. Phys. 64, 1519–1529 (1988) 44. E.R. Brown, O.B. McMahon, L.J. Mahoney, K.M. Molvar, Electron. Lett. 32, 938–940 (1996) 45. S.P. DenBaars, D. Feezell, K. Kelchner, S. Pimputkar, C.C. Pan, S. C-C Yen, Y. Tanaka, N. Zhao, N. Pfaff, R. Farrell, M. Iza, S. Keller, U. Mishra, J.S. Speck, S. Nakamura, Acta Mater. 61, 945–995 (2013) 46. M.A. Zimmler, J. Bao, I. Shalish, W. Yi, V. Narayanamurti, F. Capasso, Nanotechnology 18, 395201 (2007) 47. Y.P. Varshni, Physica 34, 149–154 (1967) 48. S.M. Sze, Physics of Semiconductor Devices, 2nd edn. (John Wiley and Sons, New York, 1981) 49. O. Ambacher, B. Foutz, J. Smart, J.R. Shealy, N.G. Weimann, K. Chu, M. Murphy, A.J. Sierakowski, W.J. Schaff, L.F. Eastman, R. Dimitrov, A. Mitchell, M. Stutzmann, J. Appl. Phys. 87, 334–344 (2000) 50. D. Carvalho, K. Müller-Caspary, M. Schowalter, T. Grieb, T. Mehrtens, A. Rosenauer, T. Ben, R. García1, A.R. Cubero, K. Lorenz, B. Daudin, F.M. Morales, Sci. Rep. (2016). https://doi.org/10.1038/srep28459 51. E.O. Kane, J. Phys. Chem. Solids 12, 181–188 (1959) 52. G. Martin, A. Botchkarev, A. Rockett, H. Morkoc, Appl. Phys. Lett. 68, 2541–2543 (1996) 53. W. Vandenberghe, B. Soree, W. Magnus, F. Groeseneken, J. Appl. Phys. 107, 054520 (2010) 54. I. Vurgaftman, J.R. Meyer, L.R. Ram-Mohan, J. Appl. Phys. 89, 5815 (2001) 55. K.F. Berggren, B.E. Sernelius, Phys. Rev. B 24, 3240 (1984) 56. E. Burstein, Phys. Rev. 93, 632 (1954) 57. T.S. Moss, Proc Phys Soc B 67, 775 (1954) 58. M. Bouzidi, Z. Benzarti, I. Halidou, S. Soltani, Z. Chine, B. El Jani, Mat Sci Semicon Processing 42, 273 (2016)

Chapter 10

Non-contact Metrology for mm-Wave and THz Electronics Kubilay Sertel and Georgios C. Trichopoulos

1 Introduction Recent advances in compound semiconductor materials and processing techniques are enabling extremely fast electronic devices that could “bridge” the so-called THz gap, joining the microwave and infrared ends of the electromagnetic spectrum. Among the key applications that drive ultra-high-speed electronics are deep space spectroscopy [1], medical, pharmaceutical, and security imaging [2, 3] and highspeed communications [4]. These areas are being pursued under focused research programs funded by the federal government agencies, such as the Department of Defense (DoD), National Science Foundation (NSF), and National Institutes of Health (NIH), clearly demonstrating the need for basic science and the associated broader societal impact resulting from this concerted effort. All-electronic integrated systems that can provide ultrafast switching at frequencies covering 30–1000 GHz (0.03–1 THz) are desperately needed to develop compact and costeffective solutions in communications, control, and sensing applications. Recent achievements in high-speed electronics include novel device topologies, such as high-electron-mobility transistors (HEMTs) on III-V small band gap semiconductors (InGaAs and InP). These ultrafast transistors have already achieved an operational frequency above 1 THz [5] and are used in mixers, amplifiers, and low-noise oscillators. In addition, novel and unconventional device topologies,

K. Sertel () Electrical and Computer Engineering Department, ElectroScience Laboratory, The Ohio State University, Columbus, OH, USA e-mail: [email protected] G. C. Trichopoulos () School of Electrical, Computer, and Energy Engineering, Arizona State University, Tempe, AZ, USA e-mail: [email protected] © Springer Nature Switzerland AG 2020 P. Fay et al., High-Frequency GaN Electronic Devices, https://doi.org/10.1007/978-3-030-20208-8_10

283

284

K. Sertel and G. C. Trichopoulos

such as plasma-wave field-effect transistors (FETs) [6] and heterostructure resonant tunneling devices are being developed to push the state-of-the-art deeper into the THz band. In spite of this focused effort, the steep cost of current technology is one of the key factors slowing the proliferation of millimeter-wave (mm-Wave) and THz applications due to expensive and bulky hardware such as vacuum electronic sources and amplifiers, as well as power-hungry laser-based systems. However, and perhaps more importantly, testing and characterization of such new devices have long been a challenge at their intended operation frequencies, further inhibiting expedient research and development in high-speed, high-performance next-generation electronics and photonics technologies. Typically, the characterization of highfrequency integrated circuits (ICs) is either performed in a waveguide environment after packaging [7] or directly on the wafer using expensive and increasingly fragile contact probes. Thus, there is a growing need for accurate, repeatable, and reliable metrology tools for evaluating next-generation electronic devices and integrated circuits. Evidently, on-wafer characterization of the abovementioned THz-frequency devices is crucial. To do so, analytical contact probes are used for S-parameter characterization of active/passive devices and ICs, since the measurements taken after packaging do not reflect the native performance of the device or the integrated circuit [7]. For on-chip testing at frequencies beyond 100 GHz, waveguide-based frequency extenders must be used in conjunction with conventional vector network analyzers (VNAs). Due to the waveguide-based topology of VNA extenders, the measurement bandwidths of these probes are limited to the fundamental waveguidemode frequencies. For proper operation, the ground-signal-ground (GSG) probe tip is carefully transitioned to the waveguide flange to minimize insertion losses for on-chip measurements. Contact-probe tips are typically fabricated in the form of thin silicon chips (Dominion MicroProbes Inc.), thin-film microstrip lines (Cascade Microtech Inc.), or micro-coaxial transmission lines (GGB Industries) that require micromachining and/or micro-fabrication. Also, the probe tips are affixed to the probe body via mechanical clamping; as such, they are susceptible to vibrations in the measurement setup and flex under stress during contact. In addition, the sharp tips are often used to break through the thin passivation layers on the wafer to make electrical contact with the pads. This process puts undue physical stress on the probe tips, further limiting the lifetime of contact probes. More importantly for mm-Wave and THz frequency probes, unless the contact force between the probe tip and test chip is kept under a threshold value, tip metallizations typically wear off (as illustrated in Fig.10.1), resulting in detrimental mismatches. At this point, the damaged probe tips need to be replaced by the vendor to restore performance. Under “ideal” conditions, contact probes can be quite effective and exhibit long life cycles. For instance, Dominion MicroProbes, Inc. rates their probes to over 10,000 contact cycles. Nevertheless, we note that this rating is for a carefully controlled environment where the contact force is precisely kept below a certain threshold [8]. In practice, human operator can easily exceed the threshold for the contact force and damage the probe tip. Current research efforts continue to circumvent this drawback of conventional contact probes. For instance, in [9], an

10 Non-contact Metrology for mm-Wave and THz Electronics

285

Fig. 10.1 Contact-based micro-probes for chip testing require (a) sophisticated and costly setups to minimize vibrations due to the test environment and (b) nanofabricated probe tips to make physical contact with the test wafer. As such, these probes are prone to wear and tear, incurring significant operation cost [8]

integrated strain sensor is used as a feedback device to control and monitor the contact force and planarity angle in order to mitigate the fragility issues mentioned above. Commercially available probes are also limited to single fundamental mode device characterization. That is, although differential IC architectures allow twice the speed and noise performance, lack of characterization tools above 110 GHz is a key limiting factor for IC designers. Only recently a balun-integrated version of the contact probes was proposed for differential-mode characterization up to 110GHz [10]. It is also important to note that conventional contact probes are rather expensive and available only up to 1 THz. In an effort to circumvent the above-mentioned shortcomings of contact probes, our team recently proposed and demonstrated a novel technique that enables non-contact characterization of on-chip components. This major achievement was supported by the Office of Naval Research (ONR) Multidisciplinary University Research Initiative (MURI) program on Devices and Architectures for Terahertz Electronics (DATE). In [11, 12], we published the very first results pertaining to one-port non-contact measurements. Subsequently, in [13, 14] we demonstrated both one-port and two-port calibration and measurement capability with non-contact probes for the first time, along with a preliminary repeatability study. More recently, we extended this approach to differential-mode on-wafer characterization at submmW frequencies well beyond currently achievable with contact probes [15, 16]. As outlined in detail below, non-contact probes rely on quasi-optical coupling to enable signal injection from the VNA test ports to the coplanar environment of the device under test, without making any physical contact (see, e.g., Fig. 10.2).

286

K. Sertel and G. C. Trichopoulos

Fig. 10.2 Computer rendering of our novel non-contact probing technology, illustrating the wave fronts and the quasi-optical contact-free coupling of test signals onto the test wafer

This contact-free link is achieved via “radiative” coupling of the test ports onto the planar slot antennas that are monolithically integrated with the device under test. To enable accurate S-parameter measurements, repeatable errors due to reflections and losses in the non-contact test bed are calibrated using on-wafer standards in the form of shorted coplanar waveguide (CPW) lines with varying electrical lengths, as described in [17]. The main advantages of non-contact wafer probing are: • Non-contact calibration and metrology: Our novel approach enables fast and accurate device characterization on wafer, without making physical contact with the chip. As such, there is no wear and tear to the chip or the test bed, significantly improving reliability and repeatability as well as eliminating much of the associated testing cost. • Cost-effective fully automated test bed: Due to the non-contact nature of our approach, fully-automated, unattended testing of every single chip on an entire electronic wafer is feasible at the fraction of the cost of current commercial systems. • Enables comprehensive testing in mmW and THz bands: The novel on-chip antennas and baluntennas enable single-mode and differential-mode characterization, well beyond the current state of the art of contact probes, resulting in a comprehensive and elegant solution for the entire mm-Wave/THz band. • Much reduced equipment and maintenance cost: The per-unit cost of the noncontact test bed is much lower than the conventional test equipment available today. With the added savings in labor and replacement costs of damaged probes, this new technology offers orders of magnitude savings in testing costs. The novel wafer testing system developed under this program is the world’s first non-contact high-performance metrology solution for high-frequency electronic device and IC testing. Our proprietary test bed consists of an automated probe station and virtual, contact-free probes, enabling—for the first time—fully automated S-parameter characterization of electronic devices and ICs for the entire mmW and THz bands. The proposed approach is simple, effective, and easily scalable into the THz band and beyond. Owing to the non-contact nature, the new probe is free from fragility and wear-and-tear issues of traditional contact-based probes. This new

10 Non-contact Metrology for mm-Wave and THz Electronics

287

technique is the only solution for testing single-mode and differential devices and ICs at extremely high frequencies and can easily be scaled to beyond 1 THz. Perhaps more importantly, this new approach enables fully-automated noncontact probing and unattended inspection of every single chip on entire wafers, thus eliminating the high labor and maintenance costs associated with state-of-the-art contact probe-based systems. Our approach embodies the following comprehensive functionality: • • • • •

On-wafer S-parameter measurements for mmW and THz bands. No wear-and-tear, eliminating key shortcomings of contact-based probes. Sub-micron alignment repeatability for reliable and repeatable measurements. Differential-mode measurements for mmW and THz bands, for the first time. Multi-port device and IC characterization enabled by accurate on-wafer calibration. • Universal, comprehensive, cost-effective test bed for the entire mmW-THz band. In the following, we discuss the non-contact probe setup in detail and demonstrate its performance for on-chip S-parameter measurements for 325–750 GHz bands.

2 Non-contact Probe Setup: Concept and Implementation Conventionally, on-wafer S-parameter measurements in the mmW and THz bands are performed using a VNA, and frequency extender modules are utilized to scale the frequency range beyond 100 GHz. The novel non-contact metrology technique recently demonstrated by our team for on-chip device characterization eliminates the need for physical contact with test wafer and avoids the aforementioned drawbacks and shortcomings of contact probes. Operation concept of the “noncontact” probes is illustrated in Fig. 10.3, with the test device/wafer shown in the inset on the top. The incident test signal is launched from a horn antenna attached to a VNA extender module and is focused onto a planar antenna on the test wafer through an off-axis parabolic mirror and a hemispherical lens. The test wafer is placed at the focal plane of the lens, as such, the test signal is coupled into the device through a quasi-optical link. The signal reflected from the device is transmitted back by the incident on-chip antenna which re-radiates toward the horn antenna of the incident VNA port. This signal path enables reflection measurements (S11 and S22 ) of the device. The signal transmitted through the test device is coupled out of the wafer via a second on-chip antenna connected to the output port of the device, which radiates toward the second VNA port, enabling transmission measurements (S12 and S21 ). To achieve efficient coupling into and out of the test wafer, the radiation pattern of planar on-wafer antennas and the quasi-optical link alignment are carefully optimized. We should remark that in Fig. 10.3 the incident and transmitted beam angles are exaggerated to underline the physical principle of the non-contact probes concept. In reality, the incident and transmitted beam

288

K. Sertel and G. C. Trichopoulos

Fig. 10.3 Illustration of the non-contact probe setup [13, 14]

angles are quite small (85%) [18] of both the diagonal horn antennas at the output of the VNA extenders and the planar butterfly antennas on the test wafer (with Gaussicity >60%) [19]. The resulting quasi-optical system can efficiently couple waveguide ports of the frequency extension modules into the CPW environment of integrated devices or circuits. With this technique, the physical contact to the test wafer is completely eliminated. Concisely, our non-contact THz probe comprises two key features: 1. A quasi-optical setup for the effective radiative coupling between on-chip planar antennas and the test ports of a standard VNA. 2. Broadband, butterfly-shaped slot antennas integrated with the device on the test wafer. A prototype using commercial off-the-shelf (COTS) optomechanical components is shown in Fig. 10.4, where the incident beam direction is controlled by placing the VNA extention modules on inclined surfaces. The beam is reflected per-

10 Non-contact Metrology for mm-Wave and THz Electronics

289

Fig. 10.4 Prototype of the non-contact probes setup [13, 14] ◦

pendicularly using 90 off-axis parabolic mirrors resulting in collimated Gaussian beams as desired [19]. Accurate alignment of the quasi-optical sub-system in the non-contact probe setup is of utmost importance to minimize insertion loss and maximize the overall probe dynamic range. It is important to note that once the quasi-optical system is aligned, all subsequent measurements (including the calibration) are collected using the same quasi-optical subsystem, eliminating any non-repeatable uncertainty in the measured data, all without physical contact to the test wafer. We also remark here that, compared to contact probes, the non-contact probes are much less prone to device misalignment. This is due to the “distributed” nature of coupling between the incident THz beam and the on-chip antenna. This is in stark contrast to contact probe performance, where contact point misalignment directly impacts the phase center of the signal on the transmission line. Furthermore, the quasi-optical nature of the setup makes it much less sensitive to vibrations, to a degree that no special vibration isolation is required, which is another major advantage over contact probes for mmW and THz band characterization. As mentioned above, a key feature of non-contact probes is the butterfly-shaped, broadband double-slot antennas that are integrated with the device under test on the same chip. We design and optimize the impedance and radiation pattern of the on-chip antennas, as in [20, 21], to satisfy three key requirements: • Widest bandwidth, commensurate with the VNA frequency extender modules. • Optimal impedance match to the on-chip CPW device environment. • Optimal polarization and pattern match for robust coupling to the VNA ports.

290

K. Sertel and G. C. Trichopoulos

Fig. 10.5 DC power injection onto the test wafer through on-chip antenna pads

To do so, full-wave moment method (MoM) tools [22] are used. For accurate onwafer calibration, we adopt an available technique used in high-frequency contact probes [17], as briefly discussed below. The use of DC power is still required for non-contact testing of active devices. We note, however, that the non-contact mmW/THz signal beams couple to the device environment from the back side of the device wafer. As such, the top side of the test wafer is available for supplying the DC power to active components. Consequently, it is rather straightforward to implement any DC biasing scheme in conjunction with the non-contact probe setup for the characterization of active devices (e.g., wire bonds or DC fixtures as shown in Fig. 10.5). We also note that the commercial DC probes are extremely cost-effective and do not contribute to the overall cost and complexity of the proposed non-contact probing system.

3 On-wafer Calibration of Non-contact Probes An accurate calibration of the proposed non-contact probing system can be readily implemented using multiple, shorted CPW lines as the standards, as described previously in [17]. However, different from [17], the contact probe landing pads are replaced by planar butterfly antennas in our setup. For example, non-contact probe antennas attached to calibration standards (offset short CPWs) for the 325– 500 GHz band are shown in Fig. 10.6, where the lengths of shorted transmission lines are chosen such that they add up to a full span around the Smith chart, as shown in Fig. 10.7.

10 Non-contact Metrology for mm-Wave and THz Electronics

291

Fig. 10.6 On-chip butterfly antenna standards for two-port calibration of the non-contact probes (spacing between adjacent antennas is 200 μm as indicated) [13, 14]

Fig. 10.7 Re-measured calibration standards compared with the definitions used in the calibration for the 325–500 GHz band [13]

In our non-contact probe setup, the quasi-optical alignment is fixed, resulting in fixed probe antenna positions on the wafer. As such, the standard TRL calibration is not readily applicable. As an alternative, the self-defined line-reflect-reflect-reflect (LRRR) calibration [23] and a least-squares algorithm [24] are commensurate with this implementation. Figure 10.7 shows the comparison of “re-measured” standards with full-wave simulations on the Smith chart for the 325–500 GHz band. A similar

292

K. Sertel and G. C. Trichopoulos

procedure results in a similar response for higher as well as lower frequencies, verifying the accuracy and repeatability of the non-contact calibration. The remeasured response of the calibration standards was within 0.1 dB in magnitude and 3◦ in phase for the 325–500 GHz band and 0.2 dB and 5◦ for the 500–750 GHz band, respectively. A key technical characteristic of the non-contact probe setup is that it exhibits constant insertion loss as a function of frequency due to its quasi-optical nature. This is particularly advantageous when testing higher frequencies where existing probes suffer from high insertion losses. Practically, the same quasi-optical setup can be used to characterize devices starting from mm-Wave to THz frequencies (60 GHz to 3 THz) without significant change in the system losses. Furthermore, unlike the contact probes requiring sophisticated control on the testing process (such as applied contact force, over-travel distance, planarity, etc.), the non-contact probes are easily manipulated without any concern of damaging expensive test equipment. The only requirement is to fabricate the non-contact probe antennas together with the test device on the same wafer, much like the landing pads for analytical contact probes. Another key advantage of the proposed non-contact approach is the significant reduction of the overall cost of the testing setup. For instance, the relatively modest price of contact probes for the WR 12.2 (60–90 GHz) increases exponentially through WR 1.5 (500–750 GHz). Thus, a two-port contact probe assembly covering the 60 GHz to 1.1 THz band typically costs on the order of $100,000, not including the cost of the high-end probe stations and the vibration-free optical tables that must be used. On the contrary, the cost of the non-contact probes described above is a small fraction of this cost while providing significant cost savings to the operator. Representative performance of two-port non-contact characterization for 90– 750 GHz can be found in [13]. In [25, 26] a representative high-electron-mobility transistor layout was used to validate this new approach, as shown in Fig. 10.8. In light of these performance validations, a short list of advantages of the non-contact probe can be summarized as follows: • Scalability: The non-contact probe concept can be scaled to cover 30–3000 GHz, and the losses and wear/tear issues do not increase with frequency. • Longevity: There is no inherent limitation to the number of measurements since there is no degradation of the probe equipment or the test wafer. • Modularity: The quasi-optical subsystem and the VNA are used for the entire frequency band; only the frequency extender modules need to be swapped for each sub-band.

10 Non-contact Metrology for mm-Wave and THz Electronics

293

Fig. 10.8 Validation of the non-contact system for accurate characterization of high-electron-mobility transistor (HEMT) parasitics [25, 26] for the entire 90–750 GHz band

4 Differential-Mode Non-contact Probing via On-chip Baluntennas Differential circuit topologies are the building blocks of modern microwave monolithically integrated circuits (MMICs) [27, 28]. Thanks to their better noise performance, relatively simple topology, high gain, and self-compensating balanced operation, differential circuits are widely used as low-noise amplifiers, oscillators, and mixers. On-wafer characterization of differential MMICs is typically carried out using dual contact probes, although often only the pure differential-mode response is the needed [29, 30]. However, using a pair of contact probes for each of the input and output ports of the test device means a four-port network analyzer is needed for differential-mode characterization. Alternatively, on-probe baluns can be used to enable two-port VNA pure differential-mode measurements [31–35]. To date, available systems can only address on-wafer characterization up to 100GHz for differential-mode metrology. As such, there is ample need for new tools to enable differential-mode on-wafer characterization for THz frequencies. Our non-contact probing approach [13] relies on on-chip antennas. Through proper design, the antenna topology can be modified to enable pure differentialmode on-wafer metrology beyond 100GHz. In fact, it is rather straightforward to modify the feeds ports of the butterfly antennas used in non-contact single-mode probing to create “baluntennas” with very high common-mode rejection ratios. In this setup, the new antenna acts as the 180◦ hybrid and couples only the differential CPW mode to the quasi-optical link. The modified butterfly antenna structure is shown in Fig. 10.9, where the dual CPW ports are shown, as well as the wideband impedance matching stubs and the landing pads for DC for biasing. As depicted in Fig. 10.10, the common-mode and the differential-mode radiation patterns of this antenna structure exhibit dramatically different characters. A differential-mode excitation of the dual CPW feeds creates a single-lobe radiation beam, similar to that of the original single-mode non-contact butterfly antenna as

294

K. Sertel and G. C. Trichopoulos

Fig. 10.9 Differential-mode non-contact butterfly baluntenna. Dimensions are given for 220–325 GHz band operation

Fig. 10.10 Radiation patterns of the differential- and common-mode baluntenna, (a) illustrating the null in the broadside radiation pattern for common-mode excitation, and (b) a well-behaved antenna pattern for differential-mode CPW excitation

illustrated in Fig. 10.10b. On the other hand, a common-mode excitation of the dual CPW feeds results in a beam that exhibits a null toward the optical axis, effectively eliminating significant coupling into the quasi-optical link. By simply replacing the original single-mode butterfly antennas with the baluntennas shown in Fig. 10.9 and using the same quasi-optical coupling setup, pure differential-mode non-contact on-wafer device and IC characterization for the entire 55 GHz–1.5 THz band are enabled.

10 Non-contact Metrology for mm-Wave and THz Electronics

295

Fig. 10.11 Pure differential-mode on-chip characterization of the lead parasitics of a typical dual HEMT. (a) Device topology within a dual CPW environment, measurements reference ports, and the on-chip baluntennas, (b) computed and measured two-port S-parameters

A typical differential-mode on-wafer device measurement is shown in Fig. 10.11 for the 220–320 GHz band. The topology is a dual HEMT integrated into a dual CPW environment. As seen in Fig. 10.11a, the test device is integrated with onchip baluntennas for each port. The calculated and measured pure differential-mode S-parameters of the device are given in Fig. 10.11b.

5 System-Level Demonstration of Fully Automated Non-contact Probing Our current system-level functional prototype includes the automated hardware and the prototype software, which enable, for the first time, fully-automated noncontact on-wafer calibration and measurements. To demonstrate the accuracy and

296

K. Sertel and G. C. Trichopoulos

Fig. 10.12 Smith chart representation of the S-parameters of the five known calibration standards. The point cloud data represents (a) 10 successive measurements and (b) 40 successive measurements conducted in the 220–330 GHz band

the repeatability performance, we programmed our prototype to initially calibrate the VNA using 5 known standards and the collect multiple measurements of the same standards to assess repeatability. This is a commonly used technique which was also employed in [17, 36] using manually operated wafer probing. The initial results are impressive. For example, Fig. 10.12 shows the Smith chart representation of 10 and 40 successive measurements in the form of a point cloud collected after an initial calibration. As seen, the performance exhibits excellent repeatability, as well as accuracy. To further demonstrate and quantify the repeatability performance of our automated prototype, we collected the standard deviation (σ) of the measured data shown in Fig. 10.12. In addition, we collected 20 and 30 successive measurements of the same devices and summarized the standard deviation in measured signal magnitude and phase at the mid-band frequency of 275GHz (after initial calibration). The measured data is summarized in Table 10.1 below. The highlight of the repeatability data presented in Table 10.1 is that our automated system exhibits an impressive 0.75% deviation across the board in signal magnitude and better than 0.5◦ in signal’s phase. Compared to the data reported in [36] that used manually operated measurements, our initial automated measurement results were 2× to 5× better in repeatability. This is truly a first in probing industry, where the contact resistance and probe landing position plague the signal repeatability in magnitude and signal phase, respectively.

10 Non-contact Metrology for mm-Wave and THz Electronics

297

Table 10.1 Repeatability performance of automated non-contact wafer probing prototype for the 220–330 GHz band Cal. Standards Stan. Dev. (σ) Ref. short Ref.+d Ref.+2d Ref.+3d Ref.+4d Overall Mean Overall σ

10 Measurements Mag (%) Phase (o ) 0.80 0.37 0.76 0.43 0.92 0.67 0.98 0.10 0.34 0.70 0.76 0.45 0.25 0.25

20 Measurements Mag (%) Phase (o ) 0.68 0.35 0.72 0.59 0.87 0.62 0.82 0.12 0.35 0.61 0.69 0.46 0.20 0.22

30 Measurements Mag (%) Phase (o ) 0.68 0.38 0.74 0.58 0.99 0.62 0.85 0.15 0.35 0.63 0.72 0.47 0.24 0.21

40 Measurements Mag (%) Phase (o ) 0.70 0.37 0.71 0.60 1.12 0.62 0.96 0.17 0.31 0.64 0.76 0.48 0.31 0.21

Acknowledgements The authors express their gratitude for the support of the ONR DATE MURI program, and Dr. Paul Maki, the Program Manager. We also acknowledge the support and the leadership of the Project PI, Prof. Patrick Fay of Notre Dame University. Finally, we acknowledge the postdocs and graduate students that contributed to this effort.

References 1. P. Siegel, Terahertz technology. IEEE Trans Microw Theory Tech 50(3), 910–928 (2002) 2. J.-H. Son, Terahertz electromagnetic interactions with biological matter and their applications. J. Appl. Phys. 105(10), 102033 (2009) 3. J. Federici, B. Schulkin, F. Huang, F. Gary, R. Barat, F. Oliveira, D. Zimdars, THz imaging and sensing for security applications - explosives, weapons and drugs. Semicond. Sci. Technol. 20(7), S266 (2005) 4. H.-J. Song, T. Nagatsuma, Present and future of terahertz communications. IEEE Trans Terahertz Sci Tech 1(1), 256–263 (2011) 5. X. Mei et al., First demonstration of amplification at 1 THz using 25-nm InP high electron mobility transistor process. IEEE Electron Device Letters 36(4), 327–329 (2015) 6. M. Dyakonov, M. Shur, Detection, mixing, and frequency multiplication of terahertz radiation by two-dimensional electronic fluid. IEEE Trans Electron Devices 43(3), 380–387 (1996) 7. K. Leong, W. Deal, V. Radisic, X.B. Mei, J. Uyeda, L. Samoska, T.G. Fung, R. Lai, A 340-380 GHz integrated CB-CPW-to- Waveguide transition for sub millimeter-wave MMIC packaging. IEEE Microw Wireless Comp Lett 19(6), 413–415 (2009) 8. T. Reck, L. Chen, C. Zhang, A. Arsenovic, C. Groppi, A. Lichtenberger, R. Weikle, N. Barker, Micromachined probes for submillimeter-wave on-wafer measurements part I: Mechanical design and characterization. IEEE Trans Terahertz Sci Technol 1(2), 349–356 (2011) 9. Q. Yu, M. Bauwens, C. Zhang, A. Lichtenberger, R. Weikle, N. Barker, Improved micromachined terahertz on-wafer probe using integrated strain sensor. IEEE Trans Microw Theory Tech 61(12), 4613–4620 (2013) 10. C. Zhang, M. Bauwens, N.S. Barker, R.M. Weikle, A.W. Lichtenberger, A W-band micromachined on-wafer probe with integrated balun for characterization of differential circuits. IEEE Trans Microw Theory Tech 64(5), 1585–1593 (2016) 11. C. Caglayan, G. C. Trichopoulos, and K. Sertel, On-wafer device characterization with noncontact probes in the THz band,” IEEE Antennas and Propagation Society International Symposium (APSURSI), (2013), pp. 1134–1135

298

K. Sertel and G. C. Trichopoulos

12. C. Caglayan, G. C. Trichopoulos, and K. Sertel, Non-contact probes for device and integrated circuit characterization in the THz and mmW bands, IEEE MTT-S International Microwave Symposium, (Tampa, FL, 2014), pp. 1–3 13. C. Caglayan, G.C. Trichopoulos, K. Sertel, Non-contact probes for on-wafer characterization of sub-millimeter-wave devices and integrated circuits. IEEE Trans Microw Theory Tech 62(11), 2791–2801 (Nov. 2014) 14. U.S. Patent 9,488,572; Nov. 8, 2016, Non-Contact Probe Measurement Test Bed for Millimeter Wave and Terahertz Circuits, Integrated Devices/Components, Systems for Spectroscopy Using Sub-Wavelength-Size Samples, Inventors: K. Sertel, G. Trichopoulos, and C. Caglayan 15. C. Caglayan and K. Sertel, Non-contact differential-mode on-wafer device characterization in the mmW and THz Bands, IEEE MTT-S International Microwave Symposium, (San Francisco, CA, 2016), pp. 1–3 16. U.S. Provisional Patent Application No. 62/338,570 filed May 19, 2016, On-Chip, Wideband, Differentially-Fed Antennas with Integrated Bias Structures, Inventors: Kubilay Sertel, Georgios Trichopoulos, and Cosan Caglayan 17. L. Chen, C. Zhang, T. Reck, A. Arsenovic, M. Bauwens, C. Groppi, A. Lichtenberger, R. Weikle, N. Barker, Terahertz micromachined on-wafer probes: Repeatability and reliability. IEEE Trans Microw Theory Tech 60(9), 2894–2902 (2012) 18. A. Love, The diagonal horn antenna. Microw. J. 5, 117–122 (1962) 19. D. Filipovic, S. Gearhart, G. Rebeiz, Double-slot antennas on extended hemispherical and elliptical silicon dielectric lenses. IEEE Trans Microw Theory Tech 41(10), 1738–1749 (1993) 20. G.C. Trichopoulos, H. Mosbacker, D. Burdette, K. Sertel, A broad- band focal plane array camera for real-time THz imaging applications. IEEE Trans Antennas Propag 61(4), 1733– 1740 (2013) 21. U.S. Patent 9,490,280; Nov. 8, 2016, Miniature Phase-Corrected Antennas for High Resolution Focal Plane THz Imaging Arrays, Inventors: K. Sertel, G. Mumcu 22. K. Sertel, J.L. Volakis, Integral Equation Methods for Electromagnetics (Scitech Publishing Inc, Chennai, 2012) 23. I. Rolfes, B. Schiek, LRR-a self-calibration technique for the calibration of vector network analyzers. IEEE Trans. Instrum. Meas. 52(2), 316–319 (2003) 24. K. Wong, Uncertainty analysis of the weighted least squares VNA calibration, 64th ARFTG Microwave Measurements Conference, December 2004, pp. 23–31 25. Y. Karisan, C. Caglayan, G.C. Trichopoulos, K. Sertel, Lumped-element equivalent-circuit modeling of millimeter-wave HEMT parasitics through full-wave electromagnetic analysis. IEEE Trans Microw Theory Tech 64(5), 1419–1430 (2016) 26. Y. Karisan, C. Caglayan, G.C. Trichopoulos, K. Sertel, Sub-millimeter-wave equivalent circuit model for external parasitics in double-finger HEMT topologies. J Infrared Millim Terahertz Waves 39(2), 142–160 (2018) 27. Y. Li, J. Lopez, P.H. Wu, W. Hu, R. Wu, D.Y.C. Lie, A SiGe envelope-tracking power amplifier with an integrated CMOS envelope modulator for mobile WiMAX/3GPP LTE transmitters. IEEE Trans Microw Theory Tech 59(10), 2525–2536 (2011) 28. J.Y.C. Liu, R. Berenguer, M.C.F. Chang, Millimeter-wave self-healing power amplifier with adaptive amplitude and phase linearization in 65-nm CMOS. IEEE Trans Microw Theory Tech 60(5), 1342–1352 (2012) 29. D. Bockelman, W. Eisenstadt, Pure-mode network analyzer for on-wafer measurements of mixed-mode S-parameters of differential circuits. IEEE Trans Microw Theory Tech 45(7), 1071–1077 (1997) 30. T. Zwick, U. Pfeiffer, Pure-mode network analyzer concept for on-wafer measurements of differential circuits at millimeter-wave frequencies. IEEE Trans Microw Theory Tech 53(3), 934–937 (2005) 31. C. Zhang, M. Bauwens, N. Barker, R. Weikle, and A. Lichtenberger, A W-band balun integrated probe with common mode matching network, 2014 IEEE MTT-S International Microwave Symposium (IMS), June 2014, pp. 1–4

10 Non-contact Metrology for mm-Wave and THz Electronics

299

32. K. Jung, R. Campbell, P. Hanaway, M. Andrews, C. McCuen, W. Eisenstadt, R. Fox, Marchand balun embedded probe. IEEE Trans Microw Theory Tech 56(5), 1207–1214 (2008) 33. K. Jung, L.A. Hayden, O.D. Crisalle, W.R. Eisenstadt, R.M. Fox, P. Hanaway, R.L. Campbell, C. McCuen, M. Lewis, A new characterization and calibration method for 3-dB-coupled onwafer measurements. IEEE Trans Microw Theory Tech 56(5), 1193–1200 (2008) 34. J. S. Kim, W. Eisenstadt, M. Andrew, and P. Hanaway, Analysis and design of impedance transformed balun integrated microwave probe for differential circuit measurement, 2008 IEEE MTT-S International Microwave Symposium (IMS), June 2008, pp. 56–61 35. E. Ojefors, B. Heinemann, U. Pfeiffer, Subharmonic 220- and 320-GHz SiGe HBT receiver front-ends. IEEE Trans Microw Theory Tech 60(5), 1397–1404 (May 2012) 36. C. Caglayan, K. Sertel, Experimental analysis of repeatability and calibration residuals in onwafer non-contact probing. IEEE Trans Microw Theory Tech 65(6), 2185–2191 (2017)

Epilogue

As discussed in the preceding chapters, the material properties of GaN and related III-nitride semiconductors offer significant promise not only for established areas of light generation and detection (for lighting, sensing, and high-density data storage applications) and RF power amplification for wireless communications but also into emerging areas of ultra-high frequency applications as well. Scaling conventional device architectures, as well as unconventional device designs that leverage the unique properties of the nitrides, make this an especially vibrant area of exploration and research; the ongoing maturation of the material promises soon to allow many of these concepts to transfer from the domain of academic and industrial research labs into real-world applications. In the microwave spectrum, GaN HEMTs today deliver the highest-in-class power for frequencies in the 1–100 GHz window owing to the high breakdown voltages of the devices, compared to InP-, SiGe-, and Si-based technologies. In the lowest frequency applications where cost is a more significant metric than performance and efficiency, several nonlinear circuit techniques exploiting silicon CMOS at small gate length nodes are competitive. For the middle frequency regime of the 1–100 GHz window, solving the challenges of linearity and efficient heat management is expected to significantly increase the acceptance and deployment of high-performance nitride HEMTs as replacements of electronics based on narrower band gap semiconductors. For applications above 100 GHz and approaching the THz, nitride electronics can make inroads by (a) improving the speed of HEMTs with further scaling and materials advances and (b) exploiting several of the new techniques discussed in the chapters of this book. Some of the nonlinear techniques can be combined with and take advantage of GaN HEMTs to achieve direct on-chip frequency conversion to generate significant power in the THz window. The existing ecosystem of nitride semiconductor-based photonics and power electronics will significantly aid and inform the search and development for devices that will achieve the goal of significant power generation in the THz window. © Springer Nature Switzerland AG 2020 P. Fay et al., High-Frequency GaN Electronic Devices, https://doi.org/10.1007/978-3-030-20208-8

301

302

Epilogue

The editors are grateful to the authors of the respective chapters for their efforts in bringing together discussions of fundamental material science and transport in semiconductors, as well as device design, advanced simulation methodologies, characterization and metrology techniques, and novel device demonstrations. Much of the work described in chapters 3, 5–10 was supported by the Office of Naval Research through a Multidisciplinary University Research Initiative grant (N0001411-1-0721, N00014-16-1-2686). Notre Dame, IN, USA Ithaca, NY, USA Arlington, VA, USA

Patrick Fay Debdeep Jena Paul Maki

Index

A Absorption spectroscopy, 47, 53, 62, 63, 171 Alloy fluctuation leakage, 69, 77, 79, 125–130, 153, 268 Amplification common-emitter, 153 GaN transistors (see Gallium nitride) plasma wave propagation, 208 RTD-assisted (see Resonant tunnel diode) THETA (see Tunneling hot electron transistor amplifier) THz power (see Terahertz) unconventional approaches, 2 wave, 196 Analytical tunneling current, 149, 215, 217, 218, 223, 228, 230–239, 241, 275 Antennas antenna-coupled amplifier configuration, 207 differential-mode on-wafer metrology, 293 gated HEMT, 173 integration, 164 on-chip antenna pads, 290 S−parameters measurements, 286 Thz radiation, 163 test wafer, 288 VNA, 287 Automated testing, 286, 296

B Breakdown voltage, 7, 9, 11, 12, 16, 17, 38, 90, 100, 110, 136, 268 Bulk Ga-polar GaN substrate, 126, 127, 250, 278

Buried dual gate field effect transistor (BRIDGE FET) technology contour plots, 100 field plate, 100–102 linear operation, 98–100 transfer and transconductance profiles, 98, 99

C Calibration, 104, 168, 209, 285, 286, 290–293, 296 Channel temperature, 28–36 Circuit-level techniques, 93–94, 102–104 Class A, 9, 10, 95 Common-emitter current gain Ga polar THETA device fabrication, 131–132 electrical characteristics, 132–134 epitaxy, 131–132 hot electron transport device fabrication, 139, 140 electrical characterization, 140–143 epitaxy, 139, 140 negative differential resistance, 143–146 N polar THETA device fabrication, 135, 136 electrical characteristics, 136–138 epitaxy, 135, 136 Compact modelling, 90–94 Co-planar waveguide (CPW), 209, 210, 258, 288–290, 293–295 Co-tunneling, 250, 273, 275, 279 Counter-distortion, 102–104 Critical electric field, 7, 36

© Springer Nature Switzerland AG 2020 P. Fay et al., High-Frequency GaN Electronic Devices, https://doi.org/10.1007/978-3-030-20208-8

303

304 Cryogenic temperatures, 162, 166, 194, 203, 218, 224, 225, 234, 255–257 Current density carrier densities, 51 characteristics, 253 charging and transit delay, 124 common-base mode, 133 contact and sheet resistance, 149 current–voltage characteristics, 238 electric field, 96 emitter-base current density, 123–124, 128, 153 GaN/AlN RTDs, 237 GaN RTDs, 257, 258 high-energy electrons, 122 I–V curves, 267 III-Nitride RTDs, 216 PCD, 145 resonant tunneling, 279 RTDs, 237–239 Current gain barrier thickness, 151 electrical characteristics, 148–151 emitter-base barrier engineering, 146–148 epitaxy and device fabrication, 148 Current–voltage curves GaN RTDs cryogenic and high temperatures, 255–257 at room temperature, 252–255 high current density, 257, 258 InGaAs RTDs, 257 D DC bias, 183, 184, 188, 258, 259, 290 Device characterization, 285–287 Device fabrication, 131–132, 135–136, 139, 140, 148, 216, 232, 238, 241 Device-level design BRIDGE FET technology (see BRIDGE FET technology) heat transfer, 90 transconductance profile, 95–98 Device scaling, 2 Differential-mode, 285–287, 293–295 Digital alloys, 125, 126, 128 Discretization, 187–190 Dissipated power, 28, 32, 34, 59, 66 Distributed model, 160–163 Doping, 5, 19–21, 23, 95, 110, 119–123, 135–139, 150, 153, 232, 251, 278, 279

Index Double-barrier resonant tunneling diode (DBRTD), 249, 250, 252, 257, 271, 274 Dynamic range, 101, 289

E Electric fields barrier region, 275 contour plot, 110 depletion region, 17 drain-source region, 7 electron distribution function, 47 vs. electron velocity, 51 GaN, 229 gate-source access region, 6 HEMT operation, 95 high-power applications, 217 thermal improvement, 36 transistor, 38 velocity saturation regime, 44 Electrodynamics, 183–186, 189 Electroluminescence (EL) curve peaks, 277 GaN and InGaAs RTDs, 275–277 in GaN RTDs, 267–273 InGaAs RTDs, 271–275 quantum efficiency, 277–278 Electromagnetic coupling, 170, 172 Electron mobility, 1, 22, 24, 44, 79 Electron-phonon scattering, 48 Electron plasma waves drude conductivity and distributed models, 160–163 GaN experimental demonstration direct electrical probing, 166–169 quasi-optical excitation, 169–173 hydrodynamic transport equations, 163–166 non-linear effects, 163–166 RTD-gated HEMT, 173–177 Electron temperature, 49, 50, 52–54, 58–61, 66–68, 72–76, 185 Electron transport HD model, 164 in HEMT and HBT, 111 Monte Carlo simulation, 116–118 quasi-ballistic, 151 scattering mechanisms, 115–116 in THETA, 112–113, 153 2DEG channel, 184 vertical devices, 110

Index F Feedback linearization, 103 Field effect transistor (FET), 6, 7, 11, 48, 54, 78, 98–101, 163, 182, 185, 210 Field plate, 89, 100–102 Filling factor, 17, 175 Finite difference time domain (FDTD) analytical approaches, 183 electrodynamic equations, 185–186 HD equations, 183 and full-wave equation, 186 modeling, 184–185, 204 HEMT cross-section, 187 geometry, 184 modeling equations, 187 time-space discretization HD equations, 188 Maxwell’s equation, 189–190 Fin-shaped field effect transistor, 95 Frequency extenders, 284, 287, 289, 292

G Gallium nitride (GaN) AlGaN/GaN HEMTs, 22 β-Ga2 O3 , 32 conductivity, 34 HEMTs capacitance, 89–90 self-heating, 90–91 transconductance, 87–89 trapping, 91 high-frequency signals, 2 isotope scattering (see Isotope scattering) JFoM, 8 III-N material system, 2–3 non-linearity, 83–86 polarization-induced 2D electron, 1–2 power density, 18 semiconductor-based devices, 1 trade-offs, 86–87 GaN/AlGaN, 127, 131, 132, 136, 195, 206–208, 217 GaN/AlN heterostructure double-barrier heterostructures, 218 emitter-base barrier, 146 growth and fabrication, 251–252 HEMT, 89 heterointerfaces, 250 injection efficiency, 152

305 material quality, 250 RTDs, 221–226, 239, 241, 251–252, 266 surface morphology, 221 UID GaN layer, 148 Gate capacitance, 161 gm linearity, 95, 96 gm superposition, 95

H Heterojunction, 5, 36–39, 110–112, 126–129, 145, 199, 205, 207–209, 253 Heterojunction bipolar transistor (HBTs), 110–112, 155 High electron mobility transistor (HEMT) AlGaAs/GaAs, 36 AlGaN/GaN, 18, 23, 35, 110 capacitance, 89–90 capacitively-coupled, 209–211 electric field distribution, 110 energy flow diagram, 49 FET devices, 182 GaN-based, 12, 27, 51 grating gate approach, 170, 193 heterostructure designs, 39 isotopic disorder, 78–80 plasma wave instability, 194, 203 RTD-gated, 173–177 self-heating, 90–91 semiconductor, 8 S-parameter, 168 terahertz (see Terahertz) THz absorption, 2, 171 T-gate, 102 transconductance, 87–89 trapping, 91 2DEG, 36–37 High mobility, 95, 98, 162 High-speed characterization GaN RTD oscillations, 266 spice model for GaN RTDs, 266–267 switching (see Switching time) High voltage applications, 1, 90 Hot-carrier injection, 2, 3 Hot electron transistor, 130 Hot electron transport electron transport scattering mechanisms, 115–116 Monte Carlo simulation, 116–118 III-Nitride THETA, 118 quasi-ballistic, 152 THETA, 153 unipolar, 153

306 Hot electron transport (cont.) vertical AlGaN/GaN heterostructures device fabrication, 139, 140 electrical characterization, 140–143 epitaxy, 139, 140 Hydrodynamics (HD) equations, 183–188, 196, 197, 204, 205 FDTD solver (see Finite difference time domain) modelling, 164, 166, 184–185, 193, 196–204, 209 THz emissions, 196–204 transport equations, 163–166

I InGaAs/AlAs RTD, 250–252, 257, 261–263, 267, 271, 279 Insertion loss, 284, 289, 292 Instability, 194–209, 226 Interband tunneling, 226, 250, 272–275, 278, 279 Ionization energy, 19, 20 Isotope scattering analysis, 67–69 creative disorder, 69–76 current saturation, 45–50 device dimensions, 44 discrepancies of masses, 45 electron-LO phonon interaction, 54–55 electron velocity-field curves, 44 evaluation, 58–61 GaN HEMTs, 78–80 LO phonons, 50–54 low-resistance tunneling, 43 momentum relaxation time, 61–66 probability of scattering, 55–58 speed, 43 theoretical analysis, 77–78 velocity vs. field dependence calculation, 66–67

J Johnson’s figure of merit (JFoM) drain-source length, 6 FET, 6 limitations, 7 material parameters, 7, 8 material system, 9 performance prediction, 5 schematic cross-section, 7

Index K Kinetic inductance, 159, 160, 169, 177 Knee voltage, 9, 22 L Large-signal modelling available models circuit, 93–94 physically derived, 92–93 GaN, 91–92 numerical studies, 264 Loadline plot, 9–11, 13 Longitudinal optical (LO) phonons, 46, 47, 111, 164, 217 Low field mobility, 22–28 M Maxwell’s equations, 183, 185–187, 189–190, 194 Microwaves, 1, 2, 218, 226, 237, 239–241, 283, 293 Millimeter-wave, 100, 168, 239 Mobile carriers, 19–22 Mobility AlGaAs/GaAs bipolar transistor, 36 electron velocity-field curves, 44 GaN-based, 8 HEMT, 160 higher channel electron, 1 JFoM, 39 low field, 22–28 MESFET design, 37 RTD-gated plasma-wave, 215 saturation velocity, 90 2DEG electron, 79 Molecular beam epitaxy (MBE), 78, 79, 97, 126, 131, 135, 139, 144, 222, 223, 251 Monte Carlo simulation, 114–118, 143, 147, 153 N Near-UV emission, 250, 268 Negative differential conductance AlN templates, 217 characteristics, 215 configuration, 176 cryogenic temperatures, 225 double-barrier structures, 219 gate channel stack, 173

Index resonant tunneling peak, 235 RTD-NDC, 208 self-oscillations, 240 Negative differential resistance (NDR), 114, 143–146, 152, 153, 252 n+GaN source ledge, 96, 97, 112, 130, 135 III-Nitride THETA high frequency performance, 155 hot electron transport, 112–113 lateral dimensions, 131 Monte Carlo simulation, 114 negative differential resistance, 143–146 RTD high current-density, 237–239 oscillator, 239–241 unipolar transport (see Unipolar transport) Nitrogen isotopes, 2 Non-contact metrology comprehensive functionality, 287 concept and implementation, 287–290 contact-based micro-probes, 285 CPW, 286 FETs, 284 “ideal” conditions, 284–285 on-chip baluntennas, 293–295 on-wafer calibration, 290–293 characterization, 284 proprietary test bed, 286 quasi-optical system, 285–286 system-level demonstration, 295–297 testing and characterization, 284 THz gap, 283 Non-linearity, 83–91, 104 Numerical simulation devices, 3 employed parameters, 195 GaN/AlN RTDs, 254 “symbol” lines, 207 wavenumbers, 206

O Offset short, 290 On-wafer, 167, 168, 239, 284, 287, 290–293, 295 Optical phonons, 2, 45–50, 53, 77, 88, 96 Oscillation frequency, 109, 120, 237, 240, 241, 249 Oscillator allowed vibrational modes, 45 GaN/AlN double-barrier heterostructure, 218

307 mass-spring system, 46 III-Nitride RTDs, 216, 237–241 resonance mechanism, 208 self-oscillation, 266 Output power AlGaN/GaN HEMTs, 28, 35 DC power, 37–38 discrepancy, 23 figure of merit AlGaN/GaN HEMTs vs. frequency, 18 breakdown voltage, 17 calculated as, 10–11 circuit model, 9 drain bias results, 11 electric field, 12 GaN, 15–16 gate voltage, 9 input power level, 10 JFoM, 9 maximum linear power, 12 mobile sheet charge density, 11 operating power gain, 13 optimum loads, 16 power densities, 13, 16–18 short-circuit current gain, 15 unilateral device, 13, 14 power transistors, 39

P Parasitics, 25, 26, 43, 93, 154–155, 233, 293, 295 Peak current, 217, 218, 223, 224, 232, 233, 238, 239, 241, 253, 255, 257 Peak to valley current ratio (PVCR), 145, 217, 224, 238, 239, 250, 257, 263, 279 Peak voltage, 223, 224, 232, 233, 238, 239, 261, 263, 275 Phonon lifetime, 50–52, 58, 67 Phonons electron-LO interaction, 54–55 evaluation, 58–61 group velocity, 49 hot electrons, 45 LO, 49–54, 117, 137, 138, 256 room temperature quantum cascade lasers, 2 scattering, 48, 49, 152, 153, 256 wave vectors, 46 Photon, 46, 215, 216, 236, 284 Plasma-wave full-wave hydrodynamic FDTD (see Finite difference time domain)

308 Plasma-wave (cont.) model validation analytical method, 190–191 prior measurements, 191–194 plasmonic modeling and relevance, 181–182 propagation electron plasma waves (see Electron plasma waves) kinetic inductance, 169 on-wafer S-parameter measurements, 168 physical mechanisms, 2 physics, 166 Plasmonic oscillations, 182, 184, 195, 196 Plasmons coupling, 170 grating gate, 160 modeling and relevance, 181–182 oscillations, 196 plasmon-LO phonon mode, 117, 137, 141, 143 RTD-gated GaN/AlGaN heterojunctions, 207–208 wavelength and wave velocity, 190, 199 wavevector, 115 Polar double-barrier heterostructures GaN/AlN double-barrier RTDs, 221–226 molecular beam epitaxy, 219–221 RTD model, 226–230 Polarization engineering, 149, 226 Polarization field, 218, 223, 224, 226, 228, 230, 235–237, 241, 250 Polarization-induced channel engineering, 272 Polarization-induced threshold voltage, 218, 230, 233–235 Polarization-induced 2DEGs, 1, 2, 166 See also Two dimensional electron gas Polar RTD magnitude, polarization fields, 235–237 model, 226–230 polarization-induced threshold voltage, 233–235 at resonance, 230–233 tunneling features, 218 Power added efficiency (PAE), 28, 34, 35, 96 Power electronics, 1, 109, 167 Power gain, 13–18, 23, 28, 35, 109, 173, 174, 176 Pre-distortion, 104 Probes/probing, 166–169, 284–297

Index R Regrown n+GaN ohmic contact, 97 Repeatability, 254, 285–287, 292, 296, 297 Resonant lineshape, 231, 232 Resonant tunnel diode (RTD) AlGaN tunneling barriers, 218 DBRTD, 249 devices, 216–217 full-wave modeling, 204 GaAs/AlGaAs, 216 NDC, 215 III-nitride-based (see III-Nitride THETA) numerical and analytical solutions, 205–207 plasmon propagation, 207–208 polar model, 226–230 RTD-gated HEMT, 173–177 plasma-wave, 215–216 state-of-the-art, 209 2DEG channel, 208 Resonant voltage, 222, 223, 228, 230–233, 241, 242 RHEED oscillations, 220 RTD design space, 242 RTD model, 226–230, 240 RTD oscillator GaN/AlN RTD, 216 high current-density RTDs, 237–239 III-nitride RTD-oscillator, 239–241 room temperature, 242 switching process, 266 See also Oscillator

S Saturation velocity, 6, 7, 22, 23, 27, 33, 44, 51, 72, 88–90, 111, 121, 203 Self-heating, 87, 89–93 Semiconductors, 19–22 Short channel effects, 37 Short-circuit-current-gain, 6, 15 Small signal models base thickness and doping, 121–123 conclusions, 125 emitter-base current density, 123–124 parameters, 121 physical derivation, 23 Solid-state lighting, 1 S-parameters, 101, 167, 168, 295, 296 Super-lattice castellated field effect transistor, 100

Index Switching time GaN growth methods, 264–266 GaN RTD switching, 263–264 measurement, 261 methods, 258–260 PVCR, 250 qualification with InGaAs RTDs, 260–263

T TEM image, 251 Temperature dependence, 68, 72, 256 Terahertz (THz) DATE, 285 electromagnetic radiation, 160 full-wave HD modeling Dyakonov-Shur (DS) instability, 197 instability mechanism, 197–199 summary of findings, 203–204 ungated InGaAs HEMT, 199–203 velocity saturation and reduced mobility, 203 HEMT-based emitters, 194–196 plasmonic devices, 181, 183 power amplification, 166 RTD-assisted amplification (see Resonant tunnel diode) RTD-gated plasma-wave, 215 Thermal conductivity, 28, 29, 35, 36, 38, 90, 110, 257, 275 Transconductance, 9, 52, 69, 77, 87–89, 94–99, 103, 114, 122, 125, 132, 133, 136, 137, 150, 153, 154 Transistor GaN (see Gallium nitride) high-voltage, 1 isotope engineering (see Isotope scattering) JFoM (see Johnson’s figure of merit) linearity, 98 output power (see Output power) Trapping, 87, 91–93, 100, 250, 254 Tunneling hot electron transistor amplifier (THETA) concept, 109–111 conclusions, 151–154 generation I (see Common-emitter current gain) generation II (see Current gain) III-nitride vertical unipolar devices (see III-Nitride THETA) output conductance reduction, 154 parasitics reduction, 154–155 Two dimensional electron gas (2DEGs), 36, 87, 95, 110, 181, 190, 227

309 AlN barrier, 228 band measurements, 169 carrier mobilities, 1 electron mobility, 79 frequency-dependent response, 175 gated region, 164 graded channel devices, 97 HEMT/FET-based, 182 high-mobility, 98 hydrodynamic modeling, 184–185 n-GaN layer, 96 plasma modes capacitively-coupled HEMT device, 209–211 fabrication and measurements, 211, 212 wave-resonances, 211 radiated power, 202 reflective gain, 195 resonance, 201 saturation velocity, 89 transistor’s power density, 100 zero-frequency differential conductivity, 160

U Unipolar transport, 112, 125–130, 250, 270, 279 conclusions, 129–130 polarization-engineered vertical barriers base-collector barriers, 129 vertical AlGaN/GaN heterojunctions, 126–129

V Vector network analyzer (VNA), 284, 285, 287–289, 292, 293, 296 Velocity saturation, 11, 44, 45, 51, 183, 203

X X-ray diffraction (XRD), 234

Y y-parameters, 14, 23, 24

Z Zener tunneling, 226, 273 z-parameters, 25, 26