Smart Electronic Systems: Heterogeneous Integration of Silicon and Printed Electronics [1. ed.] 3527338950, 9783527338955

Unique in focusing on both organic and inorganic materials from a system point of view, this text offers a complete over

597 49 12MB

English Pages 296 [283] Year 2018

Report DMCA / Copyright

DOWNLOAD FILE

Polecaj historie

Smart Electronic Systems: Heterogeneous Integration of Silicon and Printed Electronics [1. ed.]
 3527338950, 9783527338955

Citation preview

Smart Electronic Systems

Smart Electronic Systems Heterogeneous Integration of Silicon and Printed Electronics

Li-Rong Zheng Hannu Tenhunen Zhuo Zou

Authors Li-Rong Zheng Hannu Tenhunen Zhuo Zou Fudan University Shanghai 200433 China and KTH Royal Institute of Technology 164 40 Kista Sweden

All books published by Wiley-VCH are carefully produced. Nevertheless, authors, editors, and publisher do not warrant the information contained in these books, including this book, to be free of errors. Readers are advised to keep in mind that statements, data, illustrations, procedural details or other items may inadvertently be inaccurate. Library of Congress Card No.: applied for British Library Cataloguing-in-Publication Data A catalogue record for this book is available from the British Library. Bibliographic information published by the Deutsche Nationalbibliothek The Deutsche Nationalbibliothek lists this publication in the Deutsche Nationalbibliografie; detailed bibliographic data are available on the Internet at . © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Boschstr. 12, 69469 Weinheim, Germany All rights reserved (including those of translation into other languages). No part of this book may be reproduced in any form – by photoprinting, microfilm, or any other means – nor transmitted or translated into a machine language without written permission from the publishers. Registered names, trademarks, etc. used in this book, even when not specifically marked as such, are not to be considered unprotected by law. Print ISBN: 978-3-527-33895-5 ePDF ISBN: 978-3-527-69171-5 ePub ISBN: 978-3-527-69169-2 oBook ISBN: 978-3-527-69168-5 Cover Design Formgeber, Mannheim, Germany Typesetting SPi Global, Chennai, India Printing and Binding Printed on acid-free paper 10 9 8 7 6 5 4 3 2 1

v

Contents Preface xi Acknowledgment xiii

Part I 1 1.1 1.2 1.3

Materials and Processes for Printed Electronics 1

Introduction 3

Connected Smart World 3 Smart Electronic Systems 4 Overview of the Book 6 References 8

2

Functional Electronic Inks 11

2.1 2.1.1 2.1.1.1 2.1.1.2 2.1.1.3 2.1.1.4 2.1.1.5 2.1.1.6 2.1.2 2.1.2.1 2.1.2.2 2.1.2.3 2.1.2.4 2.2 2.2.1 2.2.2 2.2.2.1 2.2.2.2 2.2.2.3 2.2.3 2.2.3.1

Introduction 11 Printing Technologies 11 Screen Printing 11 Gravure Printing 12 Flexographic Printing 12 Offset Printing 13 Inkjet Printing 13 Aerosol Printing 15 Fluid Requirements for Inkjet Inks 15 Boiling Point 16 Surface Tension 16 Viscosity 16 Particle Size 17 Conductive Inks 17 Metallic Nanoparticle Inks 17 Functionalized Multiwalled Carbon Nanotube (f-MWCNT) Inks 20 Introduction 20 MWCNT Ink Formulation 21 Resistance Characterization 23 MWCNT/Polyaniline Composite Inks 25 Introduction 25

vi

Contents

2.2.3.2 2.2.3.3 2.3 2.3.1 2.3.2 2.3.2.1 2.3.2.2 2.3.2.3 2.3.3 2.4

Composite Synthesis 26 Characterization of Water-dispersible MWCNT/PANI Composite 28 Semiconductor Inks 33 Organic Semiconductor Inks 33 Single-walled Carbon Nanotube (SWCNT) Inks 36 SWCNTs in Organic Solvents 37 SWCNTs in Water 38 SWCNT/Polymer Composite 39 SWCNT/Polymer Composites Inks 42 Summary 43 References 43

Part II

Printed Electronic Building Blocks 53

3.1 3.1.1 3.1.2 3.1.3 3.2 3.2.1 3.2.2 3.2.2.1 3.2.2.2 3.2.2.3 3.2.2.4 3.3 3.4 3.4.1 3.4.2 3.4.3 3.4.4 3.4.4.1 3.4.4.2 3.4.4.3 3.4.4.4 3.5 3.6

55 Introduction 55 TFTs Versus Silicon MOSFETs 55 State-of-the-art TFT Technologies 56 New TFT Technologies 58 TFT Structure and Operation 60 TFT Architectures 60 Electrical Characteristics of TFTs 62 Carrier Mobility (𝜇) 62 On/Off Ratio (I on /I off ) 63 Threshold Voltage (V t ) 63 Sub-threshold Swing (SS) 64 Printed TFTs: an Overview 64 Carbon Nanotube (CNT)-network TFTs 71 Challenges in CNT-network TFTs 71 Percolation Transport in Nanotube Networks 73 Solution-process Fabrication of CNT-TFTs 75 Electrical Performance Enhancement in CNT-TFTs 76 Hysteresis Suppression 76 High 𝜇 and Large I on /I off 79 Uniformity and Scalability 81 Ambient and Operational Stabilities 81 Logic Circuits Based on CNT-TFTs 82 Summary 84 References 85

4

Printed Passive Wireless Sensors

3

4.1 4.2 4.2.1

Printed Thin-film Transistors (TFTs) and Logic Circuits

91 Introduction 91 Sensing Materials 92 Carbon Nanotube-based Sensors 92

Contents

4.2.2 4.2.2.1 4.2.2.2 4.2.2.3 4.3 4.3.1 4.3.1.1 4.3.1.2 4.3.2 4.3.3 4.3.3.1 4.3.3.2 4.4 4.4.1 4.4.2 4.4.2.1 4.4.2.2 4.4.3 4.4.4 4.4.4.1 4.4.4.2 4.5

Functionalized Multiwalled Carbon Nanotubes as Humidity Sensing Material 93 Humidity Sensing Properties 94 Humidity Sensing Mechanism 96 Mechanical Flexibility 98 Passive UHF Wireless Sensor 99 Flexible UHF Humidity Sensor Based on Carbon Nanotube 99 Sensor Operation Principle 99 Flexible Humidity Sensor Demonstration 100 Sensor Optimization: Influence of Resistor-electrode Structure 101 Analytical Model of Interdigital Electrode Capacitance 104 Interdigital Electrode and Interdigital Capacitance 104 Modified Analytical Models of IDCs 105 Passive UWB Wireless Sensor 108 Sensor Operation Principle 108 Theoretical Analysis and Data-processing Algorithm 109 Theoretical Analysis 109 Data-processing Algorithm 111 Sensor Prototype 112 Inkjet Printing of Coplanar Waveguide: Variable Ink-layer Thickness Approach 114 Introduction 114 Variable Ink-layer Thickness Approach 115 Summary 118 References 119

5

Printed RFID Antennas 125

5.1 5.1.1 5.2 5.2.1 5.3 5.3.1 5.3.1.1 5.3.1.2 5.3.1.3 5.4 5.4.1 5.4.1.1 5.4.1.2 5.4.2 5.4.2.1 5.4.2.2 5.4.2.3 5.5 5.5.1 5.5.1.1

Introduction 125 Evolution of RFID-enabled Ubiquitous Sensing 126 Future Trends and Challenges 126 Design Challenges for RFID Tag Antennas 127 RFID Antennas: Narrow Band 127 Progressive Meander Line Antennas 127 Antennas Design Evolution and Geometry 128 Antenna Fabrication Parameters 131 Parametric Analysis 132 RFID Antennas: Wideband 133 Bowtie Antenna: Rounded Corners with T-matching 133 Antenna Dimensions and Parametric Optimization 133 Field and Circuit Concepts Parametric Analysis 134 Bowtie Antenna: Square Hole-matching Technique 137 Antenna Design Numerical Analysis and Optimization 138 Effective Aperture of Antenna 138 Results, Discussion, and Analysis 140 RFID Antennas: Sensor Enabled 143 Archimedean Spiral Antenna 143 Manufacturing Parametric Analysis 145

vii

viii

Contents

5.5.1.2 5.5.2 5.5.2.1 5.6

Parametric Analysis of Field and Circuit Concepts 147 RFID Antenna with Embedded Sensor and Calibration Functions 149 Antenna as a Sensor Design 150 Summary 152 References 152

6

157 Introduction 157 RFID History 157 RFID System 158 RFID Advantages 161 RFID Applications 162 Logistics 162 Healthcare 163 Retail 163 Manufacturing 163 Transportation 163 Agriculture 163 RFID Challenges 164 Time-domain-based RFID Tags 166 Frequency-domain-based RFID Tags 171 Printing of Chipless RFID Tags 172 Printing of Time-domain RFID Tags 172 Printing of Frequency Domain Chipless RFID Tags Summary 178 Large Coding Capacity 179 Compact Size 179 Configurability 179 References 180

6.1 6.1.1 6.1.2 6.1.3 6.1.4 6.1.4.1 6.1.4.2 6.1.4.3 6.1.4.4 6.1.4.5 6.1.4.6 6.1.5 6.2 6.3 6.4 6.4.1 6.4.2 6.5 6.5.1 6.5.2 6.5.3

Printed Chipless RFID Tags

Part III

175

System Integration for Printed Electronics 183

7

Heterogeneous Integration of Silicon and Printed Electronics 185

7.1 7.2 7.2.1 7.2.2 7.2.3 7.3 7.3.1 7.3.2 7.3.3 7.3.4 7.4

Introduction 185 Inkjet-printed Interconnections 186 Inkjet Printing Technology 186 Electrical Performance and Morphology 188 Reliability Evaluation in 85 ∘ C/85% RH Ambient 191 Heterogeneous Integration 192 Introduction of Traditional Integration Approach 192 Heterogeneous Integration Process 194 Electrical Performance of Heterogeneous Interconnects 198 Bendability of Heterogeneous Interconnects 200 Summary 201 References 201

Contents

8.1 8.2 8.2.1 8.2.2 8.2.3 8.3 8.3.1 8.3.1.1 8.3.1.2 8.3.2 8.3.3 8.4

205 Introduction 205 Plastic-based Humidity Sensor Box Prototype 207 Architecture of Humidity Sensor Box 207 f-MWCNT-based Resistive Humidity Sensor 208 System Integration 208 Paper-based Humidity Sensor Card Prototype 210 Fatigue of Interconnects versus Bending and Folding 211 Sample Fabrication and Experimental Setups 211 Fatigue Test Results and Discussion 212 Bendability of the Humidity Sensor 215 Demonstration of Humidity Sensor Cards 217 Summary 218 References 218

9

Wearable Healthcare Device: Bio-Patch 221

9.1 9.2 9.2.1 9.2.2 9.2.3 9.3 9.4 9.5 9.6

Introduction 221 System Overview 222 Bio-signals 223 Customized Bio-sensing Chip 225 Inkjet-printed Electrodes 226 Paper-based Bio-Patch 230 Polyimide-based Multi-channel Bio-Patch 230 Polyimide-based Miniaturized Bio-Patch 234 Summary 239 References 239

10

Life Cycle Assessment (LCA) for Printed Electronics

8

10.1 10.2 10.3 10.4 10.5

Intelligent Packaging: Humidity Sensing System

243 Introduction 243 Analysis Methodology 246 Environmental Footprint 252 Sustainable Production of Polymer- and Paper-based RFID Antennas 258 Summary 264 References 265

Index 269

ix

xi

Preface An untapped opportunity in the realm of communication and information technology lies in tiny smart devices that will soon be embedded in almost everything including paper and packaging, the human body, and various everyday objects. These smart electronics may sense their environments, process information, communicate by wireless means, and form ad hoc multihop spontaneous networks, giving rise to a worldwide-distributed system that is several orders of magnitude larger than today’s Internet. This so-called “Internet-of-Things (IoT)” will enable a wide range of applications and provide new business opportunities in many areas including medical and healthcare, safety and security, logistics and inventory management, manufacturing, and automation. The electronics as basic devices disappear or are cleverly hidden, but the functionalities are available in a ubiquitous fashion. To realize the vision of IoT toward a truly connected smart world, there are three key enablers for such smart electronics: (i) extremely low-power or even self-powered integrated circuits based on advanced complementary metal-oxide semiconductor (CMOS) technology for computing, communication, sensing, and perception; (ii) flexible and large area electronics (LAEs) for multifunctionality and interfacing to the analogy world; and (iii) hybrid system integration technologies that integrate conventional silicon-based CMOS chips with printed materials/devices on flexible substrates. As silicon chip is inexpensive as measured by per function whereas printed electronics is cheap as measured by per area, we believe that the hybrid integrated solution of silicon chips and LAEs will be the most promising solution for future smart electronics systems. This book covers various aspects of smart electronic systems and summarizes our recent research from materials, devices, systems to applications, with special focus on LAEs using printing techniques and integration technologies for hybrid flexible systems.

xiii

Acknowledgment This book is a summary of our recent research results, publications, and theses in flexible and printed electronics for smart systems. The work was primarily carried out in iPack VINN Excellence Center at KTH – Royal Institute of Technology, Stockholm, Sweden, and Shanghai Institute of Intelligent Electronics and Systems, Fudan University, Shanghai, China. We are grateful to our researchers and collaborators from both academia and industry who were engaged in the research activities or in the advisory board – Prof. Axel Jantsch, Prof. Mark Smith, Prof. Kaj Lindecrantz, Prof. Fernando Seoane Martinez, Prof. Ingo Sander, Prof. Bruce Lynn, Prof. Göran Lindebergh, Prof. Ann Cornell, Dr. Qiang Chen, Dr. Jiantong Li, Dr. Julius Hållstedt, and many others from KTH; Prof. Shi-Li Zhang and Associate Prof. Zhi-Bin Zhang from Uppsala University; Prof. Sharon Kao from Blekinge Institute of Technology; Prof. Matti Mäntysalo from Tampere University of Technology; Prof. Ran Liu, Prof. Zhi-Jun Qiu, and Prof. Yiqiang Zhan from Fudan University; Lars Sandberg and Mats Fredlund from SotraEnso; Magnus Wikström, Olle Steffner, and Tobias Bergarp from BillerudKorsnäs; Prof. Werner Zapka and Ingo Reinhold from XaarJet; Anders Söderbärg from NOTE; Dr. Anders Löfgren from AstraZeneca; Dr. Hannes Rose and Dr. Robert Xie from Bosch; Prof. Vivek Subramanian from UC Berkeley; Prof. Luisa Torsi from University of Bari; Prof. Joseph Paradiso from MIT Media Lab; Prof. Harri Kopola from VTT; and Prof. Ryo Imura from RICOH. Special thanks to our doctoral students who greatly contributed to this work: • Dr. Ana López Cabezas for her contribution to Chapter 2 on nanofibrillar materials and functional inks; • Dr. Zhiying Liu for her contribution to Chapter 3 on solution-processed thin-film transistors; • Dr. Yi Feng for her contribution to Chapters 4 and 8 on printed sensor technology and applications; • Dr. Yasar Amin for his contribution to Chapter 5 on printed antenna; • Dr. Botao Shao for his contribution to Chapter 6 on printed RFIDs; • Dr. Li Xie for her contribution to Chapters 7–9 on heterogeneous integration technology and its applications; • Dr. Geng Yang for his contribution to Chapter 9 on bio-patch and health IoT; • Dr. Rajeev Kumar Kanth and Qiansu Wan for their contribution to Chapter 10 on life-cycle assessment of printed electronics.

xiv

Acknowledgment

Without those contributions, this work would not have been possible. Much appreciation is extended to many people who have inspired and helped us with comments and suggestions to this book: Dr. Laigui Hu, Dr. Yongwei Zhong, Li Tu, Kunlong Yang, Yuxiang Huan, Li Gong, and many others. We acknowledge the project editor responsible at Wiley-VCH for this project, Dr. Andreas Sendtko, who sincerely supported this project. Li-Rong Zheng Hannu Tenhuen Zhuo Zou

1

Part I Materials and Processes for Printed Electronics

3

1 Introduction 1.1 Connected Smart World Along with the revolution of information and communication technology (ICT) over the past decades, people have been connected to the Internet by billions of computers and mobile phones. Now this revolution is extending the connections to the physical world (things and objects), namely Internet of Things (IoT), a vision of the connected smart world [1, 2]. It has been recognized as the third wave of the ICT industry, after the computer in the 1940s and the Internet in the 1970s. The IoT conceptually represents the future ICT world, which is often associated with such terms as “ambient intelligence,” “ubiquitous computing,” and “pervasive,” as illustrated in Figure 1.1. Its development depends on dynamic technology evolutions in a set of multidisciplinary and interdisciplinary fields, ranging from the material and device, sensor and integration technology, to wireless communications and networking. Related technical innovations will leverage a number of emerging applications and services, which may change our lifestyle as dramatically as what has occurred since the introduction of the Internet 20 years ago. The IoT enables a wide range of applications and new business opportunities in many areas including medical and healthcare, safety and security, logistics and inventory management, manufacturing, and automation. Healthcare and intelligent logistics represent the most rapidly expanding areas where smart devices and systems can either be implanted into human or animal bodies to monitor health information or be hidden, for example, in a piece of biopaper worn on the human body or on a pharmaceutical/food package. Examples of such systems are temperature and bacteria monitoring, smart labels for food packaging, miniaturized wireless respiration monitoring devices for healthcare, radio frequency identification (RFID) systems integrated with biochemical monitoring devices for intelligent pharmaceutical packaging and storage, and large-area embedded bio-patches on flex-foil for health monitoring and analysis with radio communication links [3]. The IoT consists of billions of everyday objects that are being connected and smart, such as food and pharmaceutical packages, furniture, machines, wearable devices, and more. Ericsson and Cisco projected that there would be 50 billion Internet-connected devices by 2020, which is one order of magnitude Smart Electronic Systems: Heterogeneous Integration of Silicon and Printed Electronics, First Edition. Li-Rong Zheng, Hannu Tenhunen, and Zhuo Zou. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA. Published 2018 by Wiley-VCH Verlag GmbH & Co. KGaA.

4

1 Introduction

Silicon Wireless, low power, security, robustness, network, deployment and management, communication process, interoperability...

us ito ing u iq ut Ub mp co

Context-aware, location-aware, identification, sensor, security/trust, autonomous, low power .... Printed/large area electronics

A smart connected world Internet of Things

Ub co iquit mm ou un s ica tio

n

Heterogeneous integration

Network/ cloud

n

tio

ac r se ter t u d in n ige an ell ce Int erfa Int

Usability, robustness, interactive, integration, embedded, invisiable, environmentally friendly, ...

Figure 1.1 Technologies and applications of connected smart world and the Internet of Things.

greater than the 5 billion PCs and mobile phones that can be connected to the Web today (https://www.statista.com/statistics/471264/iot-number-ofconnected-devices-worldwide). Such smart objects can monitor their status and the surrounding environment, as well as their geographic location. They are bridged through micropower wireless links to existing ICT infrastructures with unique identification numbers or IP addresses, allowing connections between the virtual world of the Internet and the physical world of things.

1.2 Smart Electronic Systems Smart systems (SSs) usually combine cognitive functions with sensing, actuation, data communication, and energy management in an integrated way to provide safe and reliable autonomous operation under all relevant circumstances. Depending on the degree of autonomy, smart systems are categorized into three generations [4]. • First generation smart systems integrate sensing and/or actuation as well as signal processing to enable actions. • Second generation smart systems are built on multifunctional perception and are predictive and adaptive systems with self-test capabilities that are able to match critical environments. Moreover, they are equipped with network facilities and advanced energy scavenging and management capabilities • Third generation smart systems perform human-like perception and autonomy, and are able to generate energy.

1.2 Smart Electronic Systems

Battery/ energy harvester

Display Flexible subs

trate

ce

Processor

Interfa

Sensors

Power management

Memory

Radio Wireless TRx or RFID

CMOS ICs

Figure 1.2 Architecture and main building blocks of a smart electronic system.

Smartness or intelligence presented above is essentially realized by Smart Electronic Systems seamlessly integrated with everyday objects that can be physically flexible. Such systems usually incorporate functions of sensing/actuating, computing/perception, and communication and networking. A generic architecture along with typical building blocks of a smart electronic system is illustrated in Figure 1.2. It consists of an embedded processor and memories, a radio for wireless networking, energy harvesting, and storage, sensors/actuators, and interface circuits that interact with the environment or people. Thanks to the heterogeneous nature, the development of smart electronic systems requires joint efforts in two dimensions as depicted in Figure 1.3: (i) evolution of the semiconductor technology driven by Moore’s law and beyond (“More Moore”); (ii) multifunctionality and diversity that are enabled by emerging technologies with different materials and devices, the so-called “More-than-Moore” [5]. On the one hand, integrated circuits (ICs) have been scaling along the trend of “More Moore” toward sub-10 nm, offering increased density and lower power consumption with reduction in cost per transistor and cost per function [6]. On the other hand, interfacing to the real world and to the human body and senses requires that electronics or other functional devices are distributed over a large surface area. There is therefore a great demand for electronic devices and systems on the macroscale, the so-called macroelectronics [7] or large-area electronics (LAEs) [8], aiming to decrease the cost per area [9]. Initially, the LAE (including printed, flexible, or organic electronics) was driven by area-intensive applications, such as displays and photovoltaics. Then, the application scope has expanded dramatically over recent decades, covering medical, sensing, flexible, and ultrathin consumer devices, pursuing cheaper electronics capable of interacting with the environment on the macroscale [8]. The development of flexible and printed electronics (FPEs) enables the cost-effective manufacture of such devices. Smart electronic systems are targeted to provide adequate performance, but in a low cost, novel form factor on flexible substrates such as paper or stretchable plastic. Printing as a manufacturing technique is a promising approach to

5

1 Introduction

Reduction in cost per area “More-than-Moore”: diversification

Analog/RF

90 nm “Moore’s law”: miniatuization

Reduction in cost per function

Baseline CMOS: CPU, Memory, Logic

6

65 nm

Passives

ter o

22 nm

Sensors/ actuators

Biochips

Non-Digital content Large-area Electronics

He

ge

ne

ou

si

45 nm 32 nm

Energy

nte

gra ti

on

Information processing Digital content: system-on-chip

for

sm

ar

ts ys te

ms

14 nm V

Figure 1.3 Technology roadmap of smart electronic systems in the ear of “More Moore” and “More-than-Moore.”

fabricate low-cost, flexible, and LAEs on flexible media, including circuits, sensors, antennas, transducers, and batteries. Compared with silicon-based circuits (more specifically, CMOS ICs), all-printed systems yet suffer from low integration density, long switching time, and high cost per function. For example, the speed and energy efficiency of the state-of-the-art thin-film transistors (TFTs) remain orders of magnitude below the complementary metal-oxide semiconductor (CMOS) technology. Therefore, silicon-based chips performing sophisticated feats such as communication computation and communication are still inevitable. Thus, a heterogeneous integration platform for hybrid systems is in great demand, which employs a cost-effective, large-area manufacturing technique while keeping the same complex functionality and processing capability as silicon-based systems. The complementariness of silicon-based electronics (CMOS) and printed electronics (LAE) are summarized in Table 1.1 [10].

1.3 Overview of the Book To realize the vision of the IoT toward a truly connected smart world, there are three key enablers in smart electronic system design. (i) Self-powered integrated circuits based on advanced CMOS technology for computing, communication, sensing, and perception; (ii) flexible and LAEs for multifunctionality and interfacing to the analogy; and (iii) hybrid system integration technologies that integrate

1.3 Overview of the Book

Table 1.1 Complementariness of silicon electronics and printed electronics. CMOS

Computation

LAE

High throughput

Low speed

High logic density

Low logic density

Low energy/MHz Communication

High data rate

Coupling

Low energy/bit

Interconnects Large-size antenna

Sensing Power

Precision instrumentation

Transducers

Analog-information convertors

Large substrates

DC–DC/AC–DC conversion

Energy harvester

Regulators

Printed battery

Adaptive management

conventional silicon-based CMOS chips with printed materials/devices on flexible substrates. This book covers various aspects of smart electronic systems and summarizes our recent research from materials, devices, and systems to applications, with special focus on LAEs using printing techniques and integration technologies for hybrid flexible systems. Figure 1.4 navigates the content of the book. To start with, Chapter 2 provides the fundamentals of printing techniques and functional inks. It gives a comprehensive overview on conductive and semiconductor inks such as carbon nanotubes (CNTs)-based electronic inks. Chapter 3 investigates TFT technologies, especially those with single-walled carbon nanotubes (SWCNTs) networks as the semiconducting channels. We introduce and compare the development of printed TFTs based on different semiconductor inks such as organic Chapter 3 Printed TFTs Chapter 4 Chapter 2 Printing techniques and functional inks

Printed sensors Chapter 5 Printed antenna

Chapter 8 Chapter 7 Heterogeneous integration for hybrid systems

Intelligent packaging Chapter 9

Chapter 10 LCA for FPE

Wearable sensor for e-Health

Chapter 6 Printed RFIDs

Process and material

Component/device

Figure 1.4 Navigation of the book.

System integration System demonstrator

Environmental impact

7

8

1 Introduction

semiconductors, metal oxides and SWCNTs. Chapter 4 introduces printed sensors using CNTs. Detailed discussions are provided on humidity sensing properties of functionalized multiwalled carbon nanotubes (f-MWCNTs). By integrating the f-MWCNT resistors, two fully printable and flexible passive humidity sensors with chipless RFID tags are demonstrated using ultra-high frequency (UHF) RFID backscattering and ultra-wideband (UWB) time-domain replications, respectively. Chapters 5 and 6 focus on printed RFID, which has been recognized as one of the fundamental communication mechanisms for smart objects. Design and manufacture issues of printed RFID antennas are studied in Chapter 5, while Chapter 6 introduces the printed chipless RFID technology that can eliminate the need for an IC chip. Time-domain and frequency-based chipless RFID tags are presented, respectively. As was discussed, it is still necessary to combine LAEs with CMOS, within hybrid systems; therefore in Chapter 7, we take a close look at heterogeneous integration of silicon and printed electronics. The chapter focuses on chip-to-flex interconnects by inkjet printing and the integration process, as well as the electrical characteristics and performance reliability. Then a heterogeneous integration platform enabled by inkjet printing is presented. The platform is applied to two representative applications, which are demonstrated in Chapters 8 and 9, respectively. The example of intelligent packaging for fresh food tracking is presented in Chapter 8. A silicon microcontroller and light-emitting diodes (LEDs) are integrated with printed conductive pattern and humidity sensor on flexible substrates. Chapter 9 presents a wearable sensing device incorporating a system-on-chip and inkjet-printed electrodes for e-Healthcare monitoring. Finally, we briefly introduce the environmental impacts with case studies of life impact assessment of the flexible and printed electronics.

References 1 (2007). When everything connects. The Economist, Volume 383, Issue 8526,

London, https://www.economist.com/node/9080024. 2 Porter, M.E. and Heppelmann, J.E. (2014). How smart, connected products 3

4 5 6

are transforming competition. Harvard Business Review 92 (11): 64–88. Zheng, L.R., Nejad, M.B., Zou, Z. et al. (2008). Future RFID and wireless sensors for ubiquitous intelligence. 2008 NORCHIP. IEEE: Tallinn, pp. 142–149. doi: 10.1109/NORCHP.2008.4738269. EPoSS Industry Association (2014). Smart systems in the multi-annual strategic research and innovation agenda of the JTI ECSEL, Part D. Wilson, L. (2013). International Technology Roadmap for Semiconductors (ITRS). Semiconductor Industry Association. Vandebroek, S.V. (2016). Three pillars enabling the Internet of everything: smart everyday objects, information-centric networks, and automated real-time insights. IEEE International Solid-State Circuits Conference (ISSCC). IEEE, pp. 14–20.

References

7 Reuss, R.H., Chalamala, B.R., Moussessian, A. et al. (2005). Macroelectronics:

perspectives on technology and applications. Proceedings of the IEEE 93 (7): 1239–1256. 8 Arias, A.C., MacKenzie, J.D., McCulloch, I. et al. (2010). Materials and applications for large area electronics: solution-based approaches. Chem. Rev. 110 (1): 3–24. 9 Perelaer, J., Smith, P.J., Mager, D. et al. (2010). Printed electronics: the challenges involved in printing devices, interconnects, and contacts based on inorganic materials. Journal of Materials Chemistry 20 (39): 8446–8453. 10 Verma, N., Hu, Y., Huang, L. et al. (2015). Enabling scalable hybrid systems: architectures for exploiting large-area electronics in applications. Proceedings of the IEEE 103 (4): 690–712.

9

11

2 Functional Electronic Inks 2.1 Introduction 2.1.1

Printing Technologies

The advantage of adopting printing technologies to fabricate electronic products lies in the deposition of multilayered patterns onto various substrates through a much simpler and more cost-effective manner compared with traditional electronics (http://en.wikipedia.org/wiki/Printed_electronics). In general, printing technologies are classified into two categories, sheet based and roll-to-roll (R2R) based. R2R process (often called “web” process) manufactures electronic products on large rolls continuously, and has potential for use in high-throughput manufacturing. To date, there are various R2R printed flexible electronic products such as organic photovoltaics (OPVs), flexible displays, X-ray imagers, and so on. Despite the tremendous advantages of the R2R process, there are significant technological challenges waiting to be solved before it is practical, including the desired delicate operation, the difficulty in alignment, the costly cleaning procedures, and the limited available equipment [1]. Hence, sheet-based process is equally interesting as an important step toward processing on rolls. As a historical technology, printing involves a variety of methods such as inkjet, gravure, offset, screen, nano-imprinting, dip-pen nanolithography, transfer printing, stamping, and hot embossing. These methods involve the basic principle of transferring inks onto a substrate with desired patterns although each of them holds their own advantages and drawbacks. The choice among these methods is determined by the material properties of the printed layers, the resolution requirements of the products, as well as the economic effect of the technologies. In the following, we compare six different printing methods from the perspective of the low-cost solutions available for industrial applications at present. The analysis is simplified so as to focus mainly on the performance and capabilities of the printing technologies. 2.1.1.1

Screen Printing

Screen printing is a patterning technique that uses a woven mesh to support an ink-blocking stencil for a desired pattern. It is one of the earliest printing techniques used in printed electronics owing to its robustness, simplicity, and compatibility with a wide range of substrates. Additionally, it can be also Smart Electronic Systems: Heterogeneous Integration of Silicon and Printed Electronics, First Edition. Li-Rong Zheng, Hannu Tenhunen, and Zhuo Zou. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA. Published 2018 by Wiley-VCH Verlag GmbH & Co. KGaA.

12

2 Functional Electronic Inks

easily integrated with the R2R process (rotary screen printing). The process allows the thickest printed layers (3–300 μm) among the available techniques, being well suited for printed electrodes where high conductivity is required. Typically, this method features a relative poor resolution (>100 μm). But the technological advancements in the past decades have improved dramatically, making it welcome for printed antennas [2], solar cells [1, 3] as well as thin-film transistors (TFTs) [4]. However, challenges exist for screen printing in electronic applications. First of all, this method usually utilizes rather viscous liquids as inks to avoid the movement of the inks when they are transferred. This sets a discrepancy with the functional electronic inks developed from the research laboratories, which are commonly characterized by low viscosities. A large amount of additives could effectively increase the viscosity of the liquid to satisfy the rheological requirements for printing. But they degrade the electrical performance of the printed patterns. Another disadvantage of screen printing lies in the relative slow speed due to the limitation of the operation principles. 2.1.1.2

Gravure Printing

Gravure printing is the most common printing technique in everyday life for the printing of magazines and newspaper. This technique transfers inks from tiny engraved cavities on the gravure cylinder, and then to the web by surface tension since the web contacts with the gravure cylinder by pressure from the softer impression cylinder. This method offers a thin film with thickness in the range of 0.01–0.2 μm, depending on the depth of the cavities in the gravure cylinder. Gravure printing is suitable for the printing of less viscous inks at very high speeds, of up to 15 m s−1 , which is promising for application in printed electronics. The major problem for gravure printing in printed electronics is the high price of the fabrication of gravure presses. This technique is useful only in mass-production cases. For this reason, the number of scientific reports on gravure-printed electronics is limited, but it spreads in various fields such as field-effect transistors [5], solar cells [6], lighting devices [7], and integrated circuits [8]. 2.1.1.3

Flexographic Printing

Flexographic printing (“Flexo” in short) is an R2R technique that utilizes flexible relief plate, which is opposite to the gravure method. The achieved pattern stands out from the printing plate, which is typically made from rubber or a photopolymer. The R2R flexographic printing process is simpler and faster. The desired ink is also in the low viscosity range, suitable for either wateror solvent-based liquids. Moreover, it utilizes flexible plates, which are much cheaper than the rigid ones in screen and gravure printing, enabling low-cost printing in high throughput. This technique is relatively new for printed electronics. Owing to the limitation in machines, scientific reports on such techniques are still rare. But it is already gaining plenty of attention and has been used for manufacturing electronic components, such as solar cells, with the aid of other methods [9].

2.1 Introduction

2.1.1.4

Offset Printing

Offset printing is a technology that involves two steps of ink transferring. During the process, the inked image is transferred from a plate to a rubber blanket or roller and subsequently printed further onto the substrate. This technique introduces elastic rubbers as the direct contact to the print media, allowing the process to be used effectively on rough-surfaced media such as canvas, cloth, or wood. It offers very high speed of printing and is suitable for large-scale fabrication. It is noted that offset printing is seldom adopted for printed electronics and the main reason is that the inks in this technique are extremely viscous. It is usually combined with the gravure printing technique, which allows the use of low-viscosity inks (gravure offset). 2.1.1.5

Inkjet Printing

All the four aforementioned printing methods are conventional, which involve presses directly or indirectly contacting with the printing media. This feature causes increase in the cost due to the manufacturing of the printing presses and the possible damages to the substrate. Novel printing methods in non-contact mode will effectively solve this problem and significantly reduce the cost, mainly by avoiding the use of presses. Among the non-contact printing methods, inkjet is the most popular one. During printing, the ink directly jets from the nozzles in the printer setup onto the desired location on the substrates, according to the digital input from a computer. It works in a fully maskless manner. In inkjet printing technology, the jetting can be either continuous or drop on demand (DoD). The latter is more promising because of the simplicity in printer setup and saving in material cost. In a DoD printer, as shown in Figure 2.1 (http://spie.org/x18497.xml), a jet is formed as the inks emerge from the nozzle. The transducer is driven by an electrical waveform that effectively controls the

Driver Fluid (ink) at ambient pressure Digital driving signal IJP nozzle

Ink droplet Piezo or thermo transducer Substrate

Substrate motion

Figure 2.1 A schematic setup for DoD inkjet printing. Source: http://spie.org/x18497.xml.

13

14

2 Functional Electronic Inks

jetting of drops. This waveform introduces either movement in the piezoelectric actuator or heats a resistive pad to create a vapor bubble. These will cause a pressure change in the liquid at the nozzle entrance. Finally, the inks jet from the nozzles in a controllable manner. Compared with other methods, inkjet printing possesses enormous attractiveness [10–12]. (i) It allows the use of ultra-low-viscosity liquids as the electronic inks (100 ∘ C). 2.1.2.2

Surface Tension

Surface tension represents the force that keeps a liquid together with another surface. This is an important parameter for inkjet printing since a proper surface energy value would lead to uniform spread of the liquid on a substrate. Low affinity toward a surface will lead to balling up of the inks, creating a rough line. In contrast, a large affinity ink will tend to spread over a large area, resulting in a super-thin line or even discontinuities in the patterns. For Dimatix 2800 series inkjet printer, the surface tension of the inks should be between 28 and 33 dynes cm−1 at jetting temperatures [17]. Typically, at ambient temperature, water has a high surface tension at 72 dynes cm−1 and it is very common to add surfactants to achieve the required surface tension range. 2.1.2.3

Viscosity

The viscosity of the liquid inks has a direct effect on the flow velocity and patterns. It determines the voltages to eject the inks. Usually, higher viscosity solvents

2.2 Conductive Inks

require higher jetting voltages and thus typically result in larger droplet volumes. Moreover, it also affects the expansion of the drops on the substrates because higher viscosity solvents typically resist spreading. The Dimatix 2800 series inkjet printer requires inks with the viscosity range at 10–12 cPs at the operating temperature [16]. 2.1.2.4

Particle Size

During inkjet printing, it is critical to keep the nozzles unclogged. In general, the size of the particles in the inks should be as small as 1% of the nozzle size. To remove large particles in the liquid, a filter is usually adopted to avoid any large aggregates or particles. In the Dimatix 2800 series inkjet printer, a 0.2 μm filter is employed for the cartridge in 10 pl drop volume [17]. Recently, there is growing interest in functional electronic inks for low-cost printed electronics application. Large efforts have been made in developing conductive and semiconductor inks, and some of the inks have already become commercial available. Dielectric inks have been researched the least because of the easy access to conventional silicon technologies to form dielectrics in test structures. In Sections 2.2 and 2.3, the primary focus is on conductive and semiconductor inks. Section 2.4 will provide a short summary.

2.2 Conductive Inks Conductive inks include suspensions of metallic nanoparticles (nano-silver, -gold, -copper, etc.), conductive polymers (poly(3,4-ethylenedioxythiophene) (PEDOT), polypyrrole, and polyaniline (PANI)), CNTs/graphene, etc. To fit the rheological characteristics for printing, other constituents such as dispersants, adhesion promoters, and stabilizing agents are usually added. This section gives an overview of these printable conductors. In particular, we will discuss one of the most promising conductive inks based on multiwalled carbon nanotubes (MWCNTs) and their composites. Details on ink synthesis, printability, and reliability will be provided. 2.2.1

Metallic Nanoparticle Inks

Metallic nanoparticle inks are suspensions of metallic nanoparticles in either aqueous or organic solvents. Owing to their high conductivity (𝜎), good stability, and availability for large-scale industrial production, they are the most widely used conductive inks in printed passive components, electrodes, and interconnects. In general, the basic rheological properties of the inks are determined by the solvents but the conductivity is produced by the dispersed metal particles. At present, the most commonly used are particles from highly conductive metals including Ag (𝜎 = 6.3 × 107 S m−1 ), Cu (𝜎 = 5.96 × 107 S m−1 ), Au (𝜎 = 4.42 × 107 S m−1 ), and Al (𝜎 = 3.78 × 107 S m−1 ). Among them, Ag nanoparticles are most popular owing to their highest conductivity and resistivity to oxidation. Currently, there are tens of companies that produce nano-Ag

17

18

2 Functional Electronic Inks

inks in the markets. However, the price of Ag is rather high, limiting the reduction of product cost. To replace Ag, cheaper metals such as Cu and Al have gained much attention. But they suffer from the severe problem of oxidation at ambient conditions, which requires a complicated process to prepare the precursors [23]. Recently, there are plenty of technological innovations in developing Cu-nanoparticle-based inks and some of them have become commercialized. Another issue for metallic nanoparticle inks lies in that the particles tend to agglomerate in solvents, leading to larger flakes and instability in jetting. To enable stable dispersion of the metallic nanoparticles in solvents, stabilizers, which are typically polymer materials, are employed to encapsulate the particles (Figure 2.2). However, after printing, the presence of these polymers might degrade the conductivity of the metals since they would formulate insulating interlayers among the metallic particles. Thus, a post treatment of sintering after printing is usually employed to remove the polymer additives, as shown in Figure 2.2. In the following, we take Cabot CCI-300, a commercially available conductive nano-Ag ink to evaluate the inkjet-printing process with metallic nanoparticle inks. Particularly, thermal sintering process will be investigated in a detailed manner. Cabot ink is chosen owing to its excellent electrical conduction property even at low temperatures (e.g. 10 cm2 V−1 s−1 , while for thin films, 𝜇 is >1 cm2 V−1 s−1 , which is comparable to that of amorphous-silicon-based TFTs [102]. However, these small molecules are mostly fabricated by vacuum deposition and difficult to be dissolved in most commonly used solvents. Until recently, single crystal

33

34

2 Functional Electronic Inks

organic semiconductors of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8 -BTBT) have been produced by inkjet printing with high crystallinity [103]. The TFTs possess an average 𝜇 as high as 16.4 cm2 V−1 s−1 , which is a remarkable figure of merit for solution-processed organic materials. More recently, C8 -BTBT films fabricated through an off-center spin-coating method exhibit hole mobility as high as 43 cm2 V−1 s−1 , being superior to all the other organic materials [110]. Unlike small molecules, polymers have the advantage of being amenable to specific deposition techniques, such as solution process. However, the performance of polymers is still orders of magnitude lower than that of small molecules. This is mainly because in these amorphous materials, hopping transport in deep localized states with strong polaron effects is proposed to be the major mechanism for carrier transport [111, 112]. The early thin-film organic devices had a mobility of 10−5 cm2 V−1 s−1 [104], which is far from sufficient for practical applications. During the past decades, it is found that 𝜇 of the organic semiconducting materials is easily influenced by the microstructures of materials, and the type of transport can change depending on the degree of structure ordering. Thus, great efforts have been made to improve the structural order, for instance, the occurrence of polycrystalline films. In this case, band transport plays a larger role, the localized state density decreases, and the carrier mobility increases. Figure 2.14 lists the chemical structures of p-type semiconducting polymers with their hole mobility (𝜇) values [113]. Among them, regioregular head-to-tail poly(3-hexylthiophene) (P3HT) is the most well-known and representative materials since it was employed for transistors by Bao et al. in 1996 [114]. The conjugated polymer is soluble by adding alkyl side chains (CH2 )n to the backbone, as shown in Figure 2.14. When deposited from solution, it formulates polycrystalline films and such well-ordered structure enables substantially increased 𝜇 (0.05–0.1 cm2 V−1 s−1 ) compared with regiorandom P3HT (𝜇 < 10−3 cm2 V−1 s−1 ). In 1997, Bao et al. further fabricated TFTs using P3HT semiconducting inks by printing techniques with a screen mask made of stainless steel. So far, P3HT is still one of the most popular polymers in organic thin-film transistors (OTFTs), OLEDs, and OPVs. However, P3HT is severely affected by the issue of instability in air, which displays a continuous degradation in electrical performance when it is exposed in ambient [115, 116]. In 2000, another conjugated polymer, poly(9,9-dioctylfluorene-co-bithiophene) (F8T2), which possesses better air stability, was attempted by inkjet printing and the resultant TFTs showed a rather low 𝜇 at 0.002 cm2 V−1 s−1 [16]. To address such problems of unsatisfactory 𝜇 and air stability, new polythiophenes such as poly(quaterthiophene) (PQT-12) [117] and poly[2,5-bis(3tetradecylthiophen-2-yl)thieno[3,2-b]thiophene] (PBTTT-C14) [118] were invented. Their chemical structures are also shown in Figure 2.14. With slight change in the alkyl side chains and the backbones, these structures are automatically regioregular by symmetry and with a lower density of alkyl chains than P3HT. They are believed to have better structure ordering and higher carrier transport capabilities. OTFTs made from solution-processed PQT and PBTTT showed high 𝜇 of ∼0.14 cm2 V−1 s−1 [117] and 0.5–1 cm2 V−1 s−1 [118, 119], respectively, in ambient conditions. Furthermore, they have been widely used

O Si

Si

Si O

CDT-BTZ S

PII2T-Si

O

N

S

Si Si

n C6H13

S S

Hole mobility (cm2 V–1 s–1)

O

N

H

H

C16H33 C16H33

100

S

C16H33

N

N S

Si

C6H13

C16H33 S S PBDT6-12

C6H17 H

C12H25

S

S

n

S C6H13

PBTTT-C14

S

S

PQT-12

C8H17

C13H27

S

S

S

N n

N

n

C12H25

C12H25

C6H13

PDTPTz-12

S S

n S

S

S S PETV12T

C12H25

C6H13

S PFQT-13

n

C13H27

C8H17 C8H17 Si

DTS-BTZ

C8H17 C H 8 17 Si

S S

10–2 S

S

N n

C12H25

F8T2

S S

S S

PTAA

C5H13

N

C6H17 C H 6 17

n

C12H25

S

S n

S

S

S

n

n

S

P3HT

N

S C12H25 PT-BDT

S

S

S

S

PC12TV12T

S

n

C12H25

S C6H13

S

C12H25

C12H25

C12H25

C12H25 PDTP-12

C6H13

C10H21

C14H25 C6H13

S

C12H25

C8H17

S

S

S S

n

DPP-DTT

S S

S S

S

S S C14H25

C16H33

IDT-BT

S S N

C15H33

C12H25

C12H25

O

O

n O

n O

N

S

S

10–1

C10H21

C8H11

101

S

C12H25

S

n

S

N n

C8H17 PCDTBTz

C8H17 N

S PBDT-12

S

S

C8H17 N N

N S n

Figure 2.14 Chemical structures and the hole motilities of representative p-type polymer semiconductors. Source: Baeg et al. 2013 [113]. Reproduced with permission of Wiley.

36

2 Functional Electronic Inks

as a semiconducting ink in printed electronics, allowing plenty of successful demonstrations [120, 121]. Continuous progress was made to boost 𝜇 as well as the stability of the polymer semiconductors. Notably, in 2012, Li et al. reported OTFTs with extremely high 𝜇 at 10.5 cm2 V−1 s−1 , which is the highest value for p-type polymers to the best of the author’s knowledge [122]. This remarkable value is achieved by the synthesis of a conjugated alternating electron donor–acceptor (D–A) copolymer with dithienylthieno[3,2-b]thiophene (DTT) as the donor and a comparatively weaker acceptor moiety, 1,4-diketopyrrolo[3,4-c]pyrrole (DPP) (Figure 2.14). This type of D–A copolymer represents an alternative way of development of high-𝜇 polymer semiconductors, although there is still much debate on the mechanism of carrier transport. Compared with p-type organic semiconductors, the progress of n-type organic semiconductors is slower. Currently, there are more n-type organic semiconductors and a brief summary of them can be found in [123]. In general, organic semiconductors are developing constantly with the improvement in chemical structures, optimization in fabrication techniques, and modification in device configurations, allowing for continuous enhancement in either carrier mobility or device stability. These organic semiconductor inks hold great potential for the application in large-area and flexible electronics with ultra-low cost.

2.3.2

Single-walled Carbon Nanotube (SWCNT) Inks

CNTs, since the discovery by Iijima in 1991 [24], have gained enormous attention due to their unique structural, mechanical, and electrical properties. An SWCNT can be viewed as a thin, long, and hollow cylinder rolled from a graphene sheet along its circumferential direction, as shown in Figure 2.15 [124]. The high aspect ratio (length-to-diameter, >103 ) and the giant specific surface area (1600 m2 g−1 ) are critical for chemical and biochemical sensing applications. Mechanically, CNTs possess a Young’s modulus at ∼1 TPa and a tensile strength about 150 GPa, demonstrating excellent flexibility and robustness. Owing to the molecular homogeneity and quasi one-dimensional (1-D) structure, SWCNTs are expected to exhibit near ballistic transport [26]. In 1998, the first transistor based on an individual SWCNT was fabricated and it was proved to have Figure 2.15 Schematic illustration of an SWCNT rolled from a graphene sheet [124].

2.3 Semiconductor Inks

extremely high intrinsic 𝜇 (∼3000 cm2 V−1 s−1 ) and high current-carrying capacity (7000 μA μm−1 ) [125]. Subsequent publications confirmed 𝜇 of individual SWCNTs to be in the range of 103 –105 cm2 V−1 s−1 [126,127], which exceeds that of most semiconductors and suggests the possibility of SWCNTs in high-speed electronics applications. When synthesized in the usual way, SWCNTs can be either metallic (m) or semiconducting (s), depending on a special parameter called chirality. A serious consequence of this uncertainty in electronic properties is a low fabrication yield for transistors since only s-SWCNTs are desired as the channel materials while m-SWCNTs are contaminants. Furthermore, as-grown s-SWCNTs vary in nanotube length (Lnt ) and diameter (d). The latter property determines the electronic properties, especially the band gap (Eg ) following a simple relationship Eg ∼ 1/d [26]. It is also difficult to precisely manipulate and translate SWCNTs due to their tiny size. Problems remain in making reproducible devices with uniform transistor performance in terms of I on , on/off current ratio (I on /I off ), V t , etc. As a consequence, in spite of their extraordinary transport properties, electronic products with large amounts of individual SWCNT transistors are hard to realize. Random nanotube networks comprising a large quantity of individual SWCNTs have gained particular interest because the electrical characteristics of the resultant TFTs become less dependent on the properties of individual SWCNTs. Performance fluctuation from device to device can thus be significantly reduced. In 2003, the first SWCNT-network TFTs were reported by Snow et al. through a simple drop-casting process and the devices exhibited excellent 𝜇 (10 cm2 V−1 s−1 ) [128]. Later, the first medium-scale integrated digital circuits fabricated on flexible plastic foil based on SWCNT-network TFTs were realized [129], which has escalated the interest in CNT technology. Concurrently, CNT films can be formulated by chemical vapor deposition (CVD), dry-phase transfer printing, and solution-phase processing. Among these techniques, solution processing of CNTs is more interesting since it allows low-temperature processing on various substrates and is compatible with the newly developed printing technology. However, pristine SWCNTs tend to agglomerate into bundles due to the strong van der Waals interactions and are difficult to be individually dissolved in most commonly used solvents. Nanotube aggregation poses a serious hindrance to the development of solution-processable devices. To debundle and disperse SWCNTs, a range of methods have been proposed and evaluated. We will discuss these techniques in the following. 2.3.2.1

SWCNTs in Organic Solvents

It is found that pristine SWCNTs can be dispersed in certain pure organic solvents such as N,N-dimethylformamide (DMF), N,N-dimethylacetamide (DMA), and N-methyl-2-pyrrolidone (NMP) [130]. There are several critical factors to get stable dispersion of individual nanotubes in organic solvents. First, good solvents for SWCNTs usually feature high hydrogen bond acceptance basicity, negligible hydrogen bond donation parameter, and high solvochromic parameter. NMP and DMF are two candidates that meet all these requirements and are thus

37

38

2 Functional Electronic Inks

recognized as the best solvents for pure SWCNTs. Additionally, it is reported that highly polar alkyl amide solvents with optimal geometries are also responsible for the dispersion of SWCNTs [131]. In 2007, Beecher et al. tried to print a CNT–NMP solution as the semiconductor of the TFTs and the resultant TFTs hold a 𝜇 at about 0.07 cm2 V−1 s−1 [132]. Similarly, CNT-DMF inks were also attempted [133–135] and the best result achieved is at 1 cm2 V−1 s−1 with I on /I off at 104 [134]. Typically, the concentrations of SWCNTs in these organic solvents are limited to 0.001 mg ml −1 and such dispersions aggregate on a time scale of days. Thus, other methods that can produce high concentration and stable SWCNT inks are widely explored. 2.3.2.2

SWCNTs in Water

SWCNTs are nonpolar and hydrophobic in nature and thus are difficult to be dispersed in highly polar liquids such as water. With certain functionalization, the surface of SWCNTs can be altered and further stabilized in water. The most popular route is the adoption of high-concentration surfactant solutions such as the superior sodium dodecylbenzene sulfonate (SDBS) [136] and sodium dodecyl sulfate (SDS) [137]. The chemical structures of such surfactant molecules are shown in Figure 2.16. Clearly, the molecule comprises two parts, with one terminal being hydrophobic (—CH3 ) and the other hydrophilic (—SO3 Na+ ). The hydrophobic surfaces of CNTs prefer to interact with the hydrophobic terminal in aqueous solution. Such interaction leads to a uniform coating of SWCNT surfaces by the surfactant molecules, providing steric repulsion to the nearby tubes. Moreover, the hydrophilic terminals of the surfactants effectively stabilize the SWCNTs in the aqueous medium. To prepare a water-based SWCNT suspension experimentally, simple ultrasonication would effectively isolate of nanotube bundles in the surfactant solution. With a further step of centrifugation of the suspension, large fractions of individual SWCNTs can be harvested by collecting the upper 70% of the supernatant. So far, this method is widely used in the research community as well as in emerging industries. Additionally, ordinarily synthesized SWCNTs are a mixture of m- and s-SWCNTs: about 33% metallic and 67% semiconducting. The existence of m-SWCNTs leads to the percolation of metallic pathways, severely increasing the off-state current of the TFTs. In order to attain a large on/off current ratio (I on /I off ), the density of SWCNTs in the network must be in an appropriate range where the s-SWCNTs percolate but the m-SWCNTs do not [138, 139]. However, O O S O–Na+ CH3

H3C H3C (a)

(b)

Figure 2.16 Chemical structures of (a) SDBS and (b) SDS.

O O S O O–Na+

2.3 Semiconductor Inks

low-density networks usually lead to low I on . The difficulty in simultaneously achieving high I on and large I on /I off sets a severe restriction on the application of such devices and researchers have made great efforts to enhance the fraction of s-SWCNTs in the mixture. In the SWCNT surfactant suspension, it is most likely that the surfactant molecules will coat all the materials, even including the impurities, and hence there is no selectivity of such SWCNT suspension in general. Later, refinements in this method using multiple density-gradient ultra-centrifugations (DGUs) to separate the m- and s-SWCNTs in solution [140] have made this method more interesting and important in CNT technology. Currently, it is the most commonly used SWCNT aqueous ink due to the commercial availability and high purity in semiconducting species, although such refined materials are incredible expensive ($799 mg−1 for 99% s-SWCNTs) (http://www.nanointegris .com/en/semiconducting). Recently, there have been plenty of successful demonstrations of electronic devices and circuits based on such separated SWCNT aqueous inks. However, it is also noted that the surfactants contain a great deal of impurities, e.g. Na+ . The utilization of high-power sonication also creates defects in C—C bonds as well as trims the tubes into short segments. Consequently, the electronic properties of the SWCNTs are adversely affected, which is undesirable for TFT applications. Another route to SWCNT aqueous solution is through DNA wrapping [141]. This is because the single-stranded DNA molecule can bind to CNTs through π-stacking, resulting in helical wrapping to the cylindrical structure of the tube surfaces. It is reported that this technique is capable of purifying SWCNTs since it seems that DNA has a preferential interaction with certain tube species [141]. This is favorable since it provides an alternative way to sort SWCNTs in aqueous solutions. With such CNT–DNA solution, the TFTs showed high-performance transistor characteristics with I on /I off up to 105 and mobility up to 1.3 cm2 V−1 s−1 without any additional processing or removal of m-SWCNTs [142]. 2.3.2.3

SWCNT/Polymer Composite

By using macromolecules such as conjugated polymers with structures that closely match the surface of CNTs, the bonding effect of the polymers and nanotubes might be further enhanced compared with DNA. Hence, highly selective solubilization of nanotubes with certain diameters and certain species can be achieved. The stable hybrid nanotube/polymer composite solutions contain a high fraction of s-SWCNTs, which is extremely interesting for solution processing of CNT-based devices. Such polymers include poly(9,9-dioctylfluorenyl-2,7-diyl) (PFO) [143, 144], regioregular poly(3-alkylthiophene)s (P3AT) [145], and F8T2 [146, 147]. This method yields repeatable and s-SWCNT-enriched materials, which is essential for logic circuit applications. Take the SWCNT/F8T2 composite solution as an example; the composite can be easily prepared. Theoretically, the F8T2 molecules contain fluorene rings in the backbones, which are close to the surface structure of SWCNTs. The strong π–π stacking between SWCNTs and the polymer can enable a good wrapping

39

2 Functional Electronic Inks

(a) 1.5 SWCNTs/F8T2 Before centrifuge After centrifuge Absorption (a.u.)

40

1.0

0.5 (X10) M11

S22

S11

0.0 600 (b)

800

1000

1200

1400

1600

Wavelength (nm)

Figure 2.17 (a) Optical image of the SWCNT/F8T2 composite solution after centrifugation. (b) Absorbance spectra for the SWCNT/F8T2 composite solution before and after centrifugation process.

outcome. Experimentally, around 2–3 mg as-received HiPco-SWCNTs were ultrasonically added into a 50 ml F8T2 solution in toluene (0.2 mg ml−1 ). Then, the mixture was centrifuged at 13 300g for 60 min and the supernatant was used as the starting material for device manufacturing [146]. Figure 2.17a shows the optical image of an SWCNT/F8T2 composite solution after centrifugation, which is stable for months when stored in ambient conditions. The UV–Vis–NIR absorption spectrum of the SWCNT/F8T2 composite solution before and after centrifugation is compared in Figure 2.17b. Obviously, significantly sharp and much better resolved absorption peaks are observed

2.3 Semiconductor Inks

for the SWCNT/F8T2 solution after centrifugation in the wavelength range of 600–1600 nm. The peaks are labeled as S11 and S22 , representing the first and second optical transitions of the s-SWCNTs. This can be attributed to a higher ratio of individual SWCNTs in the SWCNT/F8T2 solution after removing the large bundles by the centrifugal forces [148]. For the SWCNT/F8T2 solution, peaks corresponding to m-SWCNTs (in the region of 400–600 nm) cannot unfortunately be distinguished due to the huge F8T2 absorption background in the same region. Therefore, we turned to another characterization technique, Raman spectroscopy. To characterize s- and m-SWCNTs in the composite films, Raman spectroscopy was employed. Generally, with Raman spectroscopy one measures the energy shift of photons resulting from generation or annihilation, which are elastic in a sample. It can provide information about phonon structure, electronic structure, and defects. In CNTs, the phonon energy corresponding to the so-called radial breathing mode (RBM), in which the circumference expands and contracts, is inversely proportional to the CNT diameter. Laser excitation at different wavelengths brings nanotubes of different diameters into resonance. Here, Raman spectroscopy with a laser wavelength at 633 nm was employed for the SWCNT/F8T2 composite films and the results for three randomly selected locations are shown in Figure 2.18. For reference, the Raman data for the SWCNT/SDBS films are displayed as well. With the 633 nm wavelength, it is found that the two m-SWCNT peaks at 195 and 217 cm−1 are absent, suggesting a substantially decreased fraction of the m-SWCNTs in the SWCNT/F8T2 composite solution after centrifugation [146]. The Raman characterization results provided strong evidence for the removal of m-SWCNTs in the composites. A composite material enriched with individual s-SWCNTs is essential for fabrication of high-performance TFTs.

M11

(7,6)282.5 (7,5) 262.8

194.5

0.5

Laser : 633 nm

(10,3)(11,1) 251.8 256.2

1.0 Intensity (a.u.)

S22

216.7 (12,3) SWCNTs/SDBS

0.0 150

296.7 (8,3)

SWCNTs/F8T2 200

250

300

350

Raman shift (cm–1)

Figure 2.18 RBM spectra at 633 nm for thin films prepared by SWCNTs/F8T2 at three different locations with reference to that prepared by SWCNTs/SDBS.

41

2 Functional Electronic Inks

2.3.3

SWCNT/Polymer Composites Inks

For the SWCNT/F8T2 mixture, we have performed inkjet printing of the composite solution on a Dimatix desktop inkjet printer. As shown in Figure 2.19a, the drops of the SWCNT/F8T2 composite are jetted at a uniform velocity of 5 m s−1 , implying proper viscosity and surface tension of the composite solution. Subsequently, inkjet-printed SWCNT/F8T2 TFTs were fabricated with heavily doped n+ -Si as the gate electrode and 150 nm SiO2 as the gate insulator. The S/D electrodes were inkjet printed using a commercially available Cabot CCI-300 conductive ink containing 19–21% weight of nano-silver particles. The top view image by AFM of the composite film beside one of the Ag electrode is shown in Figure 2.19c. It is found that the long, curved SWCNTs are lying in the matrix of F8T2. Although the concentration of the nanotubes in the thin film is so low that the SWCNTs are non-percolated, I on of the TFTs is still enhanced due to the presence of the highly conductive SWCNTs embedded in the polymer matrix [81]. In Figure 2.19d, TC of an inkjet-printed SWCNT/F8T2-TFT with L = 60 μm and W = 100 μm is depicted. I on , extracted at V g = −40 V and V d = −2 V is about 40 nA. Meanwhile, I on /I off > 105 is achieved, which has the potential for logic circuit applications. It is expected that further optimization of the formulation of the composite ink would lead to continuous improvements in the electrical performance.

60

20 (a)

40

60

80

100 120 200 (μs)

Ag

DS:20 (b)

30

40

10–7 10–8

50

55

60

LC = 60 μm

10–9

SWCNT

–ld (A)

42

10–10 10–11 10–12

1 μm (c)

10–13 10–14 –40 –30 –20 –10 (d)

0 10 20 30 40 Vg (V)

Figure 2.19 (a) Optical image of the SWCNT/F8T2 drops during jetting process. (b) Line patterns of the SWCNT/F8T2 composite with various drop spacings (DSs). (c) An AFM image in height mode of an inkjet-printed SWCNT/F8T2 composite film. (d) A TC of an inkjet-printed SWCNT/F8T2-TFT with V g scanned from −40 to +40 V and V d fixed at −2 V. W and L of the TFTs were 60 and 100 μm, respectively.

References

In printed electronics, the desired printed lines would be smooth, even, narrow, and straight. However, the printing process itself is dependent on a range of parameters such as substrate temperatures, drop frequencies, and DS [19]. In Figure 2.19b, by carefully tailoring DS during parameter optimization, various line morphologies on SiO2 substrate are obtained. When DS is as small as 20 μm, discrete bulges along the line length separated by segments of uniform narrow lines are observed. This can be attributed to the outflow of the additional fluid during printing. With increasing DS, the bulges become narrower. This tendency persists until DS is reduced to 55 μm where a smooth and straight track is obtained. These lines have uniform smooth edges and tops, along with the narrowest width of ∼60 μm. With a further decreased DS, for instance, at 60 μm, the drops are too far to interact with each other, leading to islands in the patterns.

2.4 Summary The employment of printing techniques into the manufacturing of electronic products will certainly lead to ultra-low cost and green electronics in large area. Functional electronic inks are among the key enablers for printed electronics. There is plenty of technology development aimed at exploring high-quality inks with extraordinary electronic properties and good printability. Innovations on conductive and semiconductor inks are critical since they are the main building blocks for components. This chapter has provided not only a comprehensive overview of the research on both of them, but also some promising candidates, especially CNT-based electronic inks. Particularly, water-dispersible MWCNT/PANI composite has been synthesized and characterized with optimized conductivity, good printability, and excellent thermal stability. Additionally, SWCNT/polymer composite inks have been developed with sorted s-SWCNTs, high carrier mobility in transistors, good stability as well as printability. These results would shed light on the development of high-performance functional electronic inks for printed electronics.

References 1 Søndergaard, R., Hösel, M., Angmo, D. et al. (2012). Roll-to-roll fabrication

of polymer solar cells. Materials Today 15 (1): 36–49. 2 Amin, Y. and Tenhunen, H. (2012). Development and analysis of flexible

UHF RFID antennas for “green” electronics. Progress in Electromagnetics Research 130: 1–15. 3 Manceau, M., Angmo, D., Jørgensen, M., and Krebs, F.C. (2011). ITO-free flexible polymer solar cells: from small model devices to roll-to-roll processed large modules. Organic Electronics 12 (4): 566–574. doi: 10.1016/j.orgel.2011.01.009. 4 Bing, Z., Heeyeop, C., and Sung Min, C. (2009). Screen-printed polymer:fullerene bulk-heterojunction solar cells. ACS Nano 8 (12): 12769–12776.

43

44

2 Functional Electronic Inks

5 Hambsch, M., Reuter, K., Stanel, M. et al. (2010). Uniformity of fully gravure

6

7

8

9

10 11 12

13

14

15

16 17 18

19

printed organic field-effect transistors. Materials Science and Engineering B 170 (1): 93–98. Ding, J.M., de la Fuente, V.A., Ting, C., and Subramanian, V. (2009). Patternable polymer bulk heterojunction photovoltaic cells on plastic by rotogravure printing. Solar Energy Materials and Solar Cells 93 (4): 459–464. doi: 10.1016/j.solmat.2008.12.003. Chung, D.-Y., Huang, J., Bradley, D.D., and Campbell, A.J. (2010). High performance, flexible polymer light-emitting diodes (PLEDs) with gravure contact printed hole injection and light emitting layers. Organic Electronics 11 (6): 1088–1095. Jung, M., Kim, J., Noh, J. et al. (2010). All-printed and roll-to-roll-printable 13.56-MHz-operated 1-bit RF tag on plastic foils. IEEE Transactions on Electron Devices 57 (3): 571–580. Krebs, F.C., Fyenbo, J., and Jørgensen, M. (2010). Product integration of compact roll-to-roll processed polymer solar cell modules: methods and manufacture using flexographic printing, slot-die coating and rotary screen printing. Journal of Materials Chemistry 20 (41): 8994–9001. Tobjörk, D. and Österbacka, R. (2011). Paper electronics. Advanced Materials 23 (17): 1935–1961. Singh, M., Haverinen, H.M., Dhagat, P., and Jabbour, G.E. (2010). Inkjet printing—process and its applications. Advanced Materials 22 (6): 673–685. de Gans, B.J., Duineveld, P.C., and Schubert, U.S. (2004). Inkjet printing of polymers: state of the art and future developments. Advanced Materials 16 (3): 203–213. Tekin, E., Wijlaars, H., Holder, E. et al. (2006). Film thickness dependency of the emission colors of PPE–PPVs in inkjet printed libraries. Journal of Materials Chemistry 16 (44): 4294–4298. Aernouts, T., Aleksandrov, T., Girotto, C. et al. (2008). Polymer based organic solar cells using ink-jet printed active layers. Applied Physics Letters 92 (3): 033306. Jang, J., Ha, J., and Cho, J. (2007). Fabrication of water-dispersible polyaniline-poly(4-styrenesulfonate) nanoparticles for inkjet-printed chemical-sensor applications. Advanced Materials 19 (13): 1772–1775. Sirringhaus, H., Kawase, T., Friend, R. et al. (2000). High-resolution inkjet printing of all-polymer transistor circuits. Science 290 (5499): 2123–2126. Fujifilm Dimatix, Inc. (2007). Dimatix Materials Printer DMP-2800 Series User Manual, v.1.5. Molesa, S., Redinger, D.R., Huang, D.C., and Subramanian, V. (2003). High-quality inkjet-printed multilevel interconnects and inductive components on plastic for ultra-low-cost RFID applications. MRS Proceedings 769: H8.3. Soltman, D. and Subramanian, V. (2008). Inkjet-printed line morphologies and temperature control of the coffee ring effect. Langmuir 24 (5): 2224–2231.

References

20 Mette, A., Richter, P., Hörteis, M., and Glunz, S. (2007). Metal aerosol jet

21

22

23

24 25 26 27

28

29

30

31 32 33 34 35 36

37 38

printing for solar cell metallization. Progress in Photovoltaics: Research and Applications 15 (7): 621–627. Ha, M., Seo, J.-W.T., Prabhumirashi, P.L. et al. (2013). Aerosol jet printed, low voltage, electrolyte gated carbon nanotube ring oscillators with sub-5 μs stage delays. Nano Letters 13 (3): 954–960. Grunwald, I., Groth, E., Wirth, I. et al. (2010). Surface biofunctionalization and production of miniaturized sensor structures using aerosol printing technologies. Biofabrication 2 (1): 014106. Wu, S.-H. and Chen, D.-H. (2004). Synthesis of high-concentration Cu nanoparticles in aqueous CTAB solutions. Journal of Colloid and Interface Science 273 (1): 165–169. Iijima, S. (1991). Helical microtubules of graphitic carbon. Nature 354 (6348): 56–58. Iijima, S. and Ichihashi, T. (1993). Single-shell carbon nanotubes of 1-nm diameter. Nature 363 (6430): 603–605. Saitom, R., Dresselhaus, G., and Dresselhaus, M.S. (1998). Physical Properties of Carbon Nanotubes. London: Imperial College Press. De Volder, M.F.L., Tawfick, S.H., Baughman, R.H., and Hart, A.J. (2013). Carbon nanotubes: present and future commercial applications. Science 339 (6119): 535–539. Yu, M.F., Lourie, O., Dyer, M.J. et al. (2000). Strength and breaking mechanism of multiwalled carbon nanotubes under tensile load. Science 287 (5453): 637–640. Peng, B., Locascio, M., Zapol, P. et al. (2008). Measurements of near-ultimate strength for multiwalled carbon nanotubes and irradiation-induced crosslinking improvements. Nature Nanotechnology 3 (10): 626–631. Kim, P., Shi, L., Majumdar, A., and McEuen, P.L. (2001). Thermal transport measurements of individual multiwalled nanotubes. Physical Review Letters 87 (21): 215502. Wei, B.Q., Vajtai, R., and Ajayan, P.M. (2011). Reliability and current carrying capacity of carbon nanotubes. Applied Physics Letters 79 (8): 1172–1174. Byrne, M.T. and Gun, ko, Y.K. (2010). Recent advances in research on carbon nanotube–polymer composites. Advanced Materials 22 (15): 1672–1688. Du, C. and Pan, N. (2006). Supercapacitors using carbon nanotubes films by electrophoretic deposition. Journal of Power Sources 160 (2): 1487–1494. Rinzler, A.G., Hafner, J.H., Nikolaev, P. et al. (1995). Unraveling nanotubes: field emission from an atomic wire. Science 269 (5230): 1550–1553. Sinha, N., Ma, J., and Yeow, J.T.W. (2006). Carbon nanotube-based sensors. Journal of Nanoscience and Nanotechnology 6 (3): 573–590. Castro, M.R.S., Al-Dahoudi, N., and Oliveira, P.W. (2009). Multi-walled carbon nanotube-based transparent conductive layers deposited on polycarbonate substrate. Journal of Nanoparticle Research 11 (4): 801–806. Kreupl, F., Graham, A.P., Duesberg, G.S. et al. (2002). Carbon nanotubes in interconnect applications. Microelectronic Engineering 64 (1–4): 399–408. Elwi, T.A., Al-Rizzo, H.M., Rucker, D.G. et al. (2010). Multi-walled carbon nanotube-based RF antennas. Nanotechnology 21 (4): 045301.

45

46

2 Functional Electronic Inks

39 Zhang, Y., Bai, Y., and Yan, B. (2010). Functionalized carbon nanotubes for

potential medicinal applications. Drug Discovery Today 15 (11–12): 428–435. 40 Upadhyayula, V.K.K., Deng, S., Mitchell, M.C., and Smith, G.B. (2009).

41

42

43

44 45

46

47 48 49

50

51 52

53

54

55

Application of carbon nanotube technology for removal of contaminants in drinking water: a review. Science of the Total Environment 408 (1): 1–13. Sun, Y.P., Fu, K., Lin, Y., and Huang, W. (2002). Functionalized carbon nanotubes: properties and applications. Accounts of Chemical Research 35 (12): 1096–1104. Yu, J., Grossiord, N., Koning, C.E., and Loos, J. (2007). Controlling the dispersion of multi-wall carbon nanotubes in aqueous surfactant solution. Carbon 45 (3): 618–623. Cabezas, A.L., Feng, Y., Zheng, L.R., and Zhang, Z.B. (2013). Thermal aging of electrical conductivity in carbon nanotube/polyaniline composite films. Carbon 59: 270–277. Balasubramanian, K. and Burghard, M. (2005). Chemically functionalized carbon nanotubes. Small 1 (2): 180–192. Eitan, A., Jiang, K., Dukes, D. et al. (2003). Surface modification of multiwalled carbon nanotubes: towards the tailoring the interface in polymer composites. Chemistry of Materials 15 (16): 3198–3201. Banerjee, S., Hemraj-Benny, T., and Wong, S.S. (2005). Covalent surface chemistry of single-walled carbon nanotubes. Advanced Materials 17 (1): 17–29. Liu, J., Rinzeler, A.G., Dai, H. et al. (1998). Fullerene pipes. Science 280 (5367): 1253–1256. Datsyuk, V., Kalyva, M., Papagelis, K. et al. (2008). Chemical oxidation of multiwalled carbon nanotubes. Carbon 46 (6): 833–840. Wepasnick, K.A., Smith, B.A., Schrote, K.E. et al. (2011). Surface and structural characterization of multi-walled carbon nanotubes following different oxidative treatments. Carbon 49 (1): 24–36. Martínez, M.T., Callejas, M.A., Benito, A.M. et al. (2003). Modifications of single-wall carbon nanotubes upon oxidative purification treatments. Nanotechnology 14 (7): 691–695. Kordás, K., Mustonen, T., Tóth, G. et al. (2006). Inkjet printing of electrically conductive patterns of carbon nanotubes. Small 2 (8–9): 1021–1025. Mustonen, T., Kordás, K., Saukko, S. et al. (2007). Inkjet printing of transparent and conductive patterns of single-walled carbon nanotubes and PEDOT–PSS composites. Physica Status Solidi B Basic Solid State Physics 244 (11): 4336–4340. Small, W.R. and in het Panhuis, M. (2007). Inkjet printing of transparent, electrically conducting single-walled carbon-nanotube composites. Small 3 (9): 1500–1503. in het Panhuis, M., Heurtematte, A., Small, W.R., and Paunov, V.N. (2007). Inkjet printed water sensitive transparent films from natural gum–carbon nanotube composites. Soft Materials 3 (7): 840–843. Lee, Y.I., Kim, S., Lee, K.J. et al. (2013). Inkjet printed transparent conductive films using water-dispersible single-walled carbon nanotubes treated by UV/ozone irradiation. Thin Sold Films 536: 160–165.

References

56 Beecher, P., Servati, P., Rozhin, A. et al. (2007). Ink-jet printing of carbon

nanotube thin film transistors. Journal of Applied Physics 102 (4): 043710. 57 Li, J., Unander, T., Cabezas, L.A. et al. (2011). Ink-jet printed thin-film tran-

58

59

60

61

62

63

64

65 66

67

68 69

70

71

sistors with carbon nanotube channels shaped in long strips. Journal of Applied Physics 109 (8): 084915. Yang, L., Zhang, R., Staiculescu, D. et al. (2009). A novel conformal RFID-enabled module utilizing inkjet-printed antennas and carbon nanotubes for gas-detection applications. IEEE Antennas and Wireless Propagation Letters 8: 653–656. Lee, H., Shaker, G., Naishadham, K. et al. (2011). Carbon-nanotube loaded antenna-based ammonia gas sensor. IEEE Transactions on Microwave Theory and Techniques 59 (10): 2665–2673. Feng, Y., Xie, L., Mäntysalo, M. et al. (2013). Electrical and humidity-sensing characterization of inkjet-printed multi-walled carbon nanotubes for smart packaging. Proceedings of IEEE Sensors, Baltimore, MD. pp. 1–4. Hopkin, A.R., Straw, D.C., and Spurrell, K.C. (2011). Influence of surface chemistry on inkjet printed carbon nanotube films. Thin Solid Films 520 (5): 1541–1545. Denneulin, A., Bras, J., Blayo, A., and Neuman, C. (2011). Substrate pre-treatment of flexible material for printed electronics with carbon nanotube based ink. Applied Surface Science 257 (8): 3645–3651. Wang, T., Roberts, M.A., Kinloch, I.A., and Derby, B. (2012). Inkjet printed carbon nanotube networks: the influence of drop spacing and drying on electrical properties. Journal of Physics D: Applied Physics 45 (31): 315304. Fan, Z., Wei, T., Luo, G., and Wei, F. (2005). Fabrication and characterization of multi-walled nanotubes-based ink. Journal of Materials Science 40 (18): 5075–5077. Wei, T., Ruan, J., Fa, Z. et al. (2007). Preparation of a carbon nanotube film by ink-jet printing. Carbon 45 (13): 2712–2716. Mabrook, M.F., Pearson, C., Jombert, A.S. et al. (2009). The morphology, electrical conductivity and vapor sensing ability of inkjet-printed thin films of single-wall carbon nanotubes. Carbon 47 (3): 752–757. Denneulin, A., Bras, J., Blayo, A. et al. (2009). The influence of carbon nanotubes in inkjet printing of conductive polymer suspensions. Nanotechnology 20 (38): 385701. Cabezas, A.L. (2013). Nanofibrillar materials for organic and printed electronics. Doctoral thesis. Vargftik, N.B., Volkov, B.N., and Voljak, L.D. (1983). International tables of the surface tension of water. Journal of Physical and Chemical Reference Data 12 (3): 817–820. Denneulin, A., Bras, J., Carcone, F. et al. (2011). Impact of ink formulation on carbon nanotube network organization within inkjet printed conductive films. Carbon 49 (8): 2603–2614. Kestin, J., Sokolov, M., and Wakehan, W.A. (1978). Viscosity of liquid water in the range −8 ∘ C to 150 ∘ C. Journal of Physical and Chemical Reference Data 7 (3): 941–948.

47

48

2 Functional Electronic Inks

72 Cho, S.J., Nguyen, T., and Boo, J.H. (2011). Polyimide surface modification

73 74

75

76 77

78

79 80 81 82

83

84

85

86

87

by using microwave plasma for adhesion enhancement of Cu electroless plating. Journal of Nanoscience and Nanotechnology 11 (6): 5328–5333. The MEGlobal Group of Companies (2008). Ethylene Glycol Product Guide, Form No. 001-00005-0508-CRCG. Park, J. and Moon, J. (2006). Control of colloidal particle deposit pattern within picoliter droplets ejected by ink-jet printing. Langmuir 22 (8): 3506–3513. Liu, L., Ye, X., Wu, K. et al. (2009). Humidity sensitivity of multi-walled carbon nanotube networks deposited by dielectrophoresis. Sensors 9 (3): 1714–1721. Chiang, C.K., Fincher, C.R. Jr., Park, Y.W. et al. (1977). Electrical conductivity in doped polyacetylene. Physical Review Letters 39 (17): 1098–1101. Samuel, I.D.W. (2000). Polymer electronics. Philosophical Transactions of the Royal Society of London A: Mathematical, Physical and Engineering Sciences 358 (1765): 193–210. Rogers, J.A. and Bao, Z. (2002). Printed plastic electronics and paperlike displays. Journal of Polymer Science Part A: Polymer Chemistry 40 (20): 3327–3334. Brabec, C.J., Sariciftci, N.S., and Hummelen, J.C. (2001). Plastic solar cells. Advanced Functional Materials 11 (1): 15–26. Burroughes, J.H., Bradley, D.D.C., Brown, A.R. et al. (1990). Light-emitting diodes based on conjugated polymers. Nature 347 (6293): 539–541. Janata, J. and Josowicz, M. (2003). Conducting polymers in electronic chemical sensors. Nature Materials 2 (1): 19–24. Madden, J.D., Madden, P.G., and Hunter, I.W. (2002). Conducting actuators as engineering materials. Proceedings of SPIE Smart Structures and Materials 2002: Electroactive Polymer Actuators and Devices (EAPAD), Vol. 4695. pp. 176–190. Argun, A.A., Aubert, P.H., Thompson, B.C. et al. (2004). Multicolored electrochromism in polymers structures and devices. Chemistry of Materials 16 (23): 4401–4412. Ohtani, A., Abe, M., Ezoe, M. et al. (1993). Synthesis and properties of hjigh-molecular-weight soluble polyaniline and its application to the 4 MB-capacity barium ferrite floppy disk’s antistatic coating. Synthetic Metals 57 (1): 3696–3701. Yang, Y., Ouyang, J., Ma, L. et al. (2006). Electrical switching and bistability in organic/polymeric thin films and memory devices. Advanced Functional Materials 16 (8): 1001–1014. Sangeeth, C.S.S., Jimenez, P., Benito, A.M. et al. (2010). Charge transport properties of water dispersible multiwall carbon nanotube-polyaniline composites. Journal of Applied Physics 107 (10): 103719. Jiménez, P., Castell, P., Sainz, R. et al. (2010). Carbon nanotube effect on polyaniline morphology in water dispersible composites. Journal of Physical Chemistry B 114 (4): 1579–1585.

References

88 Cabezas, A.L., Liu, X., Chen, Q. et al. (2012). Influence of carbon nanotubes

89

90

91

92

93

94 95 96 97

98 99

100

101

102

103 104

on thermal stability of water-dispersible nanofibrillar polyaniline/nanotube composites. Materials 5 (2): 327–335. Baibarac, M., Baltog, I., and Lefrant, S. (2011). Recent progress in synthesis, vibrational characterization and applications trend of conjugated polymers/carbon nanotubes composites. Current Organic Chemistry 15 (8): 1160–1196. Shumaila, S.H., Parveen, S., Ali, J. et al. (2013). Field emission of MWCNTs/PANi nanocomposites prepared by ex-situ and in-situ polymerization methods. Polymer Composites 34 (8): 1298–1305. Cabezas, A.L., Zhang, Z.B., Zheng, L.R., and Zhang, S.L. (2010). Morphological development of nanofibrillar composites of polyaniline and carbon nanotube. Synthetic Metals 160 (7–8): 664–668. Jiménez, P., Maser, W.K., Castell, P. et al. (2009). Nanofibrilar polyaniline: direct route to carbon nanotube water dispersions of high concentration. Macromolecular Rapid Communications 30 (6): 418–422. Zhang, X., Chan-Yu-King, R., Jose, A., and Manohar, S.K. (2004). Nanofibers of polyaniline synthesized by interfacial polymerization. Synthetic Metals 145 (1): 23–29. Jing, X., Wang, Y., Wu, D., and Qiang, J. (2007). Sonochemical synthesis of polyaniline nanofibers. Ultrasonics Sonochemistry 14 (1): 75–80. Li, D. and Kranner, R.B. (2005). Processable stabilizer-free polyaniline nanofiber aqueous colloids. Chemical Communications (26): 3286–3288. Chiou, N.R. and Epstein, A.J. (2005). Polyaniline nanofibers prepared by dilute polymerization. Advanced Materials 17 (13): 1679–1683. Li, D. and Kaner, R.B. (2006). Shape and aggregation control of nanoparticles: no shaken, not stirred. Journal of the American Chemical Society 128 (3): 968–975. Konyushenko, E.N., Stejskal, J., Trchová, M. et al. (2006). Multi-wall carbon nanotubes coated with polyaniline. Polymer 47 (16): 5715–5723. Long, Y., Chen, Z., Zhang, X. et al. (2004). Synthesis and electrical properties of carbon nanotube polyaniline composites. Applied Physics Letters 85 (10): 1796–1798. Lu, X., Tan, C.Y., Xu, J., and He, C. (2003). Thermal degradation of electrical conductivity of polyacrylic acid doped polyaniline: effect of molecular weight of dopants. Synthetic Metals 138 (3): 429–440. Sakkopoulos, S., Vitoratos, E., and Dalas, E. (1998). Conductivity degradation due to thermal aging in conducting polyaniline and polypyrrole. Synthetic Metals 92 (1): 63–67. Podzorov, V., Menard, E., Borissov, A. et al. (2004). Intrinsic charge transport on the surface of organic semiconductors. Physical Review Letters 93 (8): 086602. Minemawari, H., Yamada, T., Matsui, H. et al. (2011). Inkjet printing of single-crystal films. Nature 475 (7356): 364–367. Tsumura, A., Koezuka, H., and Ando, T. (1986). Macromolecular electronic device: field-effect transistor with a polythiophene thin film. Applied Physics Letters 49 (18): 1210–1212. doi: 10.1063/1.97417.

49

50

2 Functional Electronic Inks

105 Ling, M.M. and Bao, Z. (2004). Thin film deposition, patterning, and

106 107

108

109

110

111 112 113

114

115

116 117

118

119

120

printing in organic thin film transistors. Chemistry of Materials 16 (23): 4824–4840. doi: 10.1021/cm0496117. Berggren, M., Nilsson, D., and Robinson, N.D. (2007). Organic materials for printed electronics. Nature Materials 6 (1): 3–5. Gelinck, G.H., Huitema, H.E.A., van Veenendaal, E. et al. (2004). Flexible active-matrix displays and shift registers based on solution-processed organic transistors. Nature Materials 3 (2): 106–110. Hoth, C.N., Schilinsky, P., Choulis, S.A., and Brabec, C.J. (2008). Printing highly efficient organic solar cells. Nano Letters 8 (9): 2806–2813. doi: 10.1021/nl801365k. Someya, T., Sekitani, T., Iba, S. et al. (2004). A large-area, flexible pressure sensor matrix with organic field-effect transistors for artificial skin applications. Proceedings of the National Academy of Sciences of the United States of America 101 (27): 9966–9970. doi: 10.1073/pnas.0401918101. Yuan, Y., Giri, G., Ayzner, A.L. et al. (2014). Ultra-high mobility transparent organic thin film transistors grown by an off-centre spin-coating method. Nature Communications 5: 1–8. Street, R.A. (2009). Thin-film transistors. Advanced Materials 21 (20): 2007–2022. Klauk, H. (2006). Organic Electronics: Materials, Manufacturing, and Applications. Wiley. Baeg, K.J., Caironi, M., and Noh, Y.Y. (2013). Toward printed integrated circuits based on unipolar or ambipolar polymer semiconductors. Advanced Materials 25 (31): 4210–4244. Bao, Z., Dodabalapur, A., and Lovinger, A.J. (1996). Soluble and processable regioregular poly(3-hexylthiophene) for thin film field-effect transistor applications with high mobility. Applied Physics Letters 69 (26): 4108–4110. doi: 10.1063/1.117834. Bao, Z., Feng, Y., Dodabalapur, A. et al. (1997). High-performance plastic transistors fabricated by printing techniques. Chemistry of Materials 9 (6): 1299–1301. Sirringhaus, H. (2009). Reliability of organic field-effect transistors. Advanced Materials 21 (38–39): 3859–3873. Ong, B.S., Wu, Y., Liu, P., and Gardner, S. (2004). High-performance semiconducting polythiophenes for organic thin-film transistors. Journal of the American Chemical Society 126 (11): 3378–3379. McCulloch, I., Heeney, M., Bailey, C. et al. (2006). Liquid-crystalline semiconducting polymers with high charge-carrier mobility. Nature Materials 5 (4): 328–333. Hamadani, B.H., Gundlach, D.J., McCulloch, I., and Heeney, M. (2007). Undoped polythiophene field-effect transistors with mobility of 1cm2 V−1 s−1 . Applied Physics Letters 91 (24): 243512–243513. doi: 10.1063/1.2824845. Arias, A., Ready, S., Lujan, R. et al. (2004). All jet-printed polymer thin-film transistor active-matrix backplanes. Applied Physics Letters 85 (15): 3304–3306.

References

121 de la Fuente Vornbrock, A., Sung, D., Kang, H. et al. (2010). Fully gravure

122

123 124

125 126 127 128

129

130

131

132

133

134 135

136

137

and ink-jet printed high speed pBTTT organic thin film transistors. Organic Electronics 11 (12): 2037–2044. Li, J., Zhao, Y., Tan, H.S. et al. (2012). A stable solution-processed polymer semiconductor with record high-mobility for printed transistors. Scientific Reports 2: 754. Klauk, H. (2010). Organic thin-film transistors. Chemical Society Reviews 39 (7): 2643–2666. Preuss, P. (2001). Imagining the nanoworld. http://www.lbl.gov/ScienceArticles/Research-Review/Magazine/2001/Fall/features/05Imagining2.html (accessed 27 January 2018). Tans, S.J., Verschueren, A.R., and Dekker, C. (1998). Room-temperature transistor based on a single carbon nanotube. Nature 393 (6680): 49–52. Javey, A., Guo, J., Wang, Q. et al. (2003). Ballistic carbon nanotube field-effect transistors. Nature 424 (6949): 654–657. Dürkop, T., Getty, S., Cobas, E., and Fuhrer, M. (2004). Extraordinary mobility in semiconducting carbon nanotubes. Nano Letters 4 (1): 35–39. Snow, E.S., Novak, J.P., Campbell, P.M., and Park, D. (2003). Random networks of carbon nanotubes as an electronic material. Applied Physics Letters 82 (13): 2145–2147. doi: 10.1063/1.1564291. Cao, Q., H-s, K., Pimparkar, N. et al. (2008). Medium-scale carbon nanotube thin-film integrated circuits on flexible plastic substrates. Nature 454 (7203): 495–500. Ausman, K.D., Piner, R., Lourie, O. et al. (2000). Organic solvent dispersions of single-walled carbon nanotubes: toward solutions of pristine nanotubes. Journal of Physical Chemistry B 104 (38): 8911–8915. Landi, B.J., Ruf, H.J., Worman, J.J., and Raffaelle, R.P. (2004). Effects of alkyl amide solvents on the dispersion of single-wall carbon nanotubes. Journal of Physical Chemistry B 108 (44): 17089–17095. Beecher, P., Servati, P., Rozhin, A. et al. (2007). Ink-jet printing of carbon nanotube thin film transistors. Journal of Applied Physics 102 (4): 043710. doi: 10.1063/1.2770835. Okimoto, H., Takenobu, T., Yanagi, K. et al. (2010). Tunable carbon nanotube thin-film transistors produced exclusively via inkjet printing. Advanced Materials 22 (36): 3981–3986. Satoki, M., Yuki, N., Kazuhiro, Y. et al. (2011). Inkjet printing of carbon nanotube complementary inverters. Applied Physics Express 4 (10): 105101. Nobusa, Y., Yomogida, Y., Matsuzaki, S. et al. (2011). Inkjet printing of single-walled carbon nanotube thin-film transistors patterned by surface modification. Applied Physics Letters 99 (18): 183106. doi: 10.1063/1.3657502. Matarredona, O., Rhoads, H., Li, Z. et al. (2003). Dispersion of single-walled carbon nanotubes in aqueous solutions of the anionic surfactant NaDDBS. Journal of Physical Chemistry B 107 (48): 13357–13367. Nish, A. and Nicholas, R.J. (2006). Temperature induced restoration of fluorescence from oxidised single-walled carbon nanotubes in aqueous sodium dodecylsulfate solution. Physical Chemistry Chemical Physics 8 (30): 3547–3551.

51

52

2 Functional Electronic Inks

138 Kumar, S., Murthy, J., and Alam, M. (2005). Percolating conduction in finite

nanotube networks. Physical Review Letters 95 (6): 066802. 139 Kocabas, C., Pimparkar, N., Yesilyurt, O. et al. (2007). Experimental and

140

141 142

143

144

145

146

147

148

theoretical studies of transport through large scale, partially aligned arrays of single-walled carbon nanotubes in thin film type transistors. Nano Letters 7 (5): 1195–1202. Arnold, M.S., Green, A.A., Hulvat, J.F. et al. (2006). Sorting carbon nanotubes by electronic structure using density differentiation. Nature Nanotechnology 1 (1): 60–65. Zheng, M., Jagota, A., Semke, E.D. et al. (2003). DNA-assisted dispersion and separation of carbon nanotubes. Nature Materials 2 (5): 338–342. Asada, Y., Miyata, Y., Ohno, Y. et al. (2010). High-performance thin-film transistors with DNA-assisted solution processing of isolated single-walled carbon nanotubes. Advanced Materials 22 (24): 2698–2701. Hwang, J.-Y., Nish, A., Doig, J. et al. (2008). Polymer structure and solvent effects on the selective dispersion of single-walled carbon nanotubes. Journal of the American Chemical Society 130 (11): 3543–3553. Nish, A., Hwang, J.-Y., Doig, J., and Nicholas, R.J. (2007). Highly selective dispersion of single-walled carbon nanotubes using aromatic polymers. Nature Nanotechnology 2 (10): 640–646. Lee, H.W., Yoon, Y., Park, S. et al. (2011). Selective dispersion of high purity semiconducting single-walled carbon nanotubes with regioregular poly(3-alkylthiophene)s. Nature Communications 2: 541. Liu, Z., Li, H., Qiu, Z. et al. (2012). SMALL-hysteresis thin-film transistors achieved by facile dip-coating of nanotube/polymer composite. Advanced Materials 24 (27): 3633–3638. Chen, F., Zhang, W., Jia, M. et al. (2009). Energy transfer from photo-excited fluorene polymers to single-walled carbon nanotubes. Journal of Physical Chemistry C 113 (33): 14946–14952. O’connell, M.J., Bachilo, S.M., Huffman, C.B. et al. (2002). Band gap fluorescence from individual single-walled carbon nanotubes. Science 297 (5581): 593–596.

53

Part II Printed Electronic Building Blocks

55

3 Printed Thin-film Transistors (TFTs) and Logic Circuits 3.1 Introduction 3.1.1

TFTs Versus Silicon MOSFETs

The invention of Bardeen and Brattain’s “point-contact” transistor at Bell Laboratories in 1947 transported human society into the age of silicon [1]. After 13 more years of research in material and device technology, the single-crystal silicon-based metal-oxide semiconductor field-effect transistor (MOSFET) was finalized [2]. A silicon MOSFET, as shown in Figure 3.1a, comprises three parts – silicon oxide as the dielectric layer, silicon as the semiconductor channel, and three electrodes. Two of the electrodes, the source (S) and the drain (D), are directly in contact with silicon; the third, the gate (G), is separated from the semiconductor by the dielectric layer. Silicon transistors rapidly became the basic building block for integrated circuits (ICs) since late 1960s. Over the past half century, silicon ICs have been developed to deliver both high performance and low cost by continuously shrinking the device size. Today, there are millions of tiny-sized MOSFETs in the processors of personal computers, cellular phones, and many other electronic products, largely improving the lifestyle of humans. It was realized, however, by the 1970s that with single-crystal silicon, low cost was inseparable from miniaturization, whereas some applications, for instance, flat panel displays, required cheap electronics spreading over large-area substrates with relatively lower performance. A special kind of transistor, thin-film field-effect transistor (TFT), made by depositing thin films of the active semiconductor layer, the dielectric layer, and metallic contacts over a supporting substrate (Figure 3.1b), gained much attention for large-area electronics at low cost owing to their unique characteristics. TFTs differ from conventional silicon MOSFETs, where the semiconductor material typically is the substrate, such as a single-crystal silicon wafer (Figure 3.1a). For TFTs, the choices of substrates are various as long as they are compatible with the fabrication environments. The existing TFT substrates vary from rigid glass, flexible plastic foils, and packaging papers, to even stretchable textiles, all of which are much cheaper than single-crystal silicon wafers. Additionally, a wide range of semiconductors or dielectrics can be deposited by different methods onto substrates with rather small volumes of materials, saving a large amount of electrical materials. An added advantage is the compatibility of film deposition by simple and cheap Smart Electronic Systems: Heterogeneous Integration of Silicon and Printed Electronics, First Edition. Li-Rong Zheng, Hannu Tenhunen, and Zhuo Zou. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA. Published 2018 by Wiley-VCH Verlag GmbH & Co. KGaA.

56

3 Printed Thin-film Transistors (TFTs) and Logic Circuits

Drain

Source Gate

Drain

Source

SiO2 dielectric p+Si

p+Si n–Si

Semiconductor Dielectric Gate Supporting substrate

Figure 3.1 Schematic diagrams of (a) a p-type silicon MOSFET and (b) a back-gate, top-contact TFT.

solution processes, especially newly developed printing technologies. Moreover, configurations and shapes of the TFTs are easily adjustable according to the requirements for specific applications. In contrast, it is costly and complicated to modify the structures of silicon MOSFETs due to the strong reliance on sophisticated instruments in a cleanroom. As a consequence, the cost per unit area for TFTs is significantly lower than that for silicon ICs, making TFT technology an ideal choice for lower performance, large-area circuits at very low price. 3.1.2

State-of-the-art TFT Technologies

TFTs have the same roots as silicon transistors. The first concept of transistors was patterned by Lilienfeld and Heil in the 1930s, in which the basic principle of today’s well-known metal-semiconductor field-effect transistor (MESFET) was described. The history of TFTs started with the work of Weimer at RCA Laboratories with evaporated polycrystalline cadmium sulfide (CdS) as the semiconductor in the 1960s [3], while the golden opportunity for TFTs began with the realization of the first hydrogenated amorphous silicon (a-Si:H) TFT in 1979 and demonstration as driving ability to liquid-crystal displays (LCD) in early 1980s [4]. State-of-the-art a-Si:H TFTs have dimensions of the order of 10 μm, carrier mobility (𝜇) around 1 cm2 V−1 s−1 , off-state current (I off ) at 1 pA, and on–off current ratio (I on /I off ) > 106 , which is sufficient for certain applications such as displays. More importantly, they are relatively stable and easily fabricated on commercially available, low-temperature glass substrates with high throughput. Later in the 1980s, another category of TFTs based on polycrystalline silicon (poly-Si) featuring a relative higher 𝜇 in the range of 30–300 cm2 V−1 s−1 was invented and used in the areas where higher performance is required. The success of state-of-the-art silicon thin film (a-Si:H and poly-Si)-based TFTs led to the exponential growth of a huge industry in flat-panel displays in the past three decades. Till 2012, the worldwide market for LCDs driven by a-Si:H TFTs expanded to around US $110 billion, two orders of magnitude higher than that in 1989 [5]. A-Si:H TFT LCDs are widely available in high-resolution laptop computers, large-area TVs as well as medical X-ray imagers with continuously lowering prices and enlarging panel sizes, dominating the display marketplaces. A small portion of displays, e.g. cell phones, digital cameras, or organic light-emitting diode (OLED) displays, requiring either faster driving speed or higher driving current, utilize poly-Si TFTs.

3.1 Introduction

Despite the remarkable achievements in silicon thin-film-based TFTs for display applications, it is noticed that both devices have problems. First, a-Si:H TFTs suffer from severe electrical instability, i.e. their threshold voltage (V t ) shifts when a continuous gate voltage (V g ) is applied. For poly-Si TFTs, V t shows nonuniformity over devices. These problems result in either unwanted variation in brightness or faulty functionality. Second, large-area displays in the current time are still expensive, limiting the expansion of display market worldwide. This is mainly because these devices are manufactured by unavoidable, expensive lithography and etching instruments in vacuum conditions, resulting in high fabrication costs [6]. Moreover, it is also noticed that there is an increasing trend of foldable displays that highly rely on flexible TFTs. However, silicon thin-film-based devices conventionally lack mechanical flexibility unless sophisticated layer transfer technique is utilized [7]. Except for displays, TFT technology has shown enormous potential in ultra-low-cost radio frequency identification (RFID) tags, sensing devices, various medical applications as well as energy devices [8], as shown in Figure 3.2. Medical patches that can be attached to the skin to deliver drugs or monitor vital signs, large flexible RFID tag arrays, and disposable food quality monitor labels are some of the examples of such concepts. For these novel applications, not only the size and cost but also new features such as mechanical flexibility

Flexible Samsung Galaxy

Artificial skin by UIUC

Food lable by thin-film electronics

Rollable display by Philips

Stick-on monitors by MC10

Printed RFID by PolyIC

Figure 3.2 TFT technology opens a wide range of applications.

E-Newspaper

Printed solar cells by MIT

Printed antenna by KURZ

57

58

3 Printed Thin-film Transistors (TFTs) and Logic Circuits

or stretchability, availability in wide-ranging environment, and disposability turn out to be the major concerns. Therefore, scientists and researchers make extensive efforts toward better TFTs, aiming at more future needs by seeking novel TFT materials, low-cost fabrication processes, improved structures, and multiple functionalities. 3.1.3

New TFT Technologies

Motivated by their light weight, flexibility, and multifunctionality, the use of organic materials, including small molecules, oligomers, and semiconducting polymers as the semiconducting channel of the TFTs, the so-called organic thin-film transistors (OTFTs), have attracted special attention [9]. An added advantage with organic materials is their relative ease of deposition on various substrates over large areas through low-temperature solution processes with cost effectiveness, as has been discussed in Chapter 2. What is more, organic materials are compatible with the newly developed printing techniques such as gravure printing, screen printing, and inkjet printing on flexible substrates, enabling high-throughput, ultra-low-cost manufacture of flexible products, owing to the countless advantages of printing processes compared with traditional photolithography processes. However, those organic materials usually suffer from two shortcomings. One is the inferior 𝜇, which is generally lower than that of a-Si:H, leading to lower operation frequency of OTFTs. Although the low 𝜇 value might still suffice for certain applications, enhancements in 𝜇 would facilitate the exploration of a wider range of possibilities [8]. The other challenge is the poor electrical stability under bias stress or in the ambient air, which is represented by V t shift and on-state current (I on ) degradation [10, 11]. These are severe effects since the devices may fail in operation. In contrast to organic materials, inorganic materials usually possess much higher carrier mobility and better stability. Metal oxides, including single metal compounds (e.g. In, Ga, Zn, and Sn) and alloys such as InGaO, ZnSnO, InZnO, and InGaZnO (IGZO), represent a special class of new and attractive TFT materials that have made impressive demonstrations for displays in a short time. Among them, IGZO-based TFTs, since being proposed in 2003, are particularly interesting because they can offer 𝜇 values ranging from 1 to 100 cm2 V−1 s−1 , although IGZO is amorphous rather than polycrystalline [12]. The processing temperature for amorphous IGZO can range from room temperature (RT) up to >300 ∘ C. At RT, 𝜇 remains remarkable, considerably superior to a-Si:H TFTs or OTFTs, which is of great potential for flexible and transparent electronic applications. However, metal oxides usually suffer from severe stability issues due to the generation of oxygen vacancies. To date, the most common method to deposit metal-oxide thin films is through sputtering, which needs to be very well controlled in vacuum conditions. The solution process, especially printing of such materials, is still challenging because of the difficulty in preparing functional metal-oxide solutions. Moreover, they are also rigid mechanically, similar to a-Si:H films.

3.1 Introduction

Concurrently, carbon nanotubes (CNTs) have gained enormous attention for TFT applications owing to their unique structural, mechanical, and electrical properties, as described in Chapter 2. In 1998, the first transistor based on an individual single-walled carbon nanotube (SWCNT) was fabricated and it was proved to have intrinsic 𝜇 as high as ∼3000 cm2 V−1 s−1 and current-carrying capacity as high as 7000 μA μm−1 [13]. Later, larger 𝜇 values of CNTs were reported [14, 15], suggesting the possible use of SWCNTs in high-speed electronics applications. Although individual CNTs have excellent electrical conductivity, they are difficult to manipulate and are nonuniform among tubes. CNT networks can well solve this problem by accounting for the statistical effects of the large amounts of CNTs in the networks. Those CNT networks are particularly interesting since their small size allows them to be held in solution after certain functionalization. Therefore, inexpensive solution processes such as printing techniques can be accessible. In 2003, the first SWCNT-network TFTs were reported by Snow et al. through a simple drop-casting process and the devices exhibited excellent 𝜇 (10 cm2 V−1 s−1 ) [16]. Later, the first medium-scale integrated digital circuits fabricated on flexible plastic foil based on SWCNT-network TFTs were realized [17], which has escalated the interest in CNT technology. Moreover, CNT networks are mechanically flexible and stretchable, being superior for flexible electronic applications [17]. Table 3.1 gives a comparison of the SWCNT-based TFTs with other types of TFT technologies [18]. Based on all this, it seems that SWCNT-network-based TFTs provide a solid and viable alternative for the present and future of TFTs, overcoming important drawbacks of the existing technologies, being a very attractive technology for flexible large-area and low-cost electronics. However, for CNT-based TFTs, there are also some problems awaiting solutions. As mentioned in Chapter 2, SWCNTs are naturally a mixture of metallic (m-) and semiconducting (s-) SWCNTs and the existence of the m-SWCNTs will degrade the I on /I off significantly once the percolation paths are formed [16, 19]. Another challenge is the improvement of the solution processability of SWCNTs Table 3.1 Comparison of transistors based on different materials [18]. Technology parameters

Silicon MOSFETs

a-Si:H

Poly-Si

Organic

Metal oxides

SWCNT networks

Substrate

Silicon

Glass

Glass

Plastic, paper

Glass, plastic

Plastic, paper

Process

Lithography Lithography Lithography Solution

Sputtering

Solution

Process T (∘ C)

1000

150–350

250–550

400

107 . Later, Qian et al. used F8T2 to sort SWCNT and aerosol jet printing to fabricate the

67

68

3 Printed Thin-film Transistors (TFTs) and Logic Circuits

75 nm Aerosol jet head 0.5 μm

0 nm

PEDOT:PSS gate

400 μm Ion gel PEDOT:PSS

Ion gel Carbon nanotubes Source

D

S

Drain Plastic

(a) 1 Gate electrode for top gate device

Print 1st layer of Ag electrodes

2

Print CNT film CNT solution

SiO2 Si

S/D Electrodes Print ionic gel dielectric

4 Ionic gel: PEI/LiCIO4

3

Print 2nd layer of Ag electrodes

S/D PEI/LiCIO 4 Gate S/D 100 μm

(b)

Figure 3.5 (a) Schematic sketch of a CNT-network TFT fabricated by aerosol jet printing. An atomic force microscopic (AMF) image of a CNT network on polyimide and an optical image of a device are provided in the right. (b) Schematic diagram illustrating the process flow of the fully printed CNT-network TFT with an optical image of the TFT in the right.

composite film as the TFT channel. The printed devices feature good uniformity and electrical properties with I on up to 10−3 A, 𝜇eff of 42.1 cm2 V−1 s−1 , and I on /I off of ∼107 . Meanwhile, these printed TFTs exhibited rapid photocurrent response to light illumination, which is absent in either polymer or CNT devices. Table 3.2 summarizes the reports on printed CNT network-based printed TFTs. So far, the solution phase printed CNT TFTs have gained remarkable electrical performance, with much higher 𝜇 than state-of-the-art TFT technology. Figure 3.6 further shows the trend of the development of 𝜇 for inkjet/aerosol jet/gravure-printed TFTs with organic polymer, metal oxides, and CNTs as

Table 3.2 Summary of printed CNT based TFTs.

References

Printing

SWCNT inks

Substrates

Gate insulator (nm)

S/D

LGb)

𝝁 (cm2 V−1 s−1 )

Ion /Ioff

0.01

100

Beecher et al. (2007) [46]

Inkjet

Unsorted, HiPCo, NMPa)

Si

SiO2 (150)

Au,

Okimoto et al. (2010) [47]

Inkjet

Unsorted, DMFc)

Si

SiO2 (500)

CNTs print

1.6–4.2

104 –105

Matsuzaki et al. (2011) [48]

Inkjet

Unsorted, DMF

Si

SiO2

Au, SMd)

0.5

103

ion liquid, print

Nobusa et al. (2011) [49]

Inkjet

LAe),

Si

SiO2 (500)

Ag paste

0.31–0.94

1.2–320

Jung et al. (2010) [50]

R2R gravure inkjet

Surface modified, water

PETf )

BaTiO3 + PMMAg print

CNTs print

0.03

103

Vaillancourt et al. (2008) [52]

Aerosol

Unsorted, surfactant water

Kapton

Ion gel print

Ag, print

NA

Takenobu et al. (2009) [51]

Inkjet

DMF

HiPCo, surfactant water

Si

SiO2 (500)

PENh)

PIi)

Au, LG

−3

100 −4

10 –10

104

(Continued)

Table 3.2 (Continued) SWCNT inks

Substrates

Gate insulator (nm)

S/D

𝝁 (cm2 V−1 s−1 )

Ion /Ioff

Si

SiO2 (150)

Ag, Print

0.6

100

Kapton

Al2 O3 (50)

0.07

25

Si

Ion gel print

Au, LG

1

103

Si PI

Ion gel print

Au, LG

20–50

103 –104

DGU water

Si

Ion gel print

Au, LG

20

105

DGU water

Si

Ion gel print

Ag, print

10–30

104 –107

0.9

103

References

Printing

Li et al. (2011) [53]

Inkjet

Unsorted, surfactant water

Zhao et al. (2012) [54]

Aerosol

CoMoCat, Water

Ha et al. (2010) [55]

Aerosol

DGUj)

Ha et al. (2013) [56]

Aerosol

Chen et al. (2011) [57]

Inkjet

water

Kapton Kim et al. (2012) [58]

Inkjet

Polymer sorted, hybrid

Glass

SiO2 (300)

Au, LG

0.23

107

Qian and coworkers (2013) [59]

Aerosol

DGU CNT + polymer hybrid

Si

300 nm SiO2

Au

27–42

107

a) b) c) d) e) f) g) h) i) j)

NMP, N-methyl-2-pyrrolidone. LG, lithography. DMF, dimethylformamide. SM, shadow mask. LA, laser ablation. PET, poly(ethylene terephthalate). PMMA, poly(methyl methacrylate). PEN, polyethylene naphthalate. PI, polyimide. DGU, density gradient ultracentrifugation.

3.4 Carbon Nanotube (CNT)-network TFTs

100

10 Mobility (cm2 V–1s–1)

[55]

Organic Metal oxides CNTs

[57]

[59] [56]

[47] [33] [54] [48,49,53] [58]

1 [29] 0.1

[32] [50] [25]

0.01

[46]

[26] [28]

[51] 1E–3 1996 1998 2000 2002 2004 2006 2008 2010 2012 2014 Year

Figure 3.6 Evolution of carrier mobility for the solution-phase printed TFTs.

the semiconducting inks. In general, all the TFT technologies are continuously growing and it is still not clear which technology holds an overwhelming advantage. Among them, SWCNTs are recognized as one of the most promising candidates and have gained enormous attention. Section 3.4 discusses the physics, fabrication, and electrical performance improvement of CNT TFTs in a detailed manner.

3.4 Carbon Nanotube (CNT)-network TFTs 3.4.1

Challenges in CNT-network TFTs

Despite the tremendous progress in CNT-network TFTs as described in Sections 3.2 and 3.3, several important issues still await to be properly addressed. This includes both unveiling the underlying device physics and enhancing the device performance. For SWCNT-network TFTs, the carrier transport is usually described by the conventional models developed for silicon MOSFETs. It is noted that, unlike Si-MOSFETs, the electrical property of the SWCNT networks is dominated by the two-dimensional (2D) percolation pathways through the nanotube sticks from S to D terminals [60]. The conventional models for Si-MOSFETs are unable to accurately describe the behaviors of the SWCNT networks [61, 62]. Systematic investigation and comprehensive understanding of the physics of the percolation transport dominated nanotube networks are necessary. On the other hand, to improve the electrical performance of the SWCNTnetwork TFTs, a number of challenges must be overcome. The most prominent issues are (i) poor solution processability of SWCNTs, leading to a poor fabrication yield and inferior device performance of the resultant TFTs [35, 39, 40];

71

72

3 Printed Thin-film Transistors (TFTs) and Logic Circuits

(ii) coexistence of s-SWCNTs and m-SWCNTs, resulting in difficulties in simultaneous achievement of high I on and large I on /I off [16, 41–44]; and (iii) huge hysteresis exhibited in the current–voltage characteristics of typical SWCNT-based TFTs due to the charging/discharging between SWCNTs and their surroundings [63]. It is well known that pristine SWCNTs are difficult to be individually dissolved in most commonly used solvents. Sections 2.3 and 3.2 have extensively addressed this obstacle. To debundle and disperse SWCNTs, a range of methods have been proposed and evaluated including high-concentration surfactant solutions such as sodium dodecylbenzene sulfonate (SDBS) [43], DNA wrapping [25, 44], and polymer wrapping such as PFO [40, 41], P3AT [43], and F8T2 [44, 59]. Among these schemes, F8T2 has been proved to have strong interactions with SWCNTs and to be capable of solubilizing SWCNTs efficiently [44]. Therefore, the combination of polymer and SWCNTs, yielding nanotube/polymer composites, is extremely interesting for solution processing of the SWCNTs. Additionally, ordinarily synthesized SWCNTs are a mixture of m- and s-SWCNTs. In order to attain a large I on /I off , the density of SWCNTs in the network must be in an appropriate range where the s-SWCNTs percolate but the m-SWCNTs do not [60]. However, low-density networks usually lead to low I on . The difficulty in simultaneously achieving high I on and large I on /I off sets a severe restriction on the application of such devices. Various approaches have been proposed to eliminate the m-SWCNT paths including electrical breakdown [64], lithography-assisted stripping [17], DNA [44] or agarose gel-assisted [65] separation, and DGU [36]. However, most of the methods either add difficulties in fabrication processes or lack repeatability in device performance. Recent studies suggest that polymers, such as PFO [40], P3AT [43], and F8T2 [44], can effectively remove m-SWCNTs by centrifugation due to selective interactions and preferential binding of certain SWCNT structures by the polymer macromolecules. Hence, the use of nanotube/polymer composites may lead to s-SWCNT-enriched materials, which is essential for logic circuit applications. Furthermore, SWCNT-TFTs are frequently characterized by huge hysteresis in their transfer characteristics (TCs), which is represented by a giant V t shift back and forth when the V g is swept forward and backward. The presence of hysteresis complicates the understanding of device physics, e.g. it defeats the ordinary procedures for 𝜇 extraction [66]. It also results in unstable operation of the TFTs, which is unacceptable in practical applications. This phenomenon is generally attributed to charging/discharging of the SWCNTs through their interactions with the surroundings, e.g. water molecules bound on the SiO2 surface [63,67] and H2 O/O2 in the atmosphere [67,68]. To combat this issue, pulsed I d –V g methods are proposed to effectively suppress the trapping/detrapping during measurement [66]. In practice, reduction of hysteresis has been realized via various methods such as SiO2 surface treatment [69], adoption of high capacitance gate dielectric [70], passivation by organic [63, 67] or inorganic materials [70], and so on. However, all these treatments require sophisticated and expensive process conditions such as vacuum processing. An inexpensive and simple route toward hysteresis-free SWCNT-based TFTs is in strong demand.

3.4 Carbon Nanotube (CNT)-network TFTs

3.4.2

Percolation Transport in Nanotube Networks

Random networks of SWCNTs, which comprise a large quantity of tubes with random orientations, are easy to produce by direct growth on substrates or dispersion from solutions. In CNT-network TFTs, the device performance mainly depends on the statistical distribution of the SWCNTs instead of the property of a single tube. Some unique properties of CNT networks are listed below. First, in a 2D random SWCNT network, each tube can be treated as a rigid stick with a fixed length Lnt . The sticks are oriented randomly in a rectangular channel with length L and width W . For a large-area TFT with L ≫ Lnt and when density 𝜌 is low, the SWCNTs can only be treated as isolated “islands” in the channel region. There is no conduction pathway and the network is insulating. The SWCNT sticks start to interconnect with one another and form continuous pathways when 𝜌 increases above the critical density 𝜌c, being determined by 𝜌c = 4.232 /𝜋Lnt 2 [60–62]. A continuous increase in 𝜌 leads to more percolation paths and increase in the conductance. That is to say, the conductance of the network is strongly dependent on the additional geometry parameters including 𝜌 and Lnt besides W and L. Second, in a percolated SWCNT-network TFT, the carriers transport from S to D through one or more percolating paths. Each percolating pathway consists of several sticks and intersections between adjacent sticks. In this case, the electron transport from one stick to the adjacent one is rate limiting in conductance instead of the charge transport in an individual tube. Previous studies have shown that m-(s-) SWCNTs conduct well to other m-(s-) SWCNTs, but the m-to-s contacts cause a huge resistance due to the Schottky barrier at the interface [71]. As a consequence, the conductance of the percolating networks is dominated by tube–tube interjunctions. Third, it is also worth mentioning that the percolation behavior of SWCNT networks is complicated by the coexistence of m- and s-SWCNTs. In high-density SWCNT networks where a high I on is obtained, the majority of the SWCNTs percolate including the undesired m-SWCNT pathways, leading to a significant degradation in I on /I off . In contrast, low-density networks can avoid the contamination of the m-SWCNTs and ensure a relatively large I on /I off . However, I on is generally low due to the limited number of percolation paths. Thus, it is difficult to achieve both high I on and large I on /I off in the presence of contaminating m-SWCNTs. For such percolating CNT networks, which are inhomogeneous in terms of Lnt , and 𝜌, junction resistance, and the fraction of m-SWCNTs, the question arises whether the classical model simplified in Equations (3.1) and (3.2) is still available. As we know, the classical model usually focuses on uniform materials and indicates a current scaling relationship in the form I d ∝ 1/L. For a CNT network with a certain 𝜌, as shown Figure 3.7a, only the SWCNTs lying in the percolation paths (yellow dotted lines) contribute to I d , while the SWCNTs not in the percolation paths are inactive to current conduction. When L is reduced by a factor of n (n > 1), the original SWCNTs taking part in percolation are still conductive and contribute to a current of nI d . Meanwhile, part of the SWCNTs that were isolated previously may now become part of the bridge between S and

73

74

3 Printed Thin-film Transistors (TFTs) and Logic Circuits

S

D

L (a)

D

S

(b)

L/n

Figure 3.7 (a) A percolating SWCNT network with channel length of L. The yellow dotted lines represent two percolation pathways. (b) When L is reduced to L/n, an additional percolation path occurs as indicated by the red dashed line.

D as shown in Figure 3.7b (the red dashed line), and thus contribute to an additional current ΔI d . The total current will therefore be higher than nI d . In other words, the traditional electrical model from the “top-down” view is inadequate in analyzing a 2D nanotube network. Instead, a “bottom-up” approach that takes the percolation geometry of the nanotube networks into consideration is proposed and developed [61, 62]. In this model, the stick percolating networks satisfy the following finite-size scaling relationship [62] ( ) Lnt A 𝜉 (3.8) , 𝜌L2nt × f (Vg , Vd ), Id = Lnt L where the proportionally constant A depends on C g , tube diameter d, and the stick–stick interaction parameter. Function 𝜉 represents the geometrical contribution with parameters Lnt , L, and 𝜌 while function f is more of the electrical contribution including the effects of V g and V d . It is found that Equation (3.8) is universal for arbitrary geometrical and biasing conditions. For long-channel TFTs with L ≫ Lnt , the carriers travel through the intersects of nanotubes, and diffusive transport dominates. The electrical contribution function f can be expressed by f (Vg , Vd ) = (Vg − Vt ) Vd − 𝛽Vd 2 , where 𝛽 = 0.5. The geometrical scaling function 𝜉 is given by ( ) ( )m(𝜌L2nt ) Lnt Lnt 𝜉 , , 𝜌L2nt = L L

(3.9)

(3.10)

where m is the current scaling exponent, which only depends on the network coverage p. Here, p = 𝜌Lnt 2 . m decreases monotonically with increasing p. The simulation results [61] show that for high coverage networks (e.g. p ≥ 19), 𝜉 approaches the classical limit with m = 1. For a sparser network with p near the percolation threshold pc = 4.2362 /𝜋 ≈ 5.7 [31], m ≫ 1.

3.4 Carbon Nanotube (CNT)-network TFTs

S/D definition

Substrate preparation S

D

n+Si SiO2

(a) Gate oxide growth SiO2

n+Si (e) Channel deposition

n+Si (b) S

D

SiO2 surface treatment SiO2 SiO2

n+Si

n+Si (f)

(c) S/D deposition

Channel definition D

S

Pd/Ti

SiO2

SiO2

n+Si (d)

(g)

Figure 3.8 Schematic representation of the fabrication process for a common back-gate SWCNT-based TFT.

3.4.3

Solution-process Fabrication of CNT-TFTs

Figure 3.8 schematically illustrates a process flow to fabricate SWCNT-network TFTs in a back-gate, bottom-contact configuration. It started with heavily n-type doped Si wafers as the substrate as well as the gate electrode. Then, a thermally grown 150-nm SiO2 layer served as the gate insulator. Afterwards, the SiO2 surface was functionalized by a hexamethyldisilazane (HMDS) self-assembly monolayer (SAM) by loading the whole wafer into an oven at 110 ∘ C for 30 min, which is commonly used in Si-technology for improving the adhesion of photoresist during lithography processing. After that, the S and D electrodes comprising a bilayer of 35-nm Pd on top of a 5-nm Ti were defined by electron-beam evaporation (EBE) followed by a standard lift-off procedure. The gap between the S and D electrodes, i.e. L, was varied from 5 to 200 μm. The channel area was then opened in a newly coated 1.2-μm-thick photoresist layer. Then the substrate is ready for the formulation of the channel material to bridge the gaps between S and D. The channels of the TFTs were attempted to be formulated by various solution processes including drop casting, dip coating, spin coating, and inkjet printing. By simply drop casting the SWCNT aqueous solution on top of the chips, SWCNT random networks were obtained after water evaporation and removal of photoresist in acetone. The density of CNTs in the network relies on the concentration of

75

76

3 Printed Thin-film Transistors (TFTs) and Logic Circuits

CNTs in the solution and the adhesion of CNTs with the chip surface. Although the drop-casting method is extremely simple, it lacks repeatability and is of low yield due to poor controllability. Spin and dip coating methods are two widely used methods in organic device fabrications. Compared with drop casting, these two methods are more controllable. We attempted to use SWCNT/F8T2 composite solution to fabricate TFTs by these two methods. During spin coating, an excess amount of SWCNT/F8T2 composite solution is placed on the substrate surface. The substrate wafer is then set to rotate at high speed in order to spread the fluid by the centrifugal force. The morphology of the thin film formed is strongly dependent on the spin speed and duration. For instance, spin coating the SWCNT/F8T2 mixture at 2000 rpm (revolutions per minute) for 30 s typically results in 10- to 20–nm-thick composite films. With spin coating, the yield for conducting devices can approach 100%. Dip coating provides an alternative route for depositing uniform thin films. In our experiments, the chip was simply immersed in the SWCNT/F8T2 composite solution and kept stewing for 5–10 h in ambient conditions. It was then pulled out from the solution carefully. The composite deposited itself on the substrate. The resultant SWCNT/F8T2 TFTs by dip coating provide comparatively thicker composite films and better surface coverage in the channel. This feature renders outstanding device performance in terms of hysteresis elimination, I on increase, as well as uniformity improvement, as will be discussed in Section 3.4.4. Interestingly, we also tried inkjet printing method to have the SWCNT/F8T2 channel and the TFTs function well with relatively lower 𝜇, indicating the necessity to further optimize the composite ink and printing process (Section 2.3.3).

3.4.4 3.4.4.1

Electrical Performance Enhancement in CNT-TFTs Hysteresis Suppression

Hysteresis appears as a result of V t variation with the range, speed, and direction of V g sweep (Figure 3.9a). It is unfortunately a commonly observed phenomenon in organic and SWCNT-based transistors [63]. It can be effectively developed into memory devices. But in most other cases, even a small degree of hysteresis is detrimental and hence unwanted. Thus, it is a critical parameter although much less discussed compared with other issues such as 𝜇. In SWCNT-based transistors, hysteresis is observed in both individual tube and network devices. Recently, more attention has been focused on unveiling the underlying mechanisms [63, 67]. The reported sources of hysteresis in the literature can be summarized in Figure 3.9b: (A1) oxide trapped charges (holes or electrons); (A2) mobile oxide charges (ionic impurities) [23]; (B1) interface traps between the SWCNT channel and the gate insulator, including the well-discussed and widely accepted oxide surface bound –OH groups [41,57–60]; and (B2) environmental adsorbents, particularly H2 O and O2 molecules [63, 68]. Particularly, for TFTs with SiO2 as the gate insulator, hysteresis of the SWCNT-based transistors primarily originates from mechanisms B1 and B2. The SiO2 surface-bound water molecules (≡Si—OH) serve as electron traps at the interface. The

3.4 Carbon Nanotube (CNT)-network TFTs

B2

Id

CNT B1 Insulator A2

A1

Gate electrode –Vg (a)

0

+Vg (b)

Figure 3.9 (a) Schematic TCs and (b) sources of hysteresis for the SWCNT-based transistors.

charging/discharging of these traps by carriers in the channel region electrostatically modulates the SWCNTs, thereby changing the current level of the devices. To suppress hysteresis, annealing the samples under vacuum can reduce the density of water molecules [63]. Surface treatment with hydrophobic SAMs such as octadecyltrichlorosilana (OTS) [69] would lead to an –OH-free dielectric surface. Other methods including encapsulation with poly(methyl methacrylate) (PMMA) [63] or methylsiloxane [67] could effectively passivate the surrounded trap sites. However, these methods need either an inert environment or an added layer deposition, largely increasing the complexity of the process. In [44], an extremely simple method was reported to obtain small-hysteresis TFTs without any sophisticated treatments. It was achieved facially by immersing the silicon chips with predefined S/D electrodes into the SWCNT/F8T2 composite solution. The preparation of the composite solution and the dip-coating process fabrication of the TFTs have been elaborately described in Section 2.3.3. With a relatively long soaking time (5 h), the resultant SWCNT/F8T2-TFTs display a negligibly small hysteresis when V g is swept forward and backward in the range |Vgmax | = 20 V, as shown in Figure 3.10a. This is remarkable since huge hysteresis is frequently observed for SWCNT-based TFTs [63, 66]. The surface morphology of the composite film in such a TFT was assessed using the image of scanning electron microscopy (SEM) in Figure 3.10b. It is found that high-density SWCNTs are embedded in the polymer matrix, indicating good protection of SWCNTs by F8T2. Physically, it is possible that the SWCNTs are fully wrapped by a certain thickness of F8T2. Thus, the interfaces of SWCNT/SiO2 and SWCNT/atmosphere have been effectively passivated by the hydrophobic polymer. The polymer’s presence in these interfaces serves as an electron barrier for the charges tunneling from SWCNTs to the nearby trap sites. Consequently, hysteresis for the SWCNT/F8T2-TFTs is effectively suppressed. When the soaking time for dip coating is reduced to several minutes, the TFTs show a hysteresis gap, ΔV H , of about 6 V for |Vgmax | = 20 V (Figure 3.10c), accounting for 15% of the measurement range. The SEM image of the composite

77

3 Printed Thin-film Transistors (TFTs) and Logic Circuits

Dip coating Vd = –1 V

10–5 10–6

1 μm

Id (A)

10–7 10–8 10–9 10–10 –20

–10

0

10

10–5 Dip coating

2 μm

20

Vg (V)

(a)

(b) Vd = –1 V

10–6

Id (A)

10–7 0.5 μm

10–8 10–9 10–10 10–11 –20 (c)

–10

0 Vg (V)

10–7

10

20

2 μm (d)

Spin coating Vd = –1 V

10–8 10–9 10–10 Id (A)

78

10–11 10–12 10–13 10–14 –20 (e)

–10

0 Vg (V)

10

2 μm

20 (f)

Figure 3.10 TCs and SEM images of SWCNT/F8T2-TFTs by (a, b) dip coating for a long period, (c, d) dip coating in a short time, and (e, f ) spin coating. Small ΔΔV H is obtained with dip-coated composite thin films. For all the TFTs, L = 50 μm and W = 100 μm. V d was fixed at −1 V.

film is shown in Figure 3.10d. Clearly, islands of composite films are formulated in the channel region within which only part of the SWCNTs are embedded in the polymers. The rest (inset of Figure 3.10d) are directly lying on the SiO2 surface and are exposed to the ambient air, being unprotected.

3.4 Carbon Nanotube (CNT)-network TFTs

However, SWCNT/F8T2-TFTs manufactured by spin coating show unacceptably large hysteresis in Figure 3.10e with ΔV H as large as 28 V. By examining the spin-coated film morphology in Figure 3.10f, majority of the SWCNTs are in direct contact with SiO2 surface or the atmosphere. Therefore, a strong correlation between ΔV H and the surface morphologies of the composite films, i.e. degree of protection of SWCNTs by F8T2, is established with a smaller ΔV H for better protection. Moreover, to prove the effect of the SAM of HMDS, SWCNT/F8T2-TFTs on bare SiO2 (without HMDS) were fabricated by the identical dip-coating process as those small hysteresis devices. It is found that ΔV H of these dip-coated SWCNT/F8T2-TFT is also rather small, confirming that HMDS is NOT a key factor for hysteresis reduction. Meanwhile, individual bottom-gate SWCNT/F8T2-TFTs with a 10-nm-thick AlOx layer grown by ALD as the gate insulator were also manufactured [72]. Similar to the TFTs on SiO2 , dip coating and spin coating were used to form the composite channel. ΔV H of SWCNT/F8T2-TFTs prepared by dip coating is again negligible while it is unacceptably large for the spin-coated one, similar to those for the TFTs with SiO2 as the gate insulator. In these devices, the capacitance for the thin AlOx layer is about 619 nF cm−2 , which is considerably higher than that for the 150-nm SiO2 , which is 23 nF cm−2 . In agreement with device physics, it has been shown that use of a high-capacitance gate insulator leads to a much smaller ΔV H . However, ΔV H remains large for the spin-coated devices, indicating the presence of large amounts of trap sites on AlOx . To conclude, the absence of substantial hysteresis with the dip-coated SWCNT/F8T2-TFTs is independent of the pre-treatment of the SiO2 surface or type of the gate insulator. It is exclusively attributed to the isolation of the SWCNTs by the F8T2 matrix from the trap sites on the surface of the gate insulator and H2 O/O2 molecules in the atmosphere. It further confirms the effectiveness of hysteresis suppression through the formation of a nanotube/polymer composite. Compared with the other reported methods for hysteresis reduction that often require deposition of additional layers or handling in inert/vacuum environments [63, 67–70], this method is simple, robust, solution processable, and operational under ambient conditions. 3.4.4.2

High 𝝁 and Large Ion /Ioff

Theoretically, the decease of m-SWCNT fraction in percolation-dominated networks leads to a substantially decreased probability of m-SWCNT pathways [60–62]. Thus, large I on /I off can be assured with a high-density s-SWCNT network in which high 𝜇 (I on ) can be achieved. The developed SWCNT/F8T2 composite solution has been proved with enriched individual s-SWCNTs in Section 2.3 by optical characterization. Hence, it is expected that the dip-coated SWCNT/F8T2-TFTs with high-density s-SWCNTs in the composite film would simultaneously offer high 𝜇 (I on ) and large I on /I off . Figure 3.11a shows the statistical distribution of 𝜇 for 40 dip-coated SWCNT/ F8T2-TFTs with 𝜇 being extracted from a complete procedure presented in [66]. This procedure takes the hysteresis issue [63], effective gate capacitance [73], and current scaling behavior [62] into consideration, being recognized as a standard

79

3 Printed Thin-film Transistors (TFTs) and Logic Circuits

25

No. of devices

20

15

10

5

0 5

10

(a)

15 20 25 Mobility (cm2 V–1s–1)

30

35

SWCNTs/F8T2 SWCNTs/SDBS

100

10–1 Id (μA μm–1)

80

10–2

10–3

10–4 (b)

100

101

102

103 Ion/Ioff

104

105

Figure 3.11 (a) Statistic distribution of 𝜇 for 40 dip-coated SWCNT/F8T2-TFTs. (b) Ion versus Ion /Ioff for 40 dip-coated SWCNT/F8T2-TFTs in comparison with similarly processed SWCNT-TFTs.

method for 𝜇 extraction. Clearly, 𝜇 has a rather narrow distribution in the range of 10–20 cm2 V−1 s−1 . Although this mobility value is still lower than that of TFTs fabricated through dry processes (80 cm2 V−1 s−1 ) [17], it is comparable with or even much higher than those reported in the literature for solution-processed SWCNT-based TFTs [37, 38, 41–43] Figure 3.11b compares I on (extracted at V g =−20 V, V d =−1 V) versus I on /I off for 40 dip-coated SWCNT/F8T2-TFTs and 40 SWCNT-TFTs prepared using the SWCNT/SDBS aqueous solution with various L. When I on /I off is ∼104 , I on of the dip-coated SWCNT/F8T2-TFTs is about two orders of magnitude higher than that of the SWCNT-network TFTs. It can be also found that for the SWCNT-network TFTs, I on /I off decreases quickly with increasing I on , which is

3.4 Carbon Nanotube (CNT)-network TFTs

widely observed for SWCNT-based TFTs [19, 61]. In contrast, the dip-coated composite TFTs possess a large I on /I off of 104 –105 , which is irrespective of I on . This property is ideal for logic circuit applications. 3.4.4.3

Uniformity and Scalability

Dip-coating offers a relatively thicker composite film with high-density SWCNTs in the channel region, and better uniformity of the films as well as the resultant SWCNT/F8T2-TFTs is expected. In Figure 3.12a, I on of 25 dip-coated TFTs with L = 20 μm shows rather narrow I on distributions with a standard deviation less than 10%. This deviation is much smaller than that of the TFTs reported elsewhere [74], which is highly desirable for circuit application. The dimensional scalability of the TFTs was investigated in Figure 3.12b. An I on ∝ 1/L relationship (i.e. the current exponent m = −1) is found. This confirms a sufficiently high density of SWCNTs present in the composite film [62]. At each L, over eight TFTs of different W were characterized. The variation of I on is generally small with the error bars lying inside the data symbols, illustrating again the high uniformity feature of such devices [74]. When L shrinks to 5 μm, deviation from I on ∝ 1/L is observed, which is due to a larger contribution of the contact resistance between the S/D metals and the composite film relative to the channel resistance. The excellent uniformity and scalability allows the design of logic circuits in a controllable manner as Si-MOSFETs. 3.4.4.4

Ambient and Operational Stabilities

From the viewpoint of organic transistors, most semiconducting polymers are unstable especially when they are exposed to air or are under electrical stress [10, 11]. Various methods have been proposed to improve the stability of the polymer devices by engineering their chemical structures or optimizing the fabrication processes. In contrast, SWCNTs themselves are stable and robust. By exploiting and combining the strengths of both constituents, the synergy can lead to stable nanotube/polymer composites. For F8T2-TFTs, it is reported that these L = 20 μm Ion (μA μm–1)

Ion (μA μm–1)

0.3

0.2

m~ –0.94

10–1

0.1 0 (a)

5

10

15

Device ID

20

25

10–2 (b)

101

102 L (μm)

Figure 3.12 (a) Ion of 25 randomly chosen dip-coated SWCNT/F8T2-TFTs of L = 20 μm. The average Ion is 0.20 𝜇A μm−1 (represented by the dashed line) with a standard deviation of ±0.02 𝜇A μm−1 . (b) Ion scaling with L with the standard deviation calculated for TFTs with different width ranging from 5 to 200 μm. For each L, eight TFTs were evaluated. V d = −1 V for all measurements.

81

3 Printed Thin-film Transistors (TFTs) and Logic Circuits

3

100

SWCNTs/F8T2 Dip coating

Id (t)/Id0 (%)

2 Id (μA)

82

0 days After 120 days

1

–20

Vstress = –20 V

Vrecovery = 0 V

60 SWCNTs/F8T2 Dip coating

0 (a)

80

–10

0 Vg (V)

10

20

0 (b)

500

1000 1500 Time (s)

2000

Figure 3.13 (a) TCs of a dip-coated SWCNT/F8T2-TFT measured after fabrication (black dashed lines) and after being stored in air for 120 days (red solid lines). V d was fixed at −1 V. (b) Time transient of the on-state current Id (t) (extracted at V g = −20 V and V d = −1 V) under gate bias at V stress = −20 V for 1000 s. This stress was removed for another 1000 s [18].

devices show a severe degradation of I on in ambient air or under gate bias stress, although it is much better than other polymers [10]. This is also observed in [75], in which I on reduced by more than 70% after a 90-day storage in air and sharply dropped by 90% under a negative gate bias stress. For the dip-coated SWCNT/F8T2-TFTs, studies of the long-term air stability and time transient of I on under gate bias were performed. As can be seen in Figure 3.13a, no observable degradation of the TCs is found for such a TFT after being stored in air for 120 days. By monitoring the change in I on (I d (t), extracted at V g =−20 V and V d = −1 V) under a negative gate bias stress in Figure 3.13b (left panel), the TFT shows a relatively stable operation with a decrease in I d (t) by less than 15% after 1000 s. It indicates that a small amount of carriers is trapped during stress. After the stress is released (right panel), I d (t) quickly recovers from the lowest current level due to the removal of the trapped carriers. A similar tendency is observed for the spin-coated SWCNT/F8T2-TFTs [18]. The precise mechanism of the charge trapping remains to be confirmed, and a separate study focusing on stability issues is needed.

3.5 Logic Circuits Based on CNT-TFTs Since the successful demonstration of a medium-scale circuit by 88 CNT-TFTs on plastic substrate [17], there are numerous reports on integrated circuits being built from solution-processed CNT-TFTs. These circuits are effectively used for logic [37, 55, 56], displays [38, 57, 74], and RFIDs [37, 51], indicating the great potential of SWCNT-TFTs for a wide range of applications. In Section 3.4, we discussed various merits of the developed SWCNT/F8T2TFTs, including small hysteresis, high I on , large I on /I off , good stability, uniformity, and scalability. These are among the desired features for logic circuit applications. The superior device performance allows for the construction of some basic logic circuits.

3.5 Logic Circuits Based on CNT-TFTs

GND

Vdd

0.0 4

Tb

OUT = IN Vdd

IN

Ta

2 –1.0 0

–1.5 –1.5 –1.0 –0.5

GND (a)

(b)

(c)

Gain

OUT

–0.5 Vout (V)

IN

0.0 0.5 Vin (V)

1.0

1.5

Vdd

OUT = IN1+IN2

IN2

IN1

–1.0

–0.5 0.0 (IN1, IN2) = (0,0)

GND

(d)

Vout (V)

–1.5

(e)

(0,1)

(1,0)

(0,1)

(1,0)

(1,1)

(f)

Vdd

OUT = IN1*IN2

IN1

–1.0

–0.5

IN2

(g)

Vout (V)

–1.5

0.0 (IN1, IN2) = (0,0) GND

(h)

(1,1)

(i)

Figure 3.14 Circuit schematic, top-view photograph and DC response of a p-type inverter (a–c), NOR gate (d–f ), and NAND gate (g–i). For the inverter, W/L for the load TFT (Ta) is 1/10 of that for the drive TFT (Tb). In (c), the DC gain of the inverter is also presented. The scale bar in (b) is 100 μm.

Firstly, SWCNT-TFTs with individual gate configuration were fabricated with 10-nm AlOx as gate insulator and dip-coating process. These TFTs exhibit similar electrical performance to those on 150-nm SiO2 . We further designed and fabricated inverters by combining two individual gate SWCNT/F8T2-TFTs. The design is based on p-type logic, as shown in Figure 3.14a. One diode-connected TFT, denoted as “Ta,” serves as a resistive load with Ra = 1/g ma . Here, g ma is

83

84

3 Printed Thin-film Transistors (TFTs) and Logic Circuits

determined by the first derivative of Equation (2.2). The other TFT, “Tb,” drives the signal. When the input voltage (V in ) is “high” (e.g. −1.5 V), Tb turns ON and operates as a low-resistance load Rb1 , which satisfies the relationship Rb1 ≪ Ra . Thus, the output voltage, V out = (Rb1 /Ra + Rb1 )V dd with V dd as the supply voltage, will be “low” (close to 0 V). On the other hand, when V in is “low,” transistor Tb is in the OFF state and serves as a high-resistance load Rb0 with Rb0 ≫ Ra . Therefore, V out = (Rb0 /Ra + Rb0 )V dd is close to V dd . To ensure a large output swing and then a large signal gain, the channel width to length ratio W /L for “Ta” is designed to be 1/10 of that for “Tb.” Figure 3.14b presents the SEM top view image of a fabricated inverter with W b = Lb = W a = 0.1La = 20 μm. At a given supply voltage of V dd = −1.5 V, the output voltage (V out ) as a function of the input voltage (V in ), i.e. the voltage transfer characteristics (VTC) of the inverter, is shown in Figure 3.14c (the left axis). It is found that the output swing reaches 1.4 V, which is 95% of |V dd |. The maximum gain of the inverter reaches ∼4 (cf. Figure 3.14c, right vertical axis), indicating that the inverter has a good capability for signal amplification. Furthermore, the hysteresis between the forward and backward sweeps is also negligible, which is a direct result of the small hysteresis TFTs. By adding another transistor to the inverter, either in parallel or in series with the pull-down transistor, logic circuits NOR and NAND, respectively, were successfully constructed (Figure 3.14d–i) [72]. These results confirm an excellent function of the circuits based on the SWCNT/F8T2-TFTs. Our demonstration of these logic circuits sheds light on the nanotube-based electronics in the near future. The dynamic response of the inverters was also investigated in [72]. The cut-off frequency, f T , was obtained by measuring the AC response of the inverter with input signals at various frequencies. Theoretically, f T can be estimated by 𝜇Vdd gm fT = . (3.11) ≥ 2π(Cg + Cpara ) 2πL2 In [72], using individual gate TFTs, the inverter possesses an f T of about 100 kHz. This value is comparable with the f T reported from other literature [17, 55]. However, this value is much lower than the theoretically calculated one from Equation (3.11), implying the necessity for a better control of the parasitics, e.g. a self-aligned structure. It is noted that the dimensions of the solution-processed TFTs are compatible with novel printing techniques, making them more attractive for ultra-low-cost marcoelectronics and printed electronics.

3.6 Summary Printed TFTs hold significant advantages of low-cost fabrication, mechanical flexibility, scalability to large size, etc. and have thus gained enormous attention for their wide range of applications. The employment of printing techniques avoids the complicated deposition and etching steps in the conventional process and enables various device configurations under low processing temperature. The development of the roll-to-roll printing process further facilitates TFTs

References

with high-throughput manufacturing at low price. To date, there are already fruitful demonstrations on printed TFTs and their integrated circuits on flexible substrates, indicating the rapid growth of such technology. The implementation of printed TFTs is, however, still largely restricted by several major technical challenges, especially optimization of the channel material, which can simultaneously offer low-cost fabrication, mechanical flexibility, and excellent electrical performance. This chapter listed and compared the development of printed TFTs based on different semiconductor inks such as organic semiconductors, metal oxides, and SWCNTs. In the past two decades, all these technologies have shown clear trends of improvements in fabrication techniques and device performance. Each technology possesses its own advantage and disadvantage and it is still not very clear which one will dominate the future of printed and flexible electronics. Among them, SWCNTs offer enormous potential to meet the requirements in printed and flexible electronic applications with certain challenges waiting for solutions. The biggest challenge is the large-scale separation of m- and s-SWCNTs. Although there are commercially available high-purity s-SWCNTs through DGU method, the price is unaffordable for practical application ($799 mg−1 for 99% s-SWCNTs) (http://www.nanointegris.com/en/ semiconducting). Research on reliable methods that can provide high-quality s-SWCNTs in a scalable and cost-effective manner is still ongoing. The second challenge is to deposit SWCNTs onto flexible substrates in a controllable way. Inkjet and aerosol jet printing techniques provide possible solutions; but there is still a space to enhance the carrier mobility and uniformity of the printed CNT-TFTs, which is poorer than that of devices by dry process in most cases. Other issues such as hysteresis also need to be properly addressed to have stable devices for further integration. This chapter offered systematic investigations on TFT technologies, especially those with SWCNT networks as the semiconducting channels. The overall goal is to boost the electrical performance of solution-processed TFTs. In detail, it includes the realization of TFTs with high 𝜇, large I on /I off , zero hysteresis, good stability, good uniformity, and scalability by a facile solution process. Afterwards, logic circuits as one of the application examples were constructed. The studies in this chapter have not only generated new knowledge/understanding of device physics, but also led to establishment of experimental procedures and techniques to enhance the device performance. In general, high-performance fully printed TFTs and their functional circuits are expected in the near future with the development of new electronic inks, printing techniques, and optimization in device structures. This will lead to mass production of printed TFTs and their wide applications in the fields of low-cost and large-area displays, energy devices, various sensors, RFID tags, and so on.

References 1 Bardeen, J. and Brattain, W. (1948). The transistor, a semi-conductor triode.

Physical Review 74 (2): 230–231. doi: 10.1103/PhysRev.74.230.

85

86

3 Printed Thin-film Transistors (TFTs) and Logic Circuits

2 Wanlass, F. and Sah, C. (1963). Nanowatt logic using field-effect metal-oxide

3 4

5 6 7

8

9 10 11 12

13 14 15 16

17

18 19

semiconductor triodes. Solid-State Circuits Conference. Digest of Technical Papers. 1963 IEEE International (20–22 February 1963). pp. 32–33. doi: 10.1109/ISSCC.1963.1157450. Weimer, P.K. (1962). The TFT-a new thin-film transistor. Proceedings of the Institute of Radio Engineers 50: 1462–1469. Snell, A.J., Mackenzie, K.D., Spear, W.E. et al. (1981). Application of amorphous silicon field effect transistors in addressable liquid crystal display panels. Applied Physics 24 (4): 357–362. doi: 10.1007/BF00899734. Kuo, Y. (2013). Thin film transistor technology – past present and future. Electrochemical Society Interface 22 (1): 55–61. Street, R.A. (2009). Thin-film transistors. Advanced Materials 21 (20): 2007–2022. Shahrjerdi, D., Bedell, S., Khakifirooz, A. et al. (2012). Advanced flexible CMOS integrated circuits on plastic enabled by controlled spalling technology. Electron Devices Meeting (IEDM), 2012 IEEE International, pp. 5.1.1–5.1.4. Reuss, R.H., Chalamala, B.R., Moussessian, A. et al. (2005). Macroelectronics: perspectives on technology and applications. Proceedings of the IEEE 93 (7): 1239–1256. Reese, C., Roberts, M., Ling, M.-M., and Bao, Z. (2004). Organic thin film transistors. Materials Today 7 (9): 20–27. Sirringhaus, H. (2009). Reliability of organic field-effect transistors. Advanced Materials 21 (38–39): 3859–3873. Street, R.A., Salleo, A., and Chabinyc, M.L. (2003). Bipolaron mechanism for bias-stress effects in polymer transistors. Physical Review B 68 (8): 085316. Kamiya, T., Nomura, K., and Hosono, H. (2010). Present status of amorphous In–Ga–Zn–O thin-film transistors. Science and Technology of Advanced Materials 11 (4): 044305. Tans, S.J., Verschueren, A.R., and Dekker, C. (1998). Room-temperature transistor based on a single carbon nanotube. Nature 393 (6680): 49–52. Javey, A., Guo, J., Wang, Q. et al. (2003). Ballistic carbon nanotube field-effect transistors. Nature 424 (6949): 654–657. Dürkop, T., Getty, S., Cobas, E., and Fuhrer, M. (2004). Extraordinary mobility in semiconducting carbon nanotubes. Nano Letters 4 (1): 35–39. Snow, E., Novak, J., Campbell, P., and Park, D. (2003). Random networks of carbon nanotubes as an electronic material. Applied Physics Letters 82 (13): 2145–2147. Cao, Q., Kim, H.-S., Pimparkar, N. et al. (2008). Medium-scale carbon nanotube thin-film integrated circuits on flexible plastic substrates. Nature 454 (7203): 495–500. Liu, Z. (2013). Towards solution processed electronic circuits using carbon nanotubes. Doctoral dissertation. Acta Universitatis Upsaliensis. Snow, E., Campbell, P., Ancona, M., and Novak, J. (2005). High-mobility carbon-nanotube thin-film transistors on a polymeric substrate. Applied Physics Letters 86 (3): 033105.

References

20 Kim, S., Xuan, Y., Ye, P. et al. (2007). Atomic layer deposited Al2 O3 for gate

21

22 23 24

25

26 27 28

29

30

31

32 33

34

35

36

dielectric and passivation layer of single-walled carbon nanotube transistors. Applied Physics Letters 90 (16): 163108. Muoth, M., Döring, V., and Hierold, C. (2011). Gate hysteresis originating from atomic layer deposition of Al2 O3 onto suspended carbon nanotube field-effect transistors. Physica Status Solidi B Basic Solid State Physics 248 (11): 2664–2667. Klauk, H. (2006). Organic Electronics: Materials, Manufacturing, and Applications. Wiley. Schroder, D.K. (2006). Semiconductor Material and Device Characterization. Wiley. Garnier, F., Hajlaoui, R., Yassar, A., and Srivastava, P. (1994). All-polymer field-effect transistor realized by printing techniques. Science 265 (5179): 1684–1686. Bao, Z., Feng, Y., Dodabalapur, A. et al. (1997). High-performance plastic transistors fabricated by printing techniques. Chemistry of Materials 9 (6): 1299–1301. Sirringhaus, H., Kawase, T., Friend, R. et al. (2000). High-resolution inkjet printing of all-polymer transistor circuits. Science 290 (5499): 2123–2126. Park, J.-U., Hardy, M., Kang, S.J. et al. (2007). High-resolution electrohydrodynamic jet printing. Nature Materials 6 (10): 782–789. Sele, C.W., von Werne, T., Friend, R.H., and Sirringhaus, H. (2005). Lithography-free, self-aligned inkjet printing with sub-hundred-nanometer resolution. Advanced Materials 17 (8): 997–1001. Noh, Y.-Y., Zhao, N., Caironi, M., and Sirringhaus, H. (2007). Downscaling of self-aligned, all-printed polymer thin-film transistors. Nature Nanotechnology 2 (12): 784–789. Nomura, K., Ohta, H., Ueda, K. et al. (2003). Thin-film transistor fabricated in single-crystalline transparent oxide semiconductor. Science 300 (5623): 1269–1272. doi: 10.1126/science.1083212. Lim, J.H., Shim, J.H., Choi, J.H. et al. (2009). Solution-processed InGaZnO-based thin film transistors for printed electronics applications. Applied Physics Letters 95 (1): 012108. Kim, G.H., Kim, H.S., Shin, H.S. et al. (2009). Inkjet-printed InGaZnO thin film transistor. Thin Solid Films 517 (14): 4007–4010. Wang, Y., Sun, X.W., Goh, G.K.L. et al. (2011). Influence of channel layer thickness on the electrical performances of inkjet-printed In–Ga–Zn oxide thin-film transistors. IEEE Transactions on Electron Devices 58 (2): 480–485. Chiang, I., Brinson, B., Smalley, R. et al. (2001). Purification and characterization of single-wall carbon nanotubes. The Journal of Physical Chemistry B 105 (6): 1157–1161. Matarredona, O., Rhoads, H., Li, Z. et al. (2003). Dispersion of single-walled carbon nanotubes in aqueous solutions of the anionic surfactant NaDDBS. The Journal of Physical Chemistry B 107 (48): 13357–13367. Arnold, M.S., Green, A.A., Hulvat, J.F. et al. (2006). Sorting carbon nanotubes by electronic structure using density differentiation. Nature Nanotechnology 1 (1): 60–65.

87

88

3 Printed Thin-film Transistors (TFTs) and Logic Circuits

37 Wang, C., Chien, J.-C., Takei, K. et al. (2012). Extremely bendable,

38

39 40

41

42

43

44

45

46

47

48 49

50

51

high-performance integrated circuits using semiconducting carbon nanotube networks for digital, analog, and radio-frequency applications. Nano Letters 12 (3): 1527–1533. Zhang, J., Fu, Y., Wang, C. et al. (2011). Separated carbon nanotube macroelectronics for active matrix organic light-emitting diode displays. Nano Letters 11 (11): 4852–4858. Zheng, M., Jagota, A., Semke, E.D. et al. (2003). DNA-assisted dispersion and separation of carbon nanotubes. Nature Materials 2 (5): 338–342. Nish, A., Hwang, J.-Y., Doig, J., and Nicholas, R.J. (2007). Highly selective dispersion of single-walled carbon nanotubes using aromatic polymers. Nature Nanotechnology 2 (10): 640–646. Izard, N., Kazaoui, S., Hata, K. et al. (2008). Semiconductor-enriched single wall carbon nanotube networks applied to field effect transistors. Applied Physics Letters 92 (24): 243112. Asada, Y., Miyata, Y., Ohno, Y. et al. (2010). High-performance thin-film transistors with DNA-assisted solution processing of isolated single-walled carbon nanotubes. Advanced Materials 22 (24): 2698–2701. Lee, H.W., Yoon, Y., Park, S. et al. (2011). Selective dispersion of high purity semiconducting single-walled carbon nanotubes with regioregular poly(3-alkylthiophene)s. Nature Communications 2: 541. Liu, Z., Li, H., Qiu, Z. et al. (2012). SMALL-hysteresis thin-film transistors achieved by facile dip-coating of nanotube/polymer composite. Advanced Materials 24 (27): 3633–3638. Park, S., Vosguerichian, M., and Bao, Z. (2013). A review of fabrication and applications of carbon nanotube film-based flexible electronics. Nanoscale 5 (5): 1727–1752. Beecher, P., Servati, P., Rozhin, A. et al. (2007). Ink-jet printing of carbon nanotube thin film transistors. Journal of Applied Physics 102 (4): 043710. doi: 10.1063/1.2770835. Okimoto, H., Takenobu, T., Yanagi, K. et al. (2010). Tunable carbon nanotube thin-film transistors produced exclusively via inkjet printing. Advanced Materials 22 (36): 3981–3986. Matsuzaki, S., Nobusa, Y., Yanagi, K. et al. (2011). Inkjet printing of carbon nanotube complementary inverters. Applied Physics Express 4 (10): 105101. Nobusa, Y., Yomogida, Y., Matsuzaki, S. et al. (2011). Inkjet printing of single-walled carbon nanotube thin-film transistors patterned by surface modification. Applied Physics Letters 99 (18): 183106. Takenobu, T., Miura, N., Lu, S.-Y. et al. (2009). Ink-jet printing of carbon nanotube thin-film transistors on flexible plastic substrates. Applied Physics Express 2 (2): 025005. Jung, M., Kim, J., Noh, J. et al. (2010). All-printed and roll-to-roll-printable 13.56-MHz-operated 1-bit RF tag on plastic foils. IEEE Transactions on Electron Devices 57 (3): 571–580.

References

52 Vaillancourt, J., Zhang, H., Vasinajindakaw, P. et al. (2008). All ink-jet-printed

53

54

55 56

57

58

59

60 61

62

63

64

65

66

carbon nanotube thin-film transistor on a polyimide substrate with an ultrahigh operating frequency of over 5 GHz. Applied Physics Letters 93 (24): 243301. Li, J., Unander, T., Cabezas, A.L. et al. (2011). Ink-jet printed thin-film transistors with carbon nanotube channels shaped in long strips. Journal of Applied Physics 109 (8): 084915. Zhao, J., Gao, Y., Lin, J. et al. (2012). Printed thin-film transistors with functionalized single-walled carbon nanotube inks. Journal of Materials Chemistry 22 (5): 2051–2056. Ha, M., Xia, Y., Green, A.A. et al. (2010). Printed, sub-3V digital circuits on plastic from aqueous carbon nanotube inks. ACS Nano 4 (8): 4388–4395. Ha, M., Seo, J.-W.T., Prabhumirashi, P.L. et al. (2013). Aerosol jet printed, low voltage, electrolyte gated carbon nanotube ring oscillators with sub-5 𝜇s stage delays. Nano Letters 13 (3): 954–960. Chen, P., Fu, Y., Aminirad, R. et al. (2011). Fully printed separated carbon nanotube thin film transistor circuits and its application in organic light emitting diode control. Nano Letters 11 (12): 5301–5308. Kim, D.H., Shin, H.-J., Lee, H.S. et al. (2011). Design of a polymer-carbon nanohybrid junction by interface modeling for efficient printed transistors. ACS Nano 6 (1): 662–670. Qian, L., Xu, W., Fan, X. et al. (2013). Electrical and photoresponse properties of printed thin-film transistors based on poly(9,9-dioctylfluorene-co-bithiophene) sorted large-diameter semiconducting carbon nanotubes. The Journal of Physical Chemistry C 117 (35): 18243–18250. Kumar, S., Murthy, J., and Alam, M. (2005). Percolating conduction in finite nanotube networks. Physical Review Letters 95 (6): 066802. Kocabas, C., Pimparkar, N., Yesilyurt, O. et al. (2007). Experimental and theoretical studies of transport through large scale, partially aligned arrays of single-walled carbon nanotubes in thin film type transistors. Nano Letters 7 (5): 1195–1202. Pimparkar, N., Cao, Q., Kumar, S. et al. (2007). Current-voltage characteristics of long-channel nanobundle thin-film transistors: a “bottom-up” perspective. IEEE Electron Device Letters 28 (2): 157–160. Kim, W., Javey, A., Vermesh, O. et al. (2003). Hysteresis caused by water molecules in carbon nanotube field-effect transistors. Nano Letters 3 (2): 193–198. Collins, P.G., Arnold, M.S., and Avouris, P. (2001). Engineering carbon nanotubes and nanotube circuits using electrical breakdown. Science 292 (5517): 706–709. doi: 10.1126/science.1058782. Tanaka, T., Jin, H., Miyata, Y. et al. (2009). Simple and scalable gel-based separation of metallic and semiconducting carbon nanotubes. Nano Letters 9 (4): 1497–1500. Liu, Z., Qiu, Z.-J., Zhang, Z.-B. et al. (2011). Mobility extraction for nanotube TFTs. IEEE Electron Device Letters 32 (7): 913–915.

89

90

3 Printed Thin-film Transistors (TFTs) and Logic Circuits

67 Jin, S.H., Islam, A.E., Kim, T. et al. (2012). Sources of hysteresis in carbon

68

69

70

71 72

73

74

75

nanotube field-effect transistors and their elimination via methylsiloxane encapsulants and optimized growth procedures. Advanced Functional Materials 22 (11): 2276–2284. Aguirre, C.M., Levesque, P.L., Paillet, M. et al. (2009). The role of the oxygen/water redox couple in suppressing electron conduction in field-effect transistors. Advanced Materials 21 (30): 3087–3091. doi: 10.1002/adma.200900550. McGill, S.A., Rao, S.G., Manandhar, P. et al. (2006). High-performance, hysteresis-free carbon nanotube field-effect transistors via directed assembly. Applied Physics Letters 89 (16): 163123. doi: 10.1063/1.2364461. Kim, S.K., Xuan, Y., Ye, P.D. et al. (2007). Atomic layer deposited Al2 O3 for gate dielectric and passivation layer of single-walled carbon nanotube transistors. Applied Physics Letters 90 (16): 163108. doi: 10.1063/1.2724904. Fuhrer, M.S., Nygård, J., Shih, L. et al. (2000). Crossed nanotube junctions. Science 288 (5465): 494–497. doi: 10.1126/science.288.5465.494. Zhiying, L., Xindong, G., Zhiwei, Z. et al. (2013). Solution-processed logic gates based on nanotube/polymer composite. IEEE Transactions on Electron Devices 60 (8): 2542–2547. doi: 10.1109/TED.2013.2264969. Liu, Z., Li, J., Zhi-Jun, Q. et al. (2011). On gate capacitance of nanotube networks. IEEE Electron Device Letters 32 (5): 641–643. doi: 10.1109/LED.2011.2118733. Wang, C., Zhang, J., Ryu, K. et al. (2009). Wafer-scale fabrication of separated carbon nanotube thin-film transistors for display applications. Nano Letters 9 (12): 4285–4291. doi: 10.1021/nl902522f. Liu, Z., Zhang, Z.-B., Qiang, C. et al. (2011). Solution-processable nanotube/polymer composite for high-performance TFTs. IEEE Electron Device Letters 32 (9): 1299–1301. doi: 10.1109/LED.2011.2158569.

91

4 Printed Passive Wireless Sensors 4.1 Introduction Thin, lightweight, low-cost, and flexible sensors are increasingly in demand for a wide range of emerging and novel applications, such as smart packaging, smart buildings, biomedicine, artificial skin, wearable electronic, and consumer electronics [1]. Wireless communication capability is often a necessity in these applications to enable efficient management of a large number of spatially distributed sensors. Plastic, paper, and textile are popular flexible substrates nowadays [2–4]. However, they cannot stand high processing temperature, and are not fully compatible with the conventional microfabrication process of silicon chips and microelectromechanical system (MEMS) sensors [1, 2]. With the advances in printable functional materials, printing technologies have been considered as a much simpler and cost-effective method for directly manufacturing these electronics on various flexible substrates [5–7]. For instance, quasi one-dimensional carbon nanotubes (CNTs) are one of the promising candidates for flexible electronics and sensors thanks to their exceptional properties [8]. IDTechEx has forecasted that the market for such printed and flexible sensors will have increased by more than 1 billon US dollars by 2020 [9]. One implementation method for the flexible wireless sensors is to combine silicon and printed electronics. A micromachined or printed sensor is integrated with a signal-conditioning circuit, microcontroller, and wireless module onto a flexible substrate, but a power source is always required to drive these hybrid integrated systems [10–12]. Battery-free sensors using passive telemetry are particularly desired in many applications considering the cost and power consumption. One passive telemetry scheme based on commercial radio frequency identification (RFID) chip has been often employed [13–17]. The minimum power level required to power up the RFID tag or the backscattered signal strength from the RFID tag is modulated by changing the impedance of the tag antenna. This RFID chip-based approach provides both identification and sensory information. But the price of such sensors is still limited by the costly manufacturing, testing, and assembling of silicon IC chips as the price of current RFID tags is relatively high [18]. Therefore, great research interest is directed toward a fully printable solution that holds the promise of an ultra-low-cost and flexible wireless sensor. Several schemes have been proposed, including Smart Electronic Systems: Heterogeneous Integration of Silicon and Printed Electronics, First Edition. Li-Rong Zheng, Hannu Tenhunen, and Zhuo Zou. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA. Published 2018 by Wiley-VCH Verlag GmbH & Co. KGaA.

92

4 Printed Passive Wireless Sensors

monitoring the shift in resonant frequency of resonators or antennas covered with sensing materials [19–23], monitoring the phase of the reflected RF signal of coplanar waveguide (CPW) coated with sensing material [24], and monitoring the change in the backscattered signal strength by varying the loading impedance of the antenna [25, 26]. The last scheme utilizes the backscattering principle employed by the ultra-high frequency (UHF) RFID technology nowadays, and is then compatible with the portable RFID reader. The above passive wireless sensors are all designed to be interrogated by continuous-wave signals at specific frequencies. As opposed to such narrowband communication technologies, ultra wideband (UWB) technology, which uses pulses with ultra-short time duration and large bandwidth over 500 MHz, promises alternative wireless sensing schemes with benefits including high speed, low cost, and low power consumption [27]. Utilizing such ultra-short pulses discrete in time domain, a fully printable passive sensor design capable of monitoring multiple targets simultaneously has been proposed [28]. This wireless sensing scheme can be combined with time domain-based chipless ID data coding technique to implement a chipless RFID sensor [29, 30]. This chapter will begin with an introduction of CNT-based sensors, followed by detailed discussion on humidity sensing properties of functionalized multiwalled carbon nanotubes (f-MWCNTs) in Section 4.2. The f-MWCNTs serve as sensing material in the humidity sensor demonstrators presented in the rest of this chapter. In Section 4.3, the wireless sensing scheme based on the backscattering principle is introduced, and a flexible humidity sensor working at UHF band is presented with a discussion focused on the design optimization. Section 4.4 presents the operation principle and data-processing algorithm of the UWB-based passive sensor for monitoring multiple targets at the same time, together with a sensor prototype. As CPW is part of the sensor structure, a selective printing technique, which can reduce the losses in the inkjet-printed waveguide in a cost-effective way, is also introduced in this section.

4.2 Sensing Materials 4.2.1

Carbon Nanotube-based Sensors

Since their discovery by Iijima in 1991 [31], CNTs have shown great promise for a wide variety of applications due to their outstanding mechanical, thermal, chemical, and electrical properties [32, 33]. Their very large surface-area-to-volume ratio, together with these unique properties, has motivated extensive research to develop sensors based on CNTs, such as chemical sensors, biological sensors, and electromechanical sensors [34–36]. The development of CNT-based chemical sensors for detecting gases and vapors is an active research field. Different types of CNT-based sensors using the electronic transducing platform have been exploited including ionization sensors, capacitors, resistors, and field effect transistors (FETs) [37], and the last two types are the most commonly used. The CNTFET sensors have focused on single-walled carbon nanotubes (SWCNTs) as

4.2 Sensing Materials

they can be either semiconducting or metallic depending on their chirality (the orientation of the graphene lattice with respect to the tube axis), while MWCNTs are only metallic and thus mostly used as resistors or ionization sensors [33, 38]. Numerous studies have demonstrated that CNTs are sensitive to a large number of gas- and vapor-phase analytes of interest [34], for instance, ammonia (NH3 ), nitrogen dioxide (NO2 ), hydrogen (H2 ), carbon monoxide (CO), oxygen (O2 ), and water vapor (H2 O), and possess many advantages over the existing technologies, including high sensitivity, fast response time, ultra-compact size, low power consumption, room-temperature operation, mechanical flexibility and stretchability, and manufacturability by cost-effective printing technologies [38–40]. Despite the great research achievements, commercialization of CNT sensors is not there yet because there are still challenges to be addressed [37]. First of all, the device built on individual CNT suffers low yield and low device-to-device reproducibility due to the lack of reliable methods for precisely controlling the position and orientation of the individual nanotube, and of synthesizing nanotubes having identical electronic properties [41, 42]. Constructing devices based on a two-dimensional network configuration of horizontally aligned or even randomly distributed CNTs is believed to be able to ease the fabrication and minimize device variation as the device performance is defined by the collective properties of all the CNTs in the network [37,42]. Poor selectivity is one major disadvantage of CNT-based gas and vapor sensors [38, 39, 41, 42]. Many approaches have been proposed to overcome this problem, such as chemoselective polymer coating [43], functionalization on CNT sidewalls by metal/metal oxide nanoparticles or organic molecules [44–46], and diversification of metal electrodes in CNT-based FETs [47]. The common principle behind these approaches is to employ an array of differently modified CNT sensors and then identify the specific target through pattern recognition [38]. Another practical concern regarding the sensor performance is the slow recovery commonly observed in CNT-based sensors due to the strong bonding energy between the nanotube and the targeted molecules [42,48]. Possible solutions include using ultraviolet (UV) light illumination [49], embedded heaters [50], and temporal reversed bias or gate voltage in the CNTFET configuration [51, 52].

4.2.2 Functionalized Multiwalled Carbon Nanotubes as Humidity Sensing Material Humidity monitoring is important in industrial process as well as domestic applications [53]. Multiwalled carbon nanotubes (MWCNTs) and MWCNT-based composites have been demonstrated to be promising resistive-type sensing material toward humidity [26, 54–62]. As compared with the conventional materials (mainly oxide ceramics and polymers), MWCNT-based humidity sensors have several advantages: (i) high sensitivity over a wide range of relative humidity (RH) level; (ii) fast response time; (iii) room-temperature operation; (iv) mechanical flexibility; (v) environmental stability and thermal stability; (vi) solution processability through covalent or non-covalent functionalization.

93

4 Printed Passive Wireless Sensors

It has been found that the MWCNTs functionalized (f-MWCNTs) by acid treatment exhibit greatly enhanced humidity sensitivity than untreated MWCNTs [26, 58, 63]. On the other hand, the acid treatment renders the CNTs better dispersible in solution, thus enabling direct patterning and controlled deposition using inkjet printing technique as discussed in Chapter 2 [64]. Moreover, f-MWCNTs are more suitable for the applications where high conductivity is required as compared with their composites with non-conducting or much less conductive polymers. Therefore, f-MWCNTs possess great potential as humidity sensing material for printed and flexible electronics. 4.2.2.1

Humidity Sensing Properties

The humidity sensing properties of a random f-MWCNT network were characterized from the resistors that were prepared by spraying-coating the dispersion of acid-treated MWCNTs in water onto pre-printed interdigital electrodes (IDE) on plastic substrate as shown in the inset of Figure 4.1. The density of nanotubes in the network is controlled by varying the spraying duration. Longer spraying duration results in denser nanotube network and hence smaller resistance. As shown in Figure 4.1, the resistances of the f-MWCNT resistors exhibit an exponentially increasing trend as the ambient RH level increases from 20% to 95%. Additionally, the humidity sensitivity reduces as the density of nanotubes is increased, which is probably because the total surface area of CNTs that interacts with water molecules in the surroundings becomes smaller when the nanotube network is denser. The typical fast response and slow recovery of CNT-based sensors is also observed from the f-MWCNTs resistor as shown in Figure 4.2a. The resistor 160 Sample A: R20% = 51.6 kΩ

140

Sample B: R20% = 662 Ω

120 (RRH–R20%)/R20% (%)

94

Sample C: R20% = 157 Ω

100 80 60 40 20 0 10

20

30

40

50

60

70

80

90

100

Relative humidity (%)

Figure 4.1 Resistance variation of three f-MWCNT resistors as a function of relative humidity at 25 ∘ C. RRH and R20% denote resistance measured at a specific RH and 20% RH, respectively. The spraying duration for sample A, B, and C is approximately 18, 69, and 85 s, respectively. Source: Feng et al. 2012 [26]. Reproduced with permission of IEEE.

70

100

60

95

50

90

40

85

30

80

20

75

10

70 R70% = 192 Ω

0 0

100

200

300

400

500

600

700

7900 8000

Time (s) 136

100

119

90

102

80 First time Second time Third time

85 68

R30% = 25.5 kΩ

51

70 60 50

34

40

17

30

0 (b)

65

0

1

2

3

4

5

6

7

8

9 10 11 12 13 14 15

Relative humidity (%)

(RRH –R30%)/R30% (%)

(a)

Relative humidity (%)

(RRH –R70%)/R70% (%)

4.2 Sensing Materials

20

Time (min)

Figure 4.2 (a) Resistance variation of an f-MWCNT resistor under dynamic cycles between 70% and 95% RH levels. (b) Resistance variation of an f-MWCNT resistor when the RH level is changed from 30% to 95% measured three times. The resistor was heated at 120 ∘ C for 30 min before each measurement. Source: Feng et al. 2012 [26]. Reproduced with permission of IEEE.

responds immediately to the rise in RH level, and the resistance reaches 90% of its steady-state value at 95% RH within around 20 s. The resistance also responds quickly to fall in the RH level; however, the resistance recovers only about 60% of its initial value at 70% RH within 100 s, and full recovery of the resistance takes around 2 h without external aid. This long recovery time indicates that the reaction between the surface of CNT and water molecule is strong chemisorption. Heating is one efficient way to refresh the resistor, although concern exists regarding the sensor degradation induced by heating [38]. The f-MWCNT resistor exhibits reproducible response to rise in RH level after being heated at 120 ∘ C for 30 min for several times as shown in Figure 4.2b.

95

4 Printed Passive Wireless Sensors

1.8 1.6

As-received MWCNTs resistor A As-received MWCNTs resistor B As-received MWCNTs resistor C

Resistance (kΩ)

1.4 1.2 1.0 0.8 0.6 0.4 0.2 10

20

30

40 50 60 70 Relative humidity (%)

80

90

100

Figure 4.3 Resistance of three as-received MWCNT resistors as a function of relative humidity at 25 ∘ C.

4.2.2.2

Humidity Sensing Mechanism

In contrast to the f-MWCNTs, it was found that the resistance variation of as-received MWCNTs without acid treatment is hardly perceptible over the RH range from 20% to 95% as shown by the three MWCNT resistors in Figure 4.3. These resistors were prepared by spray coating the dispersion of as-received MWCNT powder in N-methyl-2-pyrrolidone (NMP). The sensing mechanism is then studied by comparing the as-received MWCNTs and acid-treated f-MWCNTs. Raman spectra of the as-received and f-MWCNTs were characterized as shown in Figure 4.4. The two peaks at around 1350 and 1580 cm−1 are called 50

D-band G-band

45 Intensity (a.u.)

96

40 35 30 25 As-received MWCNTs f-MWCNTs

20 1000

1100

1200

1300

1400

1500

1600

1700

1800

–1

Wavenumber (cm )

Figure 4.4 Raman spectra (514 nm) of as-received and f-MWCNTs. Source: Feng et al. 2012 [26]. Reproduced with permission of IEEE.

4.2 Sensing Materials

“D-band” and “G-band,” respectively. The former originates from the defects on the CNTs and amorphous carbon in the material and the latter corresponds to the E2g vibrating mode of graphite [65]. Since no amorphous carbon is observed in the as-received MWCNTs according to the supplier, the intensity ratio of the “D-band” and “G-band” peaks basically indicates the density of defects in the material. It can be seen that both the as-received and f-MWCNTs have a relatively high and similar density of defects. So the main difference between them is the presence of carboxylic acid groups introduced by the acid treatment onto the defect sites in f-MWCNTs. This can be evidenced from the good dispersibility of f-MWCNTs in water and the thermogravimetrical and derivative thermogravimetrical analysis (TGA and DGA) results as shown in Figure 4.5. As-received MWCNTs start oxidation at around 500 ∘ C, while the f-MWCNTs begin to lose weight at a much lower temperature because of

100

Weight (%)

80

60

40

20

As-received MWCNTs f-MWCNTs

0

0

100

200

(a)

300

400

500

600

700

600

700

Temperature (°C) 35 As-received MWCNTs

Deriv. weight (% min–1)

30

f-MWCNTs

25 20 15 10 5 0 0

(b)

100

200

300

400

500

Temperature (°C)

Figure 4.5 (a) TGA and (b) DGA curves of as-received MWCNTs and f-MWCNTs under air atmosphere. Source: (a) Feng et al. 2013 [64]. Reproduced with permission of IEEE.

97

140

90

130

80

120

70

110

60 50

100

40

90

R20% (kΩ)

4 Printed Passive Wireless Sensors

(R95%–R20%)/R20 (%)

98

30

80

20 70 10 60 100

120

140

160

180

200

220

240

0 260

Annealing temperature (°C)

Figure 4.6 The resistance of the f-MWCNT resistor measured at 20% RH and its resistance variation between 95% and 20% RH as a function of annealing temperature. Source: Feng et al. 2013 [64]. Reproduced with permission of IEEE.

water desorption, and continue to lose approximately 26% in weight from 150 to 500 ∘ C due to the decomposition of carboxylic acid groups [63]. Moreover, it is observed that the resistance variation of the f-MWCNT resistor between 20% and 90% RH decreases as the annealing temperature for the resistor is raised as shown in Figure 4.6. The decrease in the sensitivity is probably related to the loss of the functional carboxylic acid groups at higher annealing temperatures. Although the humidity sensitivity of MWCNTs has been observed by many research groups, the sensing mechanism is still under debate. Among the reported works where acid-treated MWCNTs were used, several assumptions were proposed for the sensing mechanism, including electron donation from the water molecules to the p-type semiconducting MWCNTs [54, 56], the increase of tunneling barriers between the nanotube junctions due to water absorption on the tube-to-tube interface [60, 61], the weak bonding between an H atom of water and a C atom on the nanotube surface [58, 62], and the hydrogen bonding between the polar water molecules with the oxygen-containing defects on the nanotube [63]. Based on the above observations of the sensing properties and material characteristics, it is believed that the sensitivity of f-MWCNTs toward humidity is attributed to the hydrogen bonding between water molecules and carboxylic acid groups on the nanotube surface [26]. 4.2.2.3

Mechanical Flexibility

The f-MWCNT network exhibits excellent mechanical flexibility as shown in Figure 4.7 [26]. The performed bending test is illustrated in the inset, where tensile strain is applied to the f-MWCNTs network. The bending radius is defined by the radius of the cylinder. As the bending radius is decreased, the tensile strain increases, and the resistance of the three f-MWCNT resistors basically increases correspondingly [66]. However, the variation in the resistance is still less than 2% even when the bending radius is decreased to 3.5 mm, corresponding to around

4.3 Passive UHF Wireless Sensor

2.0 f-MWCNTs resistor

(RBR-Rflat)/Rflat (%)

1.5 Probe

Probe Rflat = 159.1 Ω Rflat = 611 Ω

1.0

Rflat = 41.8 Ω Cylinder

0.5 Release 0.0

Testing sequency Flat 50 45 40 35 30 25 20 15 10

5

0 Flat

Bending radius (mm)

Figure 4.7 Resistance variation of three f-MWCNT resistors as a function of bending radius. RBR and Rflat denote the resistance measured at one specific bending radius and when the resistor is flat before bending, respectively. Inset: schematic illustration of the bending test setup with two-probe dc resistance measurement. Source: Feng et al. 2012 [26]. Reproduced with permission of IEEE.

1.4% strain in the nanotubes. Moreover, the resistance fully recovers to the initial value once the resistors are released from the bending. Furthermore, it is also observed that the humidity sensitivity of the f-MWCNT resistor is barely affected by the bending position [67].

4.3 Passive UHF Wireless Sensor 4.3.1 4.3.1.1

Flexible UHF Humidity Sensor Based on Carbon Nanotube Sensor Operation Principle

The wireless sensing scheme utilizing the backscattering principle is illustrated in Figure 4.8a. The equivalent circuit of the wireless sensor is illustrated in Figure 4.8b, where ZA is the antenna impedance and ZL the impedance of the sensing resistor. When the RFID reader sends a continuous wave signal to the sensor, which is essentially an antenna terminated with a resistor, the sensor will scatter power back to the reader, and this power is termed as backscattered power. The backscattered power from this loaded antenna consists of two parts called “structural mode” and “antenna mode” scattering, respectively [68]. The structural mode scattering occurs owing to current induced on the antenna, which is related to the structure, shape, and material of the antenna and independent of the loading conditions [69, 70]. The antenna mode scattering occurs due to the mismatch between the antenna impedance and the load impedance [68], thereby conveying the information of the sensing resistor. The backscattered

99

100

4 Printed Passive Wireless Sensors

Wireless sensor RFID reader

Antenna

Sensing resistor

(a) ZA

Figure 4.8 (a) Block diagram of a passive wireless sensor based on backscattering principle. Source: Feng et al. 2012 [26]. Reproduced with permission of IEEE. (b) Equivalent circuit of the wireless sensor.

Antenna Sensing resistor

ZL

(b)

power received by the reader, Preader-rx , can be calculated by ) ( 𝜆 4 Preader−rx = Preader−tx Gr2 Gs2 𝜂 , (4.1) 4πd where Preader-rx is the power fed into the antenna of the RFID reader, Gr and Gs are the gain of the reader antenna and the sensor antenna, respectively, 𝜂 is the power reflection coefficient, 𝜆 is the wavelength, and d is the distance between the reader and the sensor. The power reflection coefficient of the sensor, 𝜂, is dependent of the impedance mismatch between the antenna and the sensing resistor, as given by | Z − Z ∗ |2 | A | (4.2) 𝜂 = |S11 |2 = | L |, | ZL + ZA | | | where S11 is the voltage reflection coefficient of the sensor antenna, and ZA * is the complex conjugate of the antenna impedance (ZA ). Combining the above two equations, it is clear that when the resistance of the sensing resistor is varied by the target analyte, the backscattered power strength changes correspondingly. It can be further derived from Equation (4.2) that the loading impedance (ZL ) is preferred to be comparable to the antenna impedance (ZA ) to obtain better sensitivity, i.e. larger variation in the power reflection coefficient (𝜂) corresponding to certain change of ZL . Since the resistive part of the antenna impedance is typically small to achieve lower power consumption and better radiation efficiency, the sensing resistor with low resistance is favored by this wireless sensing scheme. 4.3.1.2

Flexible Humidity Sensor Demonstration

Adopting the above wireless sensing scheme, a flexible wireless humidity sensor can be readily demonstrated by integrating an f-MWCNT resistor to a printed UHF antenna. As discussed in Section 4.2, as f-MWCNTs provide higher conductivity than oxide ceramics, polymers, and their composites with polymer, they are particularly suitable for this wireless sensor as humidity sensing material. For evaluating the performance of this proposed wireless humidity sensor, an

4.3 Passive UHF Wireless Sensor

Figure 4.9 Photograph of an f-MWCNT resistor that is connected with a coplanar waveguide for characterization of the voltage reflection coefficient at high frequency. Source: Feng et al. 2012 [26]. Reproduced with permission of IEEE.

inkjet-printed CPW is used to connect an f-MWCNT resistor to a vector network analyzer (VNA) for characterization of the voltage reflection coefficient (S11 ) as shown in Figure 4.9. The CPW, whose characteristic impedance matches that of the VNA, does not introduce extra reflection at the connection interface. As seen from Equations (4.1) and (4.2), the magnitude of S11 can be used as a measure of the wireless sensor if all the other parameters in the equations are constant. 4.3.2

Sensor Optimization: Influence of Resistor-electrode Structure

It was found that the frequency-dependent voltage reflection coefficient (S11 ) of the proposed wireless humidity sensor based on f-MWCNT resistor is strongly affected by the electrode structure of the resistor. Figure 4.10 depicts the magnitude of S11 of two sensors with different types of resistor electrodes as a function of frequency measured at 50%, 70%, and 90% RH, respectively, and at a steady temperature of 25 ∘ C. IDEs and flat parallel rectangular electrodes (REs) are used for the f-MWCNTs resistor in the two sensors respectively as illustrated in the insets. The difference between the magnitude of S11 at 50% and 90% RH (Δ|S11 |) is also plotted as shown in Figure 4.11. As can be seen from these figures, the magnitudes of S11 of both the sensors respond similarly up to around 600 MHz, and the sensitivities (Δ|S11 |) of both the sensors upon the change of RH from 50% to 90% are nearly the same from 0 to 600 MHz as well. When the frequency is above 600 MHz, however, the magnitudes of S11 of the two sensors evolve differently. This difference can be observed more clearly in Figure 4.11. The sensitivity (Δ|S11 |) starts to decrease as the frequency increases for the sensor with IDEs, while the sensitivity increases and reaches its maximum at around 1.8 GHz for the sensor with REs. The dramatic influence of the resistor electrode structure on the sensitivity could be explained by the total complex impedance of the f-MWCNTs resistor. The equivalent circuit of the f-MWCNT resistor is illustrated in the inset of Figure 4.11, upper left, where R is predominantly attributed to the resistance of f-MWCNTs, C represents mainly the parasitic capacitance between the resistor-electrodes, and the inductance L comes from the metal electrodes. As a result, ZL represents the total complex impedance of the R, C, and L network. By simulating this network with varied L and C, it was found that the significantly improved sensitivity of the sensor with REs over the sensor

101

4 Printed Passive Wireless Sensors

–2

Magnitude of S11 (dB)

–4

50% RH 70% RH 90% RH

–6 –8 –10 –12 –14 –16

(a)

200 400 600 800 1000 1200 1400 1600 1800 2000 Frequency (MHz)

–8

Magnitude of S11 (dB)

102

–10

50% RH 70% RH

–12

90% RH

–14 –16 –18 –20 –22 –24 –26

(b)

200 400 600 800 1000 1200 1400 1600 1800 2000 Frequency (MHz)

Figure 4.10 Measured voltage reflection coefficient (S11 ) of the f-MWCNTs sensors with (a) IDEs and (b) REs as a function of frequency at 50%, 70%, and 90% RH, respectively, at 25 ∘ C. Inset: schematic electrode structure. Source: Feng et al. 2012 [26]. Reproduced with permission of IEEE.

with IDEs is mainly attributed to the difference in the parasitic capacitance between the resistor electrodes, as shown in Figure 4.11. This founding was also confirmed by measuring the parasitic capacitance in the electrodes using an LCR meter. The capacitance between the IDEs and REs was measured to be around 2.2 and 1.0 pF at 1.0 MHz, respectively. Moreover, a new sensor based on the f-MWCNTs resistor was fabricated to further verify the strong influence of the capacitance between the resistor electrodes on the sensitivity. IDEs were still used for the resistor electrode but their dimensional structure was designed to have a capacitance of 1.0 pF between the electrodes with the help of an analytical

4.3 Passive UHF Wireless Sensor

10 ZL L

8

REs

Δ|S11| (dB)

R

C

6 L3 nH C0.95 pF L3 nH C1pF

4

L3 nH C2pF 2 IDEs 0

0

200

400

600

800 1000 1200 1400 1600 1800 2000 Frequency (MHz)

Figure 4.11 ΔS11 defined as the difference of S11 between 50% and 90% RH in the figure as a function of frequency for two f-MWCNT sensors with IDE and RE configuration (real line) respectively, and the simulated results (dashed line) with different values of L and C: L = 3 nH and C = 2.0 pF, L = 3 nH and C = 1.0 pF, and L = 3 nH and C = 0.95 pF based on the equivalent circuit of the f-MWCNT resistor. R is experimentally derived to be 78 Ω at 50% RH and 100 Ω at 90% RH from both the sensors with IDEs and REs. Inset: equivalent circuit of the f-MWCNT resistor. Source: Feng et al. 2012 [26]. Reproduced with permission of IEEE.

model developed by Feng et al. [71]. The analytical model will be introduced in detail in Section 4.3.3. The measured magnitude of S11 of this sensor at different RH levels is shown in Figure 4.12a. It can be seen that the curves measured at 50%, 70%, and 90% RH, respectively, are similar to those obtained from the sensor with REs (Figure 4.13b), which confirms the key role of the parasitic capacitance between resistor electrodes in the sensor performance. The small difference in the responses between these two sensors probably arises from a slightly larger inductance in the IDEs. The additional measurement at lower RH levels shows that this sensor can distinguish the RH change from 30% to 90% from 0 to 2 GHz, and the RH change from 20% to 90% from 0 to 1.6 GHz. The very close responses at 20% and 30% RH from 1.6 to 2 GHz is because the total complex impedance of the f-MWCNTs resistor in this sensor matches the input impedance of the measurement instrument (Vector Network Analyzer) when the resistance of the f-MWCNTs resistor is as low as 70 Ω at 20% RH. Therefore, a resonance dip (|S11 | < 27 dB) occurs at round 1.4 GHz for the sensor at 20% RH, leading to worse discernibility between 20% and higher RH levels at higher frequencies. This resonance could be avoided by increasing the resistance of the f-MWCNTs resistor as shown by the simulated results in Figure 4.12b. In this simulation, the combination of L = 3 nH and C = 1 pF in the equivalent circuit of the f-MWCNTs resistor was used and the resistance values at different RH levels were obtained from measurement of an f-MWCNTs resistor.

103

4 Printed Passive Wireless Sensors

–8 –10 Magnitude of S11 (dB)

–12 –14 –16 –18 –20

20% RH 30% RH 50% RH 70% RH 90% RH

–22 –24 –26 –28

200 400 600 800 1000 1200 1400 1600 1800 2000

(a)

Frequency (MHz) –8 –10

Magnitude of S11 (dB)

104

–12 –14 20% RH R = 84 Ω 30% RH R = 86.2 Ω 50% RH R = 89.5 Ω 70% RH R = 97.1 Ω 90% RH R = 117.8 Ω

–16 –18 –20 0

(b)

200 400 600 800 1000 1200 1400 1600 1800 2000 Frequency (MHz)

Figure 4.12 (a) Measured voltage reflection coefficient (S11 ) as a function of frequency for one f-MWCNT sensor with a new IDEs structure (which has the parasitic capacitance of 1.0 pF between electrodes) under different RH levels. (b) Simulated voltage reflection coefficient (S11 ) as a function of frequency for an f-MWCNT sensor using L = 3 nH and C = 1 pF in the equivalent circuit as illustrated in the inset of Figure 4.11. The resistance values of the f-MWCNT resistor used in Figure 4.12 (b) were obtained from the measurement under the corresponding RH levels. Source: Feng et al. 2012 [26]. Reproduced with permission of IEEE.

4.3.3 4.3.3.1

Analytical Model of Interdigital Electrode Capacitance Interdigital Electrode and Interdigital Capacitance

IDEs, which consist of two comb-shaped electrodes, have been commonly used to form capacitive or resistive sensors because they provide a large contact area between the target analyte and the sensitive substrate or superstrate [26, 72]. These electrode structures have also found applications in many other fields, such as lumped elements in microwave integrated circuits [73], surface acoustic wave

4.3 Passive UHF Wireless Sensor

w

L

Wg

Ge G

Metal electrode

Finite top layer εP

t

hP εS

Substrate (a)

hS

(b)

Figure 4.13 Schematic of (a) the top view of interdigital electrode (IDE) and (b) the cross section of interdigital electrode with finite top layer. Source: Feng et al. 2009 [71]. Reproduced with permission of IEEE.

(SAW) devices [74], and dielectrometry [75]. An applicable analytical model to compute the capacitance between the two interlocking electrodes, termed as “interdigital capacitance” (IDC), is of great importance for designers to obtain the desired outcome accurately and quickly. The top view of an IDE is illustrated in Figure 4.13a, where W g is the width of a finger, G is the space between adjacent fingers, L is the length of fingers, Ge is the distance between two electrodes, and w is the width of the terminal strip. The number of all the fingers is denoted as N f . The cross view of an IDE with a finite top dielectric layer is shown in Figure 4.13b, where t is the thickness of the electrodes, hP , hS are the thickness of the finite top layer and the substrate, respectively, and 𝜀P , 𝜀S are the relative dielectric constants of the finite top layer and the substrate, respectively. The spatial wavelength, 𝜆, is defined as 𝜆 = 2(Wg + G). Thus the metallization ratio, 𝜂, of the IDE is defined as Wg . 𝜂= Wg + G 4.3.3.2

(4.3)

(4.4)

Modified Analytical Models of IDCs

Coplanar IDEs are a favored geometric structure in printed electronics since they require a single-sided process only [71]. However, the typical geometric dimensions provided by current printing technologies are quite different from those provided by the conventional semiconductor process. For example, the substrates in printed electronics cannot be treated as infinitely thick because their thickness is usually comparable to the width of the fingers and the space between adjacent fingers in IDEs; the thickness of the printed metal electrode cannot be always neglected since it might be comparable to the width of the fingers. Therefore, the analytical models built for IDEs fabricated by the conventional semiconductor process need to be adapted for printed electronics by taking these new dimensional features into consideration. An expression of the static gap capacitance between IDEs was first published by Alley [73] in 1970 based on a lossless coupled microstrip line theory. Alley’s model

105

106

4 Printed Passive Wireless Sensors

was later modified by Hobdell [76] in 1979 by introducing a loss term. These two models are restrictive because only IDEs with equal finger width and space are considered. In 1983 Esfandiari et al. incorporated the effect of finite electrode thickness by introducing equations for the effective width of the transmission line and took IDEs with different metallization ratios into consideration [77]. In 1977, Wei proposed formulas of IDC using conformal mapping (CM) techniques [74]. All these models apply only to computation of the IDC having an infinite top air layer. The first analytical model of IDC dealing with a general multilayered structure was proposed by Wu et al. in 1994 [78]. They treated the periodic electrode pattern as a CPW with finite-width ground plane based on the CM and the partial capacitance techniques; however, they did not differentiate between the outer and interior fingers and did not take the capacitance contributed by the finger endings into account. In 1996, Gevorgian et al. proposed a more precise model based on the same methods by dealing with the interior and outer fingers separately, taking the capacitance of finger endings into account, and including the effective finger width modification induced by the metal thickness [79]. This model was later modified by Dib et al. using another computational model for the capacitance of finger endings in 2005 [80]. However, the Dib et al. model was found to result in capacitance values deviating from the experimental data more than the Gevorgian et al. model [71]. Igreja et al. proposed another expression for IDCs using the original conformal transformations in 2004 [81]. They dealt with the outer and interior fingers differently from how Geovorgian et al. did, but it neglected the capacitance of finger endings again. However, the models proposed by Gevorgian et al. and Igreja et al. assume that the substrate is of infinite thickness. So these two promising models were modified by Feng et al. in 2009 for printed electronics by assuming that the substrate thickness is non-infinite and the air layer below the substrate is of infinite thickness [71]. The electrode thickness was also taken into consideration in the modified models by Feng et al. by introducing an expression for the effective increase to finger width as given by Wheeler and Caulton et al. [82–84]. Then the modified Gevorgian et al. model and Igreja et al. model were compared with the experimental results to assess their computation accuracies of the IDCs [71]. The dependence of the capacitance of IDCs having an infinite air top layer on the number of fingers, the length of fingers, the width of finger, and the metallization ratio are shown in Figure 4.14, respectively. From Figure 4.14a and b, it can be seen that the two modified models correctly predict the linear dependence of the capacitance on the number of fingers and the length of fingers, and the modified Gevorgian et al. model provides closer values to the experimental data while the modified Igreja et al. model gives larger capacitance values with the largest error of 15%. The two modified models also correctly predict the increasing trend of the capacitances with increased metallization ratio as shown in Figure 4.14c. But the values given by the modified Igreja et al. model becomes the lowest for this group of IDCs, which can be explained by Figure 4.14d where the metallization ratio is kept constant (0.5). As seen from Figure 4.14d, the capacitances computed by both the models differ much more from the experimental data when the width of finger is increased. Nevertheless, the modified Gevorgian et al. model predicts

4.3 Passive UHF Wireless Sensor 2.2

1.6 1.4 1.2

Modified lgreja et al. model Modified gevorgian et al. model Experimental Linear fitting of experimental

1.0 0.8 0.6 8

(a)

10

12

14

16

18

1.8 1.7

1.6

NF = 12 Wg = G = 250 μm Ge = 500 μm hS = 600 μm εS = 2.54 w = 6400 μm t = 35 μm Infinite top air layer

1.4 1.2 1.0 0.8 4500

20

(b)

Number of finger 1.9

Capacitance (pF)

1.8

Capacitance (pF)

1.8

2.0 Wg = G = 250 μm L = 6500 μm Ge = 500 μm hS = 600 μm εS = 2.54 w = 6400 μm t = 35 μm Infinite top air layer

NF = 12 Wg + G = 1000 μm L = 6500 μm Ge = 500 μm hS = 600 μm εS = 2.54 w = 6400 μm t = 35 μm Infinite top air layer

1.6 1.5 1.4

5500

6500

7500

8500

9500

Length of finger (μm) 1.6

Capacitance (pF)

Capacitance (pF)

2.0

1.5

NF = 12 η = 0.5 Ge = 500 μm L = 6500 μm hS = 600 μm εS = 2.54 w = 6400 μm t = 35 μm Infinite top air layer

1.4 1.3

1.3 1.2

1.2 0.50

(c)

0.55

0.60

0.65

Metallization ratio

0.70

250

(d)

350

450

550

650

750

Width of finger (μm)

Figure 4.14 Comparison of the capacitance of IDCs having an infinite air top layer obtained from modified Igreja et al. model, modified Gevorgian et al. model, and experimental as a function of (a) number of fingers, (b) length of finger, (c) metallization ratio, and (d) width of finger when the metallization ratio is 0.5. Source: Feng et al. 2009 [71]. Reproduced with permission of IEEE.

an increase in the capacitance as the width of finger increases, which agrees with the experimental data on the whole. By contrast, the modified Igreja model predicts a decrease in the capacitance, which is probably because its original model neglects the capacitance contributed by finger endings, leading to underestimation of IDCs when the capacitance of finger endings accounts for a considerable proportion of the total capacitance. According to the modified Gevorgian model, the capacitance of finger endings accounts for 18% of the total when the width of finger is 250 μm and its proportion increases to 33% when the width is 500 μm. Moreover, all the above comparison suggests that the modified Igreja et al. model overestimates the capacitance per unit length introduced by the adjacent fingers whereas the modified Gevorgian et al. model underestimates it. Figure 4.15 shows the dependence of the capacitance of IDCs having a finite dielectric top layer on the number of fingers and the length of finger, respectively. The fitting error of the experimental data becomes larger because the dielectric layer was manually coated. The modified Gevorgian et al. model still provides closer computation of the capacitance to the experimental data. In addition, the estimation inaccuracies increase for both the models since one more dielectric layer is added. Overall, it can be concluded that the modified Gevorgian et al. model provides more accurate computation of the IDCs.

107

4 Printed Passive Wireless Sensors

3.5

Wg = G = 250 μm L = 6500 μm Ge = 500 μm

Capacitance (pF)

3.0

hS = 700 μm εS = 2.54 hP = 100 μm εp = 3.3 w = 6400 μm t = 35 μm

2.5

2.0

1.5

1.0

8

10

12

(a)

14

16

18

20

Number of fingers 3.0 2.8 2.6

Capacitance (pF)

108

NF = 12 Wg = G = 250 μm Ge = 500 μm hS = 700 μm εs = 2.54

2.4

hP = 100 μm εP = 3.3

2.2

w = 6400 μm t = 35 μm

2.0 1.8 1.6 1.4 1.2 4500

(b)

5500

6500

7500

8500

9500

Length of finger (μm)

Figure 4.15 Comparison of the capacitance of IDCs having a finite dielectric top layer obtained from modified Igreja et al. model, modified Gevorgian et al. model, and experimental as a function of (a) number of fingers and (b) length of finger. Source: Feng et al. 2009 [71]. Reproduced with permission of IEEE.

4.4 Passive UWB Wireless Sensor 4.4.1

Sensor Operation Principle

Figure 4.16a shows the block diagram of a passive wireless sensor design based on UWB pulse technology for monitoring multiple targeted analytes, and the operation scheme of the sensor is illustrated in Figure 4.16b. The sensor is essentially a UWB antenna loaded with pairs of transmission lines and sensing resistors. Each sensing resistor is supposed to be sensitive to one specific target. When the reader

4.4 Passive UWB Wireless Sensor

Multifunctional wireless sensor Antenna

Transmission line

Transmission line

1st sensing resistor

(a)

2nd sensing resistor

Voltage

Interrogating signal

Time

Voltage

Reflected signal Structural model

Sensor mode 1st

Sensor mode 2nd

(b)

Time

Figure 4.16 (a) Block diagram of a passive wireless sensor based on UWB pulse technology for monitoring multiple targets simultaneously. Source: Feng et al. 2011 [28]. Reproduced with permission of IEEE. (b) Operation scheme of the wireless sensor.

interrogates the sensor by sending out an ultra-short UWB pulse, the sensor will first scatter back a pulse owing to the structural mode scattering, and then reflect back one or more pulses depending on the number of sensing resistors owing to the antenna mode (termed as sensor mode in this context) scattering, which originates from the impedance mismatching between the sensing resistor and the transmission line. If the length of each segment of the transmission lines is properly designed to make the delay time between the reflected pulses longer than the time duration of the UWB pulses, each reflected pulse will be discrete in the time domain, and received individually by the reader. Thus the reader can detect the energy of each reflected pulse and then process out the resistances of each sensing resistor, that is, the status of the targeted analytes. 4.4.2 4.4.2.1

Theoretical Analysis and Data-processing Algorithm Theoretical Analysis

The theoretical analysis is focused on the reflected pulses due to the sensor mode scattering. Figure 4.17 shows the equivalent circuit of the proposed sensor having an arbitrary number (N, N ≥ 1) of pairs of transmission line and sensing resistors, where ZC is the characteristic impedance of all the segments of the transmission line, l is the length of each segment of the transmission line, and Ri (1 ≤ i ≤ N)

109

110

4 Printed Passive Wireless Sensors

ZC

ZC

ZC

ZC

Zg Vg

Γ1

Vin (0,t) z=0

R1

Γ1

Γi

z=l

Ri Γi

z=i×l

ΓN

RN

z=N×l

Figure 4.17 Equivalent circuit of the wireless sensor with an arbitrary number (N) of pairs of transmission lines and sensing resistors. Source: Feng et al. 2011 [28]. Reproduced with permission of IEEE.

is the resistance of each sensing resistor. The antenna is modeled as a voltage generator with an internal impedance Zg in the receiving mode, and V g (t) represents the voltage waveform of the interrogating UWB pulse. To obtain maximum power from the antenna, ZC is to be designed to be equal to the conjugate of Zg . In the following analysis, the transmission lines are assumed to be lossless first for simplicity. Owing to voltage division, the incident pulse at the interface between the antenna and the load (z = 0) is given by Vin (0, t) =

ZC V (t). ZC + Zg g

(4.5)

To avoid the overlapping of reflected pulses received by the reader, the time duration of the interrogating pulse, denoted as T, must be shorter than double the propagation time of the pulse through one segment of transmission line as shown in Equation (4.6): 2l , (4.6) 𝜈 where v is the velocity of propagation of the pulse along the transmission line. Except for the last resistor, the effective impedance of an arbitrary resistor seen either from or toward the generator is given by T
T. Thus the analytical expression k i (1 ≤ i ≤ N), as would be given by V (0,2,2il/v), can be obtained through the following iteration process from t = 0 till t = 2il/v: ) ( l (4.11a) = V (i − 1, 1, t)(1 + Γi−1 ) + V (i − 1, 2, t)Γi−1 V i, 1, t + 𝜈 and

( ) l V i, 2, t + = V (i + 1, 1, t)Γi+1 + V (i + 1, 2, t)(1 + Γi+1 ). 𝜈 P0

Vg

Zg

ZC

V(0,1,t) V(0,2,t) Γ0

P1

Pi

PN

(4.11b)

ZC

PN + 1

V(i,1,t) V(i,2,t) Γ1

R1

Γ1

Γi

Ri

Γi

ΓN

RN

ΓN ΓN + 1

RN + 1

Γ0 z=0

z=I

z=i×l

z=N×l

z = (N + 1)*l

Figure 4.18 Equivalent circuit of the wireless sensor with N pairs of transmission lines and sensing resistors and one more fictitious pair added for algorithm. Source: Feng et al. 2011 [28]. Reproduced with permission of IEEE.

111

112

4 Printed Passive Wireless Sensors

Then the energy of the i-th (1 ≤ i ≤ N) reflected pulse can be calculated by ( ) 2il 2 2il 2il )2 ( t − V +T +T g V 𝜈 𝜈 ZC 𝜈 ref i dt, = ki2 dt, Eref i = ∫ 2il ∫ 2il Zg ZC + Zg Zg 𝜈 𝜈 )2 ( ZC ki2 Ein , (4.12) = ZC + Zg where Ein represents the energy of the interrogating UWB pulse. Now, taking the attenuation in the transmission lines into consideration, Equation (4.12) would be modified as ( )2 ZC ki2 e−2ial Ein , (4.13) Eref i = ZC + Zg where 𝛼 is the attenuation constant in the transmission line. Note that the attenuation constant is frequency dependent. As the UWB pulse takes up an ultra-wide frequency band, the attenuation constant at the center frequency of the pulse could be used in Equation (4.13) for simplicity. But the resultant inaccuracy in the calculation of the energy must be noted. From Equations (4.7), (4.8a), (4.8b), and (4.13), it can be concluded that the energy ratio of the i-th reflected pulse (sensor mode) to the interrogating pulse is determined by the resistances of the first i resistors (1 ≤ i ≤ N). Consequently, all the resistances can be calculated in the following manner: the first resistance is calculated from the energy ratio of the first reflected pulse to the interrogating pulse, and the i-th resistance is calculated from the energy ratio of the i-th reflected pulse to the interrogating pulse and the first i − 1 resistances (2 ≤ i ≤ N). A schematic simulation of such a sensor with four pairs of CPWs and resistors in advanced design systems (ADS, Agilent Technologies) verified the validity of the introduced algorithm [28]. 4.4.3

Sensor Prototype

Considering using printing as the manufacturing method, CPW was chosen to serve as transmission line because it requires single-side printing only and allows easy coating of sensing material. As shown in Figure 4.19, a 25-cm-long CPW was inkjet printed on polyimide foil. The characteristic impedance of the CPW was designed to be 50 Ω for impedance matching with the measurement instrument. A high precision variable resistor was soldered to the end of the CPW to emulate a sensing resistor. Wired measurement was performed to demonstrate the functionality of this sensor prototype without integration of a UWB antenna. The interrogating UWB pulse has a center frequency at around 2 GHz and 10 dB

Figure 4.19 Photograph of an inkjet-printed coplanar waveguide on polyimide foil with variable resistor emulating a sensing resistor. Source: Feng et al. 2011 [28]. Reproduced with permission of IEEE.

4.4 Passive UWB Wireless Sensor

Interrogating pulse

Voltage (mV)

200 150 100 50 0 –50 –100 –150 –200 0.0 (a) 30 Voltage (mV)

20

0.5

1.0

1.5

2.0

2.5

3.0

3.5

4.0

4.5

5.0

2.5

3.0

3.5

4.0

4.5

5.0

Reflected pulse at 1 Ω

10 0 –10 –20 –30 0.0

(b)

0.5

1.0

1.5

2.0

Time (ns)

Figure 4.20 Voltage waveform of (a) the interrogating UWB pulse and (b) the reflected pulse when the variable resistor is set to be 1 Ω in time domain.

bandwidth larger than 500 MHz, as shown by its voltage waveform in the time domain depicted in Figure 4.20a. The voltage waveform of the reflected pulse when the variable resistor is set to be 1 Ω is depicted in Figure 4.20b. It can be seen that the waveform of the reflected pulse is interfered and distorted due to the imperfect impedance matching that exists inherently in the measurement setup and limited bandwidth of the connectors (e.g. circulator) that used to route the pulses in the measurement setup. And the ringing occurring in the interrogating pulse also becomes severe in the reflected pulse. Therefore, subtraction of a reference reflected pulse was suggested as post-process to remove the interference. In addition, a monotonous relationship between the pulse energy and the resistance of the sensing resistor is ensured for all the resistance values through the reference pulse subtraction. Limiting the resistance variation range of the last resistor as mentioned in Section 4.4.2.1 is not necessary any more. The reflected pulse when the variable resistor is set to be 1 Ω is then used as reference. Figure 4.21a shows the voltage waveforms of the reflected pulse when the variable resistor is set to be 50, 200, 600, and 1500 Ω, respectively, after the reference is subtracted. It is clearly seen that the voltage magnitude of the pulse increases as the resistance increases. Correspondingly, the energy of the pulse increases monotonously as the resistance increases as shown in Figure 4.21b. Furthermore, it is shown that the sensitivity (defined as the variation in the energy upon the change in the resistance) decreases significantly when the resistance is above 600 Ω, which suggests the desired resistance variation range of the sensing resistor for obtaining higher sensitivity. By replacing the variable resistor with an f-MWNCTs resistor, a printable passive UWB sensor for remote humidity

113

4 Printed Passive Wireless Sensors

50 Ω

30

200 Ω 600 Ω

Voltage (mV)

20

1500 Ω 10 0 –10 –20 –30

0

1

(a)

3

2

4

5

Time (ns) 9.50E–015 8.00E–015

Pulse energy (J)

114

6.50E–015 5.00E–015 3.50E–015 2.00E–015 5.00E–016 0

1000

2000

3000

4000

5000

Resistance (Ω)

(b)

Figure 4.21 (a) Measured voltage waveform of the reflected pulse (after the reference pulse is subtracted) in the time domain as a function of the resistance. (b) The dependence of the measured reflected pulse energy (after the reference pulse is subtracted) on the resistance. Source: Feng et al. 2011 [28]. Reproduced with permission of IEEE.

monitoring was demonstrated, and the measured reflected pulse energy from this humidity sensor as a function of RH level is shown in Figure 4.22. 4.4.4 Inkjet Printing of Coplanar Waveguide: Variable Ink-layer Thickness Approach 4.4.4.1

Introduction

The low conductivity and small thickness of a metal conductor fabricated by inkjet printing have always been a big concern in printed electronics for high frequency application, for example, resulting in high transmission loss in printed CPWs [85], and low radiation efficiency in printed antenna [86]. This issue becomes more severe when the metal conductor is fabricated on low-cost but

4.4 Passive UWB Wireless Sensor

1.00E–015

Pulse energy (J)

8.50E–016 7.00E–016 5.50E–016 4.00E–016 2.50E–016 1.00E–016 50

60

70

80

90

Relative humidity (%)

Figure 4.22 Reflected pulse energy (after subtracting the reference pulse obtained at 30%RH) of the humidity sensor prototype based on f-MWCNTs as a function of relative humidity at a steady temperature of 25 ∘ C.

temperature-sensitive substrate, for instance, polyethylene terephthalate (PET) and paper, since high temperature (>150 ∘ C) cannot be applied to facilitate the growth and coalescence of the metal nanoparticles for maximum conductivity. To reduce the conductor losses in the printed metal layer, overprinting the patterns many times has been the commonly used method to increase the metal layer and hence decrease the sheet resistance [87–89]. Correspondingly, however, the ink consumption and processing time will increase significantly. Moreover, for some patterns such as CPW, a large amount of ink will overflow the gaps between the conductors, which limits the realistic number of overprinting passes. A linearly tapering technique was proposed to compensate the accumulated resistance in the inkjet-printed microstrip line [30]. But this tapering technique is not applicable to CPW structures. A variable ink-layer thickness approach based on the current distribution has been proposed for fabricating inkjet-printed CPWs and proved to be a promising solution to increasing the performance–cost ratio [90]. 4.4.4.2

Variable Ink-layer Thickness Approach

Conventional CPW consists of one signal conductor on a dielectric substrate with a pair of ground conductors, one to either side of the signal conductor. Figure 4.23a illustrates the cross section of the conventional CPW, where g is the width of the ground conductors, s is the width of the signal conductor, w is the gap between the signal and ground conductors, and t is the thickness of the conductors. The length of the conductors is denoted as l. Inkjet-printed CPW samples on PE-photopaper using silver nanoparticle ink were assembled with SMA coaxial connectors for high-frequency characterization using time domain reflectometry (TDR) measurement as shown in Figure 4.23b. TDR measurement can be used to determine the characteristic impedance and compare the losses in the CPWs as well. Figure 4.24 shows the TDR results of the

115

4 Printed Passive Wireless Sensors

Printed silver conductor g w s

g

w

t

Substrate

(a)

(b)

Figure 4.23 (a) The cross section of a conventional coplanar waveguide. (b) Photograph of an inkjet-printed coplanar waveguide on paper with an SMA coaxial connector. Source: Reprinted with permission of IS&T: The Society for Imaging Science and Technology sole copyright owners of NIP27 [90]. 200 360 dpi and 1 layer 175

470 dpi and 1 layer 360 dpi and 2 layer 470 dpi and 2 layer

150 Impedance (Ω)

116

125

1.9 ns

100 75 A

B

C

50 25 s = 1.6 mm, w = 500 μm, g = 10 mm and I = 50 mm

0 1.0

1.2

1.4

1.6

1.8

2.0

2.2

2.4

Time (ns)

Figure 4.24 TDR measurement results of inkjet-printed CPWs on paper substrate with different printing resolutions in the printing direction and different number of layers; all the samples have the same pattern. Source: Reprinted with permission of IS&T: The Society for Imaging Science and Technology sole copyright owners of NIP27 [90].

CPW samples printed using different resolutions and layers. The CPW samples all have the same geometric parameters. The area A indicates a coaxial cable with characteristic impedance of 50 Ω connecting the samples to the equipment. The curves in the area B are the response from the CPW samples. The exponentially rising characteristic in the curves in area B shows that it is the conductor losses that predominate in the printed CPWs. The curves approach infinity sharply in area C because all the samples are open-ended. The magnitude of the curves’ slope in area B indicates the conductor losses and more precisely, the larger the magnitude of the slope, the larger the conductor losses are. It can be seen that the losses are reduced by increasing the printing resolution or the number of layers, that is, by increasing the amount of printed ink. The larger amount of ink printed in a defined area implies a thicker metal layer. The dc sheet resistance of the fourth

4.4 Passive UWB Wireless Sensor

Ground conductor A B

A B Signal conductor

C D

C D

Ground conductor (a) 120 110

Impedance (Ω)

100 90

1 layer 2 layers 3 layers 4 layers 2 layers with 4 layers in the rim

80 70 60 50 40 1.6

(b)

1.7

1.8

1.9

2.0

2.1

2.2

Time (ns)

Figure 4.25 (a) Top view of a coplanar waveguide with thicker ink layer in the 1.4-mm-wide rim; the arrows with A–D letters indicate the edges where highest current density is in the waveguide. (b) TDR measurement results of inkjet-printed CPWs on paper substrate overprinted for different times with 470 dpi in the printing direction; all the samples have the same pattern (s = 4.8 mm, w = 150 μm, and g = 20 mm). Source: Reprinted with permission of IS&T: The Society for Imaging Science and Technology sole copyright owners of NIP27 [90].

“470 dpi and 2 layer” sample was measured to be 0.44 Ω/sq while that of the third “360 dpi and 2 layers” sample was 0.64 Ω/sq. It is obvious that overprinting is a straightforward method to decrease the conductor losses but inevitably increases the material and time cost of the end product. The variable ink-layer approach is to selectively print thicker metal layers in the pattern area where the highest current density exists. This method was proposed to improve the performance of printed antenna [91], but their results showed that the radiation efficiency of the antenna mainly depends on the total amount of printed ink and not on how it is distributed throughout the antenna patterns. This approach was applied in the printing of CPW as illustrated in Figure 4.25a. The grey area was printed with 470 dpi in the printing area twice, while the dark rim with 1.4 mm in width was printed four times. Figure 4.25b compares this CPW sample with those having the same geometric parameters, which were simply overprinted from one to four times, respectively, by showing their enlarged response in area B. The gap in the CPW would be overflowed

117

118

4 Printed Passive Wireless Sensors

by the ink if the pattern was overprinted beyond four times. The peaks in the beginning of the curves were caused by the imperfect electrical connection of the SMA by hand. It can be seen that the conductor losses decrease as the number of printed layers is increased to three. Nevertheless, the ink was already distributed quite unevenly when the layer number reaches three. When the CPW was overprinted four times, more ink remained in the inner area. This is probably why the “four-layers” sample does not show less loss than the “three-layers” sample. The last sample printed using the variable ink-layer thickness approach shows the same magnitude of the curve slope as the “three-layers” one does, while it consumed 81.6% of the ink that was printed for the “three-layers” one. More ink could be saved if only the indicated A–D four edges in Figure 4.25, where most of the current is distributed, are thickened, and the rim width could be narrowed as well. It reveals that the variable ink-layer thickness approach is successful in reducing the conductor losses in CPWs and yet consuming less ink.

4.5 Summary In contrast to the as-received MWCNTs without acid treatment, random network of acid-treated f-MWCNTs possesses excellent sensitivity and rapid response toward change in the ambient RH level, providing a realistic solution to integrating a humidity sensor into printed and flexible electronics. It is revealed that the humidity sensing mechanism of the f-MWCNTs is mainly due to hydrogen bonding formed between water molecules and the carboxylic acid groups that are attached onto the surface of nanotubes through acid treatment. This strong interaction in turn results in slow recovery time in the f-MWCNT-based humidity sensor. Embedded heater is an efficient way to refresh the sensor from absorbed water, but it increases the power consumption and cost of the device and might degrade the sensor performance due to repeated heating many times. Alternative refreshment method, which consumes less power and does not induce degradation, is highly desired. In addition, long-term stability of the f-MWCNT-based sensors needs to be evaluated, as it is one of the common issues that must be addressed before the commercialization of the CNT-based sensors. By integrating the f-MWCNT resistors, two fully printable and flexible passive humidity sensors are demonstrated for UHF band and UWB applications, respectively. The passive UHF sensor monitors the backscattered power level modulated by the humidity-sensitive resistor, and its operational frequency range is strongly affected by the parasitic capacitance between the resistor electrodes, suggesting an effective route to optimize the sensor performance through electrode design. This sensor is compatible with the current UHF RFID reader technology, but suffers the problems commonly associated with amplitude-modulation systems, that is, susceptibility to noise interference and power waste in the signal transmission. The wireless sensing scheme based on UWB pulse technology provides the possibility of monitoring multiple targets at the same time as compared to the

References

passive UHF sensor. It also favors the implementation of an ultra-low-cost and fully printable RFID sensor through a combination with time domain-based chipless RFID techniques. Moreover, the noise interference to the passive UWB sensor can be relieved by using the first reflected pulse due to the structural mode scattering as reference. The transmission line, which is used to introduce time delay between reflected pulses in the sensor, will cause more energy loss when it is manufactured by printing technique. But the variable ink-layer thickness printing technique can be adopted to reduce the conductor losses in a cost-effective manner. Despite these remarkable advantages, the UWB-based passive sensor technology is still a relatively new field and requires more research effort.

References 1 Cheng, L.C. and Wagner, S. (2009). Overview of flexible electronics technol-

2 3 4

5 6 7

8

9 10

11

12

ogy. In: Flexible Electronics: Materials and Applications (ed. W.S. William and A. Salleo), 1–28. New York: Springer-Verlag. Forrest, S.R. (2004). The path to ubiquitous and low-cost organic electronic appliances on plastic. Nature 428 (6986): 911–918. Tobjörk, D. and Österbacka, R. (2011). Paper electronics. Advanced Materials 23 (17): 1935–1961. Axisa, F., Schimitt, P.M., Gehin, C. et al. (2005). Flexible technologies and smart clothing for citizen medicine, home healthcare and disease prevention. IEEE Transactions on Information Technology in Biomedicine 9 (3): 325–336. Leenen, M.A.M., Arning, V., Thiem, H. et al. (2009). Printable electronics: flexibility for the future. Physica Status Solidi A 206 (4): 588–597. Singh, M., Haverinene, H.M., Dhagat, P., and Jabbour, G.E. (2010). Inkjet printing – process and its application. Advanced Materials 22 (6): 673–685. Perelaer, J., Smith, P.J., Mager, D. et al. (2010). Printed electronics: the challenges involved in printing devices, interconnects, and contacts based on inorganic materials. Journal of Material Chemistry 20 (39): 8446–8453. Park, S., Vosguerichian, M., and Bao, Z. (2013). A review of fabrication and applications of carbon nanotube film-based flexible electronics. Nanoscale 5 (5): 1727–1752. Chansin, G. (2013). Printed and Flexible Sensors 2014–2024: Technologies, Players, Forecasts. IDTechEx. Vyas, R., Lakafosis, V., Rida, A. et al. (2009). Paper-based RFID-enabled wireless platforms for sensing applications. IEEE Transactions on Microwave Theory and Techniques 57 (5): 1370–1382. Unander, T. and Nilsson, H.E. (2009). Characterization of printed moisture sensors in packaging surveillance applications. IEEE Sensors Journal 9 (8): 922–928. Huyghe, B. and Vanfleteren, J. (2009). Design of flexible, low-power, and wireless sensor nodes for human posture tracking aiding epileptic seizure detection. Proceedings of IEEE Sensors, Christchurch, New Zealand, pp. 1963–1966.

119

120

4 Printed Passive Wireless Sensors

13 Potyrailo, R.A. and Morris, W.G. (2007). Multianalyte chemical identifica-

14

15

16

17 18 19 20

21 22

23 24

25

26 27

28

29

tion and quantitation using a single radio frequency identification sensor. Analytical Chemistry 79 (1): 45–51. Sidén, J., Jinlan, G., and Neubauer, B. (2009). Microstrip antennas for remote moisture sensing using passive RFID. Asia-Pacific Microwave Conference, Singapore, pp. 2375–2378. Virtanen, J., Ukkonen, L., Björninen, T. et al. (2011). Inkjet-printed humidity sensor for passive UHF RFID systems. IEEE Transactions on Instrumentation and Measurement 60 (8): 2768–2777. Occhiuzzi, C., Rida, A., Marrocco, G., and Tentzeris, M. (2011). RFID passive gas sensor integrating carbon nanotubes. IEEE Transactions on Microwave Theory and Techniques 59 (10): 2674–2684. Manzari, S., Occhiuzzi, C., Nawale, S. et al. (2012). Humidity sensing by polymer-loaded UHF RFID antennas. IEEE Sensors Journal 12 (9): 2851–2858. Preradovic, S. and Karmakar, N.C. (2010). Chipless RFID: bar code of the future. IEEE Microwave Magazine 11 (7): 87–97. Chopra, S., Pham, A., Gailard, J. et al. (2002). Carbon-nanotube-based resonant circuits sensor for ammonia. Applied Physics Letters 80 (24): 4632–4634. McGrath, M., Sabouni, R., and Pham, A. (2004). Development of nano-based resonator gas sensor for wireless sensing systems. Proceedings of SPIE 5593: 62–72. Tan, E.L., Ng, W.N., Shao, R. et al. (2007). A wireless, passive sensor for quantifying packaged food quality. Sensors 7 (9): 1747–1756. Lee, H., Shaker, G., Naishadham, K. et al. (2011). Carbon-nanotube loaded antenna-based ammonia gas sensor. IEEE Transactions on Microwave Theory and Techniques 59 (10): 2665–2673. Wang, X., Larsson, O., Platt, D. et al. (2012). An all-printed wireless humidity sensor label. Sensors and Actuators B: Chemical 166–167: 556–561. Yoon, H., Abraham, J.X.J.K., Varadan, V.K., and Ruffin, P.B. (2006). Passive wireless sensors using electrical transition of carbon nanotube junctions in polymermatrix. Smart Materials and Structures 15 (1): S14–S20. Yang, L., Zhang, R., Staiculescu, D. et al. (2009). A novel conformal RFID-enabled module utilizing inkjet-printed antennas and carbon nanotubes for gas-detection application. IEEE Antennas and Wireless Propagation Letters 8: 653–656. Feng, Y., Cabezas, A.L., Chen, Q. et al. (2012). Flexible UHF resistive humidity sensors based on carbon nanotubes. IEEE Sensors Journal 12 (9): 2844–2012. Zou, Z., Mendoza, D.S., Wang, P. et al. (2011). A low-power and flexible energy detection IR-UWB receiver for RFID and wireless sensor networks. IEEE Transactions on Circuits and Systems I: Regular Papers 58 (7): 1470–1482. Feng, Y., Chen, Q., and Zheng, L.R. (2011). Design of a printable multi-functional sensor for remote monitoring. Proceedings of IEEE Sensors, Limerick, Ireland, pp. 675–678. Girbau, D., Ramos, Á., Lázaro, A. et al. (2012). Passive wireless temperature sensor based on time-coded UWB chipless RFID tags. IEEE Transactions on Microwave Theory and Techniques 60 (11): 3623–3632.

References

30 Shao, B., Chen, Q., Liu, R., and Zheng, L.R. (2011). Configurable

31 32 33

34 35

36

37

38

39 40

41

42

43

44

45

46

ink-jet-printed RFID tag on paper substrate for low cost and green applications. Microwave and Optical Technology Letters 53 (12): 2781–2786. Iijima, S. (1991). Helical microtubules of graphitic carbon. Nature 354 (6348): 56–58. Saitom, R., Dresselhaus, G., and Dresselhaus, M.S. (1998). Physical Properties of Carbon Nanotubes. London: Imperial College Press. De, V.M.F.L., Tawfick, S.H., Baughman, R.H., and Hart, A.J. (2013). Carbon nanotubes: present and future commercial applications. Science 339 (6119): 535–539. Kauffman, D.R. and Star, A. (2008). Carbon nanotube gas and vapor sensors. Angewandte Chemie International Edition 47 (35): 6550–6570. Allen, B.L., Kichambare, P.D., and Star, A. (2007). Carbon nanotube field-effect-transistor-based biosensors. Advanced Materials 19 (11): 1439–1451. Mahar, B., Laslau, C., Yip, R., and Sun, Y. (2007). Development of carbon nanotube-based sensors - a review. IEEE Sensors Journal 7 (2): 266–284. Fam, D.W.H., Palaniappan, A., Tok, A.I.Y. et al. (2011). A review on technological aspects influencing commercialization of carbon nanotube sensors. Sensors and Actuators B: Chemical 157 (1): 1–7. Bondavalli, P., Legagneux, P., and Pribat, D. (2009). Carbon nanotubes based transistors as gas sensors: state of the art and critical review. Sensors and Actuators B: Chemical 140 (1): 304–318. Llobet, E. (2013). Gas sensors using carbon nanomaterials: a review. Sensors and Actuators B: Chemical 179 (SI): 32–45. Hu, B., Chen, W., and Zhou, J. (2013). High performance flexible sensors based on inorganic nanomaterials. Sensors and Actuators B: Chemical 176: 522–533. Snow, E.S., Perkins, F.K., and Robinson, J.A. (2006). Chemical vapor detection using single-walled carbon nanotubes. Chemical Society Reviews 35 (9): 790–798. Cao, Q. and Rogers, J.A. (2009). Ultrathin films of single-walled carbon nanotubes for electronics and sensors: a review of fundamental and applied aspects. Advanced Materials 21 (1): 29–53. Qi, P., Vermesh, O., Grecu, M. et al. (2003). Towards large arrays of multiplex functionalized carbon nanotube sensors for highly sensitive and selective molecular detection. Nano Letters 3 (3): 347–351. Kong, J., Chapline, M.G., and Dai, H. (2001). Functionalized carbon nanotubes for molecular hydrogen sensors. Advanced Material 13 (18): 1384–1386. Leghrib, R., Pavelko, R., Felten, A. et al. (2010). Gas sensors based on multiwall carbon nanotubes decorated with tin oxide nanoclusters. Sensors and Actuators B: Chemical 145 (1): 411–416. Staii, C., Johnson, A.T., Chen, M., and Gelperin, A. (2005). DNA-decorated carbon nanotubes for chemical sensing. Nano Letters 5 (9): 1774–1778.

121

122

4 Printed Passive Wireless Sensors

47 Bondavalli, P., Legagneux, P., and Pribat, D. (2008). Gas fingerprinting using

48

49 50

51

52 53 54

55

56

57

58 59

60

61

62

carbon nanotubes transistors arrays. Journal of Experimental Nanoscience 3 (4): 347–356. Goldoni, A., Petaccia, L., Lizzit, S., and Larciprete, R. (2010). Sensing gases with carbon nanotubes: a review of the actual situation. Journal of Physics: Condensed Matter 2010 (1): 013001. LI, J., Lu, Y., Ye, Q. et al. (2003). Carbon nanotube sensors for gas and organic vapor detection. Nano Letters 3 (7): 929–933. Li, J., Lu, Y., Ye, Q. et al. (2005). A gas sensor array using carbon nanotubes and microfabrication technology. Electrochemical and Solid-State Letters 8 (11): H100–H102. Novak, J.P., Snow, E.S., Houser, E.J. et al. (2003). Neve agent detection using networks of single-walled carbon nanotubes. Applied Physics Letters 83 (19): 4026–4029. Chang, Y.W., Oh, J.S., Yoo, S.H. et al. (2007). Electrically refreshable carbon-nanotube-based gas sensors. Nanotechnology 18 (43): 435504. Chen, Z. and Lu, C. (2005). Humidity sensors: a review of materials and mechanisms. Sensor Letters 3 (4): 274–295. Huang, X., Sun, Y., Wnag, L. et al. (2004). Carboxylation multi-walled carbon nanotubes modified with LiClO4 for water vapour detection. Nanotechnology 15 (9): 1284–1288. Su, P.G. and Wang, C.S. (2007). In situ synthesized composite thin films of MWCNTs/PMMA doped with KOH as a resistive humidity sensor. Sensors and Actuators B: Chemical 124 (2): 303–308. Liu, L., Ye, X., Wu, K. et al. (2009). Humidity sensitivity of multi-walled carbon nanotube networks deposited by dielectrophoresis. Sensors 9 (3): 1714–1721. Yoo, K.P., Lim, L.T., Min, N.K. et al. (2010). Novel resistive-type humidity sensor based on multiwall carbon nanotube/polyimide composite films. Sensors and Actuators B: Chemical 145 (1): 120–125. Cao, C.L., Hu, C.G., Fang, L. et al. (2011, 2011). Humidity sensor based on multi-walled carbon nanotubes. Journal of Nanomaterials 1–5. Tang, Q.Y., Chan, Y.C., and Zhang, K. (2011). Fast response resistive humidity sensitivity of polyimide/multiwall carbon nanotube composite films. Sensors and Actuators B: Chemical 152 (1): 99–106. Liu, L., Ye, X., Wu, K. et al. (2009). Humidity sensitivity of carbon nanotube and poly(dimethyldiallylammonium chloride) composite films. IEEE Sensors Journal 9 (10): 1308–1314. Tsai, J.T.H., Lu, C.C., and Li, J.G. (2010). Fabrication of humidity sensors by multi-walled carbon nanotubes. Journal of Experimental Nanoscience 5 (4): 302–309. Yu, H., Cao, T., Zhou, L. et al. (2006). Layer-by-layer assembly and humidity sensitive behavior of poly(ethyleneimine)/multiwall carbon nanotube composite films. Sensors and Actuators B: Chemical 119 (2): 512–515.

References

63 Watts, P.C.P., Mureau, N., Tang, Z. et al. (2007). The importance of

64

65

66 67

68

69

70

71

72 73

74

75

76 77

oxygen-containing defects on carbon nanotubes for the detection of polar and non-polar vapours through hydrogen bond formation. Nanotechnology 18 (17): 175701. Feng, Y., Xie, L., Mäntysalo, M. et al. (2013). Electrical and humidity-sensing characterization of inkjet-printed multi-walled carbon nanotubes for smart packaging. Proceedings of IEEE Sensors 1–4. Xu, M., Sun, Z., Chen, Q., and Tay, B.K. (2009). Effect of chemical oxidation on the gas sensing properties of multi-walled carbon nanotubes. International Journal of Nanotechnology 6 (7-8): 735–744. Li, Z., Dharap, P., Nagarajaiah, S. et al. (2004). Carbon nanotube film sensors. Advanced Material 16 (7): 640–643. Li, X., Feng, Y., Mäntysalo, M. et al. (2013). Integration of f-MWCNT sensor and printed circuits on paper substrate. IEEE Sensors Journal 13 (10): 3948–3956. Nikitin, P.V. and Rao, K.V.S. (2006). Theory and measurement of backscattering from RFID tags. IEEE Antennas and Propagation Magazine 48 (6): 212–218. Wang, W.T., Liu, Y., Gong, S.X. et al. (2010). Calculation of antenna mode scattering based on methods of moments. Progress in Electromagnetics Research Letters 15: 117–126. Dardari, D., Guidi, F., Robin, C., and Sibille, A. (2011). Ultra-wide bandwidth backscatter modulation: processing schemes and performance. EURASIP Journal on Wireless Communications and Networking 2011: 47. Feng, Y., Hållstedt, J., Chen, Q. et al. (2009). Development and experimental verification of analytical models for printable interdigital capacitor sensors on paperboard. Proceedings of IEEE Sensor, Christchurch, New Zealand, pp. 1034–1039. Mamishev, A.V., Sundara-Rajan, K., Yang, F. et al. (2004). Interdigital sensors and Transducers. Proceedings of the IEEE 92 (5): 808–845. Alley, G.D. (1970). Interdigital capacitors and their application to lumped-element microwave integrated circuits. IEEE Transactions on Microwave Theory and Techniques 18 (12): 1028–1033. Wei, J.S. (1977). Distributed capacitance of planar electrodes in optic and acoustic surface wave devices. IEEE Journal of Quantum Electronics 13 (4): 152–158. Zaretsky, M.C., Mouayad, L., and Melcher, J.R. (1988). Continuum properties from interdigital electrode dielectrometry. IEEE Transactions on Electrical Insulation 23 (6): 897–917. Hobdell, J.L. (1979). Optimization of interdigital capacitors. IEEE Transactions on Microwave Theory and Techniques 27 (9): 788–791. Esfandiari, R., Marki, D.W., and Siracusa, M. (1983). Design of interdigitated capacitors and their application to Gallium Arsenide monolithic filters. IEEE Transactions on Microwave Theory and Techniques 31 (1): 57–64.

123

124

4 Printed Passive Wireless Sensors

78 Wu, H.D., Zhang, Z., Barnes, F. et al. (1994). Voltage tunable capacitors using

79

80 81

82

83 84

85

86

87

88

89

90

91

high temperature superconductors and ferroelectrics. IEEE Transactions on Applied Superconductivity 4 (3): 156–160. Gevorgian, S.S., Martinsson, T., Linner, P.L.J., and Kollbery, E.L. (1996). CAD models for multilayered substrate intergidital capacitors. IEEE Transactions on Microwave Theory and Techniques 44 (6): 896–904. Dib, N., Ababneh, J., and Omar, A. (2005). CAD Modeling of Coplanar Waveguide Interdigital Capacitor. Wiley Periodicals, Inc. Igreja, R. and Dias, C.J. (2004). Analytical evaluation of the interdigital electrodes capacitance for a multi-layered structure. Sensors and Actuators A: Physical 112 (2–3): 291–301. Wheeler, H.A. (1977). Transmission-line properties of a strip on dielectric sheet on a plane. IEEE Transactions on Microwave Theory and Techniques 25 (8): 631–647. Wheeler, H.A. (1942). Formulas for the skin effect. Proceedings of the Institute of Radio Engineers 30 (9): 412–424. Caulton, M., Hughes, J.J., and Sobol, H. (1966). Measurement of the properties of microstrip transmission lines for microwave integrated circuit. RAC Review 27 (3): 377–391. Lee, H.J., Seo, S., Yun, K. et al. (2010). Loss characteristics of coplanar waveguide transmission lines fabricated with copper nanoparticles. Microwave and Optical Technology Letters 52 (3): 780–782. Lee, Y., Kim, C.H., Shin, D.Y., and Kim, Y.G. (2011). Printed UHF RFID antennas with high efficiencies using nano-particle silver ink. Journal of Nanoscience and Nanotechnology 11 (7): 6425–6428. Yang, L., Rida, A., Vyas, R., and Tentzeris, M.M. (2007). RFID tag and RF structures on a paper substrate using inkjet-printing technology. IEEE Transactions on Microwave Theory and Techniques 55 (12): 2894–2901. Anagnostou, D.E., Gheethan, A.A., Amert, A.K., and Whites, K.W. (2010). A direct-write printed antenna on paper-based organic substrate for flexible displays and WLAN applications. Journal of Display Technology 6 (11): 558–564. Shaker, G., Safavi-Naeini, S., Sangary, N., and Tentzeris, M.M. (2011). Inkjet printing of ultrawideband (UWB) antennas on paper-based substrates. IEEE Antennas and Wireless Propagation Letters 10: 111–114. Feng, Y., Müeller, M., Liebeskind, J., et al. (2011). Characterization of inkjet printed coplanar waveguides for flexible electronics. Proceedings of International Conference on Digital Printing Technologies Minneapolis, United States, pp. 454–457. Sidén, J., Fein, M.K., Koptyug, A., and Nilssion, H.E. (2007). Printed antennas with variable conductive ink layer thickness. IET Microwaves, Antennas and Propagation 1 (2): 401–407.

125

5 Printed RFID Antennas 5.1 Introduction In the recent years, passive radio frequency identification (RFID) technology has been widely integrated into modern society applications, ranging from barcode successor to retail supply chain, remote monitoring, detection, and healthcare, for instance. In this chapter, several pivotal challenges for item-level tagging are addressed by evolving novel structures of progressive meander line and rounded-corner bowtie antennas in order to maximize the reading distance with a prior selected microchip under the various constraints (such as limited antenna size, specific antenna impedance, radiation pattern requirements). This approach has been rigorously evolved for the realization of innovative RFID tag antennas that have humidity sensor functionality incorporated, along with a calibration mechanism due to the distinctiveness of its structural behavior; such RFID tag antennas will be an optimal choice for future ubiquitous wireless sensor network (WSN) modules. The next wave in the era of intelligent sensing and processing will be outside the realm of the traditional desktop. In the Internet-of-Things paradigm (IoT) [1] selective information from any item of a certain value is on the network in one form or another. RFID and sensor network technologies are adding momentum to this evolving standard, in which information and communication are invisibly embedded in our surroundings. In this context, everyday objects such as cars, packages of food beverages, refrigerator items, medical equipment, logistics, and more advanced, loosely coupled, computational and information services will not merely be in the range of each other’s interaction but also communicate with one another [2]. This signifies that futurity Internet will be object-to-object communication rather than machine-to-machine communication [3]. Significant amounts of information from sensor-enabled devices will flow in order to furnish smart and proactive environments that will expressively meliorate both work and leisure experiences of people. Smart interacting physical objects that conform to the current situation, without any human participation, will become the next ratiocinative step to hoi polloi already linked up anytime and anywhere [4]. With the growing presence of WiFi, 3G, and 4G LTE wireless Internet access, the evolution toward ubiquitous sensor networks is

Smart Electronic Systems: Heterogeneous Integration of Silicon and Printed Electronics, First Edition. Li-Rong Zheng, Hannu Tenhunen, and Zhuo Zou. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA. Published 2018 by Wiley-VCH Verlag GmbH & Co. KGaA.

126

5 Printed RFID Antennas

already discernible today [5]. However, the IoT vision for successful emergence demands • the computing standard to go beyond traditional mobile computing scenarios that employ smartphones and portables [6], and develop into connecting conventional existing objects and embedding intelligence into our environs; • the sensor-based technology to disappear from the cognizance of the user while at the same time be incorporated into every item for being detected. 5.1.1

Evolution of RFID-enabled Ubiquitous Sensing

The significant advantages and wide applicability of RFID systems and WSNs have elevated them as the most ubiquitous computing technologies in contemporary literature [7]. It is highly anticipated by analyzing the consumer and technology trends that, in the near future, the number of devices such as wireless tags and sensors especially in embedded form will increase by manifold as compared to the current scenario. These devices collect and transmit information about people, wildlife, livestock, objects, and their ambient environment, which corresponds to identification, sensing, and information processing. DTechEx find that in 2017, the total RFID market will be worth $11.2 billion, up from $10.52 billion in 2016 and $9.95 billion in 2015. This includes tags, readers and software/services for RFID labels, cards, fobs and all other form factors, for both passive and active RFID. IDTechEx forecast that to rise to $14.9 billion in 2022. (source: https://www.idtechex.com/research/reports/rfid-forecasts-playersand-opportunities-2017-2027-000546.asp). All these factors will lead to an estimated growth of USN/WSN market by 2021 to $2 billion as compared to $0.45 billion in 2011 [8].

5.2 Future Trends and Challenges Technology trends in RFID are not only evolved through new inventions, advancements, and improvements of already running businesses, but also through social, economic, and political factors that play a key role in the realization of futuristic RFID sensor networks. By considering all these complexities, EPCglobal projected the roadmap from RFID to IoT, of which one of the significant driving forces is ubiquitous sensing. In the roadmap, top emerging trends associated with RFID are identified, which are expected to drive its ubiquitous adoption. These RFID trends emphasize technological advancements, business process innovations, evolving standards and legislation, and consumer application innovations that focus on the following: • Low-cost and reliable production of RFID tags, the fabrication methods, and materials for antennas are considered to be challenges [9]. Moreover, the research area appears deserted while addressing the newly arising issues interrelated to the field of economic and eco-friendly tags comprising paper substrates [10].

5.3 RFID Antennas: Narrow Band

• The substrate material and the associated integration techniques that are becoming more than a basic research topic, owing to the ever-growing demand for affordable and power-efficient broadband wireless electronics virtually in a ubiquitous manner [11]. 5.2.1

Design Challenges for RFID Tag Antennas

The most important aspect of an RFID system’s performance is the reading range – the maximum distance at which an RFID reader can detect the backscattered signal from the tag [12]. For a specific application with prior selected reader (including reader antenna), the reading distance depends on the performance of the tag. A typical passive RFID tag consists of an antenna and a microchip or strap. The characteristics of the microchip are quantified by IC manufacturers and cannot be modified by the users. The key challenge for tag antenna design is to maximize the reading distance with a prior selected microchip under the various constraints (such as limited antenna size, specific antenna impedance and radiation pattern, and cost). Generally, the requirements for RFID tag antennas with prior selected microchips can be summarized as follows [13]: • Good impedance matching for receiving maximum signals from the reader to power up the microchip • Small enough to be attached to or embedded into the specified object • Insensitive to the attached object to keep performance consistent • Required radiation patterns (omnidirectional, directional, or hemispherical) • Robust in mechanical structure • Low cost of both materials and fabrication

5.3 RFID Antennas: Narrow Band RFID has been increasingly used in many applications such as supply chain management, inventory control, security management, and logistics [14]. This section presents fully integrated printed RFID progressive meander line antennas as a potential solution for small item-level labeling in these applications. In order to accommodate the antenna during the package printing process, it is vastly preferred that antenna structures are printed on paper substrates. However, the electromagnetic properties and thickness of paper substrates are susceptible to change due to various environmental effects. Thus, printed quadrate bowtie RFID antennas that are adequately consistent in performance and material insensitive are also manufactured. This chapter also includes in-depth efficient optimization for small and high-performance tag antenna designs for operability in frequencies of 866–868 MHz and 902–928 MHz. 5.3.1

Progressive Meander Line Antennas

This section expounds the design process for evolution of progressive meander line RFID tag antennas for FCC (North America) and ETSI (EU) bands. The

127

128

5 Printed RFID Antennas

design and computations are performed using ANSYS HFSSTM . These antennas are printed on several substrates using state-of-the-art printing technologies (screen printing, flexography, and inkjet printing). The measurements are carried out in an anechoic chamber owing to an experimental setup dedicated to antenna characterization. The small size, low cost, convenient aspect ratio, and exceptional read range of these antennas make them an ideal choice for far-field case, carton, and pallet applications. The focus is extended to eco-friendly tags, which can be a milestone for the next generation of printable “green” electronics. 5.3.1.1

Antennas Design Evolution and Geometry

The paramount design and print parameters that an RFID tag can accommodate are investigated in the design evolution process, which is derived in Figure 5.1. Each antenna is designed for flexible and “green” electronics utilizing the most commonly available substrates (Table 5.1). Several conductive inks are used for the printing of these antenna structures, which are summarized in Table 5.2. The evolution process is applied to get robust tags that must show less sensitivity to the variation of the dielectric permittivity environment. The objective is to stabilize the antenna on the entire frequency band of interest. The variations in the environment inevitably impact the tag performance [15] e.g. usage on metallic Selection of RFID application/s and substrate/s Tag design criteria and ASIC selection Selection of printing technology and paste/ink

Build and measure prototype tags No

Robustness criteria

Antenna design and computation

Yes Inkjet printing

Perform parametric study and optimization Flexo/screen printing of prototype antennas

Check for print errors

No

Design criteria satisfied

No

Yes Design ready

Yes

Figure 5.1 Evolution process of robust and “green” RFID tags. Table 5.1 Characterized/evaluated substrate parameter. Substrate material

Thickness (𝛍m)/permittivity (𝜺r )/loss tangent

Kodak U-P photopaper

250/3.3 (avg.)/0.077 (1 GHz at 25 ∘ C) 280/3.3(avg.)/0.077 (1 GHz at 25 ∘ C)

Kodak photopaper HP Adv. photopaper Paper (p_e:smart) Paper (Korsnäs) Teonex Q51 Kapton HN Metal-coated PET

250/3.3(avg.)/0.04 (1 GHz at 25 ∘ C) 250/3.2(avg.)/0.077 (1 GHz at 25 ∘ C) 375/3.3(avg.)/0.077 (1 GHz at 25 ∘ C) 25/2.9/0.005 (1 GHz at 25 ∘ C) 125/3.5/0.0026 (1 GHz at 25 ∘ C) 50/3.3/0.003 (0.9 GHz at 25 ∘ C)

Yes

5.3 RFID Antennas: Narrow Band

Table 5.2 Printing technology/ink/substrate/speed combinations. Inkjet printing

Rotary printing

Screen printing

DPP

Cabot ink (CCI-300)

Asahi paste (LS-411AW)

Asahi paste (LS-411AW)

Al coated

Kodak photopaper

Kapton HN

Kapton HN

PET

HP photopaper

Teonex Q51

Paper Korsnäs

Paper (p_e:smart)

Paper Korsnäs

Xerox ink (AG-120I) Harima ink (NPS-JL)

objects; however, even if it is not possible to eliminate it completely [16], it is possible in these antennas to strongly limit this phenomenon. The NXP ucode G2XM (TSSOP8, e.g. the target IC impedance at 915 MHz is 22–j191 Ω) is selected in the flip-chip package. The main goal of optimization at each stage is to maximize the feeding power to the load (RFID IC) while at the same time the antenna area is minimized in order to employ these tags on small objects. In the first phase a novel meander line antenna is developed, which is a natural choice when the size and tuning are considered [17]. A unique progressive meandering technique is deployed to realize the antenna for the 866–868 MHz band shown in Figure 5.2a. The whole structure measures only 9 cm × 0.8 cm. In the second evolution phase, antennas with higher stability against environmental effects are realized. The major challenge at this stage is to maintain the gain and smaller size while improving the stability factor. The other ingredient that governs the design modifications is the low ink usage to reduce the Series stub

9 cm

(a)

0.8 mm

Flexo printed, Asahi ink, Teonex Q51 1.5 cm

X 9.8 cm

Flexo printed, Asahi ink, Teonex Q51

1.2 mm

0.98 mm

1.3 cm

Y

Screen printed, Asahi ink, Kapton HN

1.2 mm lC

(b)

Tip loading 7.8 mm

Progressive meandering Shunt stub

(c)

(e)

9.7 cm

(f)

(d)

(g)

Figure 5.2 (a) The smallest developed antenna, (b) enhanced EU band antenna, (c) optimized NA band antenna, (d) antennas printed on flexible substrates, (e) SEM of Asahi-printed antenna trace, (f ) SEM after bending 50 times, and (g) SEM after scratch test.

129

5 Printed RFID Antennas

manufacturing cost. Thus, an innovative technique of also meandering the matching stub is introduced to rectify the issues of low gain, stability, and matching. Furthermore, rigorous optimization is performed, which has resulted in two distinctive antenna structures presented in Figure 5.2b and c covering EU and North American UHF RFID bands, respectively. These compact antennas are extremely suitable for tags attached to articles that are prone to be bent or folded several times during their life cycle. The final evolution stage comprises realizing these antennas on different types of paper substrate as shown in Figure 5.3f.

Quiet zone RF absorber material

Computed Measured ϕ = 90° 330

Source antenna AUT

300

0 30 –10 –20

Computed Measured ϕ = 0°

60

–30

GND

–40

Positioner

90

270

Spectrum analyzer (Agilent HP 8562E)

120

240 Signal generator

150

210

(a)

200 Holding

190

13 840

(c)

850

860

870

Freq (MHz) 0 –5

170 840

880

(d)

850

860

ed

1 cm Grip

nd

180

Be

26

an

t

39

210

Bending (Asahi) 50 times Bending (Asahi) 100 times Computed Paper measured Kapton measured Q51 measured

X (Ω)

52 R (Ω)

180

(b) 65

Return loss (dB)

130

870

880

Freq (MHz)

Computed Inkjet measured Copper measured

–10 –15 –20 830 840 850 860 870 880 890 900

(e)

Freq (MHz)

(f)

Figure 5.3 (a) Experimental setup in the anechoic chamber. The smallest ETSI band antenna: (b) 2D far-field radiation patterns, (c) input resistance variation, (d) input reactance variation and bending test setup, (e) return loss analysis, and (f ) inkjet printing setup and antennas printed on paper substrate.

5.3 RFID Antennas: Narrow Band

5.3.1.2

Antenna Fabrication Parameters

It is likely that items get scratches during transportation. To solve this problem, antennas are manufactured using flexo and screen printing with Asahi paste in order to exploit its outstanding mechanical performance [18]. We have tested the mechanical toughness after printing these antennas showing excellent scratch resistance, i.e. no change in conductivity or antenna efficiency. Figure 5.2e and g show scanning electron microscope (SEM) photographs of antenna traces before and after getting scratches (by a metal object with a sharp edge of 400 μm × 100 μm with an applied force of 1 Newton), respectively. These antennas are extremely flexible and perform without retardation after being bent several times, and the results are shown in Figures 5.3 and 5.4 along with bending test setup in Figure 5.3d. The first step in the process of evolutional development of the “green” tags involves inkjet printing utilizing the DMP2800 inkjet printer, which is a tabletop printer available from Dimatix Inc. and is shown in Figure 5.3f. To ensure good RF properties, an in-house recipe was developed. The printhead is adjusted to achieve high print resolution, which ensures good RF conductivity throughout the frequency band of interest. The silver nanoparticle-based ink mentioned in Table 5.2 is then jetted at a temperature of 40 ∘ C, while the paper substrate mentioned in Table 5.1 (p_e:smart from Felix Schoeller and 280 g photopaper) is maintained at 60 ∘ C (Cabot ink) and at 30 ∘ C (Harima ink). In order to achieve Bending (Asahi) 50 times Bending (Asahi) 100 times Computed Paper measured Kapton measured

R (Ω)

26

210 200 X (Ω)

28

24

180

22 840

850

(a)

860

870

170 840

880

Freq (MHz)

0 30

–20

300

60

–30 –40

90

270 240

120 (ϕ = 90°) Computed (ϕ = 90°) Measured (ϕ = 0°) Computed (ϕ = 0°) Measured

150

Return loss (dB)

–10

850

860

870

880

Freq (MHz)

(b)

0 330

(c)

190

–5

Computed Inkjet measured Copper measured

–10 –15 –20

–25 830 840 850 860 870 880 890 900 Freq (MHz) (d)

Figure 5.4 ETSI band antennas: (a) input resistance variation, (b) input reactance variation, (c) 2D far-field radiation plots, and (d) return loss analysis.

131

132

5 Printed RFID Antennas

better conductivity each structure is printed with multiple layers [19] e.g. three to four layers to provide better analysis of the printing technique. Each printed structure is then cured in a thermal oven for 2 h at 120 ∘ C (Cabot ink) and for 1.5 h at 90 ∘ C (Harima ink). In the final step, these “green” tags are realized with roll-to-roll printing arrangement (using Korsnäs flexo printing paper of 375 μm thickness) to enormously enhance the scale of industrial usage for these antennas. The measurement analysis in Figures 5.3 and 5.4 illustrate surpassing performance of these environmentally friendly tags. 5.3.1.3

Parametric Analysis

RFID tags (based on far-field communication and the physical property of backscattering or “reflected” power) with different sizes, presented in Figure 5.2a–d, with manufacturing combinations mentioned in Table 5.2 are measured in a rigorous measurement approach. Firstly, for each antenna structure 10 identical prototypes are printed (with the same combination of printing material and technology) and are measured across the frequency band of interest using the half-mirror method. The maximum deviated value at a particular point among 10 samples is reported here, which provides an in-depth view for worst case analysis to project more realistic data. This technique is adopted to thoroughly investigate the reliability criteria of each designed structure. Impedance measurements are taken using a vector network analyzer (MS2026B, Anritsu). The standard calibration method, short-open-load (SOL), is used. The impedance variation of the antenna in Figure 5.2a is shown in Figure 5.3c and d. It is observed from Figure 5.3c that the antenna has maintained the resistance around 35 Ω in a linear manner with frequency. This design has the constraint of higher resistance value, which evolutes toward the design shown in Figure 5.2b, so that the resistance can be maintained closer to the desired value of around 23 Ω. Figure 5.4a and b show the impedance analysis of the antenna in Figure 5.2b. There is very small variation observed among the curves for different antennas, which is elaborated in Figure 5.4a. The reactance of antenna in Figure 5.2a is shown in Figure 5.3d, which features a positive value but with disparities among different curves. If this design is realized with different combinations mentioned in Table 5.1 instead of only one combination, then these disparities have adverse effects on reliability. Figures 5.2b and 5.3f show more robust structures developed that have linear and stable reactance behavior despite the variation especially in the dielectric constant of substrate material, which is shown in Figure 5.4b. This pertains to an inductance that conjugately matched or, equivalently cancelled the effect of the IC capacitance. Fairly good agreement is found between the computed and measured results. In order to verify the performance of the inkjet-printed RFID antennas in Figure 5.3f, measurements are also executed on standard copper-clad antenna prototypes. These have the same dimensions and are fabricated using lpkf ProtomatC30. The return loss results of antennas in Figure 5.2a and b are shown in Figures 5.3e and 5.4d respectively. It is pragmatic that the return loss of the inkjet-printed antennas is vaguely larger than the return loss of copper antenna. However, by analyzing the results in Figure 5.4d the optimized antenna structure

5.4 RFID Antennas: Wideband

in Figure 5.2b has better return loss agreement with computed values. Overall, good agreement between the standard copper-based and the inkjet-printed antennas is observed, regardless of the higher metal loss of the silver-based conductive ink. The distortion is possibly due to the effect of the metal ground fixture used for the half-mirror method [20]. The most challenging in measurement steps, the radiation pattern of antennas, is measured in an anechoic chamber setup [21] that replicates absolute free space, which is presented in Figure 5.3a. The antenna under test (AUT) is mounted over the positioner assembly in the center of the chamber, which is set to rotate the antenna in small steps of 5∘ to obtain a 360∘ radiation pattern. A continuous-wave (CW) signal from the signal generator excites the AUT. The receiver antenna is connected to the spectrum analyzer (Agilent HP 8562E) and a PC running the test automation software controls the measurement setup. The antennas with paper substrate are attached to the test terminal with CW2400 silver conductive epoxy, cured at 24 ∘ C for 4 h to get maximum conductivity and adhesion. The normalized computed radiation pattern and the microwave chamber measurement are plotted. As shown in Figures 5.3b and 5.4c, the radiation patterns are almost uniform (omnidirectional) at 866 MHz, with directivity around 1.9 dBi (for antenna in Figure 5.2a) to 2.1 dBi (for antennas in Figure 5.2b and c). They show very good agreement between computations and measurements. Similar parametric behavior is depicted by antennas for North American band, photographs of which are presented in Figures 5.2c and 5.3f.

5.4 RFID Antennas: Wideband This section includes performance optimized wideband RFID tag antennas that cover the entire UHF RFID ISM band (860–960 MHz). The innovative antenna structures can endure the variations that emerge in the electromagnetic properties of paper substrates due to varying environmental effects. New antenna design methodologies are developed in an attempt to reduce the consumption of substrate material and conductive ink while achieving optimum read range. 5.4.1

Bowtie Antenna: Rounded Corners with T-matching

A thorough review of novel rounded-corner bowtie passive RFID tag antennas is presented, which are fabricated on different ultra-low-cost substrates (Table 5.1) in combination with the printing technologies already mentioned in Table 5.2 for these particularized antennas as a trial run. The implementation of T-match arms for matching of the antenna to the integrated circuit (IC) is introduced, which improves reliability against environmental diversities as well as increases the maximum reading distance. 5.4.1.1

Antenna Dimensions and Parametric Optimization

The main purpose of optimization is to maximize the feeding power to the load to achieve the maximum possible read range while preserving the multifaceted

133

134

5 Printed RFID Antennas

L2 = 4 mm X Y

W1 = 45 mm

L1 = 90 mm

L3 = 3.5 mm

Rounded corner

Uncurl corner

h = 18 mm W2 = 1 mm

IC terminals

L4 = 3 mm

Figure 5.5 T-match folded, rounded-corner bowtie RFID tag.

nature of the antenna. The NXP UCODE G2XM (Al strap) RFID IC is preferred. The antenna structure is shown in Figure 5.5 along with dimensions and IC terminals placed in the center of the T-match arms. The T-match arms are also responsible for the matching of the antenna impedance to that of the IC by fine-tuning the length L4 , height h, and width W 2 . In this tag, the antenna is directly connected to a source (IC or strap); thus, the impedance matching will stand for the optimal antenna. This ultimate goal defines the essence of antenna design in order to control the current distribution, which in turn determines the radiation pattern and input impedance. Therefore, to assess the development process thoroughly all relevant parameters of the antenna are analyzed, which are categorized from the manufacturing, circuit, and field points of view, as summarized in Figure 5.6. 5.4.1.2

Field and Circuit Concepts Parametric Analysis

During the printing process of these antennas, attention is given to fabricating five identical structures with the same combination of printing material, ambient conditions, and technology. These prototypes are then measured across the UHF frequency band using the half-mirror method. The half-mirror method is adapted instead of balun usage to eliminate the possibility of error [22]. Furthermore, the maximum deviated data value at a given frequency among the five prototypes is reported here. Figure 5.7a and b shows the impedance plots. As shown in Figure 5.7a, the computed resistance for the antenna in the UHF RFID frequency range maintains a value close to 14 Ω between the two successive peaks. There is extremely small variation observed among the curves for different antennas, and it can be well illustrated from Figure 5.7a that all the prototype antennas have maintained the Manufacturing concepts Antenna Printing technology Printing ink Substrate type Printing layers Layer thickness Annealing temperature

ncepts

Field co

Skin depth effect Circuit conc

epts

Figure 5.6 An outline of key antenna development parameters.

Polarization Radiation pattern Directivity and gain Effective a perture Bandwidth Return loss Input impedance Radi ation resistance Bandwidth

Computed HP-inkjet Korsnäs-rotary

Kapton-screen Felix-inkjet DPP

Korsnäs-screen Kapton-rotary

Kodak-inkjet Q51-rotary

136

16

132 15

X (Ω)

R (Ω)

128 14

124 120

13 116 112

12 860 (a)

880

900

920

940

Freq (MHz)

Figure 5.7 (a) Resistance variation and (b) reactance variation.

960

860 (b)

880

900

920

Freq (MHz)

940

960

5 Printed RFID Antennas

resistance around 14 Ω in a linear manner with frequency. The reactance part of the impedance, as shown in Figure 5.7b, follows a positive value with a linear variation with frequency, pertaining to an inductance that conjugately matches or, equivalently, cancels the effect of the 1.42 pF input capacitance (parallel) of the IC. It is observed that a relatively constant concurrence is found between the computed and measured results. The RFID IC/strap is attached to the IC terminals on printed structures with CW2400 silver conductive epoxy. It is observed that the read range can be influenced by the reader antenna in use. The measured and computed results are in close agreement, and the maximum read range achieved is 9 m. The complete comparison of the read range of different printed antennas is analyzed in Figure 5.8, which exhibits improved performance. The return loss of the antenna structure is calculated based on the power reflection coefficient. The computed return loss is shown along with measured values of the rotary, screen, DPP, and inkjet-printed antennas in Figure 5.9. On the whole, a good agreement among the computed and the manufactured antennas is pragmatic despite the higher metal loss of the silver-based 868 MHz

915 MHz

Read range (m)

9 8.7 8.4 8.1 7.8 een

scr

on-

t Kap

een

scr

äs-

sn Kor

jet

ink

ak-

Kod

jet

-ink

Hp

et

nkj

ix-i

Fel

ry

rota

on-

t Kap

tary tary s-ro snä r o K

1-ro

Q5

P

DP

Figure 5.8 Measured read range. 0

Computed Kapton-screen

–5 Return loss (dB)

136

Korsnäs-screen –10

Kodak-inkjet HP-inkjet

–15

Felix-inkjet –20

Kapton-rotary Q51-rotary

–25

Korsnäs-rotary DPP–PET

–30 0.7

0.8

0.9

1

1.1

Freq (GHz)

Figure 5.9 Measured and computed return loss.

1.2

5.4 RFID Antennas: Wideband

conductive ink. Moreover, it is deduced from Figures 5.8 and 5.9 that this antenna design can gracefully cater to the variations in the properties of different substrates mentioned in Table 5.1. The characteristics of the bowtie profile of the half-wavelength dipole antenna body allows for a broadband operation. The bandwidth of this antenna is 197 MHz, corresponding to 23% around the center frequency of 855 MHz; so it covers the worldwide UHF RFID bands and can cater to greater degree of environmental disparities. Another larger variant of this antenna with semi-curled corners is developed, which demonstrates greater stability against environmental effects. Furthermore, each calculation at a frequency is carried out by considering the variation of the IC reactance, which varies from 130–116 Ω from 850–960 MHz, respectively. In general, it is sufficient by conjugately matching the antenna input impedance to the parameters provided in the IC specifications by the manufacturer around the center frequency. The normalized computed radiation pattern and the microwave chamber measurements are plotted in Figure 5.10. The radiation patterns are nearly uniform (omnidirectional) at 915 MHz with directivity around 2.1 dBi and show extreme agreement between computations and measurements, which can also be verified for other frequencies within the antenna bandwidth. 5.4.2

Bowtie Antenna: Square Hole-matching Technique

The supply chain represents a holistic system and is an efficacious candidate for widespread greening initiatives. In supply chains, RFID tags are used at different levels. Pallet-level tagging illustrates the environment where RFID tags are attached to individual pallets. Pallet-level tagging is characteristically used in full-pallet storage and logistics and transportation applications. In carton-level tagging, RFID tags are attached to cartons. This level of tagging facilitates mixed-pallet loads. Lastly, in item-level tagging, each manufactured commodity has its sole RFID tag [23]. This section presents the electromagnetic analysis of a flexible, planar UHF RFID tag antenna that is optimized for long read range applications. The antenna is manufactured on three different commercially available paper substrates 0 330 300

–10 –20

30 60

–30

(ϕ = 90°) Computed

–40 90

270

(ϕ = 0°) Computed (ϕ = 0°) Measured

120

240

(ϕ = 90°) Measured

150

210 180

Figure 5.10 Measured and computed 2D far-field radiation plots.

137

138

5 Printed RFID Antennas

(Table 1) with inkjet printing technology. The antenna uses an innovative technique of square pattern holes for conjugately matching the impedance with the RFID chip, thus eliminating the use of matching network and reducing the ink usage. The antenna exhibits wideband characteristics for its entire coverage of UHF RFID band (860–960 MHz). The tag is intended for large items-level tracking in supply chains (cardboard cartons containing water and metal objects) and freight (wood or metal pallet) transportation. 5.4.2.1

Antenna Design Numerical Analysis and Optimization

The antenna is designed and optimized in a methodical approach, explained in Figure 5.1. The concept stage encompasses an antenna design that can exhibit better read range and have a smaller size in order to reduce the ink consumption. It is also emphasized that the antenna can cater to environmental variations, which may affect attributes of the paper substrate in order to realize eco-friendly economical RFID tags. A bowtie structure having square pattern holes is implemented for conjugately matching the antenna impedance to that of NXP UCODE G2XM (Al strap-SOT1040AB2). The primary aim of iterations and parametric optimization is to maximize the feeding power to the load (RFID IC), which encapsulates the concept of effective aperture. Hence during the optimization strategy, much effort is devoted to improving the effective aperture in order to boost the antenna gain, which consequently increases the amount of power delivered to the chip of the RFID tag shown in Figure 5.11. 5.4.2.2

Effective Aperture of Antenna

A commonly disregarded issue about an RFID antenna is how directivity and gain are correlated to its physical dimensions. Since the field/current on the antenna aperture is not uniform, the concept of effective aperture of the antenna is established to serve this purpose. The effective aperture Ae is less than the physical aperture Ap . [24]. The directivity D can also be articulated in expressions of the aperture size and aperture efficiency 𝜂 ap : 4π 4π A = 𝜂 A , (5.1) 𝜆2 e 𝜆2 ap p where 𝜆 is the wavelength of the radio waves. By knowing the power density S at the receiving antenna, we can approximate the received power Pr : D=

Pr = SAe .

(5.2)

Now, by achieving the maximum effective aperture Ae − max of the tag antenna (by using Equations (5.1) and (5.2)) located in the field of the reader antenna with the power density S as shown in Figure 5.12, the tag antenna receives power from the wave and delivers it to the RFID chip (strap) with load impedance Zc . A fraction of the power received by the tag antenna is delivered to the chip whereas the remaining portion of power is reflected and re-radiated by the antenna. Thus, the power transmission coefficient 𝜏 is used to calculate the power delivered to the RFID chip. Mathematically, it is expressed as Ptag-chip = 𝜏Ptag-ant ,

(5.3)

5.4 RFID Antennas: Wideband

B

OR Q

A

I

D

J K LMN

S

P

E C

F (a)

G

X H

Y

T A B C D E

F

G

I

H

J

K

L

M

N O

P

Q R S

T

mm 2 10 2 6 1.8 2.1 2.1 2.1 3.53 7.02 3.9 3.9 3.9 3.9 2 13.1 5 5 54 122

Inkjet printed

NXP RFID strap

(b)

Felix

Kodak (c)

Figure 5.11 (a) The geometry and dimensions of RFID antenna; inkjet-printed RFID tag on (b) Felix paper, and (c) Kodak photopaper. (Courtesy: Y. Amin). Ptag-ant Ptag-chip Power + data Reader antenna Pt

S Za = Ra + jXa I

Rmax

Zc = Rc + jXc

vo

RFID reader Greader

Modulated back scatter Gtag

Antenna

Chip

Ptag-ref Γ

Figure 5.12 Tag equivalent circuit and far-field RFID mechanism.

RFID tag

139

140

5 Printed RFID Antennas

where Ptag − ant is the power received by the antenna from the incident wave, and Ptag − chip is the power delivered to the chip. The power transmission coefficient, 𝜏, is determined by the impedance matching between the tag antenna and the chip. Therefore, good impedance matching between the antenna and the chip is of paramount importance. It is worth mentioning here that both Za and Zc are frequency dependent. The power wave reflection coefficient Γ is defined to evaluate the transmission of the power waves as Γ=

Zc − Za∗ , Zc + Za

0 ≤ |Γ| ≤ 1.

(5.4)

Thus, the power delivered to the chip is Ptag-chip = (1 − | Γ |2 ) Ptag-ant .

(5.5)

Therefore, the power transmission coefficient is calculated by 𝜏=

Ptag-chip Ptag-ant

= 1 − |Γ|2 =

4Ra Rc , (Ra + Rc )2 + (Xa + Xc )2

0 ≤ 𝜏 ≤ 1.

(5.6)

From Equation (5.5), the maximum power is transferred when the antenna is conjugately matched to the chip, i.e. Rc = Ra and X c = −X a , then |Γ| = 0, 𝜏 = 1.0. The matching is tuned by the size and the number of square pattern holes in the antenna as shown in Figure 5.11; therefore, after rigorously going through the numerical analysis in order to recognize the optimum antenna, Equation (5.2) takes the form: Ptag-chip-max = Ptag-ant = S Ae-max .

(5.7)

It is advantageous to relate the power transmission coefficient, Γ, to another widely used parameter, return loss (RL), for describing the impedance matching characteristics. The return loss is defined as R L = 10log10 (|Γ|).

(5.8)

The return loss is computed and measured for the developed antenna, which is explained in the next section. Moreover, for further analysis, with the return loss, the corresponding reflection coefficient and the power transmission coefficient can be easily calculated. 5.4.2.3

Results, Discussion, and Analysis

The operation frequency of the demonstrated printed UHF RFID tag is 860–960 MHz. However, the measurement analysis for read range and far-field radiation patterns are punctuated for EU and North American bands to make the analysis more comparative and elaborative. The characterization of reliability and repeatability is conducted, employing worst case analysis, by manufacturing five selfsame prototypes using the same combination of printing material and ambient conditions. Figure 5.13a and b show the impedance plots. It is observed from Figure 5.13a that the computed and measured resistance for the antenna from 860 to 960 MHz varies between two extremes, from 13 to 15 Ω, with the measured values mostly

5.4 RFID Antennas: Wideband

16

135 X (Ω)

15 R (Ω)

140

Computed Kodak measured HP measured Felix measured

14 13 12 860

130 125

880

(a)

900

920

940

120 860

960 (b)

Freq (MHz)

880

900

920

940

960

Freq (MHz)

Figure 5.13 Input: (a) resistance variation and (b) reactance variation.

Return loss (dB)

Computed Kodak measured HP measured Felix measured

–10

–20

–30 0.7 (a)

0.8

0.9

1

Freq (GHz)

1.1

1.2

Tag printed on kodak photopaper

0

ROHACELL’s HF51 Foam

maintained around 14 Ω. However, fairly constant conformity is obvious among the curves of antennas printed on different substrates. The reactance part of the impedance, as shown in Figure 5.13b, exhibits a positive value with a linear variation against frequency. There are minor fluctuations among the curves with a maximum of 5% variation around the targeted value of 131 Ω from 860 to 960 MHz. Overall good agreement is pragmatic between computed and measured values, which supports the effectiveness of the innovative design technique. Furthermore, investigation of the consistently elevated behavior of the measured results over the computed values reveals that the measurement fixture asserts a certain amount of impedance probably through the SMA configuration for the metal plate, which can be eliminated by considering its effect [22] or by utilizing the S-parameter method merely for impedance measurement [7]. The return loss of the antenna is calculated based on the power reflection coefficient as mentioned in Equation (5.4). Figure 5.14a shows the measured and computed return loss of the antenna with the measured bandwidth of the antenna in the worst case being 260 MHz, corresponding to 28% around the center frequency 910 MHz; so it covers the global UHF RFID bands and can cater to a greater degree of environmental disparities.

(b)

Figure 5.14 (a) Measured and computed return loss and (b) tag attached to semi-rigid foam for read range measurements.

141

5 Printed RFID Antennas 10.5

1.5

HP

Felix

Kodak

Kodak

HP

3 1.5 0

0 (a)

6 4.5

Felix

HP

Felix

Kodak

HP

3

Felix

Kodak

HP

Felix

Kodak

6

7.5 Kodak

Read range (m)

7.5

4.5

At 915 MHz

9

HP

At 866 MHz

9

Felix

10.5

Read range (m)

142

HF51

Cola can

Water bottel

(b)

HF51

Cola can

Water bottel

Figure 5.15 Measured read range for UHF RFID: (a) EU band and (b) North American band.

The critical parameter that determines the performance of an RFID tag antenna is the read range [25]. The effective isotropic radiated power referenced for both the RFID reader and the reader antenna, or equivalent isotropically radiated power (EIRP), is set to 3.2 and 4 W according to ETSI and FCC RFID standards, respectively. The read range performance testing is conducted outside an anechoic chamber with Impinj’s UHF RFID reader Kit to obtain information for the real environment scenario. In order to make the measurement more enlightening, the tag is attached as shown in Figure 5.14b, on a closed-cell rigid foam HF51 from ROHACELL’s with 90 mm thickness [26]. Figure 5.15a and b show the comparative analysis of measured read range for EU and North American bands, respectively, which are covered by the RFID tag along with other UHF RFID bands. In this analysis, tags are attached to cardboard cartons exclusively containing cola cans and plastic water bottles. The measured read range validates the outstanding performance of this RFID tag, which can also be demonstrated for other RFID bands within the operational frequencies of the antenna. Figure 5.16a and b show 3D simulated radiation pattern of the antenna at 866 and 915 MHz, respectively. The gain of the realized antenna is about 2.1dBi at Z

dB (Gain total) 2.1948e+000

2.2247e+000

θ

θ

–6.4447e+000

–6.3049e+000

–1.5084e+001

–1.4834e+001

Y

–2.3724e+001

–3.2363e+001

X

Y

–2.3364e+001

ϕ

–3.1893e+001

–4.1003e+001

(a)

Z

dB (Gain total)

X

ϕ

–4.0423e+001

(b)

Figure 5.16 3D simulated far-field radiation plots for UHF RFID: (a) EU band and (b) North American band.

5.5 RFID Antennas: Sensor Enabled

0 330 300

330 60

90

270

240 (a)

–5 –10 –15 –20

0 30

210

(ϕ = 90°) Computed (ϕ = 0°) Computed (ϕ = 0°) Measured (ϕ = 90°) Measured 150 180

300

–5 –10 –15 –20

30 60

90

270

120

240 (b)

210

150 180

Figure 5.17 2D measured and computed far-field radiation plots for UHF RFID: (a) EU band and (b) North American band.

866 MHz and 2.2 dBi at 915 MHz. Figure 5.17a and b show the 2D measured normalized radiation patterns of antenna printed on Kodak photopaper at a characteristic frequency of 866 and 915 MHz, respectively. It is observed that radiation patterns are nearly uniform (omnidirectional) and show substantial concurrence between computations and measurements.

5.5 RFID Antennas: Sensor Enabled RFID is considered an emerging technology in future telecommunications [27]. The RFID market has grown in a two-dimensional trend; one side constitutes standalone RFID systems that are commonly found at present [28]. On the other side, a more ultramodern approach is paving its way, in which RFID needs to be integrated with a broad operational array of distinct applications [29] for performing different functions including navigation, broadcasting, and personal communication [9], to mention a few. Using different antennas to include all communication bands is a straightforward approach, but at the same time it leads to increased cost, weight, more surface area for installation, and, above all, electromagnetic compatibility issues [30]. Thus, there is the need for wide bandwidth antennas [31]. The espousal of a single, wideband antenna is certainly more attractive because of the fact that RFID is replacing other identification methods by whistling about cost-effective and more efficient solutions. 5.5.1

Archimedean Spiral Antenna

Spirals are extensively used circularly polarized wideband antennas. The wideband features of the spiral antenna brought it to the limelight in recent literature, particularly for miniaturization. In order to achieve a stable broadband [32] multimode feature in far and near fields, a planar Archimedean spiral antenna structure is realized. Three designs of a two-arm Archimedean spiral antenna are assessed. In-depth research proves that the Archimedean spiral is not a

143

144

5 Printed RFID Antennas

Offset angle (δ)

X

r = aф Y

Printed “green” antenna

ψ

Inner radius (r0)

Place and pads for multichip module (MCM)

11.9 cm

Figure 5.18 Dimensions and green theme of future antennas. (Courtesy of The Electromagnetics Academy [12] based ultra-low cost “green” modules)

frequency-independent antenna structure because the spacing between the arms is specified by a constant, not an angle [33]. However, this is a contentious point because fundamentally frequency-independent performance is achieved over 10 : 1 bandwidths. The following numerical calculations are exploited to construct the antenna structure in Figure 5.18. The centerline of the Archimedean antenna is defined by r = a𝜑 = r0 + (E)𝜑(1∕S)

(5.9)

where 1 dK (5.10) K dC and C is the angle of rotation, which depends on K. If the antenna is to be scaled to a frequency that is K times lower than the original frequency, the antenna’s physical surface must be made K times greater to maintain the same electrical dimensions [34]. The expansion coefficient (E) and the spiral coefficient (S) of the antenna are 1, whereas r0 is the inner radius, which is 1.6 cm. The pitch angle 𝜓 varies with radius as r (5.11) tan 𝜓 = . a When r is large, the pitch angle approaches 90∘ . An equivalent design ratio 𝜏 eq , which varies with radius, may be defined for the Archimedean spiral that has the same pitch angle 𝜓 at radius r. Therefore, a=

𝜏eq (r) = e−2π∕∣tan

𝜓∣

= e−2π∣a∕r∣ .

(5.12)

It is worth noting here that 𝜏 eq approaches 1 for large values of r. The sides of a strip may be defined in terms of the rotation angle 𝜙 of Figure 5.18 and the angle 𝛿 (offset angle is 90∘ of this structure) to get ) ( 𝛿 . (5.13) r =a 𝜙± 2 The radial width of the antenna strip W r is a constant, which is independent of the radius and is obtained by Wr = a𝛿

(5.14)

5.5 RFID Antennas: Sensor Enabled

The actual width of the antenna strip varies to some extent with radius and is calculated by W = a𝛿 sin 𝜓.

(5.15)

The spacing r between the centerlines of the adjacent turns for one arm is specified by Sr = 2πa.

(5.16)

Furthermore, the actual spacing is given by S = Sr sin 𝜓 = 2πa sin 𝜓.

(5.17)

The two-arm spiral antenna in Figure 5.18 for the frequency band 0.8–3 GHz is self-complementary when W/S = 1/4 or 𝛿 = 𝜋/2 [34]. Given that the strip widths and spacings are defined by constants rather than by angles, Archimedean spiral antenna does not conform to either the frequency-independent or the log-periodic principle [33]. Thus, this antenna gives autonomy to those ASIC manufacturers [35] that have frequency-dependent modules. In practice, it is observed that frequency-independent characteristics are obtained if 𝜏 is large enough in the active region (i.e. radiation region), wherein the circumference of the radiation ring is about one length. Alas, diminutive information has been published [30] on the degradation of gain and patterns versus 𝜏. Some published results indicate that the loosely wound log-spiral performs as well as the tightly wound Archimedean spirals; however, this analysis is out of the scope of this book. 5.5.1.1

Manufacturing Parametric Analysis

The conductivity of the printed traces of silver nanoparticle-based inks used in this research, after curing, is high enough (for Xerox ink ≈0.7 × 107 S m−1 and for Cabot ink ≈0.9 × 107 S m−1 ) to be considered as a good conductor, but not as high as bulk silver. The skin depth for traces of the antenna with operational frequency at 800 MHz is evaluated using Equation (5.18): √ 1 , (5.18) 𝛿≈ πf 𝜇𝜎 where f is the frequency, 𝜇 is the permeability, and 𝜎 is the conductivity of the conductor material. The thickness of the printed single layer of ink from the printer used is around 200 nm (Nano-AG-120I) to 600 nm (CCI-300). It has been found after careful iterations that at least three layers of printing by Cabot ink and four layers of printing by Xerox ink are sufficient to achieve better performance under the limits imposed by various design factors. From Figure 5.19e and f, it is obvious that the antenna with 7.5 turns has improved LHCP (Figure 5.19e) and RHCP (Figure 5.19f ) gain than antennas with 6.5 turns (Figure 5.19a and b), and 7 turns (Figure 5.19c and d). This performance criterion is achieved without enlarging the antenna’s physical aperture. Specifically, the antenna with 7.5 turns at approximately 1.9 GHz exhibits a 4.7, 4.5, and 4.4 dB gain on Kodak, HP, and Felix∼Schoeller paper (p_e:smart) respectively, by

145

146

5 Printed RFID Antennas

6.5-turns (Kodak photopaper)

(a)

7-turns (Kodak photopaper)

(c)

6.5-turns (Kodak photopaper)

(b)

(d) 7.5-turns (Kodak photopaper)

(e)

7-turns (Kodak photopaper)

7.5-turns (Kodak photopaper)

(f)

Figure 5.19 Simulated 3D LHCP and RHCP gain radiation patterns of antennas with 6.5, 7, and 7.5 turns.

printing with Cabot ink, whereas by printing with Xerox ink the gain achieved at 1.9 GHz is 4.7, 4.6, and 4.6 on Kodak, HP, and Felix Schoeller paper, respectively. It is observed from Figure 5.19 that by further increasing the number of spiral turns, the gain improves at lower frequencies. It is observed that the antenna impedance also decreases with increase in the number of turns. On the other hand, if the number of turns is reduced, the gain of the antenna decreases to a value that violates the design requirements because these tags are developed for large item-level tracking and information gathering. So it is mandatory for the antenna to exhibit better gain to achieve higher read range while at the same time providing readability in the near-field region.

te IC rm in al s

5.5 RFID Antennas: Sensor Enabled

Fe

ed

po

rt

Figure 5.20 The current distribution of the antenna at 1.9 GHz.

Moreover, owing to the consumption of the expensive conductive ink, the size of the antenna has to be within economical limits for its possible realization on an industrial scale. Therefore, the optimal design pattern is achieved while considering the size limits along with other performance factors for attaching to large items in the working range from 0.8 to 3.0 GHz with 7.5 turns, and its current distribution plot is shown in Figure 5.20. Two different sintering processes are evaluated after the drying of the ink on the substrate; the first one is the prevalent method and the other is the “PulseForge Technology” [36]. In the first approach sintering is carried out in the oven with a ventilation system at different temperatures and time, depending upon the combination of ink and substrate, for sufficiently curing and removing the excess solvent and material impurities from the depositions. The printed samples are also sintered through “PulseForge Technology” by Novacentrix USA, in order to demonstrate sintering and annealing for high-speed roll-to-roll manufacturing, often in ambient air (and is capable of up to 1000 feet per minute). This approach enables the use of ultra-low temperature and flexible substrates such as paper substrates, which cannot be annealed at high temperatures. 5.5.1.2

Parametric Analysis of Field and Circuit Concepts

In this study, an experimental methodology for the characterization of the impedance of the balanced tag antenna is implemented along with the balun structure. The balanced tag antenna is considered as a two-port network and the impedance of the antenna is characterized using network parameters. The antenna is connected to the two ports of a vector network analyzer through a test fixture mentioned in detail in [37]. The influence of the test fixture is de-embedded by using a port-extension technique and the antenna impedance can be extracted directly from the measured parameters, whereas the broadband balun transforms the unbalanced coaxial mode into a balanced two-wire transmission line mode that feeds the spiral antenna. The accuracy of the measurements is increased if all errors up to the measuring instrument tip are eliminated. This includes internal VNA errors after the sampler, and the cables along with their tips used to connect to the test structure. The reference plane is shifted to the

147

5 Printed RFID Antennas

20

170

Kodak-xerox HP-xerox Felix-xerox

165 160 155 150

10 5

145 Computed Kodak-cabot HP-cabot Felix-cabot

140 135 130 0.7 (a)

15 X (Ω)

R (Ω)

148

1.3

1.9 Freq (GHz)

0

2.5

3.1

–5 0.7 (b)

1.3

1.9

2.5

3.1

Freq (GHz)

Figure 5.21 (a) Input resistance variation and (b) input reactance variation.

tips by using the standard calibration methods SOLT (short-open-load-through) for the two-ports technique and SOL for the balun structure. The impedance graphs are presented in Figure 5.21 with the largest deviated value at a certain frequency, which provides information for the worst case analysis, in addition to exploration of performance parameters. This method helps in discovering potential variations that can take place due to the use of a paper substrate, which is of critical significance. As shown in Figure 5.21a, the measured resistance for the antenna between 1.1 and 1.4 GHz fluctuates between 158 and 144 Ω, and from 1.41 to 3.0 GHz, the variation of resistance is between 146 and 154 Ω but in a much steady manner. However, an exceptionally small deviation is pragmatic among the curves of all antennas and this behavior is stable over a much larger frequency range. The reactance part of the impedance, as shown in Figure 5.21b, follows smaller positive values around 15 Ω with really small variation with frequency. Moreover, relatively constant harmony exists between the computed and measured results. This conforms to both frequency-dependent and independent antennas to some extent; however, this behavior of impedance is deliberately achieved because most of the commercially available ASIC to date have also frequency dependence. More importantly, the impedance of the antenna becomes easier to match at lower frequencies. The computed return loss is shown along with measured values of the Xerox ink and Cabot ink printed antennas in Figure 5.22a. From Figure 5.22a, it is clear that the antenna has better return loss and is less than −15 dB throughout the frequency band of interest, which is the paramount requirement of antennas for optimal performance. Furthermore, the stable response of the paper substrate at higher frequencies (although with a certain amount of variations but within acceptable values) makes it a suitable candidate for broadband antennas. Overall, a good agreement among the computed, Xerox ink-printed, and the Cabot ink-printed antennas is observed. The measured normalized radiation patterns of antenna printed on Kodak photopaper using Cabot ink at characteristic frequencies of 1.0, 1.5, 1.9, 2.5 and 3.0 GHz are plotted in Figure 5.22b. It is observed that all of the radiation

5.5 RFID Antennas: Sensor Enabled

0 Return loss (dB)

0

Computed Kodak-xerox Kodak-cabot HP-xerox HP-cabot Felix-xerox

–5 –10 –15

330 300

–20

30 60 90 1.0 GHz 1.5 GHz 1.9 GHz 2.5 GHz 3.0 GHz

270

–25 –30

240

–35 0.7 (a)

–10 –20 –30 –40

1.3

1.9 Freq (GHz)

2.5

210

3.1 (b)

150 180

(ϕ = 0°)

Figure 5.22 (a) Measured and computed return loss and (b) measured and computed 2D far-field radiation plots.

patterns have a normal shape and show extreme similarity between computations and measurements, which can also be verified for other frequencies within the antenna bandwidth. Additionally, the measured radiation patterns exhibit a slight offset due to the feed line connecting to SMA. It can be seen from Figure 5.22b that the radiation pattern does not deteriorate in the whole bandwidth from 0.8 to 3.0 GHz. 5.5.2

RFID Antenna with Embedded Sensor and Calibration Functions

RFID is an emerging and disruptive compact wireless technology for the identification of physical objects and is reckoned as an eminent candidate for the realization of ubiquitous WSNs [38]. The primary challenges that presently hamper the effective RFID implementation are cost, reliability, and environmental friendliness that could be counterproductive for the implementation of “green” and “robust” RFID solutions. The employment of additional RFID tags [39] or sensing material [40] to develop a sensor module has extended the ambit of RFID practice in assorted segments of industrial applications. This concept gets “green” by integrating a paper substrate with conductive ink [41] and multiple sets of discrete components to accomplish RFID-enabled sensor tags [38]. These sensor-based solutions involve additional tag, sensing material, or components in accession to RFID tag, which increases the overall size, but cost-effectiveness is also an issue. Furthermore, the capability of customizable calibration is a prime aspect for an efficient sensor-enabled solution. In this section, an RFID tag antenna that has incorporated humidity sensor functionality along with calibration mechanism due to the distinctiveness of its structural behavior is presented. The sensor-enabled antenna is directly printed on the paper substrate using inkjet printing technology for realizing the eco-friendly and ultra-low-cost WSN module. The antenna has a reduced profile that paves the way for small item-level tagging and monitoring. The effect of humidity on paper-based antenna characteristics along with other electromagnetic parameters is investigated to evaluate the antenna performance under realistic operating conditions. The antenna exhibits wider operational

149

150

5 Printed RFID Antennas

bandwidth and extended read range and at the same time provides an additional degree of freedom for sensor calibration. 5.5.2.1

Antenna as a Sensor Design

The antenna has to counter the dielectric variations of the substrate in a precise, controlled mode while demonstrating linear parametric change for realizing humidity sensor and its calibration relative to specified humidity levels without being undetected. Consequently, the antenna is composed of four classifiable elements that provide stability as well as variability in order to exhibit sensory and radiating physiognomies. The substrate adopted is Kodak photopaper of 250 μm thickness (280 gm m−2 ) printed with Cabot ink (CCI-300), and NXP UCODE G2XM RFID Al strap is selected (impedance at 915 MHz is 13.3–j122 Ω). However, the principle of operation for the projected antenna is not IC dependent. The novel progressive ladder contours (Figure 5.23) play an important role in customizing the sensor calibration by varying the lengths of D–H segments. It is observed that the coupling between the electromagnetic characteristics of the paper substrate and radiating elements is significantly aided by these contours. The coupling features and stabilized performance in the far-field region are likewise alleviated by quadrangular end-tip loading by adjusting the length and spacing of segments from A4 to A9 and I. Moreover, the length of segments B–C forming the progressive and horizontal meandering structure is optimized to improve the input impedance matching in collaboration with series and shunt stubs A1–A3. The dimensions of the projected antenna are optimized and calibrated for measuring every 20% RH change.

H4 G8

G7

H3 G6

G5

H2 G4

G3G2

H1

G1 F1 F6 F5 F4 F3 F2 E1 E3 E2 D1 E8 E7 E6 E5 E4 D2 D3 D5 D4 D8 D7 H6 H7 H8 D6 H9 Ladder contours

F8

F7

B5 B7 B6 B8 C3 C7 C2 C4 C6 C8 B4 H5 C1 C5 B3 B2 B1 Vertical and horizontal meandering

1 cm

Paper substrate Conductive ink

10 cm

Inkjet printed A4

A3

1

Matching network A1 0.7 mm

A2

End-tip loading

A5 A6 5 2 4 6 3 A7 A8 A9

Figure 5.23 Geometry and structural components of RFID sensor antenna.

5.5 RFID Antennas: Sensor Enabled

Firstly, the antenna characteristics are measured standalone in an anechoic chamber setup with Impinj’s UHF RFID reader kit in order to verify the standard communication characteristics of this RFID tag. The impedance is measured by using the S-parameter method [7] whereas the return loss and radiation patterns are measured by employing the half-mirror method to achieve ameliorated measurement accuracy. The effect of humidity on antenna is characterized foremost using a climate chamber (Weiss Technik WK 11-180), and then for demonstrating results under normal room conditions, measurements are carried out in the anechoic chamber by deploying a humidifier and a hygrometer while maintaining a fixed distance between the reader and the sensor tag. The computed and measured impedance variations of the antenna against distinct humidity levels are shown in Figure 5.24a with extrapolation from 0% to 20% humidity level. The resistance and reactance exhibit controlled linear curves of overall 9% and 7% variation, respectively. The measurements are reiterated several times for extracting reliability parameters and corroborating the sensor functionality. Secondly, the calibration mechanism on the tag side alleviates in enforcing unpretentious and becomes more reliable modes to formulate the entire humidity sensing system. The gain of the antenna varies with respect to ambient humidity levels as shown in Figure 5.25a with extrapolation from 0% to 20% humidity level, 0 Computed Measured

1.6

Return loss (dB)

Realized gain (dB)

1.8

1.4 1.2 1.0 0.8 0.6 0

20

(a)

40

60

80

–10 –15 –20 –25 –30 880 890 900 910 920 930 940 950

90

RH (%)

–5

(b)

Freq (MHz)

Figure 5.24 (a) Resistance and reactance variation and (b) radiation patterns of RFID sensor antenna at 915 MHz. 135

15.0

0

132

14.6

–10

126 123

13.8

13.0 0 (a)

20

40

60 RH (%)

–20

117 80

(ϕ = 90°) computed (ϕ = 90°) measured (ϕ = 0°) computed (ϕ = 0°) measured

240

114

210

90

60 90

270

120

R computed R measured X computed X measured

13.4

300 X (Ω)

R (Ω)

129 14.2

30

330

180

(b)

Figure 5.25 (a) Gain variation due to change in humidity level and (b) measured and computed return loss.

151

152

5 Printed RFID Antennas

and as a result, the received backscattered power (while placed in boresight) to the reader varies, which is conveniently scaled to determine the relative humidity (RH%) change. Figure 5.25b shows the return loss, which is better than −15 dB in the complete FCC RFID ISM band. The distortion is possibly due to the effect of metal ground fixture used for the half-mirror method. The normalized computed and measured radiation patterns, which are almost uniform (omnidirectional) at 915 MHz, are plotted in Figure 5.24b. A good agreement is observed between the computations and measurements, which can also be verified for other frequencies within the antenna’s bandwidth. The sensor tag exhibits an extended portable read range of 11 m and fixed 6.5 m as a standalone RFID tag and with full humidity sensing capability, respectively. Moreover, the gain of the sensor antenna can be improved by increasing the size, depending upon the particular application.

5.6 Summary In recent years, the recognition of RFID technology has become greater than before and has been comprehensively integrated into modern society applications, ranging from remote monitoring and sensing to more demanding operational conditions in logistics, healthcare, and access control. In this work, passive UHF RFID tags and the emerging concept of integrating RFID with sensors along with other wireless applications by using single tag antenna are implemented, by fabricating the proposed antennas using state-of-the-art printing technologies on commercially available substrates. The design and fabrication challenges mentioned in the chapter are addressed categorically in the presented antennas. The new discoveries in RFID research promise to incorporate it in aggrandized dynamic solutions, which will be part of anticipated seamless integration of electronics in everyday life. Multidisciplinary research is responsible for advancements in RFID, eminently in flexible and organic tags. In persuasion of future work on RFID antennas, there is a desideratum to cognize the market dynamics as the prospective evolution of R&D models is market driven.

References 1 ITU (2005). ITU Internet Reports 2005: The Internet of Things, 7e. ITU. 2 Dohr, A., Modre-Opsrian, R., Drobics, M. et al. (2010). The internet of

things for ambient assisted living. 2010 Seventh International Conference on Information Technology: New Generations, Las Vegas, NV, pp. 804–809. doi: 10.1109/ITNG.2010.104. 3 Jain, P.C. and Vijaygopalan, K.P. (2010). RFID and wireless sensor networks. Proceedings of ASCNT – 2010, CDAC, Noida, India, pp. 1–11. 4 Preuveneers, D. and Berbers, Y. (2008). Internet of Things: A Context-Awareness Perspective. Auerbach. 5 Zhao, F. (2010). Sensors meet the cloud: planetary-scale distributed sensing and decision making. 2010 9th IEEE International Conference on Cognitive Informatics (ICCI), Beijing, pp. 998–998. doi: 10.1109/COGINF.2010.5599715.

References

6 Zorzi, M., Gluhak, A., Lange, S., and Bassi, A. (2010). From today’s

7

8

9

10

11

12

13

14

15

16

17

18

19

INTRAnet of things to a future INTERnet of things: a wireless- and mobility-related view. IEEE Wireless Communications 17: 44–51. Qing, X., Goh, C.K., and Chen, Z.N. (2009). Impedance characterization of RFID tag antennas and application in tag co-design. IEEE Transactions on Microwave Theory and Techniques 57: 1268–1274. Aung, M.M., Chang, Y.S., and Won, J.U. (2012). Emerging RFID/USN applications and challenges. International Journal of RFID Security and Cryptography 1: 3–8. Panda, J.R. and Kshetrimayum, R.S. (2011). A printed 2.4 GHz/5.8 GHz dual-band monopole antenna with a protruding stub in the ground plane for WLAN and RFID applications. Progress in Electromagnetics Research 117: 425–434. Alimenti, F., Virili, M., Orecchini, G. et al. (2011). A new contactless assembly method for paper substrate antennas and UHF RFID chips. IEEE Transactions on Microwave Theory and Techniques 59: 627–637. Orecchini, G., Alimenti, F., Palazzari, V. et al. (2011). Design and fabrication of ultra-low cost radio frequency identification antennas and tags exploiting paper substrates and inkjet printing technology. IET Microwaves, Antennas and Propagation 5: 993–1001. Rao, K.V.S., Nikitin, P.V., and Lam, S.F. (2005). Antenna design for UHF RFID tags: a review and a practical application. IEEE Transactions on Antennas and Propagation 53: 3870–3876. Foster, P.R. and Burberry, R.A. (1999). Antenna problems in RFID systems. IEE Colloquium on RFID Technology (Ref. No. 1999/123), London, pp. 3/1–3/5. doi: 10.1049/ic:19990676. Bae, J.H., Choi, W.K., Park, C.W. et al. (2012). Design of reader baseband receiver structure for demodulating backscattered tag signal in a passive RFID environment. ETRI Journal 34: 147–158. Hsu, H.-T., Kuo, F.-Y., and Chang, C.-H. (2010). Application of quasi log-periodic antenna for UHF passive RFID tag design featuring constant power transmission coefficient over broadband operation. Journal of Electromagnetic Waves and Applications 24 (5): 575–586. Chen, S.-L., Kuo, S.-K., and Lin, C.-T. (2009). A metallic RFID tag design for steel-bar and wire-rod management application in the steel industry. Progress in Electromagnetics Research 91: 195–212. Watanabe, Y., Watanabe, K., and Igarashi, H. (2011). Optimization of meander line antenna considering coupling between nonlinear circuit and electromagnetic waves for UHF-band RFID. IEEE Transactions on Magnetics 47: 1506–1509. Jaakola, T., Lahti, M., Petaja, J. et al. (2008). Low cost printed flexible multilayer substrates. Proceedings 10th Electronics Packaging Technology Conference (EPTC) 2008, pp. 344–349. Merilampi, S.L., Björninen, T., Vuorimäki, A. et al. (2010). The effect of conductive ink layer thickness on the functioning of printed UHF RFID antennas. Proceedings of IEEE 98: 1610–1619.

153

154

5 Printed RFID Antennas

20 Kuo, S.-K., Chen, S.-L., and Lin, C.-T. (2008). An accurate method for impe-

21 22

23

24 25

26

27 28

29

30

31 32

33

34 35

36 37

dance measurement of RFID tag antenna. Progress in Electromagnetics Research 83: 93–106. Khaleghi, A. (2006). Diversity techniques with parallel dipole antennas: radiation pattern analysis. Progress in Electromagnetics Research 64: 23–42. Leong, K.S., Ng, M.L., and Cole, P.H. (2007). Investigation of RF cable effect on RFID tag antenna impedance measurement. Proceedings of IEEE Antennas and Propagation Society International Symposium, pp. 573–576. Gaukler, G.M. (2011). Item-level RFID in a retail supply chain with stock-out-based substitution. IEEE Transactions on Industrial Informatics 7: 362–370. Huang, Y. and Boyle, K. (2008). Antennas: From Theory to Practice. Wiley. Pranonsatit, S., Worasawate, D., and Sritanavut, P. (2012). Affordable ink-jet printed antennas for RFID applications. IEEE Transactions on Components, Packaging and Manufacturing Technology 2: 878–883. ROHACELL (2012). ROHACELL HF 51. http://www.rohacell.com/sites/ lists/RE/DocumentsHP/ROHACELL%20HF%20Product%20Information.pdf (accessed 05 March 2018). Raychaudhuri, D. and Mandayam, N.B. (2012). Frontiers of wireless and mobile communications. Proceedings of IEEE 100: 824–840. Li, X., Liao, J., Yuan, Y., and Yu, D. (2011). Eye-shaped segmented reader antenna for near-field UHF RFID applications. Progress in Electromagnetics Research 114: 481–493. Alejos, A.V., Garcia Sánchez, M., Cuinas, I., and Valladares, J.C.G. (2010). Sensor area network for active RTLS in RFID tracking applications at 2.4 GHz. Progress in Electromagnetics Research 110: 43–58. Guraliuc, A.R., Caso, R., Nepa, P., and Volakis, J.L. (2012). Numerical analysis of a wideband thick Archimedean spiral antenna. IEEE Antennas and Wireless Propagation Letters 11: 168–171. Chen, A.X., Jiang, T.H., Chen, Z.D., and Su, D. (2011). A novel low-profile wideband UHF antenna. Progress in Electromagnetics Research 121: 75–88. Chen, J., Fu, G., Wu, G.-D., and Gong, S.-X. (2010). A novel broadband circularly polarized irregular slot antenna. Journal of Electromagnetic Waves and Applications 24 (2): 413–421. Johnson, R.C. and Jasik, H. (1984, 1984). Antenna Engineering Handbook. New York: McGraw-Hill B. Company, 1356 pp. No Individ. items are Abstr. this Vol. 1. Balanis, C.A. (2005). Antenna Theory: Analysis and Design. New York: Wiley. Lin, D.-B., Tang, I.-T., and Wang, C.-C. (2011). UHF RFID H-shaped tag antenna using microstrip feed design on metallic objects. Journal of Electromagnetic Waves and Applications 25: 1828–1839. Novacentrix (2016). Technology P No Title. www.novacentrix.com (accessed 8 February 2018). Kuo, S.-K., Hsu, J.-Y., and Hung, Y.-H. (2010). Analysis and design of an UHF RFID metal tag using magnetic composite material as substrate. Progress in Electromagnetics Research 24: 49–62.

References

38 Lakafosis, V., Rida, A., Vyas, R. et al. (2010). Progress towards the first wire-

less sensor networks consisting of inkjet-printed, paper-based RFID-enabled sensor tags. Proceedings of IEEE 98: 1601–1609. 39 Bhattacharyya, R., Floerkemeier, C., and Sarma, S. (2010). Low-cost, ubiquitous RFID-tag-antenna-based sensing. Proceedings of IEEE 98: 1593–1600. 40 Manzari, S., Occhiuzzi, C., Nawale, S. et al. (2012). Humidity sensing by polymer-loaded UHF RFID antennas. IEEE Sensors Journal 12: 2851–2858. 41 Andersson, H., Manuilskiy, A., Unander, T. et al. (2012). Inkjet printed silver nanoparticle humidity sensor with memory effect on paper. IEEE Sensors Journal 12: 1901–1905.

155

157

6 Printed Chipless RFID Tags 6.1 Introduction 6.1.1

RFID History

Radio frequency identification (RFID) is the technology that uses radio frequency signal to remotely access identification information of an object. It is well known that Marconi for the first time realized wireless telecommunication by using radio frequency signals in 1905, but there is no clear record of the exact starting point of RFID technology. One of the famous pioneering applications was in 1939 when the British army developed an identification system that was called Identify Friend or Foe (IFF) system to recognize the aircrafts of a friend or a foe [1]. The event was regarded as an important milestone in the application of RFID technology, although the RF technology used was simple. The first scientific paper exploring the working principle of RFID was published in 1948 [2] by Stockman, titled “Communication by Means of Reflected Power,” where he clearly stated that prior to useful applications, considerable amount of research and development work about RFID should be done. The first most widely used commercial RFID tag appeared in the 1960s. The tag containing only 1-bit information, named electronic article surveillance (EAS), was primarily used for anti-theft activities in shops [3]. The explosion of RFID was witnessed in the 1970s, attributed to the use of low-voltage, low-power complementary metal-oxide semiconductor (CMOS) logic circuits that allowed for significant shrinking in tag size, improvement in functionalities, and reduction in cost [4]. In the 1980s, the applications of RFID were widely extended in the region of personal access, industry, and business, as well as public transportations. The invention and wide deployment of personal computers (PCs) offered convenient and economic collection and management of data from RFID systems, further driving the proliferation of RFID technologies. Starting from the 1990s, to satisfy the demand of global adoptions, the International Standards Organization (ISO) began to coordinate the RFID regulations that varied in different countries and regions, and proposed a set of internationally standard protocols. This was a vital preparation measure toward the global deployment of RFID tags. Currently, RIFD tags, benefiting from the integration of microwave diodes with integrated circuit (IC) chips, only contain two components: an IC chip and an antenna. With improved integration, the chip area continuously shrinks, leading to exponential Smart Electronic Systems: Heterogeneous Integration of Silicon and Printed Electronics, First Edition. Li-Rong Zheng, Hannu Tenhunen, and Zhuo Zou. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA. Published 2018 by Wiley-VCH Verlag GmbH & Co. KGaA.

158

6 Printed Chipless RFID Tags

Table 6.1 Historical events in RFID development. Years

Milestones

1936–1950

In 1939, Britain developed Identify Friend or Foe (IFF). Harry Stockman published the landmark paper for RFID technology in 1948

1951–1970

United States and Europe studied the use of radio frequency to identify objects remotely. Electronic Surveillance Article (EAS) was put into commercialization

1971–1980

The first patent of active RFID transponders was released in 1973 in the United States. The patent of entrance security using passive RFID transponders was released in the same year. Nuclear material RFID tracking system was developed by the American Department of Energy

1981–1990

In the middle of 1980s, RFID tracking system was commercialized and used onto toll system for highways, bridges, and tunnels. In 1984, American General Motor Company for the first time applied RFID technology on auto production line. In 1989, semiconductor companies started to enter the RFID field

1991–2000

In 1991, trash tracking system and field sport initiated use of RFID. In 1993, containers in docks and automobiles were equipped with RFID tags for positioning and anti-theft purpose. In 1994 and 1995, RFID technology has been deployed in warehouse merchandise positioning, electronic tolling, and assets tracking systems. In 1995, RFID technology was adopted in skiing tram ticketing system and parking system. In 1999, RFID was applied in airline luggage identification system and library resource management system. Auto-ID Center was established, dedicated to encourage the application of RFID in supply chains. In 2000, RFID was adopted in document tracking and security of automobiles

2001–2010

In 2001, ISO standards about RFID tags emerged and became popular worldwide. In 2002, RFID was practiced in children’s position system and in tracking of corrosive goods. In 2003, RFID application took place in patient management system, Express Pay system in American Express, and all the suppliers for the U.S. Department of Defense. EPC global was established, aimed at RFID commercialization. In 2004, RFID was used in medical instrument tracking system and blood supplying security system. Meanwhile, EPC Gen 2 was adopted by EPC global. In 2005, pharmaceutical companies used RFID in anti-counterfeit authentication system. Wal-Mart deployed RFID tags complying with EPC Gen2 standard to manage supplying chains. In 2006, MasterCard accepted RFID-based Pay Pass system. In 2008, RFID application was extended to operating rooms. In 2009, medical equipment suppliers in New York used RFID technology to anti-fraud in healthcare

cost reduction. This in turn spurs the wide proliferation of RFID tags in article tracking, transportation, and supply management [5]. The historic events of RFID applications have been summarized in Table 6.1 for reference. 6.1.2

RFID System

A typical RFID system is mainly composed of three components: a reader, a transponder (tag), and a computer, as shown in Figure 6.1 [5]. A tag is

6.1 Introduction

Data RFID reader

Clock Energy

Application

Contactless data carrier = transponder

Coupling element (coil, microwave antenna)

Figure 6.1 Block diagram of a typical RFID system. Source: Finkenzeller and Müller 2010 [5]. Reproduced with permission of Wiley.

typically much cheaper than the reader, while the reader is costly and relatively more complex. A computer can be connected with the reader for storing and processing of data. In operation, the reader transmits interrogation signals containing power, data, and clock information. Upon entering the detection zone of the reader, tags will wake up and collect the energy enveloped in the interrogation signal to support the operation of internal circuits. A unique train of identification (ID) codes will be decoded by the tag circuit and modulated in the signals transmitted back to the reader. After receiving the modulated signal, the reader can recognize the ID codes encrypted in the tag. The whole recognition sequence is characterized as automated and instant. In accordance with power suppliers, RFID tags can be classified into passive, active, and semi-passive. Passive tags have no internal power supply and no radio transmitter. The internal circuits are driven by the rectified energy from the electromagnetic wave sent from a reader. Semi-passive tags have a local battery, but the power output from the battery exclusively supplies the operation of the internal circuit, and the energy for sending out data is still derived from the interrogation signals transmitted by the reader. Active RFID tags have an internal power source and a transmitter that can proactively transmit signals. Semi-passive and active tags can offer longer operation range, higher data rate, and larger memories than passive ones. However, they can hardly compete with the low-cost advantage of the passive counterparts. Passive tags, moreover, have a much longer lifetime since they are free of maintenance care. As a consequence, the majority of prevailing RFID tags in global market are passive tags. Figure 6.2 presents examples of some passive and active RFID tags. The operation of passive tags covers the frequency spectrum from low frequency (LF) and high frequency (HF) to ultra-high frequency (UHF) and microwave bands, as shown in Figure 6.3. LF (typically in 125 kHz) and HF (with 13.56 MHz) RFID systems generally can reach up to 1 m read range with the use of inductive coupling effect. The RFID tags operating in these bands are less prone to the effect of metal/liquid contained environments, thus offering robust readability in practice. These tags have wide applications in high security demanding conditions, in particular for transportation and access-controlling cards. UHF RFID tags, typically operating in 866–868 MHz in European (EU) countries and 902–928 MHz in North American (NA) continent, have a longer read range up to 10 m or more, while providing a faster data

159

160

6 Printed Chipless RFID Tags

Passive RFID tags

Active RFID tags

Figure 6.2 Photos of passive and active RFID tags.

ISO18000-2 125–135 kHz High fluid penetration factor Inductive coupling (limited range)

ISO18000-3

13.56 MHz

Spread all over the world Inductive or capacitive coupling (limited range)

ISO18000-7

433 MHz

ISO18000-6

Typically active tag

868 MHz (EU), 915 MHz (USA) ISO18000-4 2.45 GHz Passive and active tag to be tested for compatibility with WLAN 802.11b and bluetooth

Passive and active tag

ISO18000-5

5.8 GHz Long range (DSRC systems and italian telepass)

Active Passive

Figure 6.3 Frequency spectrum in the use for RFID systems.

rate for communication. On the other hand, the reading performance of UHF tags is severely dependent on the working environment. They are incapable of penetrating materials such metals, liquids, dusts, and fogs. Frequencies that are mostly used in microwave band for RFID technologies are 2.45 and 5.8 GHz. The tags working with these two frequency spectrums regularly require the use of batteries to supply power-hungry operations with the high reading speed feature.

6.1 Introduction

Magnetic field (near field) Inductive coupling

Electric field (far field) Backscatter

N

Tag

Tag

S Reader Reader LF and HF

UHF

Figure 6.4 Inductive coupling and capacitive coupling antennas.

In RFID systems, two types of antenna coupling are used for communication between a reader and a tag; one is inductive coupling and the other is capacitive (or radiative) coupling, as shown in Figure 6.4. Inductive coupling is typically applied in the system where the wavelength is much larger than the antenna, such as in LF and HF RFID tags. Under these circumstances, the reader-tag system acts as a coupler providing coupling function between the flowing current in the reader antenna and induced voltage across the tag antenna. Owing to the large wavelengths, the LF and HF tags have to be equipped with a much longer antenna than that of UHF and microwave RFID ones. This trend, however, conflicts with the goal of making tags small and cheap. Therefore, in practice, the antenna performance of LF and HF tags is compromised with the consideration of saving cost, resulting in low read range. Capacitive coupling mechanism is used in UHF and microwave RFID tags, where the wavelength is comparable to the antenna length. In this case, a traveling electromagnetic wave is transmitted to the tag by a reader, and then the wave interacts with the tag antennas and provides power for the tag, followed by scattering of the modulated signal from the tag to the reader. 6.1.3

RFID Advantages

RFID technology is a competitive alternative to the barcode identification technique. Barcodes are the most widely used production codes. They consist of multiple black and white bars in different widths arranged in certain coding rules for the representation of special type of products, with the names of producing countries and factories as well as production date and item classifications. It has extensively and intensively been used in merchandise logistics, printings management, postal management, and banking systems, owing to the easy implementation, clear readability, and ultra-low manufacturing cost. The reading of barcodes is dependent on the strength of lights reflected from these bars, where black bars can absorb all visible light while white ones can reflect all. The light strength information will be transferred into electrical signals by a photoelectrical

161

162

6 Printed Chipless RFID Tags

converter and further transformed to a train of binary digital data such as “0” and “1” by a decoder embedded in barcode readers. Barcodes have few advantages that other competitors cannot compete against, such as low cost and well-acknowledged international standards. They can be directly printed on various packaging materials including papers and plastics with insignificant cost increase. Moreover, almost all of the barcode readers possess similar light spectrum and identical operating mechanism for storing data, leading to an easily regulated international standard that provides a worldwide guideline for barcode hardware, middleware, and software providers, as well as enormous end-users spreading through almost all perspectives in supply chains. However, barcodes have to face some intrinsic disadvantages in realistic applications. A barcode reader accesses the content of a barcode by evaluating the strength of reflected visible lights, which means that reading has to be done in the line of light and near the barcode (typically