Polymers in Microlithography. Materials and Processes 9780841217010, 9780841212688, 0-8412-1701-7

Content: Polymers in microlithography : an overview / Elsa Reichmanis and Larry F. Thompson -- Brönsted acid generation

545 57 8MB

English Pages 450 Year 1989

Report DMCA / Copyright

DOWNLOAD FILE

Polecaj historie

Polymers in Microlithography. Materials and Processes
 9780841217010, 9780841212688, 0-8412-1701-7

Citation preview

Polymers

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

ACS

SYMPOSIUM

SERIES

412

Polymers in Microlithography Materials and Processes

Elsa Reichmanis, EDITOR AT&T Scott A. MacDonald, EDITOR IBM Almaden Research Center Takao Iwayanagi, EDITOR Hitachi Central Research Laboratory

Developed from a symposium sponsored by the Division of Polymeric Materials: Science and Engineering at the 197th National Meeting of the American Chemical Society, Dallas, Texas, April 9-14, 1989

American Chemical Society, Washington, DC 1989 In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

Library of Congress Cataloging-in-Publication Data Polymers in microlithography: materials and processes / Elsa Reichmanis, Scott A. MacDonald, Takao Iwayanagi. p.

cm.—(ACS Symposium Series, 0097-6156; 412).

Includes bibliographical references. Developed from a symposium sponsored by the Division of Polymeric Materials: Science and Engineering at the 197th National Meeting of the Dallas, Texas, April 9-14, 1989 ISBN 0-8412-1701-7 1. Polymers—Congresses. 2. Photoresists—Congresses. 3. Microlithography—Materials—Congresses. I. Reichmanis, Elsa, 1953. II. MacDonald, Scott A. III. Iwayanagi, Takao, 1949. IV. American Chemical Society. Division of Polymeric Materials: Science and Engineering. V. Series. TK7871.15.P6P635 1989 621.381'531—dc20

89-17931 CIP

The paper used in this publication meets the minimum requirements of American National Standard for Information Sciences—Permanence of Paper for Printed Library Materials, ANSI Z39.48-1984. Copyright ©1989 American Chemical Society All Rights Reserved. The appearance of the code at the bottom of the first page of each chapter in this volume indicates the copyright owner's consent that reprograpnic copies of the chapter may be made for personal or internal use or for the personal or internal use of specific clients. This consent is given on the condition, however, that the copier pay the stated per-copy fee through the Copyright Clearance Center, Inc., 27 Congress Street, Salem, MA 01970, for copying beyond that permitted by Sections 107 or 108 of the U.S. Copyright Law. This consent does not extend to copying or transmission by any means—graphic or electronic—for any other purpose, such as for general distribution, for advertising or promotional purposes, for creating a new collective work, for resale, or for information storage and retrieval systems. The copying fee for each chapter is indicated in the code at the bottom of the first page of the chapter. The citation of trade names and/or names of manufacturers in this publication is not to be construed as an endorsement or as approval by ACS of the commercial products or services referenced herein; nor should the mere reference herein to any drawing, specification, chemical process, or other data be regarded as a license or as a conveyance of anyrightor permission to the holder, reader, or any other person or corporation, to manufacture, reproduce, use, or sell any patented invention or copyrighted work that may in any way be related thereto. Registered names, trademarks, etc., used in this publication, even without specific indication thereof, are not to be considered unprotected by law. PRINTED IN THE UNITED STATES OF AMERICA

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

ACS Symposium Series M . Joan Comstock, Series Editor 1989 ACS Books Advisory Board Paul S. Anderson Merck Sharp & Dohme Research Laboratories

Mary A . Kaiser Ε. I. du Pont de Nemours and Company

Alexis T. Bell University of California—Berkeley

Purdue University

Harvey W. Blanch University of California—Berkeley Malcolm H . Chisholm Indiana University Alan Elzerman Clemson University John W. Finley Nabisco Brands, Inc. Natalie Foster Lehigh University Marye Anne Fox The University of Texas—Austin G . Wayne Ivie U.S. Department of Agriculture, Agricultural Research Service

John L . Massingill Dow Chemical Company Daniel M . Quinn University of Iowa James C . Randall Exxon Chemical Company Elsa Reichmanis A T & T Bell Laboratories C . M . Roland U.S. Naval Research Laboratory Stephen A . Szabo Conoco Inc. Wendy A . Warr Imperial Chemical Industries Robert A . Weiss University of Connecticut

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

Foreword The ACS SYMPOSIUM SERIES was founded in 1974 to provide a

medium for publishing symposia quickly in book form. The format of the Series parallels that of the continuing ADVANCES IN CHEMISTRY SERIES except that, in order to save time, the papers are not typeset but are reproduced as they are submitted by the authors in camera-ready form. Papers are reviewed under the supervision of the Editors with the assistance of the Series Advisory Board an symposia; however lished papers are not accepted. Both reviews and reports of research are acceptable, because symposia may embrace both types of presentation.

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

Preface X R O G R E S S IN MICROELECTRONICS, and especially in microlithographic

technology, is proceeding at an astonishing rate. Today, it is believed that conventional photolithography, which uses 365-405-nm radiation, will be able to print 0.5-0.6-μπι features in production and that it will remain the dominant printing technology well into the 1990s. Diazonaphthoquinone-novola materials of choice fo introducing new resist materials and new hardware are strong driving forces pushing photolithography to its absolute limit The technological alternatives to conventional photolithography are largely the same as they were a decade ago, that is, deep-UV photolithography, scanning electron-beam lithography, and X-ray lithography. The leading candidate for the production of devices with features as small as 0.3 μτα is deepU V lithography. No matter which technology eventually replaces photolithography, the new resists and processes that will be required will necessitate an enormous investment in research and process development. The polymer materials that are used as radiation-sensitive resist films must be carefully designed to meet the specific requirements of the lithographic technology and device process. Although these requirements vary according to the radiation source and device process, properties such as sensitivity, contrast, resolution, etching resistance, shelf life, and purity are ubiquitous. This volume is not intended to be comprehensive, but the chapters found here should provide the reader with an appreciation for the diversity of chemical research efforts that are required for the development of new resist materials and processes. They span the range of novel synthetic reactions that may be applied to imaging processes, to new processing techniques to enhance image quality, to understanding the fundamental science behind processes such as polymer dissolution and photoablation. The contents have been divided into three sections: Chemically Amplified Resist Chemistry, Multilevel Resist Chemistry and Processing, and Novel Chemistry and Processes for Microlithography. Each section contains an introduction written by a recognized expert in the field. xi

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

Acknowledgments We are indebted to many people and organizations for making the symposium and book possible, particularly the authors, for their efforts in providing manuscripts of their presentations. We are especially grateful to the Petroleum Research Fund and the Division of Polymeric Materials: Science and Engineering for financial support. Finally, our sincerest thanks are extended to Cheryl Shanks and the production staff of the A C S Books Department for their efforts in publishing this volume. ELSA

REICHMANIS

AT&T Bell Laboratories Murray Hill, NJ 07974 SCOTT A. MACDONALD

IBM Almaden Research Center San Jose, CA 95120-6099 TAKAO

IWAYANAGI

Hitachi Central Research Laboratory Kokubunji, Tokyo 185, Japan July 31, 1989

xii

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

Chapter 1

Polymers in Microlithography An

Overview

Elsa Reichmanis and Larry F. Thompson AT&T Bell Laboratories, 600 Mountain Avenue, Murray Hill, NJ 07974

The evolution at an astonishing rat true of microlithographic technology which is the technology used to generate the high resolution circuit elements characteristic of today's integrated circuits. While almost all of these commercial devices are made by photolithographic techniques that utilize 365-436nm UV radiation, within the next 3-8 years, new lithographic strategies will be required. These technological alternatives, such as deep-UV, e-beam and x-ray lithography, will require new polymeric resist materials and processes. A brief overview of the current trends in microlithography is presented along with an examination of the varied chemistries that can be applied to this technology. The reader is referred to alternate sources for detailed reviews of the field.

The evolution i n microelectronics technology, and microlithography in particular, has progressed at an astonishing rate during the past decade. The speed of integrated circuit devices has increased by several orders o f magnitude, while the cost associated per bit has decreased at a still faster rate (Figure 1). These improvements are a direct result of the increase i n the number of components per chip, a trend that has progressed at a rate of Ι Ο - 10 per decade. It is expected that this trend w i l l continue, although perhaps at a slower rate (1). 2

3

This increase i n circuit density has been made possible by decreasing the minimum feature size on the chip. In the m i d 1970's, the state-of-the-art dynamic random access memory ( D R A M ) device was capable of storing 4000 bits of data and had features 5 μ π ι i n size. Today, 4 megabit D R A M ' s are i n production with minimum features i n the 0.8 - 1.0 μ π ι range, while state-of-the-art devices with 0.6 - 0.8 μ π ι features are in pilot production (2). A s shown i n Figure 2 and Table I, contact printing was the dominant lithographic technology used for device 0097-6156/89/0412-0001$07.00/0 ο 1989 American Chemical Society

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

2

POLYMERS IN MICROLITHOGRAPHY

1950

1960

1970

1980

1990

2000

YEAR

Figure 1:

Plot o f the power delay product vs. year and cost per bit vs. year for commercially available V L S I devices.

1978

1988

1998

YEAR COMMERCIALIZED

Figure 2:

Graphical representation o f the minimum feature size vs. year of commercialization for M O S devices.

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

1.

REICHMANIS & THOMPSON

3

An Overview

production well into the 1970's. Although contact printing is ostensibly a high resolution technology, its utility is limited by mask and wafer defects which begin to affect yield and economics at geometries below about 3μπι. Contact printing thus gave way to one-to-one projection printing which obviated the contact induced defects by separating the mask and wafer, and allowed a reduction i n feature size to about 1.5 μηι. A t that time, this was believed to be the resolution limit for photolithography i n a production environment. The development o f reduction step-and-repeat exposure tools allowed further improvement o f the resolution obtainable by optical techniques. H i g h numerical aperture(NA) steppers operating at the conventinal 405 or 436nm ( H or G ) lines o f the H g arc lamp are used to produce today's state-of-the-art devices and it is generally believed that such tools w i l l be capable o f producing chips with features as small as 0.6 μπι. Further reduction i n feature size w i l l require the introduction o f a new lithographic technology the strategy for which is discussed below Conventional G-line (436 nm) lithography employin manufacturing to produce today' μ π ι Highe line and I-line (365nm) lenses are available that w i l l push the technology to the sub-0.5 μ π ι regime. Concomitantly, deep-UV (230-260nm) systems are becoming available that effectively compete with the I-line technology. W o r k is progressing on development o f higher N A (0.45) deep-UV systems which should be available by mid-to-late 1990 (3). This technology is expected to have a production resolution capability o f at least 0.4 μπι. Ε - b e a m and x-ray lithography w i l l play an increasingly significant role i n the production of devices by the turn of the century when m i n i m u m features are expected to reach the sub-0.25 μ π ι level (4,5). The technological alternatives to conventional photolithography are largely the same as they were a decade ago, v i z . , deep-UV photolithography, scanning electron-beam and x-ray lithography (1,6). The leading candidate for the production of devices with features perhaps as small as 0.3 μ π ι is deep-UV lithography (Figure 2, Table I ) (2,7). Major advances i n this technology i n the past decade relating to improved quartz lenses and high output light sources have

Table I: Photolithographic Trends Lithographic Technology

Years in Use

Minimum Feature

Contact Printing

1960-1973

5 μπι

1:1 Projection

1973-1982

1.5 μ π ι

5:1 Projection Step and Repeat

1982-present

0.8 μ π ι

Deep/Mid U V Step and Repeat

1988-

0.3 μ π ι

X-ray E-beam

1995-

0.1 μ π ι

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

4

POLYMERS IN MICROLITHOGRAPHY

occurred. Several step and repeat 5x and lOx reduction systems that use excimer laser sources have been designed and/or built (8,9). Systems using refractive optics require a very narrow bandwidth light source (less than 0.001 A ) since it is not practical to correct for chromatic aberrations i n quartz lenses. Laser sources provide such narrow bandwidths with enough intensity to accommodate resists with ~50 m J c m ' sensitivities, enabling a rather wide choice of resist chemistries. W o r k is also being done on 4x reduction systems based on a l l reflective optical systems and wide bandwidth H g arc sources i n the 240 to 260 n m region (10). However, since the intensity o f these sources is less than that of laser sources, more sensitive resists ( A H + G



A" + H

+

+ G

(1)

+ C"

-> A C

(2

+ D"

-> H D

(3)

4

H+ + p-poly

-> poly-OH

+ H*

(4)

where A G is the radiation sensitive acid generator, C * is a contaminant cation, ¥t is the proton that is regenerated after each deprotection reaction (4), D ~ is a con­ taminant anion, p-poly is the protected polymer and poly-OH is the alkali soluble product polymer. The "contaminants" addressed above (C* and D~) are not present i n every system, their concentrations have not been established and their presence is inferred from observed lithographic effects. Even with these uncertain­ ties, it is the authors experience that the qualitative kinetic equations are useful in process optimization and in guiding fundamental studies to gain insight into indivi­ dual process steps. The A G molecule is converted to a strong acid ( A H ) upon absorption o f a pho­ ton and the rate of this reaction is fast, with the extent o f reaction being governed by the quantum effeciency of the particular acid generator and flux. The acid pro­ ton affects the desired deprotection reaction (4) with a finite rate constant. This rate is a function of the acid concentration, [H*], the temperature and most impor­ tantly, the diffusion rate of the acid i n the polymer matrix. The diffusion rate in turn, depends on the temperature and the polarity of the polymer matirx. A t room temperature, the rate of this reaction is typically slow and it is generally necessary to heat the film to well above room temperature to increase reaction rates and/or diffusion to acceptable levels. The acid ( H ) is regenerated (reaction 4) and con­ tinues to be available for subsequent reaction, hence the amplification nature o f the system. +

F r o m these highly idealized reactions, one can gain an understanding o f some potential diffculties and process related concerns. For this system to work satisfac­ torily, it would be necessary for the radiation generated acid concentration, [H*], to remain constant. However, i n most chemically amplified systems, undesired side reactions occur that prematurely destroy the acid, i.e., reactions with contaminants such as water, oxygen, ions or reactive sites on the polymer (reactions 2 and 3).

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

3. HOULIHAN ET AL.

Chemically Amplified Resists

51

The rates of these reactions depend upon the contaminant concentration and the inherent rate constants of the reactions. W h i l e the exact nature of these reactions differ for each type o f chemically amplified system and are not fully understood, this generalized discussion is sufficient to understand many o f the process issues. The process control of the post-exposure bake that is required for chemically amplified resist systems deserves special attention. Several considerations are apparent from the previous fundamental discussion. In addition for the need to understand the chemical reactions and kinetics of each step, it is important to account for the diffusion of the acid. Not only is the reaction rate o f the acidinduced deprotection controlled by temperature but so is the diffusion distance and rate of diffusion o f acid. A n understanding o f the chemistry and chemical kinetics leads one to predict that several process parameters associated with the P E B w i l l need to be optimized i f these materials are to be used in a submicron lithographic process. Specific important proces 1. Time between exposure and post-exposure

bake

The rate and extent of the deprotection reaction (4) is critically dependent on the acid concentration, [ H ] . Side reactions (2 and 3) reduce the effective acid concentration and must be controlled. A l l of these reactions are thermally activated, however they do occur at a finite rate at room temperature. In order to assure a constant total extent of deprotection (reaction 4) it is necessary to control the elapsed time between exposure and P E B . +

2. Temperature

of post-exposure

bake

The P E B temperature and temperature uniformity must be tightly controlled for the same reasons discussed above. It has been found that it is feasible to drive the deprotection reaction in t-butoxycarbonyl protected systems to com­ pletion, providing the side reactions are minimized or controlled. This is a necessary requirement for satisfactory lithographic performance. 3. Time of post-exposure

bake

Since it is important to control the thermally activated side reactions in addition to the temperature, the time of the P E B must also be controlled. It should be noted that excessive time at an elevated temperature can result in undesirable additional chemical changes i n the polymer such as crosslinking or oxidation that result i n solubility changes o f both the exposed and unexposed regions.

Lithographic Characterization The lithographic performance, as measured by sensitivity and contrast for the T B S , T B M S and T B S S polymers containing two onium salt A G systems and the tosylate A G is given i n Table V . The absorbance of these films at 248nm was - 0 . 3 μ π ι i n each case. - 1

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

52

POLYMERS IN MICROLITHOGRAPHY

T A B L E V: Lithographic Performance of Resist Systems Formulated with TBS, TBSS and TBMS Matrix Polymers and 5 wt% Tf, Ar and TS Acid Generators Sensitivity mJ c m "

Contrast

Polymer

Acid Generator

TBS TBMS TBSS

Tf Tf Tf

3 5 4

3 3 5

TBS TBMS TBSS

Ar

6

6

TBS TBMS TBSS

Ts Ts Ts

170 90 65

2 3 6

2

γ

The triphenylsulfonium mfluoromethanesulfonate (Tf) photoactive acid generator affords the highest sensitivity (3-5 m J c m " ) for all polymer systems studied. The contrast for these systems ranged between 2 and 6 and sub-micron resolution was obtained with a l l the materials. Resist systems using the triphenylsulfonium hexafluoroarsenate (Ar) precursor exhibited slightly lower sensitivities (16-20 m J c m " ) while contrast values were similar, i.e., 2-6. Upon formulation with 5 wt% 2,6-dinitrobenzyl tosylate (Ts) the substituted styrènes exhibited still lower sensitivities (65-170 m J c m ) and contrast remained i n the range o f 2-6. 2

2

2

Differential solubility of chemically amplified resists is the result of two sequential reactions: photoinduced decomposition of the acid generator followed by a thermally driven catalytic reaction that decarboxylates the protected matrix polymer. W h e n comparing different polymers and acid generator resist systems, it is important to ensure that the P E B results in equivalent degrees of deprotection (near complete). W h i l e some effect on the sensitivity and contrast of the styrene based polymers formulated with an onium salt acid generator is observed when an inherently photodegradable polymer is used, the small differences i n sensitivity could easily be attributed to process related variables. Resist exposure dose is reduced (sensitivity increased) by as much as a factor of 2.5 when the tosylate ester is used i n conjunction with T B S S or T B M S , polymer systems known to undergo radiation induced main chain cleavage. This increased sensitivity is likely the result o f an increase i n solubility that arises from a reduction i n polymer molecular weight due to chain scission. This effect would work synergistically with the change i n polymer solubility resulting from the catalytic deprotection of the t-butyloxycarbonyl groups to afford the hydroxystyrene derivative.

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

3.

HOULIHAN ET A L

53

Chemically Amplified Resists

The sensitivity o f both T B S S and T B M S can be further improved by the addi­ tion o f higher weight fractions o f Ts (Table V I ) . The absorbance o f the T B M S / T s resist compositions is also given i n Table V I .

TABLE VI: Lithographic Performance of a 2:1 TBSS and TBMS Polymer with the Ts Acid Generator Polymer

% Ts

Absorbance 248nm μιιΓ

Sensitivity mJ c m

Contrast

- 2

γ

1

TBSS TBSS TBSS

5 10 15

.27 .40

65 52

6 10

TBMS TBMS TBMS

5 10 15

0.24 0.37 0.50

90 38 18

2 4 5

Note that incorporation o f 15 wt% T s i n either polymer affords resists that require an irradiation dose as l o w as 20 m J c m " . Other lithographic properties such as adhesion and crack resistance are improved with T B S S vs. T B M S . T h e best overall lithographic performance was achieved with the poly(4-tbutoxycarbonyloxystyrene-sulfone) polymers. Although the sensitivity o f resist systems formulated with 2,6-dinitrobenzyl tosylate was lower, the contrast, resolu­ tion and process latitude were superior i n comparison to the onium salt formula­ tions, and the alternate matrix resins. Figure 2 depicts typical contrast curves for T B S S containing 5, 10 and 15 wt% o f the 2,6-dinitrobenzyl tosylate acid generator, and Table V I lists the sensitivity and contrast values taken from such curves. T h e remarkably high contrast for the 10 and 15 wt% formulations indicates a very non­ linear relationship between the extent o f deprotection and dissolution rate, a phenomenon not observed with the onium salt systems. Although this is not fully understood, it is perhaps due to an increase i n dissolution inhibition imparted by the nonionic character o f the tosylate acid generator. 2

Scanning electron microscopy confirmed s u b - 0 ^ m resolution capabilities i n T B S S -acid generator resist films (Figure 3). Note that the edge profiles are nearly vertical. Preliminary results indicate that the plasma etching resistance is satisfac­ tory for semiconductor device processing. SUMMARY D e e p - U V resists comprised o f matrix polymers and a 2,6-dinitrobenzyl tosylate photoactive acid generator have been described and compared to previously reported onium salt systems. Although these resists exhibited lower sensitivity than onium salt-based materials, the contrast and processibility are superior. The use o f a matrix polymer capable o f radiation-induced chain scission improves the sensitivity and allows the 2,6-dinitrobenzyl tosylate acid generator to more nearly

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

54

POLYMERS IN MICROLITHOGRAPHY

1000 EXPOSURE DOSE (mJ/cm )

Figure 2:

Exposure curv % TS.

Figure 3:

S E M micrographs depicting 0.5, 0.45, 0.4 and 0.35μπι coded line/space images printed i n P B S S - A s resist.

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

3.

HOULIHAN ET AL.

Chemically Amplified Resists

55

meet sensitivity requirements of deep-UV steppers. W o r k is i n progress to further optimize both the molecular properties and processing conditions for this system. ACKNOWLEDGEMENTS The authors would like to acknowledge E . A . Chandross, G . N . Taylor, L . E . Stillwagon and M . Y . Hellman for useful discussions concerning the chemical aspects o f this work and to S. Vaydia, V . P o l and J. T. Clemens for input and con­ sultation about the deep-UV resist requirements and process evaluation.

LITERATURE CITED [1] Pol, V., Bennewitz, J. H., Escher, G. C., Feldman, M., Firtion, V. Α., Jewell, T. E., Wilcomb, Β. E. Clemens, J. T., Proc. SPIE, 1986, 633, 6. [2] Pol, V., Solid State Technology 1987 30 (1) 71 [3] Buckley, J. D., Karatzas [4] Ruff, B., Tai, E., Brown, , , [5] Willson, C. G. in Introduction to Microlithography. Thompson, L. F., Willson, C. G., Bowden, M. J., eds., ACS Symposium Series, 219, Ameri­ can Chemical Society, Washington, DC, 1983, pp.111-117. [6] Moreau, W. M., "Semiconductor Lithography, Principles, Practices and Materials", Plenum, NY, 1988, pg.372. [7] Willson, C. G., Bowden, M. J. in Electronic and Photonic Applications of Polymers. Bowden, M. J., Turner, S. R., eds., ACS Advances in Chemistry Series, 218, American Chemical Society, Washington, DC, 1988, p 87. [8] Ito, H., Willson, C. G., in Polymers in Electronics. Davidson, T., ed., ACS Symposium Series, 242, American Chemical Society, Washington, DC, 1984, p. 11. [9] Willson, C. G., Ito, H., Frechet, J. M. J., Tessier, T. G., Houlihan, F. M. J. Electrochem. Soc., 1986, 133(1), 181. [10] Houlihan, F. M., Shugard, Α., Gooden, R., Reichmanis, E., Proc SPIE, 1988, 920, 42. [11] Neenan, T. X., Houlihan, F. M., Kometani, J. M., Tarascan, R. G., Reich­ manis, E., Thompson, L. F., Proc SPIE, 1989, 1086, 2. [12] Ito, H., Willson, C. G., Frechet, J. M. J., Farrall, M. J., Eichler, E., Macro­ molecules, 1983, 16, 510. [13] Tarascon, R. G., Reichmanis, E., Houlihan, F. M. Shugard, Α., Thompson, L. F., Polymer Engineering and Science, 1989. [14] Frechet, J. M. J., Eichler, E., Ito, H., Willson, C. G. Polymer, 1983, 24 995. [15] Houlihan, F. M., Shugard, A. Gooden, R., Reichmanis, E., Macromolecules, 1988, 21, 2001. [16] Crivello, J. V., Lam, J. H. W. J. Poly. Sci., Sci., Poly, Chem. Ed., 1979, 17, 977. [17] Crivello, J. V. In "Advances in Polymer Science", Springer-Verlag, Berlin, 1984 pp. 1-48. [18] Matsuda, M., Iino, M., Hirayama, T., Miyashita, T., Macromolecules, 1972, 5(3), 240.

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

POLYMERS IN MICROLITHOGRAPHY

56

[19] [20] [21] [22] [23]

Bowden, M. J., Thompson, L. F., J. Electrochem. Soc., 1974, 121, 1620. Bowden, M. J., Chandross, Ε. Α., J. Electrochem. Soc., 1975, 122, 1370. Hayashi, K., Yamamoto, Y., Miki, M., Macromolecules, 1977, 10(5), 1316. Aliev, S. M., and Pokindin, V. K., Vopr. Neftekhim. 1977, 137. Boon, J. L., Wetzel, R. J., and Godshalk, G. L., Limnol. Oceanorg., 1982, 27 (5), 839. [24] Brown, J. R., and O'Donnell, J. H., Macromolecules, 1971, 5, 109. Received July 13, 1989

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

Chapter 4

Copolymer Approach to Design of Sensitive Deep-UV Resist Systems with High Thermal Stability and Dry Etch Resistance 1

2

Hiroshi Ito , Mitsuru Ueda , and Mayumi Ebina 1

2

IBM Research Division, Almaden Research Center, 650 Harry Road, San Jose, CA 95120-6099 Department of Polymer Chemistry, Yamagata University, Yonezawa, 2

A sensitive deep UV resist was designed by copolymerizing α,α-dimethylbenzyl methacrylate with α-methylstyrene by radical initiation. The electron-rich α-methylstyrene lacks self-propagation and tends to undergo alternating copolymerization with electron-poor monomers such as methacrylates, especially at high feed ratios. Intramolecular anhydride formation that occurs upon heating of certain polymethacrylates and poly(methacrylic acid) is suppressed in such alternating copolymers. Thus, a high glass transition temperature of 210°C is observed for the 1:1 copolymer after deesterification. When mixed with an "onium salt" photochemical acid generator, the dimethylbenzyl ester moiety provides a high resist sensitivity and acid-catalyzed polarity changes. The methacrylate units incorporated in the polymer chain give excellent UV transmission, while the α-methylstyrene units provide good dry etch resistance and high thermal stability.

A s the trend toward the higher circuit density in microelectronic devices continues, there has been an increasing interest in lithographic technologies utilizing short wavelength radiations such as electron beam, X-ray, and deep U V (^~(CH —ÇH>^ 2

©•Ό Ο ο

2

û Ç

AIBN

CH

Ο

2

CH I Ο

Λ

ο Ο Ο

ο

2

Λ

'HOAc

HCH -CH> (CH -CH^ 2

φφ r

OH

Figure 1.

2

CHo I Ο

Preparation of the copolymers of 4-vinylbenzyl acetate and 4-vinylphenol.

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

5.

FRECHET ET AL.

10

6

10

5

NonsweUing Negative Resists

10

4

10

3

Molecular Weight Figure 2. G e l Permeation Chromatogram of the copolymer (a) before and (b) after removal of the t-BOC protecting groups

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

77

78

POLYMERS IN MICROLITHOGRAPHY

(polydispersity = 2.2) confirming the clean nature of the deprotection process. Figure 3 shows the U V spectrum of a 1 jim thick film of a resist consisting of 90 wt% of the same 80/20 copolymer and 10 wt% of triphenylsulfonium hexafiuoroan­ timonate; it is seen that the film is suitable for imaging at 254nm since the absorb­ ance of the resist does not exceed 0.6 per micrometer of film thickness. Sensitivity and Contrast Measurements. Imagewise exposure of films of the various copolymers containing from 5-10% triphenylsulfonium hexafiuoroantimo­ nate to U V light at 254 nm resulted in the crosslinking of the exposed areas as shown in Figure 4. The characteristic curves [1] for the various copolymers were determined at a constant loading of 10 wt% sulfonium salt using lum thick films and exposure through a narrow bandwidth Hg-line filter to varying doses of 254 nm radiation. The characteristic curve shows the thickness of the insolubilized regions of the film remaining after development as a function of log[exposure dose]. These measure­ ments provide access to Dg or gel dose the minimum dose required to observe the formation of an insoluble residue produce an insolubilized film this instance). The characteristic curve of a 65/35 copolymer is shown in Figure 5. This Figure shows that the lithographic sensitivity of the resist material based on a 65/35 copolymer having M = 22,000 and M = 46,000 is approximately 0.6 mJ/cm while its contrast (slope of the curve) is close to 4. Measurements of the lithographic characteristics of a series of copolymers having different compositions and essentially the same molecular weights and polydispersities are summarized in Table 1. It can be seen in Table 1 that the lithographic sensitivity of the copolymers blended with 10% sulfonium salt increases as the percentage of latent electrophile (vinylbenzyl acetate) units is increased. For a 50/50 copolymer the lithographic sensitivity is approximately 0.5 mJ/cm with a very high contrast of over 4. It should be noted however that aqueous development is no longer possible for the 50/50 copolymer for which some isopropanol must be added to the aqueous base developer. n

w

2

2

Table 1: Lithographic sensitivity and contrast data for various copolymers Copolymer 95/5 90/10 80/20 65/35 50/50 100/0

a

M

w

44,000 45,000 45,000 46,000 41,000 39,000

M

n

20,000 21,000 20,000 22,000 21,000 20,000

M /M w

2.2 2.1 2.2 2.1 2.0 1.9

D n

D

g

0.68 0.56 0.56 0.45 0.51 0.86

°g

Y

1.0 1.0 1.0 0.85 0.6 1.2

>4 ~ 4 ~ 4 3.6 >4 >4

^Copolymer composition x/y: the first number χ indicates the mole % of 4hydroxystyrene units and the second number y indicates the mole % of 4acetoxy methyl styrene units in the copolymer. All measurements were made at a con­ stant 10 wt% loading of triphenylsulfonium hexafiuoroantimonate. Use of a Difunctional Crosslinker. An alternate approach to chemically amplified imaging through electrophilic aromatic substitution is shown in Figure 6 below. In this approach a polyfunctional low molecular weight latent electrophile is used in a three component system also including a photoactive triaryl sulfonium salt and a phenolic polymer. In this case again crosslinking of the polymer is observed upon

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

5. FRECHET ET AL.

79

Nonswelling Negative Resists

hi

(ο

SbF.

,CH

2

OH

OH

Protons are regenerated with each addition .

.

.

,.

Γ

».

CROSSLINKED

Process incorporates chemical amplification R » OH, C H O A c , etc... 2

Figure 4. Crosslinking process via electrophilic aromatic substitution.

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

+H

80

POLYMERS IN MICROLITHOGRAPHY

1.0

^

C H

H

2-? >7I65^

C H

2~9H>Ô3

5

JEi Ε — Ê l 0.5 •ο Û

CH OAc

OH

2

03 ^

Ε

ro

0.0

0.25

0.5

1.0

2.0

4.0

Log Dose [mJ/cm ] 2

Figure 5.

A

X

V

^ p \ J ^ "

Characteristic curve for sensitivity measurement on the 65/35 copolymer.

CHjOAc

Υ..

γ

CH,OAc

Δ

».

^ OH

Protons are regenerated with each addition Process incorporates chemical amplification Figure 6.

Crosslinking via a non-polymeric multifunctional latent electrophile.

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

5.

FRECHET E T A L

Nonswelling Negative Resists

81

irradiation. Sensitivity measurements for such systems are still in progress. Another example of this approach involves the use of a novolac as the phenolic component [12]. Imaging experiments The resist material was prepared using 90 wt% of the 80/20 copolymer and 10 wt% of the triphenylsulfonium hexafiuoroantimonate. After spin-coating onto silicon wafer to Ιμτα thickness and baking 5 min at 105°C the wafer was exposed to 300 nm, presumably because oligomers o f H ( S i R ) H are transparent for η 300 n m results i n no deuterated fragments ( I R analysis). This result suggests that silyl radicals, presumably produced by process [2], apparently abstract hydrogen from the polymer itself rather than from the solvent. A reasonable source of hydrogen from the polymer is from the side chain carbon α to the radical site i n a classical radical disproportionation reaction. The source o f the hydrogen atoms i n the abstraction reaction was confirmed by irradiation o f P D H S (oc-d ) at 308 nm. This material i n pentane, pentane-d . cyclohexane, cyclohexane-d or E t S i D produces products containing - S i D but no S i H bonds. It appears, therefore, that reactions [1] and [2] are decoupled and that radical disproportionation is occurring. The long wavelength results which show no detectable silylene while polymer degradation and radical formation are still operative, also indicate that the further dissociation o f silyl radicals into silylenes is not occurring to any significan result is also consistent with (46). While photochemical wavelength efFects i n solution are unusual, they are not unprecedented (50). In fact, the results described here fit nicely with the photophysical picture o f dialkyl polysilanes i n solution presented earlier. In this model, the polysilane chain was described as a weakly interacting, statistical collection o f chromophores composed o f trans or near trans units partially electronically decoupled by conformational kinks. A d o p t i n g this model, the wavelength dependent photochemistry could be explained as follows. Irradiation at long wavelengths excites selectively the longest chromophores which undergo a series o f radical cleavage processes. Excitation at short wavelengths excites the shorter or high energy chromophores which can either rapidly transfer energy to longer chromophores and proceed via radical cleavage or decompose directly by the extrusion o f a silylene fragment. Homolytic cleavage could conceivably be occurring i n both long and short chromophores or exclusively from the former. In the latter case, rapid energy transfer from the shorter to the longer chromophores would be required. Equation [2] suggests that silicon centered radicals are produced by the chain cleavage o f the polymer backbone. The trapping experiments and the results using deuterated substrates indicate that disproportionation and presumably recombination are also occurring. Evidence for the formation o f silyl radicals i n the solid state upon irradiation i n the presence o f oxygen comes from the observation of fragments containing — SiOSi - , - S i O H and — S i H linkages (9,51,64). In solution, the isolation o f short silane fragments upon photolysis (47) and the facile photoinitiation o f the polymerization of vinyl monomers (4) constitute evidence that silyl radicals are produced. Recently, Todesco and Kumat (52) have reported a complex E S R signal upon irradiation o f poly(methyl-a-naphthylsilane-codimethylsilane) at 77 Κ and have tentatively suggested on the basis o f the apparent g value that the signal is due to silicon radical(s) produced by chain scission. W e have reported that E S R and E N D O R (53) examination o f degassed, irradiated samples o f a number o f polymers ( P D H S , P D B S , poly(di-n-tetradecylsilane) ( P D T D S ) , poly(di-n-octylsilane ( P D O S ) , poly(di-n-decylsilane) ( P D D S ) and poly(di-4-methylpentylsilane) ( P D M P S ) shows the formation o f radical species which are persistent for hours and even days. The radical spectra (see Figure 4) are, however, clearly not consistent with those expected from the simple cleavage o f the polymer backbone as described by Equation [2]. The structure of these radicals 2

n

2

n

3

3

2

2

n

3

2

12

12

3

has now been assigned as - S i R S i R S i R - . A s shown i n Figure 4, the E S R spectra produced from different symmetrical poly(di-n-alkylsilanes) are all very similar. In 2

2

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

121

POLYMERS IN MICROLITHOGRAPHY

122

addition, these spectra are temperature dependent as also shown i n the figure for the radical derived from ( P D M P S ) . Temperature studies were conducted using this polymer because o f the improved solubility at low temperatures relative to the other n-alkyl polymers. A t l o w temperatures, the spectrum is composed primarily o f two doublets due to splitting between the silicon radical center which is presumably nearly planar and two non-equivalent hydrogens on the α-carbon o f the substituent. A t higher temperatures, the spectra begin to average due to the rotational rocking of the alkyl side chain attached to the radical center around the S i C bond, although complete averaging is never reached even at the highest convenient temperature. The spectra of the early radicals produced from all of the symmetrical di-n-alkyl derivatives were virtually identical except for differences caused by motional averaging, the rate of which at a given temperature is influenced by the size o f the alkyl substituent. The following values for the g value and the hyperfine couplings were obtained for the radical derived from PDHS, (Cf Si°) h - C«H 'H""C^Hf CH - : (a) g = 2.00472 (consistent with a branched polysilylated silyl radical), (b) S i satellites: a = 5.8G(2Si), ^ = 7 5 G , assigned to - ( S i ) - Si', (c) proton coupling limit and a « 6 . 9 9 G ( 2 H ) nea with sgn a = sgn a , = - sgn α (d) carbon coupling, 10 wt %. n

g

EXPERIMENTAL Tetramethylammonium hydroxide, T M A H , (Fluka Chemicals) was diluted with distilled water from a 25 wt % aqueous solution. In all cases the diazonaphthoquinone dissolution inhibitor used was Fairmont Positive Sensitizer #1009 (Fairmont Chemical Company). The syntheses of the P D M S X oligomers and n o v o l a c - P D M S X block copolymers have already been reported (11). The dimethylamine terminated poly (dimethyl siloxane), < M > = 5 1 0 g/mole (Petrarch), was used as the P D M S X component or to prepare higher molecular weight analogs. n

Resist solutions of o-cresol novolac-siloxane copolymers were prepared as 15 w/v % solutions of the polymer in 2-methoxyethyl acetate using 20 wt % (based on polymer) of the positive sensitizer. Poly(hydroxystyrene) and 2-methyl resorcinol copolymers were spun into films from 2-methyl tetrahydrofuran. Solutions were filtered through successive 1.0, 0.5 and 0.2 μ π ι filters and stored i n

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

159

160

POLYMERS IN MICROLITHOGRAPHY

amber bottles. Resist films (0.5 μ π ι thick) were spun onto four inch silicon substrates that had been coated with either 1.4 μ π ι hard-baked Hunt Photoresist (HPR-204) or 0.4 μ π ι thermally grown S i 0 pretreated with hexamethyldisilazane. A l l films were prebaked at 1 0 5 C for 1 hour prior to exposure. Auger depth profiling and transmission electron microscopy samples were prepared without sensitizer as 0.1 μπι thick films on silicon substrates coated with 0.4μιη S i C V D e e p - U V exposures were performed on a K a r l Suss M A 5 6 M contact aligner fitted with a Lambda Physik K r F excimer laser ( λ = 2 4 8 . 7 nm) operating at an output o f 13 mJ/cm /sec at 100 H z . Resist films were dip developed i n aqueous T M A H and rinsed i n distilled water. Pattern transfer through the H P R - 2 0 4 planarizing layer v i a 0 reactive ion etching (RIE) was achieved using a Plasma Technologies parallel plate etching unit at - 3 4 0 V self-bias, 90 W power, 20 seem O2, at a pressure of 30 mtorr using a 10% overetch. A l l thickness measurements were performed on a Dektak I I A profilometer. Scanning electro a Hitachi S-2500 S E M . 2

e

2

2

Materials Characterization The molecular weight () o f the poly(dimethyl siloxane), P D M S X , was determined by both proton N M R and non-aqueous potentiometric titration (5). Proton N M R was used routinely to determine < M > immediately after synthesis and prior to use i n any copolymerization. Experimental confirmation of percent silicon i n the copolymers was determined by elemental analysis (Galbraith Laboratories). Novolac molecular weights were measured i n T H F at 35°C by high pressure size exclusion chromatography using a Waters M o d e l 510 pump (flow rate =1.0 mVmin), 401 differential viscometer detector and a set o f Dupont P S M 60 silanized columns. A universal calibration curve was obtained with a kit of 10 narrow molecular weight distribution, linear polystyrene standards from Toya Soda Company. Data acquisition and analysis were performed on an A T & T 6312 computer using A S Y S T Unical 3.02 software supplied with the Viscotek instrument. A Perkin-Elmer D S C - 7 was used for thermal characterization of the starting phenolic resins and copolymers prepared. Scans were run at 10°C/min using sample weights o f 15-25 mg. In all cases the amorphous, powdered polymers were used for the evaluation. n

n

RESULTS AND DISCUSSION The structures o f the dimethylsiloxane block copolymers and respective parent homopolymers prepared for use as positive, bilevel resist materials are shown i n Figure 1. Most copolymers were synthesized with >10 wt % silicon. The selection o f P D M S X block length and novolac chemical composition proved to be the two most critical variables i n achieving adequate resolution. The quantitative nature of the sUylamine-phenol reaction has been demonstrated for several different polymer systems (7). In our case, the charged P D M S X content was low to ensure that / < M > ) were less than two for both novolac resins. A portion of the l o w molecular weight components were removed during the three precipitations used during work-up, thus narrowing the observed M W D Th M W D f th P D M S X oligomer could not be determined by G P C column packing. w

n

TABLE I M O L E C U L A R WEIGHT DETERMINATION OF POLY(DIMETHYL SILOXANE) COPOLYMERS V I A GEL PERMEATION CHROMATOGRAPHY PHENOLIC COMPONENT o-cresol

2-methyl resorcinol

SILOXANE

wt % S i

-

-

1450

2890

1.99

4400

12.1

2200

3530

1.61

4400

3.2

1870

3430

1.83

510

10.2

1920

3480

1.82

510

12.9

1960

4510

2.29



_

1610

3050

1.89

1770

10.1

2670

4800

1.80

510

10.4

3190

6180

1.94

11700

35900

3.07

14000

51800

3.71

n

poly(hydroxy styrene) 4400

12.3

POLYMER

W

MWD

U p o n copolymerization of each novolac with the P D M S X oligomers, both < M > and < M > increased as expected, although not i n a strictly additive manner since we have not formed a single block copolymer structure (7) but are measuring n

W

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

10.

JUREK & REICHMANIS

Phenolic Resin-Dimethyl Siloxane Copolymers

the molecular weights o f a copolymer/novolac blend. The values obtained are complicated by the loss o f additional low molecular weight material during work­ up. The initial reaction mixture in tetrahydrofuran ( T H F ) was coagulated i n water giving 97% yield. A proton N M R o f an ether extract o f this water indicated the presence o f novolac but no siloxane was observed. Only l o w molecular weight novolac would be expected to remain soluble i n this aqueous T H F solution. The poly(hydroxystyrene) homopolymer (PHS) and P H S - P D M S X copolymer exhibited more predictable behavior due to the higher initial molecular weight of PHS. Both < M > and < M > increased and the M W D broadened after copolymerization. Incorporation o f P D M S X increased the molecular weight averages. N o l o w molecular weight material was found i n the aqueous precipitating medium, indicating that a l l unreacted l o w molecular weight poly(hydroxystyrene) was precipitated. The effect o f the phenoli component' chemical (o-cresol poly(hydroxystyrene) vs. 2-methy studied. A high molecular weight (4400 g/mole) P D M S X precursor was used to maximize silicon content while minimizing the number o f phenolic hydroxyl groups lost to copolymer formation. Each of the three phenolic resin-siloxane copolymers showed favorable resistance to 0 plasma etching (at >10 wt % silicon) with selectivities of 1:12 or greater compared to a hard-baked planarizing layer (11). Additionally, each system exhibited good solubility i n aqueous T M A H solutions. The lithographic response o f each copolymer system was however, quite different. W h i l e the novolac materials exhibited positive resist behavior, the poly(hydroxystyrene-dimethyl siloxane) material behaved as a negative resist upon exposure to 248 nm radiation when used i n conjunction with 10 or 20 wt % o f the diazonaphthoquinone inhibitor. The sensitivity, expressed as the 50% gel dose, was 290 m J / c m with a contrast of 1.2. A 25:1 ethanol-water developer was employed but very poor resolution was observed. A series of o-cresol n o v o l a c - P D M S X materials with a range of silicon contents (3.2-16.1 wt %) were prepared to examine the 0 R I E response and to determine whether a solubility limit existed as a function o f wt % silicon. A l l copolymer preparations exhibited good solubility i n dilute T M A H and an asymptotic relationship (12) between wt % silicon and film thickness loss (3,12) during an 0 plasma R I E process was found. The thermal properties o f the o-cresol n o v o l a c - P D M S X copolymers and the starting oligomers are shown i n Table Π. The T for the P D M S X oligomer (-123*C) did not change as the < M > varied from 510 to 4400 g/mole. After copolymerization, a T for both the P D M S X component and the o-cresol novolac was obtained. Slight decreases i n the high temperature T and increases i n the low temperature T may be attributed to partial phase mixing between the two components (14, 15, 18). This becomes more evident as the P D M S X block length becomes smaller, and the thermodynamic solubility parameters become increasingly favorable. Additionally, the microphase separated domain sizes are expected to become smaller as P D M S X block lengths decrease (15). n

W

2

2

2

2

g

n

g

g

g

The thermal properties of the 2-methyl resorcinol, poly(hydroxystyrene) and the P D M S X copolymers prepared with them are shown i n Table ΠΙ. F o r both copolymer systems using 4400 g/mole P D M S X blocks there was no significant

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

163

164

POLYMERS IN MICROLITHOGRAPHY

TABLE II T H E R M A L CHARACTERIZATION OF O-CRESOL N O V O L A C - D I M E T H Y L SILOXANE COPOLYMERS SAMPLE NUMBER

POLYMER wt % S i T (°C)

SILOXANE BLOCK

T (°C) x

n

3

2

2

g

g

1

4400

-123

16.0

-122,

79

2

4400

-123

12.1

-121,77

3

4400

-123

5.4

-122,

4

440

5

1770

-121

5.4

- 9 5 , 67

6

510

-121

10.2

-64 ,

7

510

-121

12.9

-21 ,

77

4

51

4

36

8 76 0 < M > determined from proton N M R . Values reported from differential scanning calorimetry. Determined by elemental analysis. Very weak transitions. n

change i n either the low or high temperature T values. A s observed with the ocresol n o v o l a c - P D M S X systems, the large P D M S X blocks were cleanly microphase separated. A s the siloxane < M > was decreased to 510 g/mole, no appreciable phase mixing occured i n the resorcinol-based system as reflected by the lack of change i n either the low or high T ' s o f these copolymers. A second consideration is the solubility parameter (δ), which also contributes to this behavior. The δ for P D M S X (16) is 7.3 (cal/cm ) while the calculated values for the o-cresol novolac, 2-methyl resorcinol and poly(hydroxystyrene) are 10.8, 11.9 and 9.4 (cal/cm ) respectively (17). Differences i n δ of greater than one between polymer blocks generally result in the observation of microphase separation (7,8). Although this phenomenon should occur in both the novolac and P H S systems, a greater degree of phase mixing should be possible in the o-cresol and hydroxystyrene materials. Based on the thermal data, one may conclude that the solubility parameter difference among the novolac resins results i n less phase mixing for the 2-methyl resorcinol copolymer system i n comparison to the o-cresol n o v o l a c - P D M S X copolymer. The results obtained for the P H S based material are less conclusive. g

n

g

3

3

1/4

54

Transmission electron microscopy ( T E M ) was used to further explore the microstructure i n each of the three copolymer systems. The 2-methyl resorcinolP D M S X copolymer was expected to show the greatest degree of microphase

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

10.

JUREK & REICHMANIS

Phenolic Resin-Dimethyl Siloxane Copolymers TABLE

ffl

T H E R M A L CHARACTERIZATION OF POLY(DIMETHYL SILOXANE) C O P O L Y M E R S W I T H POLYÇHYDROXY S T Y R E N E ) A N D 2 - M E T H Y L RESORCINOL PHENOLIC COMPONENT 2-methyl resorcinol

poly(hydroxy styrene)

SILOXANE BLOCK

T (°C) 1

n

Z

g

POLYMER wt % S i T CO 3

2

g

-

-

0

186

4400

-123

11

-121,

184

1770

-121

10

-119,

175

-

-

0

172

4400

-123

12

-119,

174

< M > determined by proton N M R . Values reported from differential scanning calorimetry. Determined from elemental analysis. n

separation based on solubility parameter differences and thermal data. T E M photographs (207,000 x) reveal spherical domains of 400-600A for copolymers prepared with 4400 g/mole P D M S X (Figure 2a) and 100-300Â domains with 510 g/mole oligomers (Figure 2b). The effect of decreasing P D M S X molecular weight on domain size was previously observed i n a series o f poly(methyl methacrylate)P D M S X copolymers (15,18). The o-cresol n o v o l a c - P D M S X copolymers (Figure 3) exhibited a similar trend at high molecular weight P D M S X (Figure 3a); however, shorter siloxane block lengths resulted i n a featureless surface (Figure 3b). This corroborates the thermal data which indicates that a large degree of phase mixing occurs i n this copolymer. A T E M of the P H S - P D M S X copolymer (4400 g/mole P D M S X ) is shown i n Figure 4. The domain size i n this copolymer is much smaller than that observed for the other copolymers at similar siloxane block lengths. This may be attributed to the small solubility parameter difference between the two components. The microphase separation and preferential surface migration of P D M S X i n various copolymer systems has been investigated (7,8,13-15,18). Auger depth profiling experiments were run to determine the surface-to-bulk film concentration of P D M S X i n each copolymer system. Carbon, oxygen and silicon contents were monitored as a function of sputter depth, and the normalized percents for each element (±5%) are shown i n Figure 5. The 2-methyl r e s o r c i n o l - P D M S X copolymer (4400 g/mole P D M S X ) had >50% silicon on the surface which rapidly decreased to a bulk film concentration of - 1 5 % , a value that is comparable to the results obtained from elemental analysis. Figure 5b shows Auger depth profiling

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

165

166

Figure 2

POLYMERS IN MICROLITHOGRAPHY

Transmission electron microscope photograph o f 2-methyl res o r c i n o l - P D M S X copolymers using (a) 4400 g/mole P D M S X and (b) 510 g/mole P D M S X .

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

10.

JUREK & REICHMANIS

Figure 3

Phenolic Resin-Dimethyl Siloxane Copolymers

Transmission electron microscope photograph of o-cresol novol a c - P D M S X copolymers using (a) 4400 g/mole P D M S X and (b) 510 g/mole P D M S X .

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

167

POLYMERS IN MICROLITHOGRAPHY

Transmission electron microscope photograph o f poly (hydroxystyrene)-PDMSX copolymer.

100,

SPUTTER TIME, MIN.

Auger depth profiling results of (a) 2-methyl resorcinolP D M S X , (b) o-cresol n o v o l a c - P D M S X , and (c) poly(hydroxystyrene)-PDMSX.

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

10.

JUREK & REICHMANIS

Phenolic Resin-Dimethyl Siloxane Copolymers

results for the o-cresol n o v o l a c - P D M S X (510 g/mole P D M S X ) copolymer. The surface enrichment o f silicon by the P D M S X is less than that observed i n the 2methyl resorcinol copolymer, resulting i n a more equal surface/bulk silicon distribution. This may result from the greater phase mixing between the two components as evidenced by T E M and D S C . The P H S - P D M S X copolymer (4400 g/mole P D M S X ) shows a behavior similar to the 2-methyl resorcinol copolymer. The 0 reactive-ion etching (RIE) behavior o f each copolymer was determined and compared to a hard-baked H P R - 2 0 4 planarizing layer (Table I V ) . Each copolymer tested had £ 10 wt % silicon content and the etching rate selectivity vs. H P R - 2 0 4 i n all cases was 1:13 or greater. The O2 R I E behavior may have been affected by the distribution o f silicon through the thickness of the film as well as the quantity of silicon incorporated. The 2-methyl r e s o r c i n o l - P D M S X copolymers exhibited the greatest O2 R I E resistance, presumably due to microphase separation and surface migration of th P D M S X Thi preferential incorporatio f PDMSX 2

O X Y G E N R E A C T I V E I O N E T C H I N G (RIE) O F N O V O L A C - S I L O X A N E BLOCK COPOLYMERS COPOLYMER STRUCTURE o-cresol novolac siloxane

2-methyl resorcinol siloxane

poly(hydroxy styrene) siloxane 2

0

R I E rate 0 (A/min)

1

0 ETCHING SELECTIVITY

SILOXANE

wt % S i

4400

12

130

1:15

1770

11

130

1:15

510

10

110

1:18

510

13

50

1:36

4400

11

70

1:28

1770

10

80

1:24

510

10

80

1:24

4400

12

150

1:13

n

2

2

2

After 10 minutes plasma etching. Versus hard baked H P R - 2 0 4 .

at the air/resist interface would be expected to contribute to a more rapid build-up of an inorganic oxide layer. Auger analysis indicated >50% silicon at the surface

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

169

170

POLYMERS IN MICROLITHOGRAPHY

which supports the 0 plasma results. The P H S - P D M S X exhibited the poorest 0 REE resistance of the three copolymer systems which may result from the aliphatic backbone structure of P H S . Optimization of the deep-UV exposure and aqueous T M A H development steps for a l l three parent phenolic resins formulate with the diazonaphthoquinone dissolution inhibitor resulted i n the resolution of positive tone 0.75 μπι L / S patterns at a dose o f 156, 195 and 118 m J / c m for the o-cresol, 2-methyl resorcinol and P H S materials, respectively (Table V ) . The copolymers prepared with a 4400 g/mole P D M S X resulted i n T M A H soluble films at >11 wt % silicon; however, the feature quality was extremely poor i n each case. Figure 6 shows an S E M photomicrograph of a 2-methyl resorcinol-PDMSX copolymer using (a) 20 and (b) 2

2

2

TABLE V EFFECT OF POLY(DIMETHY LENGTHS POLYMER STRUCTURE

SILOXANE

wt % S i

o-cresol novolac

-

0

YES

o-cresol novolacsiloxane

4400

12.1

NO

1770

5.4

YES

510

10.2

YES

510

12.9

YES

-

0

YES

4400

11.4

NO

1770

10.1

NO

510

10.4

NO

poly(hydroxy styrene)

-

0

YES

poly(hydroxy styrene) siloxane

4400

12.3

NO

2-methyl resorcinol 2-methyl resorcinolsiloxane

n

RESOLUTION of 0.75 μ π ι L / S

2

Contact exposure at 248 nm using 20 wt % dissolution inhibitor. Behaves as a negative resist.

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

1

JUREK & REICHMANIS

;ure 6

Phenolic Resin-Dimethyl Siloxane Copolymers

Scanning electron microscope photograph of coded 0.75 μ π ι line-space images obtained with the 2-methyl resorcinolP D M S X copolymer ( < M > = 4400 g/mole) containing (a) 20 wt % and (b) 30 wt % diazonaphthoquinone dissolution inhibi­ tor. n

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

171

172

POLYMERS IN MICROLITHOGRAPHY

30 wt % dissolution inhibitor. A t 20 wt %, coded 0.5 μ η ι line/0.25 μ π ι space patterns were resolved but only 75% of the initial film thickness (TFT) i n the unexposed regions remained. A t 30 wt % sensitizer loadings, coded 0.5 μ π ι L / S patterns were resolved and only 6% of the I F T was lost i n the unexposed areas. Both samples exhibited 'holes' i n the unexposed region where preferential dissolution occurred. This is most likely the result of phase incompatibility within the novolac/novolac-siloxane blend. Since the dissolution rate o f the parent novolac i n aqueous T M A H is higher than that of the block copolymer it would not be unreasonable to expect that preferential dissolution of the novolac domains would occur. Similar solubility problems were observed with the o-cresol n o v o l a c - P D M S X copolymer using this high molecular weight poly(dimethyl siloxane) precursor. Copolymers prepared from lower molecular weight P D M S X blocks were examined next. Regardless f th molecula weight f th P D M S X copolymers with 2-methyl resorcino problems such as sample thinning and 'holes'. This may stem from the extensive microphase separated structures obtained regardless of P D M S X molecular weight (Figure 2b). In contrast, the o-cresol novolac copolymers exhibited improved imagibility when smaller P D M S X block lengths were used. This may result from a larger degree o f phase mixing between the two components and/or smaller P D M S X domain sizes. The copolymer prepared from o-cresol novolac and a 510 g/mole P D M S X at 10 wt % S i resolved coded 0.5 μ π ι L / S patterns at a dose of 156 m J / c m i n 0.5 μ π ι resist v i a contact exposure at 248 n m and subsequent etching through 1.4 μ π ι H P R - 2 0 4 (Figure 7). There was no evidence of preferential dissolution or 'holes' i n the field. A coded 0.75 μ π ι line/0.5 μ π ι space pattern achieved with the same material before and after etching through 1.4 μ π ι H P R - 2 0 4 is shown i n Figure 8. Steep sidewall profiles were obtained using a 10% overetch. A small amount o f undercutting was observed, however, the etching conditions for pattern transfer were not optimized. Sloping sidewall profiles are evident on resist images after wet development. This may be due to the highly absorbant nature of the novolacs. The optical density o f an unsensitized film at 248 n m is 0.59 A . U . for a 0.63 μ π ι thick film. This is prohibitively high for deepU V use; however, its utility at longer wavelengths is being explored. 2

CONCLUSIONS The incorporation of P D M S X into conventional novolac resins has produced potential bilevel resist materials. Adequate silicon contents necessary for 0 R I E resistance can be achieved without sacrificing aqueous T M A H solubility. Positive resist formulations using an o-cresol n o v o l a c - P D M S X (510 g/mole) copolymer with a diazonaphthoquinone dissolution inhibitor have demonstrated a resolution of coded 0.5 μ π ι L / S patterns at a dose of 156 m J / c m upon deep-UV irradiation. A 1:18 0 etching selectivity versus hard-baked photoresist allows dry pattern transfer into the bilevel structure. 2

2

2

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

10.

JUREK & REICHMANIS

Figure 7

Phenolic Resin-Dimethyl Siloxane Copolymers

Scanning electron microscope photographs of coded 0.5 μ π ι line-space patterns obtained i n the o-cresol n o v o l a c - P D M S X ( < M > = 510 g/mole) based resist followed by 0 R I E pattern transfer. n

Figure 8

2

Scanning electron microscope photographs of coded 0.75 μ π ι line /0.5 μ π ι space images obtained i n the o-cresol novolacP D M S X ( < M > = 510 g/mole) (a) before and (b) after 0 R I E pattern transfer. n

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

2

173

174

POLYMERS IN MICROLITHOGRAPHY

ACKNOWLEDGMENTS W e thank A . E . Novembre and D . A . M i x o n for help with G P C data, R . G . Tarascon for initial etching studies, S. A . Heffner for assistance i n obtaining low temperature D S C and S. Nakahara for transmission electron microscopy.

LITERATURE CITED 1.

Ohnishi, Y.; Suzuki, M.; Saigo, K.; Saotome, Y.; Gokan, H. Proc. SPIE Advances in Resist Technology II, 1985, 539, 62. 2. Reichmanis, E.; Novembre, A. E.; Tarascon, R. G., Shugard, A. In Polymers for High Technology: Electronics and Photonics; Bowden, M. J.; Turner, S. R. Eds.; ACS Symposium Series No. 346; American Chemical Society: Washington, D.C., 1987; pp 110-121. 3. Taylor, G. N.; Wolf, T M Polym. Eng. Sci., 1980 20, 1087 4. Reichmanis, E.; Smolinsky Aug. 1985, 130. 5. Smith, B. C.; Hellman, M. Y.; Reichmanis, E. "ACS 18 Middle Atlantic Regional Meeting," Newark, N.J. 1984. 6. McColgin, W. C.; Daly, R. C.; Jech, J., Jr.; Brust, T. B. In Proc. SPIE Advances in Resist Technology, 1988, 920, 260. 7. Noshay, Α.; McGrath, J. E. Block Copolymers: Overview and Critical Survey, Academic Press: New York, 1977. 8. Olabisi, O.; Robeson, L. M.; Shaw, M. T. Polymer-Polymer Miscibility, Academic Press: New York, 1979. 9. Teyssie, Ph.; Broze, G.; Fayt, R.; Heuschen, R.; Jerome, R.; Petit, D. In Initiation of Polymerization; Bailey, F. E., Ed., ACS Symposium Series No. 212; American Chemical Society: Washington, D.C., 1984, pp 323-335. 10. Hartney, Μ. Α.; Novembre, Α. Ε.; Bates, F. S. J. Vac. Sci. Technol., 1985, B3, 1346. 11. Jurek, M. J.; Tarascon, R. G.; Reichmanis, E. Chem. Mater., 1989 1, 319. 12. Reichmanis, E.; Smolinsky, G. Proc. SPIE Advances in Resist Technology, 1984, 469, 38. 13. Riffle, J. S.; Yilgor, I.; Banthia, A. K.; Tran, C.; Wilkes, G. L.; McGrath, J. E. In Epoxy Resin Chemistry II, Bauer, R. S., Ed.; ACS Symposium Series No. 221; American Chemical Society: Washington, D.C., 1983, p. 83. 14. Andolino-Brandt, P. J.; Webster, D. C.; McGrath, J. E. ACS Polymer Preprints, 1984, 25(2), 91. 15. Bowden, M. J.; Gozdz, A. S.; Klausner, C.; McGrath, J. E.; Smith, S. In Polymers for High Technology: Electronics and Photonics; Bowden, M. J Turner, S. R. Eds.; ACS Symposium Series No. 346; American Chemical Society: Washington, D.C., 1987; pp. 122-137. 16. Collins, Ε. Α.; Bares, J.; Billmeyer, F. W., Jr. Experiments in Polymer Science; Wiley-Interscience: New York, 1973; p. 108. 17. Rudin, A. The Elements of Polymer Science and Engineering; Academic Press: New York, 1982; Chapter 12. 18. Smith, S. D.; McGrath, J. E. ACS Polymer Preprints, 1986, 27(2), 31. RECEIVED July 17, 1989 th

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

Chapter 11

Preparation of a Novel Silicone-Based Positive Photoresist and Its Application to an Image Reversal Process 1

1

2

Akinobu Tanaka , Hiroshi Ban , and Saburo Imamura 1

2

NTT LSI Laboratories, Morinosato, Atsugi-shi, Kanagawa 243-01, Japan NTT Basic Research Laboratories, Midoricho, Musashino-shi, Tokyo 180, Japan

We have developed a novel silicone-based positive photoresist (SPP) for two-layer resist systems. SPP is composed of an acetylated poly(phenylsilsesquioxane) (APSQ) and diazonaphthoquinone sensitizer. SPP can be developed with alkaline aqueous solutions, because the matrix resin, APSQ, is alkali-soluble due to the presence of silanol groups formed during synthesis of APSQ. SPP is useful not only for near UV lithography (positive mode), but also in negative mode using high energy sources for exposure. Negative process (image reversal) is capable of sub-halfmicron resolution using electron beam (EB), X-ray, and deep UV exposures. Resist sensitivities of SPP to EB, X-rays and deep UV are 5 μC/cm , 80-160 mJ/cm and 10 mJ/cm , respec­ tively. We suggest that a coupling of APSQ and the sen­ sitizer occurs during EB and X-ray exposures, but it is absent during near UV exposures. This coupling reaction and the generation of indenecarboxylic acid are compet­ ing processes during the deep UV exposures. 2

2

2

Silicon-containing r e s i s t s have been proposed as top imaging l a y e r s i n two-layer r e s i s t (2LR) systems for high r e s o l u t i o n l i t h o g r a p h y . U As they have high resistance to oxygen reactive ion etching (0 RIE), fine patterns formed i n a very t h i n top r e s i s t can be transferred into a thick bottom organic polymer layer by 0 RIE. Recently, alkali-developable silicon-containing positive photoresists have a t t r a c t e d much a t t e n t i o n " ' due to t h e i r com­ p a t i b i l i t y with p r a c t i c a l VLSI fabrication processes using novolacdiazonaphthoquinone p o s i t i v e photoresists (AZ-type r e s i s t s ) . We have synthesized an acetylated poly(phenylsilsesquioxane) (APSQ), and prepared an a l k a l i - d e v e l o p a b l e s i l i c o n e - b a s e d positive photoresist (SPP) ' composed of APSQ and a diazonaphthoquinone compound as a photosensitizer for near UV lithography. 2

2

2

6

7

0097-6156/89A)412-0175$06.00/0 ο 1989 American Chemical Society

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

176

POLYMERS IN MICROLITHOGRAPHY

However, the continuing drive towards minimization i n pattern sizes has created a demand for lithographic resolution higher than can be achieved with near UV lithography. Since one useful method for improving resolution is to use higher energy sources, we have a p p l i e d SPP to EB, X - r a y , deep UV l i t h o g r a p h y . Although SPP also exhibits a positive action when exposed to EB, X-rays and deep UV, the positive pattern requires a high dosage that i s not acceptable for a p r a c t i c a l use except for deep UV lithography. An image revers a l process of AZ-type r e s i s t s has been r e p o r t e d " ' to have several advantages such as improvement i n resolution, s e n s i t i v i t y , and thermal s t a b i l i t y . We have also found that an image reversal of SPP dramatically increases the s e n s i t i v i t y . Therefore, we have app l i e d SPP to an image r e v e r s a l process using high energy sources such as EB, X-rays and deep UV. This paper describes the preparation of SPP and i t s a p p l i c a tion to an image reversal process as well as the chemistry of the SPP image r e v e r s a l . 8

1 0

Synthesis and characterization of APSQ APSQ was synthesized by acetylation of PSQ in the presence of a F r i e d e l - C r a f t s catalyst. A solution of poly(phenylsilsesquioxane) (PSQ) i n acetyl chloride (AcCl) was reacted with a solution of anhydrous A I C I 3 i n AcCl below 20°C. After s t i r r i n g for 90 min, the solution was poured into ice water to obtain APSQ. The details of this process are described e l s e w h e r e . ' An attempt at conventional elemental analysis for APSQ f a i l e d because s i l i c o n c a r b i d e was i r r e g u l a r l y produced d u r i n g the measurement. Therefore, the molecular structure of APSQ was determined by NMR and IR. The IR data i n d i c a t e that APSQ molecular s t r u c t u r e i s fundamentally s i m i l a r to PSQ except the a d d i t i o n of a c e t y l (1650 cm" ) and hydroxy (3400 cm" ) groups. S i NMR spectra are shown i n Figure 1 together with assignments of Si chemical s h i f t s . ' PSQ purchased from Petrarch Systems Inc. and O w e n s - I l l i n o i s Co. were used. Although S i NMR spectra of these PSQ s d i f f e r , the APSQ s obtained from them have almost the same spectra. The t o t a l reactions are described in equation 1. 11

1

1

2 9

2 9

1 2

2 9

T

f

(1)

R'=C H , C H C 0 C H , OH 6

5

6

4

3

An i n t e r e s t i n g issue i s the simultaneous i n t r o d u c t i o n of s i l a n o l groups during the a c e t y l a t i o n of phenyl groups. As i n d i cated by S i NMR, some of the Si-phenyl bonds and framework siloxane bonds in PSQ are cleaved and chlorinated in the presence of Lewis a c i d s . S i - C bonds have r e l a t i v e l y low resistance to e l e c t r o p h i l i c attack and can be substituted by S i - C l bonds in the presence of Lewis acids. **' Although framework siloxane bonds are r e l a t i v e l y strong and stable, they also undergo c h l o r i n a t i o n . The 2 9

1

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

11. TANAKAETAL.

177

Novel Silicone-Based Positive Photoresist

S i - C l groups produced are hydrolyzed by water to Si-OH groups during work-up. APSQ is soluble in a dilute aqueous solution of tetramethylammonium hydroxide (TMAH). When APSQ was treated with t r i m e t h y l s i l y l c h l o r i d e (TMSC1), the s o l u b i l i t y of APSQ i n the TMAH s o l u t i o n decreased, because s i l a n o l groups were terminated with TMS groups. This indicates that APSQ is a l k a l i - s o l u b l e due to the presence of s i l a n o l groups. The s o l u b i l i t y depended on the s i l a n o l content, which can be controlled by synthesis conditions or appropriate t e r ­ mination of s i l a n o l groups. APSQ obtained from Owens-Illinois PSQ was more soluble in TMAH solutions than that from Petrarch Systems, probably due to lower molecular weight (Mw). We used the former (Mw = 1,500) in this study. Preparation of SPP and Application to near UV lithography SPP was prepared by d i s s o l v i n g a novolac r e s i n diazonaph thoquinone sulfonyl este A concentration of 20 w to act as a dissolution i n h i b i t o r . Figure 2 shows an SEM photograph of a 0.4 #m l i n e and space pattern on a substrate with topographic features using the SPP 2LR system. A 0.2 /zm t h i c k SPP layer was spun onto a 1.5 #m t h i c k bottom p l a n a r i z i n g l a y e r . The r e s i s t was exposed with a g - l i n e (436nm) stepper equipped with a high numerical-aperture reduction lens (NA=0.6) and then dip-developed i n a 1.6 wt% TMAH aqueous solution for 60 s at 25 °C. The pattern formed i n the SPP layer was transferred to the bottom layer by 0 RIE. The 0 RIE etching rate of SPP was less than 3.5 nm/min, whereas that of the bottom layer was more than 90 nm/min. The s e l e c t i v i t y of SPP to the bottom layer was more than 26. 2

2

Application to image reversal process a)Electron beam lithography A 0.3 fim thick SPP layer was exposed to a 20 kV electron beam followed by a flood exposure using near UV r a d i a t i o n with an i n ­ tegrated dose of over 500 mJ/cm . Such a dose was s u f f i c i e n t to convert the remaining DNQ to indenecarboxylic a c i d . The r e s i s t was then dip-developed in an aqueous TMAH solution for 60 s at 25°C. Figure 3 shows the s e n s i t i v i t y curves for SPP ( s o l i d l i n e s ) compared with that of a novolac-based r e s i s t (dashed l i n e ) . From these curves, we obtained the maximum c l e a r i n g dose (DQ), the dose for 50% thickness remaining ( D ) , and lithographic contrast (γvalue). These r e s i s t characteristics are summarized in Table I . 2

5 0

Table I Characteristics of SPP and novolac-based Resist

TMAH ) (wt%) (uc/ cm ) SPP 0.65 0 .9 SPP 0.70 5 .0 SPP 0.80 13 .5 Novolac-based 1.20 6 .2 * 7 -value = l/2(log(D / D ) )

D

50 ο (/zC/cm ) 1.7 6.6 16.5 14.5

r-value *

2

2

5 0

resist

1.8 4.1 5.7 1.4

1

0

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

178

POLYMERS IN MICROLITHOGRAPHY

Ô -20 -40 -60 -80 -100 -120 -140 Chemical Shift (ppm) Figure 1. 39.7 MHz ^Si NMR spectra of PSQ and APSQ obtained from PSQ-B in acetone-^ . Chromium acetylacetonate was used as a relaxation reagent, and transients were 5000. PSQ-A ( M = 900) and PSQ-B (M = 9500) were purchased from OwensIllinois and Petrarch Systems, respectively. 6

w

w

Figure 2. SEM photograph of 0.4-μπι line-and-space pattern on a substrate with topographic features using SPP two-layer resist system. A 0.2-pm-thick SPP layer was exposed with a g-line stepper (NA = 0.6) at 350 mJ/cm and then dip-developed in a 1.6 wt% TMAH solution for 60 s at 25 * C. The pattern formed in the SPP top layer was transferred to 1.5-pm-thick bottom layer by 0 RIE. 2

2

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

11. TANAKAET AL»

Novel Silicone-Based Positive Photoresist

179

A higher s e n s i t i v i t y of SPP can be obtained using a more d i l u t e TMAH solution, but at the expense of lower contrast. A solu­ t i o n more d i l u t e than 0.6 wt% cannot completely d i s s o l v e the r e s i s t . The SPP exhibited a higher s e n s i t i v i t y and contrast than the novolac-based r e s i s t . Figure 4 shows an SEM photograph of 0.3 μ m l i n e and 0.5 μ m space pattern delineated in an SPP 2LR system with a dose of 5 // C / c m . The combination of t h i s SPP image r e v e r s a l process and EB direct wafer-writing technology represents a promising approach for achieving sub-halfmicron resolution. 2

b) X-ray lithography A 0.4 /zm thick SPP layer was exposed to X-rays followed by a f l o o d exposure using near UV r a d i a t i o n . The r e s i s t was then d i p developed in a 0.8 w U TMAH solution for 60 s at 25 °C. We used two x-ray exposure system t evaluat th characteristic f th SPP r e s i s t . One i s S R - 1 rotating anode with a posure was carried out i n a i r . The other has a synchrotron r a d i a ­ tion source with a central wavelength of 0.7 nm (KEK Photon Factory Beam L i n e , BL-1B). The exposure was c a r r i e d out i n vacuum ( methylstyrene), o n the other h a n d , remains soluble a n d continues to flow after b a k i n g for 30 m i n at 225 C , thus affording i m p r o v e d leveling at long b a k i n g times. T h e ortho-cresol novolac appears to have the best overall pro­ perties as either a b o t t o m layer i n a multilayer-resist structure or as a p l a n a r i z i n g layer i n a n e t c h b a c k process, a l t h o u g h poly(a-methylstyrene) m a y also be useful i n the l a t t e r process. N e i t h e r of these m a t e r i a l s are m a r k e t e d for use i n the microelectronics i n d u s t r y , but we have e x a m i n e d some e x p e r i m e n t a l m a t e r i a l s from F u t u r r e x Inc. ( T i n g C . Η . , Ρ ai P . a n d S o b c z a c k Z . , P a p e r t o be presented at V L S I M u l t i l e v e l Interconnection C o n f . i n S a n t a C l a r a , C a . , June, 1989) t h a t have comparable p l a n a r i z i n g proper­ ties t o the ortho-cresol novolac a n d poly (a-me t h y Is tyre ne) m a t e r i a l s . These m a t e r i a l s m a y be a v a i l a b l e to the microelectronic i n d u s t r y i n the future. F i l m s of 7:3 mixtures of 1-naphthyl acrylate a n d e t h o x y l a t e d b i s p h e n o l - A d i m e t h a c r y l a t e h a d better p l a n a r i z i n g properties t h a n a n y of the resins t h a t were e x a m i n e d a n d m a y be useful as layers for e t c h b a c k pro­ cessing. F o r use as b o t t o m layers i n multilayer-resist structures it w i l l be necessary t o bake the films after u v hardening t o increase the T , a n d i f the exposure w a v e l e n g t h is above 300 n m , a n appropriate dye must be a d d e d to eliminate substrate reflections t h a t degrade resolution. 0

0

g

Acknowledgments

We thank John F r a c k o v i a k , A v i K o r n b l i t , N i c k C i a m p a and Hans Stocker for f a b r i c a t i o n of the topographic substrates a n d for performing some of the reactive-ion etching experiments. W e also t h a n k M o l l y H e l l m a n for doing c h r o m a t o g r a p h i c analysis of some of the resins, a n d K e n T a k a h a s h i , F r a n k V e n t r i c e a n d R e d d y R a j u for contact angle measurements, assistance w i t h T measurements a n d helpful discussions. K

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

15. STILLWAGON & TAYLOR

Organic Materials as Planarizing Layers

Literature Cited 1. Burggraaf, P., Semicond. Internat. 1986, 9(3), 55. 2. A. N. Saxena and D. Pramanik, Solid State Tech., Oct. 1986, 95. 3. Sato K., Harada S., Saiki Α., Kimmura T., Okubo T. and Mulai K., IEEE Trans. Parts, Hybrids, Packag. 1973, php-9, 176. 4. Adams A. C. and Capio C. D., J. Electrochem.Soc. 1981, 128, 423. 5. Rothman L. B., ibid. 1980, 127, 2216. 6. White L. K., ibid. 1983, 130, 1543. 7. Wilson R. H. and Piacente P. Α., ibid. 1986, 133, 981. 8. Pampalone T. R., DiPiazza J. J. and Kanen D. P., ibid. 1986, 133, 2394. 9. Schiltz Α., Abraham P. and Dechenaux E., ibid. 1987, 134, 190. 10. Stillwagon L. E., Larso 11. Emslie A. G., Bonne 858. 12. Stillwagon L. E. and Larson R. G., ibid. 1988, 63, 5251. 13. Pampalone T. R., Solid State Technol. 1984, 27(6), 115. 14. Gokan H., Mukainaru M. and Endo N., J. Electrochem. Soc. 1988, 135, 1019. 15.

Gokan H., Esho S., Ohnishi Y., ibid. 1983, 130, 143.

RECEIVED

July 18,

1989

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

265

Chapter 16

New Negative Deep-UV Resist for K r F Excimer Laser Lithography Masayuki Endo, Yoshiyuki Tani, Masaru Sasago, and Noboru Nomura Semiconductor Research Center, Matsushita Electric Industrial Company Ltd., 3-15, Yagumo-nakamachi, Moriguchi, Osaka 570, Japan

A photosensitiv an aromatic azid phenyl methane) and a resin matrix (poly (styrene-co-maleic acid half ester)), has been developed and evaluated as a negative deep UV r e s i s t for high resolution KrF excimer laser lithography. S o l u b i l i t y of this r e s i s t in aqueous alkaline developer decreases upon exposure to KrF excimer laser i r r a d i a t i o n . The alkaline developer removes the unexposed areas of this r e s i s t . No swelling-induced pattern deformation occurs and high aspect ratio sub-halfmicron patterns in 1 micron film thickness are obtained with high s e n s i t i v i t y . 1.

Introduction

KrF excimer l a s e r l i t h o g r a p h y t h a t u t i l i z e s s h o r t e r w a v e l e n g t h h a s become o f g r e a t i n t e r e s t a s a means o f f a b r i c a t i n g 0.3-0.5 micron patterns i n semiconductors (1-3). One p r o b l e m w i t h K r F e x c i m e r l a s e r l i t h o g r a p h y i s t h e l a c k o f h i g h r e s o l u t i o n r e s i s t . Many a t t e m p t s t o o b t a i n s u i t a b l e r e s i s t f o r K r F excimer l a s e r has been r e p o r t e d . C o - a n d t e r p o l y m e r s o f PMMA w i t h i n d e n o n e ( 4 ) , o x i m i n o b u t a n o n e m e t h a c r y l a t e ( 5 ) , and m e t h a c r y l o n i t r i l e (6) h a v e been used as h i g h r e s o l u t i o n p o s i t i v e d e e p UV r e s i s t s . However, t h e i r s e n s i t i v i t y t o K r F e x c i m e r l a s e r i r r a d i a t i o n and r e s o l u t i o n are not s u f f i c i e n t f o r use i n p r a c t i c a l KrF excimer l a s e r l i t h o g r a p h y process (7). N a p h t h o q u i n o n e d i a z i d e - b a s e d p o s i t i v e r e s i s t s have h i g h o p t i c a l d e n s i t y , so t h e p r o f i l e s o f t h e i r p a t t e r n a r e degraded ( 2 , 3 , 7 ) . R e c e n t l y , W i l l s o n e t a l . have r e p o r t e d on 1 , 3 - d i a c y l - 2 - d i a z o l i n k a g e d e r i v a t i v e d i s s o l u t i o n i n h i b i t i o n system w i t h h i g h s e n s i t i v i t y as a p o s i t i v e deep UV r e s i s t . However, t h e r e s o l u t i o n a n d p a t t e r n 0097-6156/89/0412-0269$06.00/0 © 1989 American Chemical Society

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

POLYMERS IN MICROLITHOGRAPHY

270

p r o f i l e s of the r e s i s t have not been f u l l y d e s c r i b e d (8). Orvek e t a l . have presented o r g a n o s i l i c o n p o s i t i v e photo­ r e s i s t f o r KrF excimer l a s e r . Half-micron p a t t e r n s were obtained u s i n g a KrF excimer l a s e r contact p r i n t i n g system, while the complicated b i l a y e r process i s r e q u i r e d f o r the use of the r e s i s t (9). As f o r negative deep UV r e s i s t , Ο'Toole e t a l . have e x h i b i t e d h a l f - m i c r o n p a t t e r n r e s o l u t i o n i n 0.5 micron f i l m t h i c k n e s s u s i n g the new r e s i s t and PIE process (10). The p a t t e r n p r o f i l e s , however, were r e - e n t r a n t , due t o the l a r g e photo a b s o r p t i o n and the a p p l i c a t i o n s t o s i n g l e - l a y e r - r e s i s t system have not been presented (11). We have developed a new negative deep UV r e s i s t f o r KrF excimer l a s e r l i t h o g r a p h y . The r e s i s t i s composed of 4,4'-diazidodiphenyl methane ((a) i n F i g u r e 1) as a p h o t o s e n s i t i v e azide compound and p o l y ( s t y r e n e - c o - m a l e i c a c i d h a l f e s t e r ) ((b Azide-phenolic r e s i by workers at H i t a c h i . They are used f o r i - l i n e (12) or f o r deep UV l i g h t (13), and the a p p l i c a t i o n s t o KrF excimer l a s e r l i t h o g r a p h y have not been demonstrated. We have found the combination of the a z i d e compound and the styrene r e s i n i s w e l l s u i t e d f o r a c h i e v i n g h i g h r e s o l u t i o n and high aspect r a t i o p a t t e r n s u s i n g KrF excimer l a s e r stepper system, because of the absence of s w e l l i n g - i n d u c e d p a t t e r n deformation d u r i n g a l k a l i n e development and the s u i t a b l e o p t i c a l d e n s i t y a t 248 nm i n terms o f s e n s i t i v i t y . In t h i s paper, the m a t e r i a l c h a r a c t e r i s t i c s and l i t h o g r a p h i c e v a l u a t i o n of t h i s new r e s i s t are demonstrated. The r e s i s t meets the requirements f o r KrF excimer l a s e r l i t h o g r a p h y , which e x h i b i t s h i g h s e n s i t i v i t y , h i g h r e s o l u t i o n and high aspect r a t i o pattern p r o f i l e s . 2.

Experimental

2.1.

Resist preparation 1

The p h o t o s e n s i t i v e azide compound was 4 , 4 - d i a z i d o d i ­ phenyl methane (m.p. 44.0 t ) . The p o l y (styrene-co-maleic a c i d h a l f e s t e r ) was used as a r e s i n matrix. The a z i d e compound was mixed with the styrene r e s i n i n the range of 10 t o 40 wt%, and d i s s o l v e d i n 2-methoxyethyl acetate. 2.2.

Spectroscopic c h a r a c t e r i z a t i o n

The IR s p e c t r a of t h i s new r e s i s t f i l m s on s i l i c o n s u b s t r a t e s were measured with a Shimadzu FTIR-4000 F o u r i e r transform spectrometer. The UV s p e c t r a of 4,4·d i a z i d o d i p h e n y l methane i n a quartz c e l l and the f i l m s of p o l y ( s t y r e n e - c o - m a l e i c a c i d h a l f e s t e r ) and the new r e s i s t on quartz s u b s t r a t e s were measured with a Shimadzu UV-265FS double-beam spectrometer.

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

16.

ENDOETAL.

2.3.

Deep-UV Resistfor KrFExcimer Laser Lithography

271

Lithographic evaluation

The new r e s i s t was spin-coated on a s i l i c o n s u b s t r a t e and baked f o r 20 min. a t 80°C i n a convection oven. A f t e r exposure, the r e s i s t f i l m was developed with a 60s immersion i n tetramethyl ammonium hydroxide (TMAH) aqueous s o l u t i o n . The f i l m t h i c k n e s s of the r e s i s t was 1.0 micron. The exposure was done with a 5X KrF excimer l a s e r stepper system (N.A 0.36) we manufactured (14). S e n s i t i v i t y i s d e f i n e d as the exposure energy necessary f o r 50% r e s i s t t h i c k n e s s remaining i n the exposed areas. Contrast values are assessed by measuring the slope o f the l i n e a r p o r t i o n of the curve obtained by p l o t t i n g the t h i c k n e s s of the r e l i e f image as a f u n c t i o n of the logarithm of th (15) Th fil t h i c k n e s s was measure monitor (Nanometrics) The r e s i s t p a t t e r n p r o f i l e s were evaluated u s i n g a JEOL JSM-T200 scanning e l e c t r o n microscope. 3.Results 3.1.

and

Discussion

O p t i m i z a t i o n of the r e s i s t

composition

Figure 2 shows the exposure c h a r a c t e r i s t i c s f o r a z i d e - s t y r e n e r e s i n r e s i s t f i l m with an a z i d e c o n c e n t r a t i o n from 10 t o 40 wt% (based on the styrene r e s i n weight) and Figure 3 shows the c o n t r a s t of the r e s i s t f i l m s as a f u n c t i o n of the azide c o n c e n t r a t i o n . Development was done with a 60s immersion i n 0.83% TMAH s o l u t i o n . The styrene r e s i n matrix alone has been found to be a negative deep UV r e s i s t . However, r a t h e r low c o n t r a s t (1.48) and low s e n s i t i v i t y (2.5 J/cm ) are observed. The c o n t r a s t and the s e n s i t i v i t y of the styrene r e s i n i s remarkedly increased by adding the a z i d e , as shown i n F i g u r e s 2 and 3. When the c o n c e n t r a t i o n of the azide exceeds 30 wt%, s e n s i t i v i t y decreases (Figure 2) and the c o n t r a s t becomes worse (Figure 3). T h i s i s due t o the i n c r e a s e o f the o p t i c a l d e n s i t y of the r e s i s t . Large o p t i c a l d e n s i t y prevents the l i g h t from p e n e t r a t i n g i n t o the r e s i s t (3,11). A l s o , the r e s i s t t h i c k n e s s remainig a f t e r development i s maximum at the 30 wt% azide c o n c e n t r a t i o n (Figure 2). From these r e s u l t s , i t was concluded t h a t the a z i d e - s t y r e n e r e s i n r e s i s t which contains 30 wt% 4,4'-diazidodiphenyl methane based on p o l y ( s t y r e n e - c o maleic a c i d h a l f ester) would be most s u i t e d f o r KrF excimer l a s e r l i t h o g r a p h y . The c o n t r a s t (4.72) was e x c e l l e n t and the s e n s i t i v i t y (30 mJ/cm ) was i n the d e s i r e d range. T h i s r e s i s t composition was subjected t o s p e c t r o s c o p i c c h a r a c t e r i z a t i o n and l i t h o g r a p h i c evaluation. 2

2

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

POLYMERS IN MICROLITHOGRAPHY

272

b

Figure 1. Chemical s t r u c t u r e s o f (a) 4 , 4 ' - d i a z i d o d i phenyl methane and (b) p o l y ( s t y r e n e - c o - m a l e i c a c i d half ester).

Figure 2 . E f f e c t o f a z i d e c o n c e n t r a t i o n on exposure c h a r a c t e r i s t i c s f o r azide-styrene r e s i n r e s i s t of 1 . 0 micron f i l m t h i c k n e s s .

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

16. ENDOETAK

Deep-UV Resist for KrF Excimer Laser Lithography

273

3.2. S p e c t r o s c o p i c c h a r a c t e r i s t i c s F i g u r e 4 demonstrates a FT-IR s p e c t r a o f t h i s new r e s i s t before and a f t e r 80C prebaking, and a f t e r exposure t o KrF excimer l a s e r i r r a d i a t i o n f o r 100 mJ/cm . The s t r o n g a b s o r p t i o n a t 2100 cm" due t o t h e a z i d e group s t r e t c h i n g v i b r a t i o n i n t h i s r e s i s t i s c l e a r l y present before prebaking. I t can be seen t h a t t h e c h a r a c t e r i s t i c bond a t 2100 cm-i of t h e azide decreased t o h a l f a f t e r prebaking and disappeared a f t e r exposure. No other s i g n i f i c a n t changes a r e observed. T h i s i n d i c a t e s t h a t t h e prebaking temperature h i g h e r than the m e l t i n g p o i n t o f t h e a z i d e decomposes t h e a z i d e (50%) and i t t o t a l l y decomposes upto 100 mJ/cm irradiation. I t i s p o s s i b l e t h a t subsequent r e a c t i o n s o f the n i t r e n e , generated from the a z i d e t h e r m o l y s i s and p h o t o l y s i s , with t h styren r e s i c o u l d b r e s p o n s i b l f o r s o l u b i l i t y modulatio The UV s p e c t r a f o dimethyl ether s o l u t i o n and f o r t h e styrene r e s i n f i l m with 1.0 micron t h i c k n e s s a r e shown i n F i g u r e 5. The a z i d e has an intense a b s o r p t i o n a t around 248 nm (molar e x t i n c t i o n c o e f f i c i e n t a t 248 nm = 3.0xl0 1/M*cm). The syrene r e s i n used as matrix polymer e x h i b i t s a s i g n i f i c a n t transparency a t 248 nm (70%). The UV s p e c t r a f o r t h i s r e s i s t f i l m , before and a f t e r exposure t o KrF excimer l a s e r i r r a d i a t i o n f o r 100 mJ/cm , are shown i n F i g u r e 6. The absorbance o f t h e a z i d e renders t h e r e i s t f i l m o f 1.0 micron t h i c k n e s s e s s e n t i a l l y opaque a t 248 nm. A f t e r exposure o f 100 mJ/cm , t h e absorbance bleaches from 0.5 t o 6.0% a t 248 nm. Intense a b s o r p t i o n by t h i s r e s i s t a t 248 nm c l o s e l y r e l a t e s t o the pattern p r o f i l e of the r e s i s t , which w i l l be d i s c u s s e d i n the l a s t s e c t i o n . e

2

1

2

4

2

2

3.3. D i s s o l u t i o n k i n e t i c s In order t o determine t h e a p p r o p r i a t e development c o n d i t i o n s , we examined d i s s o l u t i o n c h a r a c t e r i s t i c s f o r r e s i s t f i l m s i n t h e aqueous a l k a l i n e developers by measuring f i l m t h i c k n e s s as a f u n c t i o n o f development time. In F i g u r e 7, d i s s o l u t i o n c h a r a c t e r i s t i c s f o r t h e new r e s i s t before and a f t e r exposure t o KrF excimer l a s e r are compared with those f o r t h e styrene r e s i n matrix. The exposure energy was 100 mJ/cm and t h e a l k a l i n e c o n c e n t r a t i o n i n TMAH s o l u t i o n was 0.83%. Large d i f f e r e n c e s i n s o l u b i l i t y a r e observed between these three f i l m s . T h i s i n d i c a t e s t h a t the thermally o r photochemically decomposed a z i d e (Figure 4) i n h i b i t s t h e d i s s o l u t i o n o f the styrene r e s i n i n t o t h e a l k a l i n e developer. The i n h i b i t i o n may be due t o t h e i n c r e a s e o f t h e molecular weight o f t h e styrene r e s i n i n t h e presence o f t h e decomposed a z i d e . Hydrogen a b s t r a c t i o n from t h e polymer by n i t r e n e o f t h e decomposed a z i d e and subsequent polymer r a d i c a l recombination r e s u l t i n a i n c r e a s e i n t h e molecular weight o f t h e polymer (17). 2

In Polymers in Microlithography; Reichmanis, E., et al.; ACS Symposium Series; American Chemical Society: Washington, DC, 1989.

POLYMERS IN MICROLITHOGRAPHY

274

5.0 4.0 ω 3.0