On-wafer microwave measurements and de-embedding 1630810568, 9781630810566, 1630813710, 9781630813710

This new authoritative resource presents the basics of network analyzer measurement equipment and troubleshooting errors

695 85 6MB

English Pages [251] Year 2016

Report DMCA / Copyright

DOWNLOAD FILE

Polecaj historie

On-wafer microwave measurements and de-embedding
 1630810568, 9781630810566, 1630813710, 9781630813710

Table of contents :
1 Measurement Equipment
2 Network Analyzer Basics and Calibration
3 Silicon Integrated Passive Devices
4 OnWafer DeEmbedding Methods
5 Experimental Device Characterizationin CMOS
6 A Recipe for Successful OnWafer Characterization
A Network Theory and Device Metrics

Citation preview

On-Wafer Microwave Measurements and De-Embedding

For a complete listing of titles in the Artech House Microwave Library, turn to the back of this book.

On-Wafer Microwave Measurements and De-Embedding Errikos Lourandakis

Library of Congress Cataloging-in-Publication Data A catalog record for this book is available from the U.S. Library of Congress.

British Library Cataloguing in Publication Data A catalogue record for this book is available from the British Library.

Cover design by John Gomes ISBN 13: 978-1-63081-056-6

© 2016 ARTECH HOUSE 685 Canton Street Norwood, MA 02062

All rights reserved. Printed and bound in the United States of America. No part of this book may be reproduced or utilized in any form or by any means, electronic or mechanical, including photocopying, recording, or by any information storage and retrieval system, without permission in writing from the publisher. All terms mentioned in this book that are known to be trademarks or service marks have been appropriately capitalized. Artech House cannot attest to the accuracy of this information. Use of a term in this book should not be regarded as affecting the validity of any trademark or service mark. Many product and company names that occur in this book are trademarks or registered trademarks of their respective holders. They remain their property, and a mention does not imply any affiliation with or endorsement by the respective holder.

10 9 8 7 6 5 4 3 2 1

Contents Foreword

xi

Preface

xiii

Acknowledgments

xvii

Introduction

xix

I.1

Basics of AC Signal Analysis

xx

I.2

Frequency-Domain Analysis

xxiv

I.3

Time-Domain Analysis

xxv

I.4

Summary

xxvi

1

Measurement Equipment

1

1.1

On-Wafer Probe Station

2

1.1.1 Manual Probe Station

3

1.1.2 Semiautomatic Probe Station

5

Coplanar On-Wafer Probes

8

1.2

1.2.1 Probe Tip Planarity and Alignment

10

1.3

Coaxial Cables and Connectors

12

1.4

Calibration Substrates

18

1.4.1 On-Wafer Calibration Standards

20

On-Wafer Measurement Setup with Network Analyzer

28

Summary

29

References

30

1.5 1.6

v

vi

On-Wafer Microwave Measurements and De-Embedding

2

Network Analyzer Basics and Calibration

33

2.1 2.2 2.3 2.4 2.5 2.6

Network Analyzer Basics Signal Flow Graphs VNA Calibration VNA Error Model One-Port Error Model Two-Port Error Model with 12 Terms

34 37 39 41 43 44

2.6.1 Forward Error Model

44

2.6.2 Reverse Error Model

45

Two-Port Error Model with Eight Terms On-Wafer Calibration Methods

46 48

2.8.1 SOLT

48

2.8.2 TRL

49

2.8.3 LRM

51

2.8.4 LRRM

52

2.8.5 Verification of Successful On-Wafer Calibration

53

2.7 2.8

2.9 Repeatability of On-Wafer Calibration 2.10 Summary References

56 60 62

3

Silicon-Integrated Passive Devices

65

3.1 3.2

Back-End of Line (BEOL) in CMOS Silicon-Integrated Inductors 3.2.1 CMOS Inductors 3.2.2 Inductor Design for Test Silicon-Integrated Capacitors 3.3.1 CMOS Capacitors 3.3.2 Capacitor Design for Test Silicon-Integrated Transmission Lines 3.4.1 CMOS Transmission Lines 3.4.2 Transmission Line Design for Test Summary References

65 68 68 74 75 75 79 80 83 86 87 89

3.3

3.4

3.5

Contents

vii

4

On-Wafer De-Embedding Methods

93

4.1

RAW and DUT Reference Plane

93

4.2

Lumped Elements Versus Distributed Approach

94

4.3

OPEN-SHORT De-Embedding

97

4.4

THRU De-Embedding

100

4.5

OPEN-SHORT-THRU De-Embedding

102

4.6

L-2L De-Embedding

105

4.7

Multiport De-Embedding

108

4.7.1 Multiport OPEN-SHORT

108

4.7.2 Four-Port THRU De-Embedding

110

4.8

De-Embedding Example: Inductor

111

4.9

De-Embedding Example: Transmission Line

113

4.10 Summary

116

References

118

Experimental Device Characterization in CMOS

121

5.1

On-Wafer Two-Port Measurement Setup

122

5.2

De-Embedding or Calibration at the DUT?

123

5.3

Inductor Design and Characterization

126

5.3.1 Experimental Results for Inductors

129

Capacitor Design and Characterization

136

5.4.1 Experimental Results for Capacitors

137

Transmission Line Design and Characterization

142

5.5.1 Experimental Results for Transmission Lines

143

Summary

146

References

148

A Recipe for Successful On-Wafer Characterization

151

6.1

Understand Your Equipment

152

6.2

Understand Your DUT

154

5

5.4 5.5

5.6

6

viii

On-Wafer Microwave Measurements and De-Embedding

6.3

Good and Bad Practices for On-Wafer Measurements

157

6.3.1 Good Practices

157

6.3.2 Bad Practices

159

Good and Bad Practices for On-Wafer De-Embedding

159

6.4.1 Good Practices

159

6.4.2 Bad Practices

161

How to Achieve Consistent On-Wafer Measurements

162

6.6

The On-Wafer Characterization Recipe

168

6.7

Summary

170

6.4

6.5

Appendix A: Network Theory and Device Metrics

173

A.1

Linear Network Theory

173

A.1.1 Y-Parameters

173

A.1.2 Z-Parameters

174

A.1.3 S-Parameters

175

A.1.4 ABCD-Parameters

176

A.1.5 T-Parameters

176

Passive Device Metrics

177

A.2.1 Inductor Metrics

179

A.2.2 Capacitor Metrics

180

A.2.3 Transmission Line Metrics

181

Summary

181

A.2

A.3

Appendix B: Even- and Odd-Mode Analysis

183

B.1

Even- and Odd-Mode Excitations

183

B.1.1 Even-Mode Analysis

184

B.1.2 Odd-Mode Analysis

185

S-Parameters with Even- and Odd-Mode Signals

186

B.2

Appendix C: MATLAB Code C.1

MATLAB Code for OPEN-SHORT De-Embedding

189 189

Contents

ix

C.2

MATLAB Code for THRU De-Embedding

190

C.3

MATLAB Code for OPEN-SHORT-THRU De-Embedding

192

C.4

MATLAB Code for L-2L De-Embedding

196

C.5

MATLAB Code for Four-Port THRU De-Embedding

197

C.6

MATLAB mmat Function

201

C.7

MATLAB Code for Inductor Metrics

203

C.8

MATLAB Code for Capacitor Metrics

204

C.9

MATLAB Code for Transmission Line Metrics

206

Acronyms

209

About the Author

211

Index

213

Foreword Errikos Lourandakis was one of my scientific coworkers at the University of Erlangen-Nuremberg in Germany, where he finished his Ph.D. thesis about frequency agile microwave circuits based on ferroelectric thin-film varactors in 2009. His doctoral work has dealt in detail with microwave theory, design, and measurement techniques, and I am happy that I was able to convince him to stick to this emerging research and development area during his career until now; naturally, I am quite honored to be asked to write a foreword for his first book in the area of RF and microwaves. Since the early 1990s, microwave and microelectronic technologies have increasingly grown together because of the strongly emerging, killer applicationlike mobile radio business, which is still increasing. Today, a growing number of emerging applications rely more on wireless, high-speed, and/or low-latency communications and sensing. As a result, microwave technologies are becoming an integral part of many more systems such as smart embedded, cyber-physical, and More-Than-Moore systems for a growing number of both professional and consumer applications. As immediate past president of the IEEE Microwaves, Theory, and Techniques (MTT) Society, I am especially acquainted with the ongoing development trends, which the MTT Society is taking into account by adapting its conferences and journals and even by creating new ones. So the field of RF and microwaves, and due to the requirement for more miniaturization, especially the area of integrated RF and microwave devices and circuits, is on the move. This development trend is, associated with the development of complex microwave measurement techniques all along the RF and microwaves food chain from materials to systems. However, despite this fact, a really comprehensive text on the subject of on-wafer measurements and de-embedding has not appeared until now, and this book fills that need. I find that the author has been very thorough in putting together this long missed book. To start with, one needs a good understanding of linear network theory, passive, both lumped and distributed device metrics, and S-parameters with even- and odd-mode signals, which is covered in the Appendices. Beyond this, the six main chapters cover the topic from the basics to the experimental implementation in a very organized and easy-to-understand fashion, facilitating its use by the designer, researcher, and teacher. A chapter presenting a recipe for xi

xii

On-Wafer Microwave Measurements and De-Embedding

successful on-wafer characterization and an appendix providing MATLAB source codes for de-embedding routines conclude the work. Overall, I found that the book is well balanced and treats the material in depth or else provides adequate references for follow-up. I congratulate the author on a text that I am confident will be well received and used by the RF and microwave community for many years to come. Dr. Robert Weigel, Fellow IEEE Professor, University of Erlangen-Nuremberg, Germany

Preface Before introducing the topics of microwave measurements and experimental characterization, which are essential parts of this book, we are asked to define right at the beginning the terms of “measurement” and “experiment.” To some readers measurements might appear as an abstract term and its perception in the real world may be varying. One of the most elegant definitions that have been phrased belongs to the well-known physicist Max Planck and is given in his work Scientific Autobiography and Other Papers from 1949. According to Planck: “An experiment is a question which science poses to Nature, and a measurement is the recording of Nature’s answer,” which is indeed the essence of experimental characterization and serves perfectly the cause of our investigation. Radio frequency (RF) and microwave measurements have played a crucial role in the technology development that we have witnessed over the last few years. In simple words we could state that microwave measurement is the procedure of monitoring and capturing the electrical performance of a device or circuit under alternating current (AC) signal excitation. The frequency range of interest here spans from the lower megahertz region to tens of gigahertz, according to the microwave domain. Evaluating the electrical performance is established by well-known network theory and by using the proper instrumentation and signal propagation media. This rather simplistic description allows for a variety of interpretations. In the context of this work, we will focus on signal propagation via coaxial transmission lines and instrumentation used to measure small signal network parameters. Device characterization and modeling are cornerstones of the technology and RF design evolution. Although this part of the technology development chain may appear fuzzy to many engineers, the added value behind it is indisputable. Imagine yourself facing an RF hardware design and characterization project. Many designers will immediately associate this task with availability of reliable technology device models and tools suitable for the specific application. Those models, whether for active devices such as transistors or passive devices such as inductors, capacitors, and transmission lines, have resulted from careful design and characterization work previously performed by technology vendors. A different scenario is being the modeling engineer who is called to characterize a variety of technology devices and contribute to the modeling effort. These xiii

xiv

On-Wafer Microwave Measurements and De-Embedding

scenarios apply for both RF printed circuit boards (PCB) and silicon integrated circuits (IC) that are fabricated on thin silicon-based discs called wafers. Hereafter, we will use the term on-wafer devices for silicon integrated devices and the term on-wafer measurements for the RF characterization work performed on the silicon wafers. Radio frequency integrated circuits (RFIC) engineers rely on accurate device models or trustworthy measurements of those types of passive and active devices for designing integrated circuits. As is often the case, the semiconductor foundries provide designers with device model libraries containing circuit models for the passive and active devices, which form the basis for integrated circuit design. These libraries are part of a process design kit (PDK) that contain all the technical documentation and models, related with the specific semiconductor process. Semiconductor foundries perform exhaustive measurement and characterization campaigns for providing these device models. Engineers dealing with physical characterization of integrated passive devices will face a variety of issues in order to fully understand all the important aspects of on-wafer microwave measurements. Starting from the basic operating principles of the measurement equipment, the calibration of the on-wafer measurement setup, the actual device under test (DUT) design, and finally on the proper on-wafer de-embedding strategy. The motivation for writing this book is derived from the complexity of the subject called on-wafer measurements and the associated characterization techniques. The present work aims to bridge the gap between academic knowledge and real-world silicon design and measurements. The lack of detailed guidelines for performing device design and characterization as needed in modern RFIC is a fact that every RF engineer and researcher faces when entering this field. Academic textbooks on how to perform on-wafer measurements and design the on-wafer experiments are largely missing in the literature. Gathering information on the subject falls on the shoulders of the individual researcher. As a result, a patchwork of book chapters, application notes and educational training materials from equipment vendors is collected. The purpose here is to provide a complete and comprehensive guide for performing on-wafer measurements. This starts with the theoretical principles of measurement equipment followed by real-world silicon designs and characterization work. At each stage the reader shall be assisted by hints and well-proven techniques that yield repeatable and consistent results. Device model and test engineers may be familiar with the terms small signal network analysis, device under test (DUT), calibration, and de-embedding for microwave measurements on printed circuit boards (PCB). The same principles apply also for on-wafer measurements while the scale of the physical dimensions is now in the micrometer range or even smaller. The scope of this book is to provide a comprehensive understanding of the basics of on-wafer measurements, calibration, and de-embedding of silicon integrated passive devices. Chapter 1 covers the basics of the measurement equipment used to perform high-frequency on-wafer measurements. Starting from the probe station, the

Preface

xv

operating principles are explained and the two main categories of manual and semiautomatic probe stations are investigated. Coplanar RF probes, as used for on-wafer probing, are subsequently discussed as well as their importance in the measurement setup. We present the basic characteristics of coplanar highfrequency probes and the coaxial cables that provide the interface between silicon chip and instrumentation. Calibration substrates and their associated standards that are used for on-wafer measurements are discussed next. Concluding the chapter is a description of the entire measurement setup including the probe station, network analyzer, and all needed accessories such as coaxial probes, cables, and substrates. In Chapter 2 we focus attention on the basics and the operating principles of the vector network analyzer (VNA), which is the key instrument for capturing small signal network parameters. The internal architecture, operating principles, and error model associated with the VNA are discussed. The terms reference plane and calibration are introduced at this stage allowing for a detailed investigation of VNA measurements. In this context we discuss the nature and properties of onwafer calibration standards such as OPEN, SHORT, LOAD, and THRU. Some of the most common calibration algorithms such as SHORT-OPEN-LOAD-THRU (SOLT), THRU-REFLECT-LINE (TRL), and LINE-REFLECT-REFLECTMATCH (LRRM) are discussed and verified by on-wafer measurements. Finally, the verification of an on-wafer calibration and its repeatability is discussed and demonstrated via real-world measurements. Throughout the text we will deal with semiconductor technology and the associated passive devices that are manufactured for characterization. In Chapter 3 we introduce the basic concepts of semiconductor device fabrication in the backend of line (BEOL). As opposed to front-end of line (FEOL), in BEOL we focus on the multimetal layer stackup and the dielectric layers that surround them. A typical cross section of a CMOS BEOL will be discussed along with the electrical and physical characteristics of the involved metals. In particular, we focus on silicon integrated inductors, capacitors, and transmission lines, since they are core building blocks for microwave and millimeter-wave IC design. The basic device properties and how to design the devices for on-wafer testing will be part of this investigation. From the previous discussions about VNA measurements, calibration, and DUT design, we have paved the way for the final DUT characterization step. In this context, the on-wafer measurements and subsequent de-embedding will be the topic of Chapter 4. At first, we introduce the terms of RAW devices with all their associated parasitics and the corresponding de-embedded devices. Besides the RAW device design we investigate the properties of the additional structures needed such as the OPEN, SHORT, and THRU as well as their equivalent circuit representations. A further step is to introduce the corresponding de-embedding algorithms such as OPEN-SHORT, THRU only, and OPEN-SHORT-THRU. A different de-embedding approach based on distributed transmission line theory

xvi

On-Wafer Microwave Measurements and De-Embedding

will be introduced with the L-2L de-embedding method. The performance of all methods will be evaluated based on results of electromagnetic (EM) device simulations. Chapter 5 focuses on experimental device characterization based on passive devices fabricated and tested in advanced 28-nm and 40-nm CMOS processes. In particular, integrated inductor design and characterization are discussed with hands-on, real-world silicon measurements and experimental data. De-embedding with a variety of algorithms such as OPEN-SHORT, THRU only, and OPEN-SHORT-THRU is performed and a comparison of the results is provided. A similar investigation is presented for fabricated and tested integrated capacitors and the de-embedding results of OPEN-SHORT and THRU only methods are compared to each other. Finally, we discuss the design and characterization of transmission lines based on fabricated devices and measured silicon data. Again, different de-embedding strategies such as OPEN-SHORT and L-2L are demonstrated and their results are compared to each other. Chapter 6 wraps up the discussion and presents a recipe for successful on-wafer measurements and device characterization. A collection of good practices is provided here for achieving reliable silicon device characterization. The discussion initiates from the actual DUT since this will essentially determine the characterization and de-embedding strategy. Understanding the physical aspects of the DUT is the first essential step for successful device characterization. As a second step, we discuss how to avoid common mistakes when it comes to high-frequency on-wafer measurements and de-embedding. Providing a wellproven list of good practices is essential for building confidence and establishing a consistent on-wafer device characterization procedure.

Acknowledgments This book is a result of the gathered experience from several years of work in academia and industry. I was blessed to be part of very talented research teams with individuals who were open to the scientific exchange that is necessary for pursuing excellence. It is due to pay tribute to those individuals who helped me to grow as a scientist and professional. First, I want to mention Professor Robert Weigel, who introduced me to this academic journey. Under his guidance at the University of Erlangen-Nuremberg in Germany, I had the opportunity to conduct scientific work at the highest level. Professor Weigel as a mentor was inspiring not only in academic matters. As part of a highly talented R&D team at Helic, Inc., I have participated in the development of cutting-edge technology solutions for the semiconductor market. I want to mention my colleagues Dr. Stefanos Stefanou, Dr. Konstantinos Nikellis, Dr. Yannis Moisiadis, and Padelis Papadopoulos for their contribution. The coexistence with such talented engineers and individuals has been a privilege and honor for me. Furthermore, I want to thank Dr. Yorgos Koutsoyannopoulos, Helic’s CEO, who has led us to a successful path and enabled the characterization work presented in this book. Last but not least, I want to express my deepest appreciation to my family for their unconditional love and support throughout all these years. Their presence, love, and guidance during my entire life allowed me reach at this point. I’m blessed to have them and I dedicate this book to my family as a minor sign of gratitude.

xvii

Introduction Using the terms microwave measurements or engineering in a book title is quite common today due to the huge impact of microwaves in modern technology and in our daily life. It is worth spending a moment to define the microwave technology and its role in today’s society. Let’s start by the straightforward scientific definition of microwaves as AC signals with frequencies in the range of 300 MHz–300 GHz, which corresponds to free-space wavelengths of roughly 1m–1 mm. A graphical representation of the electromagnetic spectrum and the part corresponding to microwaves, as given in Figure I.1, may help us to visualize the concept. For the historically inclined reader, we may trace back the first citation of the term “microwave” in the context of electromagnetic waves to 1931. A paper published by the International Telephone and Telegraph described a radio link from Dover, United Kingdom, to Calais, France, by using radiation of electromagnetic waves with wavelengths of 0.18m [1]. The radiation was called microwave and the radio system was called micro-ray. Following was a publication from 1933 [2] where the term microwave refers to wavelengths of about 0.5m and appears in one word as in its modern form. In 1935 microwaves are referred as radiation of wavelengths less than 10m [3] and the 1940 Amateur Radio Handbook mentioned microwaves as wavelengths below 1m [6]. Taking a closer look at the term “micro” used for microwaves and making the link to the physical wavelengths, we realize that it was not quite the proper choice, since the actual wavelengths are in the range of 1m to 1 mm. Nevertheless, their importance in the technology evolution that we witnessed in the area of communications, wireless sensing, and electronics is undisputed and we should forgive this minor misconception. A more elaborate treatment of the history of microwaves, their electromagnetic journey, and the important milestones until recently are given in [4–6]. It is not of primary interest to focus here on the history of microwave technology; we rather want to give a time stamp and emphasize the importance of microwaves in our daily life. Microwaves used to be of prime interest for military applications such as radar and satellite communications. Nowadays, major aspects of the wireless communications that are part of the mainstream culture are based on microwave theory and technology. Furthermore, microwave-based sensing applications have reached such technical maturity that xix

xx

Figure I.1

On-Wafer Microwave Measurements and De-Embedding

Electromagnetic spectrum.

they become attractive for mass volume markets such as automotive radar. Even security-related applications such as noninvasive microwave and millimeter-wave imaging solutions are available. In other words, microwaves are surrounding us in daily life and have contributed greatly to our modern lifestyle. The concept of detecting, measuring, and displaying AC signals of varying frequencies has been the core of microwave transmission measurements from the early stage. One basic principle used in this context is to measure an unknown quantity of a certain parameter (e.g., AC signal power) and compare it to a known parameter, which is taken at that time as a standard. A measurement is performed by comparing an unknown value with a known value previously determined by a known standard. That is a requirement for measurements on transmission systems as the ones used in this book. Therefore, from the early days of instrumentation, we encounter the terms signal source, detector, and indicator as core building blocks of measurement instruments.

I.1 Basics of AC Signal Analysis Throughout this book we will deal with AC signals in the microwave frequency range in the context of device characterization. To do so, we need to introduce some basic terms associated with AC signal analysis and understand their importance for our further discussion. From our textbooks we know that a general periodic AC signal of frequency f has a time-variant behavior expressed as V (t) = V0 e jωt = V0 [cos(ωt) + jsin(ωt)]

(I.1)

where V0 is the amplitude of the AC voltage signal and ω = 2π f = 2π/T is its angular frequency related to the signal period T . This AC voltage signal applied on a load of certain resistance R allows us to calculate the associated RF power P = V 2 /R. Insisting on power levels for AC signal inspection is very common in microwave designs and is used predominantly also for the instrumentation. The reason is that for microwave signals the propagation is typically performed by

Introduction

xxi

Figure I.2 (a) AC signal propagation, (b) AC signal waveforms. AC signal propagation along the transmission line.

transmission lines and we need to consider waveform signals, as indicated in Figure I.2. A signal source of certain internal impedance Rs is used to excite a voltage waveform V across the terminals of a transmission line of characteristic impedance Z0 , which is terminated with a load impedance RL . At low frequencies voltage and current measurements are straightforward and follow Ohm’s law P = VI = V 2 /RL = I 2 RL . However, as the frequency increases, measurements become difficult since current and voltage depend on the position along the signal line. Impedance mismatch and reflections may cause standing waves due to superposition of incident Vinc and reflected waves Vref . However, the power along the transmission line remains constant and is therefore preferred at microwave frequencies and is easier to understand. For AC signal analysis and microwave measurements, we commonly use voltage or power ratios for comparison. One of the most common metrics in this context is the signal ratio expressed in decibels (dB)     V1 P1 = 20log (I.2) Ratio(dB) = 10log P2 V2 when considered for the same load impedance R. Besides expressing relative signal ratios, we can use absolute power level units that relate to a known power level (e.g., for a reference power of 1 mW we get the dBm power unit). As a simple example, consider the power level of 1W, which is equal to 10log(1W/1mW)= 30 dBm. The reason for considering decibel ratios is simply that for AC signal analysis we often need to resolve small signals in the presence of large ones and display them simultaneously in the same graph. A logarithmic scale would compress the large signal amplitude and expand the small ones, allowing all signals to be displayed at the same time, as can be seen in Figure I.3. In this example we consider two AC signals of power levels P1 = 2W and P2 = 10 mW and display them both in the linear and logarithmic scales. It is readily seen that in the linear scale the power level P2 is barely visible, while for the same signal ratio in the logarithmic scale we can easily read the power levels.

xxii

Figure I.3

On-Wafer Microwave Measurements and De-Embedding

Linear and logarithmic scales for AC signals.

The logarithmic scale is the default representation used for spectrum and network analyzers in the RF domain and will be adopted throughout this text. The more familiar reader will clearly identify two trends when it comes to AC signal analysis in microwave engineering, namely time- and frequencydomain analysis. In this context it is helpful to introduce the terms of signal spectrum for frequency-domain analysis and time-variant signals for time-domain analysis. A signal spectrum is defined as power over frequency and is the basic representation when considering a frequency domain analysis. The measurement equipment used to capture a spectrum is known as a spectrum analyzer. However, a time-domain analysis is performed via an oscilloscope, where voltage signals are displayed over a certain period of time. Both domains are related to each other through a mathematical transformation known as the Fourier transform (FT) and its inverse (IFT). Fourier analysis is also called harmonic analysis, as it uses the trigonometric functions sine and cosine, as basis functions. Any periodic function f (t) of period T and angular frequency ω = 2πf = 2π/T may be expanded into a series of the trigonometric functions. f (t) =

∞ 

(Ak cos(ωk t) + Bk sin(ωk t))

(I.3)

k=0

ωk =

2π k , T

k = 0, 1, 2, 3, · · ·

(I.4)

Introduction

xxiii

The Fourier analysis allows for an expansion of f (t) with weighted sine and cosine functions. The amplitudes or Fourier coefficients Ak and Bk are determined in such a way that the infinite series is identical with the initial function f (t). FT and IFT are the links between time-domain f (t) and frequency-domain F (ω) representations of periodic AC signals.  ∞ f (t)e −jωt dt (I.5) F (ω) = −∞

1 f (t) = 2π





−∞

F (ω)e jωt d ω

(I.6)

For efficient computations, numerical solutions, and digital signal processing, we use now the fast fourier transform (FFT) and its discrete form (DFT), which have been integrated in modern microwave measurement equipment. Let’s consider a simple continuous wave sinusoidal signal of frequency f = 0.5 GHz and period T = 1/f = 2 ns, as displayed in both time and frequency domains in Figure I.4. Intuitively, we expect a pure sinewave signal to have a single spectral component at the corresponding frequency, as is readily seen by this simple example. The question arises what happens when the AC signal is more complex and can not be inspected visually as shown in Figure I.5. An experienced reader may

Figure I.4

Time- and frequency-domain representation of sine wave.

xxiv

Figure I.5

On-Wafer Microwave Measurements and De-Embedding

Time- and frequency-domain representation of AC signal.

identify or suspect the presence of harmonics that are superimposed on the original sinusoidal signal, but a qualitative analysis is nearly impossible when looking only at the time-domain signal. In this case, observing the power spectrum reveals clearly the presence of weighted harmonics at 1 GHz and 1.5 GHz, respectively. It becomes clear that only the combination of the two domains provides all the needed data for performing a comprehensive AC analysis. This becomes even more profound when other effects such as modulation come into play. A microwave engineer should therefore feel comfortable in both worlds since time- and frequency-domain are somehow siblings that collaborate for enabling powerful AC signal analysis. The implementation of such analysis methods in modern measurement equipment is not a commodity but a key enabler for performing AC signal measurements. In the following chapters we will focus on measurement techniques for device characterization in the frequency domain and use linear network theory for performing measurements in transmission systems with a network analyzer.

I.2 Frequency-Domain Analysis The traditional method for implementing a spectrum analyzer for the microwave frequency range is the swept IF receiver architecture of Figure I.6. Similar to a

Introduction

Figure I.6

xxv

Simplified spectrum analyzer architecture.

radio receiver, the spectrum analyzer is automatically tuned over the band of interest. A spectrum analyzer (SA) is basically a sweeping narrowband superheterodyne receiver [7]. The RF input signal is passed to an attenuator, used to adjust properly the input power level and prevent the mixer from being overdriven. A lowpass filtering step is introduced prior to the mixer to cut off the image frequency from the input signal. The RF signal at the mixer input is downconverted to the intermediate frequency (IF) by a variable local oscillator (LO) frequency. A sweep generator produces the required frequency ramp for the LO and also drives the horizontal frequency axis of the analyzer display. The downconverted signal is leveled by an IF amplifier and filtered by a variable IF bandpass filter which determines the resolution bandwidth (RBW) of the spectrum analyzer. A logarithmic amplifier follows and at the power detector the envelope of the RF signal is captured. The detector output is guided through a lowpass filter, also called a video filter, which smooths the signal before presenting it at the display. From the discussion so far on frequency-domain analysis, we understand that by using a spectrum analyzer we can quantify how power is distributed over frequency, but we are lacking information about the phase of the signal. Later when we introduce network analyzer measurements, we will discuss an alternative frequency-domain analysis that yields both magnitude and phase information.

I.3 Time-Domain Analysis As discussed in the previous sections, time-domain analysis is the sibling of frequency-domain analysis and contributes significantly to the understanding of AC signal relationships. The most comprehensive way of capturing time-variant signals is to use an oscilloscope, as in Figure I.7. In the early days of microwave time-domain analysis, oscilloscopes were analog instruments that used cathode ray tubes (CRT) and analog circuitry for capturing the time-domain signals. With the evolution of the technology of digital circuitry, analog-to-digital (A/D) conversion and digital signal processing prevailed [8]. Nevertheless, the basic principles of how to capture time variant signals and analyze them on a display

xxvi

On-Wafer Microwave Measurements and De-Embedding

Figure I.7

Simplified oscilloscope architecture.

remain still valid today. We shall briefly introduce here the operating principles of the analog oscilloscope so as to provide a first impression of the time-domain analysis concept. The signal at the oscilloscope input excites an input amplifier that directly drives the cathodes of the ray tube and causes the vertical displacement on the phosphor screen. Part of the input signal is also fed to a trigger circuit, which is a comparator and produces a voltage ramp every time the comparator detects a trigger event. The voltage ramp is used to drive the cathodes of the ray tube responsible for the horizontal sweeping. After the sweep the ramp generator returns to its initial state and waits for the next trigger event. The horizontal sweep is performed at a constant rate and is translated into time variance, while the vertical displacement represents the instantaneous signal amplitude.

I.4 Summary Summarizing all of the above, we can keep the fundamental definition of microwaves and their application for the technology evolution we have witnessed over the last century. Microwave technology has overcome the initial tight barriers of being a niche technology for military applications and has contributed tremendously in the development of telecommunications and electronics as we know them today. We introduced the two basic domains of signal analysis, namely frequency- and time-domain analysis, which are complementary and can be considered as the two siblings of signal analysis theory. Understanding some basic principles of AC signal analysis enables us to embark on a more comprehensive microwave journey, called RF and microwave device characterization and in particular on-wafer device characterization. In the upcoming chapters we are going to focus on frequency-domain measurements with network analyzers as the prime instrumentation for small signal measurements.

References [1]

Clavier, A. G., “Micro-Ray Radio,” Elec. Commun., July 1931, pp. 20–21.

[2]

Clavier, A. G., “Production and Utilization of Micro-Rays,” Elec. Commun., July 1933, pp. 3–11.

Introduction

xxvii

[3]

Hulburt, E., “The Ionosphere, Skip Distances of Radio Waves, and the Propagation of Microwaves,” Proceedings of the Institute of Radio Engineers, Vol. 23, No. 12, 1935, pp. 1492–1506.

[4]

Bryant, J. H., “The First Century of Microwaves: 1886 to 1986,” IEEE Transactions on Microwave Theory and Techniques, Vol. 36, No. 5, 1988, pp. 830–858.

[5]

Elliott, R. S., “The History of Electromagnetics as Hertz Would Have Known It,” IEEE Transactions on Microwave Theory and Techniques, Vol. 36, No. 5, 1988, pp. 806–823.

[6]

Sobol, H., and K. Tomiyasu, “Milestones of Microwaves,” IEEE Transactions on Microwave Theory and Techniques, Vol. 50, No. 3, 2002, pp. 594–611.

[7] Witte, R. A., Spectrum and Network Measurements, Atlanta, GA: Noble Publishing Corporation, 2001. [8]

Maichen, W., Digital Timing Measurements: From Scopes and Probes to Timing and Jitter, Vol. 33, New York: Springer, 2006.

1 Measurement Equipment

Having defined the term of microwave measurements and its importance in the technology evolution that we have witnessed over the last decades leads us to the next question. What equipment is actually needed for performing real-world microwave measurements? We have introduced previously some basic concepts of frequency-domain measurements and we are about to discuss how they apply in microwave device characterization and more specifically for onwafer measurements. The physical size of silicon integrated devices and circuits impose certain limitations in the handling of on-wafer devices [1]. In this context we will start the discussion with the probe station, which is the key equipment for handling silicon wafers or dies and provides the mechanics for on-wafer probing [2]. An introduction follows on the coplanar waveguide probes typically used for RF and microwave device characterization. Another important part of the measurement setup is the calibration substrates that allow us to calibrate the setup, prior to the actual measurements to be performed. Finally, the entire measurement setup consisting of a probe station with all its accessories along with the vector network analyzer (VNA) will be introduced. The unfamiliar reader may consider such equipment as trivial, but they play a crucial and distinct role in the characterization process. On-wafer probes along with any other coaxial transmission medium are used for providing the means of signal propagation between the device under test and the measurement instrumentation. For broadband frequency-domain characterization of linear devices, we typically use the VNA as the instrumentation of choice. Interconnecting all the abovementioned parts in a single measurement setup may appear trivial, but only the ones skilled in the art truly understand all the details. Scope of this chapter is to

1

2

On-Wafer Microwave Measurements and De-Embedding

guide the reader through the on-wafer microwave characterization process and introduce the necessary equipment.

1.1 On-Wafer Probe Station For a comprehensive understanding of the subject called on-wafer measurements, we need to set a basis starting with the equipment used. As mentioned before, silicon IC devices are fabricated by using very sophisticated semiconductor technology and the resulting physical dimensions are in the scale of micrometers. Such devices are typically fabricated on thin silicon discs called wafers. Therefore, all the related measurements are called on-wafer measurements. The device nature and their physical dimensions call for high precision when it comes to the handling of semiconductor wafers. We will start this investigation by focusing on the mechanical part of handling silicon wafers or individual IC chips. The equipment used here is the probe station, which in simple words is a precision mechanical apparatus for providing repeatable and controllable handling of wafers, probes, and substrates involved in the on-wafer measurement procedure. The probe station is the framework that integrates all the functionality needed for positioning, inspecting, and probing of silicon-integrated devices. On the probe station the silicon wafers are fixed on the chuck by applyingvacuum conditions. Mounting the probes on the positioners and the coaxial cables that connect the probes and measurement instrumentation provides the means of signal transmission on the wafer. All mechanical movements are controlled by micrometer manipulators and are typically observed under the microscope. Besides the basic mechanics, modern probe stations are assisted by powerful software tools that greatly enhance the probe station functionality. The control of the instrumentation, the VNA in our case, is performed by software and all data needed for calibration and measurement are handled by a personal computer (PC). Furthermore, the premium class probe stations allow for software control and accurate stepping of the probe station manipulators in the x, y, and z directions. Using digital microscopes nowadays allows for wafer mapping that uses optical pattern recognition techniques in order to identify periodically located patterns over the entire silicon wafer. This is of particular interest for production wafers, which typically have IC circuit blocks that periodically cover the entire wafer surface. A wafer map is the first step towards automated on-wafer measurements, which is of paramount importance for large-volume IC characterization. At this point, all those terms may sound abstract to the reader and our intention is to go through them step by step in order to gain better understanding. There are two major categories of probe stations that need to be considered here, namely, manual and semiautomatic or fully automatic probe stations. While in principle they consist of the same basic operating parts and modules, their capabilities are quite different and our task is to underline those principles and describe the probe station functionality.

Measurement Equipment

3

1.1.1 Manual Probe Station A manual probe station is in most cases what could be called the ‘work horse’ equipment in research laboratories. Typically, RF engineers involved in on-wafer device characterization have started from an early stage working with manual probe stations. The reason is simply that they are cost-effective working solutions offering at the same time the needed intensive learning procedure anybody has to go through when it comes to on-wafer measurements. As stated previously, a probe station is essentially a precision mechanical apparatus that allows us to handle IC wafers and individual dies in the micrometer range. This apparatus in collaboration with specific electrical measurement equipment sets the basis for on-wafer RF device characterization. Let’s now focus on the elemental parts of any probe station, as indicated in Figure 1.1, before we proceed with the description of their functionality. Chuck is the planar metallic surface at the center of each probe station that facilitates positioning the IC wafer or dies, along with additional substrates for calibration and planarization. The chuck is typically connected to a vacuum pump that provides the means of fixing the IC wafer on the chuck via small holes on the chuck surface. When the IC wafer is placed on the chuck and is covering the holes, the applied vacuum condition allows for a stable position of the IC wafer on the chuck. Providing a well-defined planar and stable position for the wafer is crucial when it comes to accurate and repeatable touchdown of the probes. In some cases

Figure 1.1 (a) Top view, (b) Front view. Manual probe station and its core building blocks.

4

On-Wafer Microwave Measurements and De-Embedding

the chuck also provides the means of controlling its surface temperature, and in this case it is called a thermo chuck. The more sophisticated probe stations use a metalic cage around the chuck for providing electromagnetic shielding against surrounding interference. Such closed box solutions are more suitable for applying temperature controlled measurements, especially in cryogenic applications [3–6]. The chuck position can be controlled in x- and y-domain by the probe station manipulators. An additional manipulator is used for correcting the azimuth position of the chuck and can be used to align the IC wafers or dies that have been misplaced by hand. The size of the chuck has to match the maximum silicon wafer diameter in order to perform well-controlled measurements. Typically we classify a probe station by its chuck size as a 6-, or 8-, or 12-inch station, for handling of corresponding silicon wafer discs with matching diameters. In case of individual IC dies with a typical area of a few mm2 , there are no limitations regarding the chuck size. Individual dies are placed on the chuck and fixed by local vacuum conditions. Top platen is the planar metallic surface that accommodates the RF positioners and is always at an elevation level above the chuck. This elevation level of the top platen is controlled by a handle called lever and is located usually at the probe station outer frame. By using the lever, we can manually lift or lower the top platen surface and by that the level of the probe tips, which have been mounted on the RF positioners. Using the lever in order to control the top platen height needs special care in order to avoid damage to the probes or the silicon wafer itself. The top platen serves also as the mechanical basis for the RF positioners or other DC probes to be placed on the probe station. Its construction is solid since for some setups, for example, millimeter-wave and load-pull measurements [7–9], the top platen carries extender units with a noticeable size and weight. In some cases the top platen also incorporates a metallic cage around the chuck that seals it completely and forms a Faraday cage that provides shielding towards interfering electromagnetic signals. Probe positioners are the precision mechanical accessories allowing for positioning and manipulating the probe head in the micrometer scale. RF or DC probes can be mounted on the positioner as needed for measurement setups where RF and DC control signals have to be used simultaneously. The probes are fixed on the positioners and coaxial cables are connected between the instrumentation and the probe connectors for providing the signal transmission medium between the instrumentation and the silicon integrated devices. In a typical two-port setup with a network analyzer the two RF positioners are placed in a West and East orientation, whereas more complex setups result when using the North and South positioners for providing additional RF or DC control signals. All positioners have precision manipulators that allow for x-, y-, and z-movement of the mounted probe heads in the micrometer scale. More sophisticated setups use software-controlled steppers for the positioner’s movement. The coarse probe station movements in the x- and y-axis are performed by the probe station handles

Measurement Equipment

5

themselves. The RF positioners are used for ensuring the final touchdown position of the probe tips and their handling is therefore crucial in the probing procedure. A microscope, whether it is an optics-based stereo microscope or a digital image sensor combined with a lens objective, provides the means of observation and operation in the micrometer scale needed for handling the IC dies and probes. As known from the world of optics, microscopes are dominated heavily by the optical performance of their lenses, which determine the crucial parameters such as magnification, field of view, and working distance from the level of focus. Modern microscopes with stereo or digital optics can support different lenses with varying magnifications. Discussing at this point the elemental rules of optics helps us gain a better understanding of the proper microscope use. For both analog and digital microscopes, the optical magnification is calculated by multiplying the magnification of the microscope core and the lens, while the field of view (FOV) of the microscope is inversely proportional to the total magnification. It is sometimes useful to determine whether a specimen will be fully visible in the images. In typical characterization work we need to change frequently the magnification levels and focus on different parts of the silicon wafer. Using an optical stereo microscope calls for adjusting the focus every time we alter the magnification level. However, a digital microscope with an image sensor that has been calibrated for the used magnification levels can keep its focus regardless of the magnification [10]. In terms of a digital microscope with an image sensor, the FOV varies with changes in the image sensor size, objective magnification, and microscope adapter magnification. FOV =

Image Sensor Size Objective Magnification × Adapter Magnification

(1.1)

The trade-off is among total magnification, FOV, and the working distance needed for a specific objective lens. 1.1.2 Semiautomatic Probe Station Semiautomatic or fully automatic probe stations are more than just the evolution of manual probe stations. Manual probe stations are usually used for entry level characterization in academic and industrial laboratories, while semiautomatic and fully automatic probe stations lift the burden of mass volume characterization in production processes. The scope of this section is to get familiar with the basic functionality of a semiautomatic probe station, and for doing so we will consider a computer-controlled semiautomatic 12-inch probe station with a digital microscope and the proper automation software. As indicated in Figure 1.2, the semiautomatic probe station has a higher mechanical complexity since it has to facilitate all the stepping motors and their control wiring that allows for automated probe station movements. Additionally, the digital microscope that is used for observing the silicon-integrated devices

6

Figure 1.2

On-Wafer Microwave Measurements and De-Embedding

(a) Manual probe station, (b) semiautomatic probe station. Probe station systems. (Courtesy of Cascade Microtech, Inc.)

on the wafer is connected to display monitors for ergonomic operation. The microscope and the probe station software enable a higher functionality than just the optical inspection. The digital image sensor of the microscope is calibrated with the optical resolution of the objective lens. For a better understanding, it is helpful to introduce some basic principles of optics and microscopy at this point. Due to the physics of the image formation process, even a perfect microscope objective will blur two adjacent objects into a single object when placed close enough together. One metric for determining the optical resolution of a microscope is the number of line pairs per millimeter (lp/mm). This metric sets the limit beyond which the optical resolution of the microscope does not allow us to distinguish clearly between individual shapes. With simple assumptions, we can estimate the limiting resolution for a microscope objective, determine the number of line pairs across the FOV, and compare this to the number of pixels covering the same distance for a given camera. One definition of the microscope’s optical resolution R is given as R=

λ 2 · NA

(1.2)

Measurement Equipment

7

Figure 1.3 (a) Silicon wafer and (b) the associated wafer map.

where λ is the wavelength of light in nanometers and NA is the numerical aperture of the lens. Correlating the number of line pairs per millimeter to the pixel density of the image sensor allows for moving the probe station chuck by point-click operations to specific areas on the display. For the unfamiliar reader, this functionality may sound trivial, but anyone who has worked previously with a stereo microscope will appreciate the ergonomic use of a digital microscope and the added capabilities. A characteristic example of the added functionality of semiautomatic probe stations is the wafer map creation, as shown in Figure 1.3, which is the first step towards automated measurements. As mentioned before, using a digital microscope and appropriate software allows for optical recognition of periodic patterns on the wafer. The IC blocks on the silicon wafer that are periodically spread over the wafer surface are identified as circuit blocks, as shown in Figure 1.3(b). Such blocks may consist of entire IC circuits or a group of sub-dies (e.g., passive or active devices). Furthermore, for each identified IC block the sub-dies can be identified by manual inspection and mapped as target sub-dies for the upcoming probing procedure. Performing all this wafer mapping work is valuable for setting up automated measurements. Once the touchdown height for the probes has been set, we can simply navigate between the IC blocks and select even individual sub-dies just by selecting them on the wafer map. In a similar way, the semiautomatic probe station enables a variety of automation operations, such as automated alignment of wafers and substrates and navigation between user-defined locations. All of the above allow for automated calibration and measurement cycles that increase significantly the productivity of characterization campaigns. Summarizing the functionality of manual and semiautomatic probes stations, as given in Table 1.1, helps us to choose the proper equipment. From the previous discussion, even the unfamiliar reader will have come to the conclusion

8

On-Wafer Microwave Measurements and De-Embedding

Table 1.1 Probe Station Functionality Functionality

Manual

Semiautomatic

Wafer handling Optical inspection Probing Multiport measurements RF and DC measurements Millimeter-wave measurements Software controlled Wafer alignment Wafer map Automated calibration Automated measurements Climate-controlled measurements EM-shielded chamber

     

            

that a semiautomatic probe station is clearly superior to its manual counterpart. Understanding the capabilities of a probe station is essential for choosing the right equipment. Having prior user experience with different type of probe stations is the optimum scenario when called to decide on the proper equipment for a laboratory.

1.2 Coplanar On-Wafer Probes Another important category of equipment used for on-wafer measurements are the probes. There is a large variety of probe types available, depending on the excitation signals (from DC to millimeter wave), probe tip configuration, and probe body styles. The objective of this discussion is to focus on RF probes [11], their properties and how they are used in on-wafer microwave characterization. A typical RF probe has a configuration as shown in Figure 1.4. An RF probe is essentially the interface between the silicon wafer and the coaxial transmission line media that transfer signals from the instrumentation to the wafer and vice versa. The probe body is fixed on the positioner of the probe station by placing screws in the mounting holes. Once the probe is fixed on the probe station, a coaxial cable that connects the probe with the instrumentation is attached to its connector. Within the probe body, a precision coaxial cable is formed between the connector and the probe tip. Absorber materials are placed on different parts of the probe for suppressing unwanted electromagnetic mode propagation. The most common probe tip configuration for RF probes is the coplanar waveguide (CPW), since it can be designed to match the characteristic impedance of 50, over a broad frequency band. The symmetric CPW probe tip configuration is also known as ground-signal-ground (GSG), due to the

Measurement Equipment

9

Figure 1.4 On-wafer RF probe.

Figure 1.5

CPW probe tip configurations. (© 2013 IEEE. Reprinted, with permission, from [11].)

central signal strip surrounded by the two ground planes. Although on-wafer measurements and calibration can be performed on both microstrip and coplanar waveguides [12], the coplanar GSG configuration is the most common. One of the reasons is its superior shielding against electromagnetic interference when compared to asymmetric probe tip configurations such as ground-signal (GS or SG) [13]. The design of the probe tip and its geometry have a great influence on the RF performance of the probe. A variety of different RF probe tip configurations is depicted in Figure 1.5. One common characteristic for all RF probes is their pitch, which defines the distance between the probe tips. The probe pitch has to match the geometry and spacing of the pads on the wafer, for ensuring good ohmic contact during the probing procedure. Table 1.2 presents the most important probe characteristics and metrics. A typical RF broadband performance of a GSG on-wafer probe is displayed in Figure 1.6, where we observe the insertion and reflection loss. Excellent transmission performance with insertion loss less than 1 dB is obtained at 60 GHz while the worst case reflection loss is around 20 dB. The reason we focus in our

10

On-Wafer Microwave Measurements and De-Embedding

Table 1.2 Probe Performance of Coaxial GSG Probes Specification

Performance

Maximum frequency Standard probe pitch Probe tip materials Maximum temperature Lifetime on Al pads Contact resistance on Al pads Typical pad size

110 GHz 50–250 µm Nickel alloy, Beryllium-Copper, Tungsten 125◦ C >250,000 contacts 4) S-Parameter Measurements Using a Four-Port Vector Network Analyzer,” IEEE Transactions on Microwave Theory and Techniques, Vol. 52, No. 5, 2004, pp. 1361–1368.

[19]

Ginley, R. A., “Confidence in VNA Measurements,” IEEE Microwave Magazine, Vol. 8, No. 4, 2007, pp. 54–58.

[20]

Rytting, D. K., “Improved RF Hardware and Calibration Methods for Network Analyzers,” RF and Microwave Measurement Symposium and Exhibition, Hewlett & Packard, 1991.

[21] Wollensack, M., et al., “VNA Tools II: S-Parameter Uncertainty Calculation,” Proc. 79th ARFTG Microwave Measurement Conference, Montreal, QC, June 22, 2012, pp. 1–5. [22]

Kajfez, D., et al., “Uncertainty Analysis of the Transmission-Type Measurement of Q-Factor,” IEEE Transactions on Microwave Theory and Techniques, Vol. 47, No. 3, 1999, pp. 367–371.

[23]

Kajfez, D., “Random and Systematic Uncertainties of Reflection-Type Q-Factor Measurement with Network Analyzer,” IEEE Transactions on Microwave Theory and Techniques, Vol. 51, No. 2, 2003, pp. 512–519.

[24] Wartenberg, S., RF Measurements of Die and Packages, Norwood, MA: Artech House, 2002. [25]

Imparato, M., T. Weller, and L. Dunleavy, “On-Wafer Calibration Using Space-Conservative (SOLT) Standards,” Proc. IEEE MTT-S Int. Microwave Symposium, Anaheim, CA, June 13–19, 1999, pp. 1643–1646.

[26]

Engen, G. F., and C. A. Hoer, “Thru-Reflect-Line: An Improved Technique for Calibrating the Dual Six-Port Automatic Network Analyzer,” IEEE Transactions on Microwave Theory and Techniques, Vol. 27, No. 12, 1979, pp. 987–993.

[27]

Marks, R. B., “A Multiline Method of Network Analyzer Calibration,” IEEE Transactions on Microwave Theory and Techniques, Vol. 39, No. 7, 1991, pp. 1205–1215.

[28] Williams, D. F., C. Wang, and U. Arz, “An Optimal Multiline TRL Calibration Algorithm,” Proc. IEEE MTT-S Int. Microwave Symposium, Philadelphia, PA, June 8–13, 2003, pp. 1819–1822. [29] Williams, D. F., et al., “Calibration-Kit Design for Millimeter-Wave Silicon Integrated Circuits,” IEEE Transactions on Microwave Theory and Techniques, Vol. 61, No. 7, 2013, pp. 2685–2694.

64

On-Wafer Microwave Measurements and De-Embedding

[30] Williams, D. F., A. C. Young, and M. Urteaga, “A Prescription for Sub-Millimeter-Wave Transistor Characterization,” IEEE Transactions on Terahertz Science and Technology, Vol. 3, No. 4, 2013, pp. 433–439. [31]

Davidson, A., E. Strid, and K. Jones, “Achieving Greater On-Wafer S-Parameter Accuracy with the LRM Calibration Technique,” Proc. 34th ARFTG Microwave Measurement Conference, Ft. Lauderdale, FL, November 30-December 1, 1989, pp. 61–66.

[32]

Rumiantsev, A., S. L. Sweeney, and P. L. Corson, “Comparison of On-Wafer Multiline TRL and LRM+ Calibrations for RF CMOS Applications,” Proc. 72nd ARFTG Microwave Measurement Conference, Portland, OR, December 9–12, 2008, pp. 132–136.

[33]

Davidson, A., K. Jones, and E. Strid, “LRM and LRRM Calibrations with Automatic Determination of Load Inductance,” Proc. 36th ARFTG Microwave Measurement Conference, Monterey, CA, November 29–30, 1990, pp. 57–63.

[34] Williams, D. F., R. B. Marks, and A. Davidson, “Comparison of On-Wafer Calibrations,” Proc. 38th ARFTG Microwave Measurement Conference, San Diego, CA, December 5–6, 1991, pp. 68–81. [35]

Rumiantsev, A., et al., “Influence of Probe Tip Calibration on Measurement Accuracy of Small-Signal Parameters of Advanced BiCMOS HBTs,” Proc. Bipolar/BiCMOS Circuits and Technology Meeting, Atlanta, GA, October 9–11, 2011, pp. 203–206.

[36]

Lira, I., Evaluating the Measurement Uncertainty: Fundamentals and Practical Guidance, Boca Raton, FL: CRC Press, 2002.

[37]

Jargon, J., et al., “Establishing Traceability of an Electronic Calibration Unit Using the NIST Microwave Uncertainty Framework,” Proc. 79th ARFTG Microwave Measurement Conference, Montreal, QC, June 22, 2012, pp. 1–5.

[38] Taylor, B. N., and C. E. Kuyatt, Guidelines for Evaluating and Expressing the Uncertainty of NIST Measurement Results, NIST Technical Note 1297, 1994.

3 Silicon-Integrated Passive Devices CMOS silicon integration technology has been the driving force behind the continuous evolution of electronic circuits and systems. The geometry scaling as witnessed in MOS transistor devices has led to constantly increasing complexity while reducing the chip area. Scaling in the transistor geometries of the front-end of line (FEOL) has largely been described by Moore’s law [1], at least down to the deep-submicron technology nodes of 28 nm. While FEOL nanoscaling holds true [2–5], in the back-end of line (BEOL) the passive devices do not follow the same scaling trend [6]. In the early days of CMOS technology the back-end metalization in combination with the lossy silicon substrate did not allow for fabrication of high-quality passive devices. The perception was that it would not make sense to integrate passive devices on CMOS together with the RF active circuitry. The continuous evolution that followed on the materials, lithography, interconnects, and substrate resistivities allowed the design of passive components using the BEOL metal layers to gradually occur [7]. This was a big step towards RF CMOS transceiver integration and contributed to the impressive development of wireless communication equipment since the early 1990s. The scope of this section is to discuss the basics of modern CMOS technologies and the BEOL metalization options used for fabrication of integrated passive devices [8] such as inductors, capacitors, and transmission lines. More specific data on the actual manufacturing process of nanoscale CMOS processes and the modeling of active MOS devices can be found in other textbooks and are clearly out of the scope of our investigation.

3.1 Back-End of Line (BEOL) in CMOS During the early days of CMOS integration technology, the primary purpose of BEOL processes was to wire together all the transistors designed in the FEOL. In the context of this book we are more interested in the BEOL metalization for 65

66

On-Wafer Microwave Measurements and De-Embedding

Figure 3.1 (a) 90 nm, (b) 40 nm, (c) 28 nm. Example cross sections of CMOS BEOL processes.

passive devices which are implemented at this part of the technology stack-up. The BEOL typically consists of consecutive metal layers which are separated by interlayer dielectrics (ILD). Ohmic connections between them are established by metal interconnects, also called vias. Although BEOL has not undergone the frenzy of FEOL transistor scaling, it still has witnessed quite some evolution while moving from 90-nm to sub-20-nm processes [9–14]. Some simplified crosssections of multilayer CMOS BEOL processes are given in Figure 3.1, where only the metals and vias are displayed for simplicity. It is interesting to note how the metal thickness and the interlayer dielectric thickness are changing with the progress of CMOS fabrication. Typical materials used in BEOL processes for the metal layers and vias are given in Table 3.1, along with their resistivity values. The BEOL processes of Figure 3.1 have varying number of layers (e.g., 9–10 copper metal layers plus an additional top aluminum layer) but quite different metal and dielectric thicknesses and are displayed in the same scale. We witness shrinkage in the total stack height from 90 nm to 40 nm, although the number of Cu layers increases. For the same number of total metal layers we are witnessing for the 28-nm process a more

Silicon-Integrated Passive Devices

67

Table 3.1 Resistivity Values for BEOL Materials Metal

Resistivity (µ · cm)

Copper (Cu) Gold (Cu) Aluminum (Al) Tungsten (W)

1.7 2.2 2.8 5.3

Table 3.2 Metal Properties for Typical 28-nm CMOS BEOL Layer

Material

Thickness (µm)

Sheet Resistance (/sq.)

M1 M2 – M6 M7 – M8 M9 – M10 Top

Cu Cu Cu Cu Al