Molecular beam epitaxy : materials and applications for electronics and optoelectronics 9781119354987, 1119354986, 9781119355007, 1119355001, 9781119355021, 1119355028

567 31 24MB

English Pages [491] Year 2019

Report DMCA / Copyright

DOWNLOAD FILE

Polecaj historie

Molecular beam epitaxy : materials and applications for electronics and optoelectronics
 9781119354987, 1119354986, 9781119355007, 1119355001, 9781119355021, 1119355028

Citation preview

Molecular Beam Epitaxy

Wiley Series in Materials for Electronic & Optoelectronic Applications www.wiley.com/go/meoa Series Editors Professor Arthur Willoughby, University of Southampton, Southampton, UK Dr Peter Capper, SELEX Galileo Infrared Ltd, Southampton, UK Professor Safa Kasap, University of Saskatchewan, Saskatoon, Canada Published Titles Bulk Crystal Growth of Electronic, Optical and Optoelectronic Materials, Edited by P. Capper Properties of Group-IV, III–V and II–VI Semiconductors, S. Adachi Charge Transport in Disordered Solids with Applications in Electronics, Edited by S. Baranovski Optical Properties of Condensed Matter and Applications, Edited by J. Singh Thin Film Solar Cells: Fabrication, Characterization, and Applications, Edited by J. Poortmans and V. Arkhipov Dielectric Films for Advanced Microelectronics, Edited by M. R. Baklanov, M. Green, and K. Maex Liquid Phase Epitaxy of Electronic, Optical and Optoelectronic Materials, Edited by P. Capper and M. Mauk Molecular Electronics: From Principles to Practice, M. Petty CVD Diamond for Electronic Devices and Sensors, Edited by R. S. Sussmann Properties of Semiconductor Alloys: Group-IV, III–V, and II–VI Semiconductors, S. Adachi Mercury Cadmium Telluride, Edited by P. Capper and J. Garland Zinc Oxide Materials for Electronic and Optoelectronic Device Applications, Edited by C. Litton, D. C. Reynolds, and T. C. Collins Lead-Free Solders: Materials Reliability for Electronics, Edited by K. N. Subramanian Silicon Photonics: Fundamentals and Devices, M. Jamal Deen and P. K. Basu Nanostructured and Subwavelength Waveguides: Fundamentals and Applications, M. Skorobogatiy Photovoltaic Materials: From Crystalline Silicon to Third-Generation Approaches, Edited by G. Conibeer and A. Willoughby Glancing Angle Deposition of Thin Films: Engineering the Nanoscale, Matthew M. Hawkeye, Michael T. Taschuk, and Michael J. Brett Physical Properties of High-Temperature Superconductors, R. Wesche Spintronics for Next Generation Innovative Devices, Edited by Katsuaki Sato, Eiji Saitoh Inorganic Glasses for Photonics: Fundamentals, Engineering and Applications, Animesh Jha Amorphous Semiconductors: Structural, Optical and Electronic Properties, Kazuo Morigaki, Sandor Kugler, Koichi Shimakawa Microwave Materials and Applications 2 Vol set, Edited by Mailadil T. Sebastian, Rick Ubic, Heli Jantunen

Molecular Beam Epitaxy Materials and Applications for Electronics and Optoelectronics

Edited by HAJIME ASAHI ISIR, Osaka University, Japan YOSHIJI HORIKOSHI Waseda University, Tokyo, Japan

This edition first published 2019 © 2019 John Wiley & Sons Ltd All rights reserved. No part of this publication may be reproduced, stored in a retrieval system, or transmitted, in any form or by any means, electronic, mechanical, photocopying, recording or otherwise, except as permitted by law. Advice on how to obtain permission to reuse material from this title is available at http://www.wiley.com/go/permissions. The right of Hajime Asahi and Yoshiji Horikoshi to be identified as the authors of the editorial material in this work has been asserted in accordance with law. Registered Offices John Wiley & Sons, Inc., 111 River Street, Hoboken, NJ 07030, USA John Wiley & Sons Ltd, The Atrium, Southern Gate, Chichester, West Sussex, PO19 8SQ, UK Editorial Office The Atrium, Southern Gate, Chichester, West Sussex, PO19 8SQ, UK For details of our global editorial offices, customer services, and more information about Wiley products visit us at www.wiley.com. Wiley also publishes its books in a variety of electronic formats and by print-on-demand. Some content that appears in standard print versions of this book may not be available in other formats. Limit of Liability/Disclaimer of Warranty In view of ongoing research, equipment modifications, changes in governmental regulations, and the constant flow of information relating to the use of experimental reagents, equipment, and devices, the reader is urged to review and evaluate the information provided in the package insert or instructions for each chemical, piece of equipment, reagent, or device for, among other things, any changes in the instructions or indication of usage and for added warnings and precautions. While the publisher and authors have used their best efforts in preparing this work, they make no representations or warranties with respect to the accuracy or completeness of the contents of this work and specifically disclaim all warranties, including without limitation any implied warranties of merchantability or fitness for a particular purpose. No warranty may be created or extended by sales representatives, written sales materials or promotional statements for this work. The fact that an organization, website, or product is referred to in this work as a citation and/or potential source of further information does not mean that the publisher and authors endorse the information or services the organization, website, or product may provide or recommendations it may make. This work is sold with the understanding that the publisher is not engaged in rendering professional services. The advice and strategies contained herein may not be suitable for your situation. You should consult with a specialist where appropriate. Further, readers should be aware that websites listed in this work may have changed or disappeared between when this work was written and when it is read. Neither the publisher nor authors shall be liable for any loss of profit or any other commercial damages, including but not limited to special, incidental, consequential, or other damages. Library of Congress Cataloging-in-Publication Data Names: Asahi, Hajime, 1948- editor. | Horikoshi, Yoshiji, 1943- editor. Title: Molecular beam epitaxy : materials and applications for electronics and optoelectronics / edited by Hajime Asahi (ISIR, Osaka University, Japan), Yoshiji Horikoshi (Waseda University, Tokyo, Japan). Description: First edition. | Hoboken, NJ : Wiley, 2019. | Series: Wiley series in materials for electronic & optoelectronic applications | Includes bibliographical references and index. | Identifiers: LCCN 2018044564 (print) | LCCN 2018059153 (ebook) | ISBN 9781119355007 (Adobe PDF) | ISBN 9781119355021 (ePub) | ISBN 9781119355014 (hardcover) Subjects: LCSH: Molecular beam epitaxy. | Epitaxy. | Crystal growth. | Electronics–Materials. | Optoelectronics–Materials. Classification: LCC QC611.6.M64 (ebook) | LCC QC611.6.M64 M6455 2019 (print) | DDC 621.3815/2–dc23 LC record available at https://lccn.loc.gov/2018044564 Cover Design: Dan Jubb Cover Images: Courtesy of Yoshiji Horikoshi Set in 10/12pt TimesLTStd by SPi Global, Chennai, India

10 9 8 7 6 5 4 3 2 1

Contents

List of Contributors Series Preface Preface

xv xix xxi

PART I Fundamentals of MBE

1

1. History of MBE Tom Foxon

3

1.1 1.2 1.3 1.4 1.5 1.6 1.7

Introduction The MBE Process Controlled n and p Doping Modified Growth Procedures Gas-Source MBE Low-Dimensional Structures III–V Nitrides, Phosphides, Antimonides and Bismides and Other Materials 1.7.1 III-Nitrides 1.7.2 III-Phosphides 1.7.3 III-Antimonides 1.7.4 III-Bismides 1.7.5 Highly Mismatched Alloys 1.7.6 II–VIs 1.7.7 IV–VIs 1.7.8 SiGe 1.7.9 2D Materials 1.8 Early MBE-Grown Devices 1.9 Summary Acknowledgments References 2. General Description of MBE Yoshiji Horikoshi 2.1 2.2

Introduction High-Vacuum Chamber System

3 4 10 10 11 11 13 14 15 15 15 16 16 17 17 18 18 18 18 19 23 23 24

vi

Contents

2.3 2.4

Atomic and Molecular Beam Sources Measurement of MBE Growth Parameters 2.4.1 Measurement of Background Atmospheric Conditions 2.4.2 Measurement of Substrate Temperature 2.4.3 Measurement of Atomic/Molecular Beam Intensity 2.5 Surface Characterization Tools for MBE Growth 2.5.1 Reflection High-Energy Electron Diffraction 2.5.2 Optical Diagnostic Methods 2.6 Summary Acknowledgments References 3. Migration-Enhanced Epitaxy and its Application Yoshiji Horikoshi 3.1 Introduction 3.2 Toward Atomically Flat Surfaces in MBE 3.3 Principle of MEE 3.4 Growth of GaAs by MEE 3.5 Incommensurate Deposition and Migration of Ga Atoms 3.6 Application of MEE Deposition Sequence to Surface Research 3.7 Application of MEE to Selective Area Epitaxy 3.8 Summary Acknowledgments References 4. Nanostructure Formation Process of MBE Koichi Yamaguchi

25 28 29 29 30 31 33 35 37 37 38 41 41 42 44 48 49 50 51 54 54 55 57

4.1 Introduction 4.2 Growth of Quantum Wells 4.3 Growth of Quantum Wires and Nanowires 4.4 Growth of Quantum Dots 4.5 Conclusion References

57 58 60 64 71 72

5. Ammonia Molecular Beam Epitaxy of III-Nitrides Micha N. Fireman and James S. Speck

73

5.1 Introduction 5.2 III-Nitride Fundamentals 5.3 Ammonia Molecular Beam Epitaxy 5.4 Ternary Nitride Alloys and Doping 5.5 Conclusions References

73 74 77 82 86 86

Contents

6. Mechanism of Selective Area Growth by MBE Katsumi Kishino 6.1 Background 6.2 Growth Parameters for Ti Mask SAG 6.3 Initial Growth of Nanocolumns 6.4 Nitrogen Flow Rate Dependence of SAG 6.5 Diffusion Length of Ga Adatoms 6.6 Fine Control of Nanocolumn Arrays by SAG 6.7 Controlled Columnar Crystals from Micrometer to Nanometer Size 6.8 Nanotemplate SAG of AlGaN Nanocolumns 6.9 Conclusions and Outlook References

vii

91 91 92 94 95 96 98 100 101 103 104

PART II MBE Technology for Electronic Devices Application

107

7. MBE of III-Nitride Semiconductors for Electronic Devices Rolf J. Aidam, O. Ambacher, E. Diwo, B.-J. Godejohann, L. Kirste, T. Lim, R. Quay, and P. Waltereit

109

7.1 7.2

Introduction MBE Growth Techniques 7.2.1 Plasma-Assisted MBE PAMBE 7.2.2 Ammonia MBE 7.2.3 Doping 7.3 AlGaN/GaN High Electron Mobility Transistors on SiC Substrate 7.3.1 PAMBE 7.3.2 Ammonia MBE 7.4 AlGaN/GaN High Electron Mobility Transistors on Si Substrate 7.4.1 PAMBE 7.4.2 Ammonia MBE 7.5 HEMTs with Thin Barrier Layers for High-Frequency Applications 7.5.1 AlN/GaN Heterostructures 7.5.2 Lattice-Matched AlInN and AlGaInN Barrier Layers 7.6 Vertical Devices 7.6.1 p–n Junction 7.6.2 Current Aperture Vertical Electron Transistors References 8. Molecular Beam Epitaxy for Steep Switching Tunnel FETs Salim El Kazzi 8.1 8.2

Introduction TFET Working Principle

109 110 110 114 117 118 118 121 123 123 124 125 126 127 130 130 131 132 135 135 136

viii

Contents

8.3 III–V Heterostructure for TFETs 8.4 MBE for Beyond CMOS Technologies 8.5 Doping 8.6 Tunneling Interface Engineering 8.7 MBE for III–V TFET Integration 8.8 Conclusions and Perspectives Acknowledgments References

136 138 139 142 143 146 146 147

PART III MBE for Optoelectronic Devices

149

9. Applications of III–V Semiconductor Quantum Dots in Optoelectronic Devices Kouichi Akahane and Yoshiaki Nakata 9.1 9.2

Introduction: Self-assembled Quantum Dots Lasers Based on InAs Quantum Dots Grown on GaAs Substrates 9.2.1 S–K Growth Mode of InAs Islands on GaAs 9.2.2 Emission Wavelength Control by the Buried Strain Relaxation Layer 9.2.3 InAs Quantum-Dot Lasers 9.3 InAs QD Optical Device Operating at Telecom Band (1.55 μm) 9.4 Recent Progress in QD Lasers 9.5 Summary References 10. Applications of III–V Semiconductors for Mid-infrared Lasers Yuichi Kawamura 10.1 Introduction 10.2 GaSb-Based Lasers 10.3 InP-Based Lasers 10.4 InAs-Based Lasers 10.5 Conclusion References 11. Molecular Beam Epitaxial Growth of Terahertz Quantum Cascade Lasers Harvey E. Beere and David A. Ritchie 11.1 Introduction 11.2 Epitaxial Challenges 11.2.1 Growth Rate Calibration 11.2.2 Growth Rate Stability 11.2.3 Growth Rate Uniformity 11.2.4 Doping Accuracy References

151 151 152 152 155 157 158 164 165 165 169 169 170 170 173 174 174 175 175 179 179 184 186 187 189

Contents

12. MBE of III-Nitride Heterostructures for Optoelectronic Devices C. Skierbiszewski, G. Muziol, H. Turski, M. Siekacz, ̇ K. Nowakowski-Szkudlarek, A. Feduniewicz-Zmuda, P. Wolny, and M. Sawicka 12.1 Introduction 12.2 Low-Temperature Growth of Nitrides by PAMBE 12.3 Applications of PAMBE in Growth of Nitride Laser Diodes 12.3.1 Enhancement of Optical Confinement Factor by InGaN Waveguide 12.3.2 Elimination of Light Leakage to GaN Substrate Using a Thick InGaN Waveguide 12.3.3 Long-Wavelength Laser Diodes by PAMBE 12.3.4 High-Power Blue Laser Diodes by PAMBE 12.3.5 Lifetime of PAMBE Laser Diodes 12.4 New Concepts of LDs with Tunnel Junctions 12.5 Summary Acknowledgments References 13. III-Nitride Quantum Dots for Optoelectronic Devices Pallab Bhattacharya, Thomas Frost, Shafat Jahangir, Saniya Deshpande, and Arnab Hazari 13.1 Introduction 13.2 Molecular Beam Epitaxy of InGaN/GaN Self-organized Quantum Dots 13.2.1 Optical Properties 13.3 Quantum Dot Wavelength Converter White Light-Emitting Diode 13.4 Quantum Dot Lasers 13.4.1 Epitaxy of InAlN and QD Laser Heterostructure 13.4.2 Steady-State Laser Characteristics 13.4.3 Small-Signal Modulation Characteristics 13.5 Summary and Future Prospects References

ix

191

191 192 196 197 200 202 203 203 205 206 207 207 211

211 212 217 220 223 223 225 227 229 230

14. Molecular-Beam Epitaxy of Antimonides for Optoelectronic Devices Eric Tournie

233

14.1 Introduction 14.2 Epitaxy of Antimonides: A Brief Historical Survey 14.3 Molecular-Beam Epitaxy of Antimonide 14.3.1 Substrate Preparation 14.3.2 Doping of III–Sb Compounds 14.3.3 Control of Alloy Compositions 14.3.4 No-Common-Atom Interfaces 14.3.5 Growth of III–Sbs on Highly Mismatched Substrates 14.4 Outlook Acknowledgments References

233 235 236 236 237 239 241 241 243 244 244

x

Contents

15. III–V Semiconductors for Infrared Detectors P. C. Klipstein 15.1 Introduction 15.2 InAsSb XBn Detectors 15.3 T2SL XBp Detectors 15.4 Conclusion Acknowledgments References 16. MBE of III–V Semiconductors for Solar Cells Takeyoshi Sugaya 16.1 Introduction 16.2 InGaP Solar Cells 16.3 InGaAsP Solar Cells Lattice-Matched to GaAs 16.4 InGaAsP Solar Cells Lattice-Matched to InP 16.5 Growth of Tunnel Junctions for Multi-Junction Solar Cells 16.6 Summary References

247 247 251 255 262 262 262 265 265 266 268 271 272 277 277

PART IV Magnetic Semiconductors and Spintronics Devices

279

17. III–V-Based Magnetic Semiconductors and Spintronics Devices Hiro Munekata

281

17.1 Introduction 17.2 Hole-Mediated Ferromagnetism 17.3 Molecular Beam Epitaxy and Materials Characterization 17.4 Studies in View of Spintronics Applications 17.5 Conclusions and Prospects Acknowledgments References

281 282 285 293 296 296 296

18. III-Nitride Dilute Magnetic Semiconductors Yi-Kai Zhou and Hajime Asahi 18.1 Introduction 18.2 Transition-Metal-Doped GaN 18.2.1 GaMnN 18.2.2 GaCrN 18.3 Rare-Earth-Doped III-Nitrides 18.3.1 GaGdN and InGaGdN 18.3.2 GaDyN 18.3.3 Other RE-Doped III-Nitrides 18.4 Device Applications 18.4.1 TMR in GaCrN-Based Trilayer Structures

299 299 300 300 301 303 303 308 308 309 309

Contents

18.4.2 Interlayer Interaction Between GaDyN Layers 18.4.3 CP-LD and Other Spintronic Device Applications 18.5 Summary References 19. MBE Growth, Magnetic and Magneto-optical Properties of II–VI DMSs Shinji Kuroda 19.1 II–VI DMSs Doped with Mn 19.2 II–VI DMSs Doped with Cr and Fe 19.3 ZnO-Based DMSs References 20. Ferromagnet/Semiconductor Heterostructures and Nanostructures Grown by Molecular Beam Epitaxy Masaaki Tanaka 20.1 Introduction 20.2 MnAs on GaAs(001) and Si(001) Substrates 20.2.1 Ferromagnetic MnAs Thin Films Grown on GaAs(001) Substrates 20.2.2 Ferromagnetic MnAs Thin Films Grown on Si(001) Substrates 20.3 GaAs:MnAs Granular Materials: Magnetoresistive Effects and Related Devices 20.3.1 Growth and Structure of MnAs Nanoparticles Embedded in GaAs 20.3.2 MnAs Nanoparticles as a Spin Injector and Spin Detector 20.3.3 AlAs Tunnel Barrier Thickness Dependence of TMR Properties 20.4 Summary Acknowledgments References 21. MBE Growth of Ge-Based Diluted Magnetic Semiconductors Tianxiao Nie, Jianshi Tang, and Kang L. Wang 21.1 Introduction 21.2 MBE Growth of Mnx Ge1−x Thin Film and Nanostructures 21.2.1 Growth of Mnx Ge1−x Thin Film and QDs 21.2.2 Growth of Mnx Ge1−x Nanodisks and Nanomeshes 21.3 Magnetic Properties of Mnx Ge1−x Thin Films and Nanostructures 21.3.1 Magnetic Properties of Mnx Ge1−x Thin Films and QDs 21.3.2 Magnetic Property of Mnx Ge1−x Nanodisks and Nanomeshes 21.4 Electric-Field-Controlled Ferromagnetism and Magnetoresistance 21.5 Conclusion Acknowledgments References

xi

310 310 312 312 315 315 319 323 325

329 329 330 330 334 337 337 338 342 345 345 346 349 349 351 351 353 355 355 357 359 362 362 363

xii

Contents

PART V Challenge of MBE to New Materials and New Researches 22. Molecular Beam Epitaxial Growth of Topological Insulators Xiao Feng, Ke He, Xucun Ma, and Qi-Kun Xue 22.1 Introduction 22.2 MBE Growth of Bi2 Se3 Family Three-Dimensional Topological Insulators 22.3 Defects in MBE-Grown Bi2 Se3 Family TI Films 22.3.1 Dislocations 22.3.2 Point Defects 22.3.3 Domain Walls 22.4 Band Structure Engineering in Ternary Bi2 Se3 Family TIs 22.5 Magnetically Doped Bi2 Se3 Family TIs 22.6 MBE Growth of 2D TI Materials 22.7 Summary References 23. Applications of Bismuth-Containing III–V Semiconductors in Devices Masahiro Yoshimoto 23.1 23.2 23.3 23.4

Introduction Growth of GaAsBi Properties of GaAsBi Applications of GaAsBi 23.4.1 LEDs 23.4.2 LDs 23.4.3 Solar Cells 23.4.4 Terahertz Detectors 23.5 Applications of Other Bi-Containing Semiconductors 23.5.1 GaSbBi LDs 23.5.2 Mid-Infrared Photodetectors 23.6 Summary References 24. MBE Growth of Graphene J. Marcelo J. Lopes 24.1 Introduction 24.2 MBE of Graphene on Metals 24.3 MBE of Graphene on Semiconductors 24.4 MBE of Graphene on Oxides and Other Dielectrics 24.5 Conclusions Acknowledgments References

365 367 367 368 371 371 372 372 373 373 375 377 377 381 381 382 384 385 385 386 390 390 390 390 391 391 392 395 395 398 399 403 407 408 408

Contents

25. MBE Growth and Device Applications of Ga2 O3 Masataka Higashiwaki 25.1 Introduction 25.2 Physical Properties of Ga2 O3 25.2.1 Polymorphs 25.2.2 Material Properties of β-Ga2 O3 25.3 Ga2 O3 Electronic Device Applications 25.4 Melt-Grown Bulk Single Crystals 25.5 Ga2 O3 MBE Growth 25.5.1 MBE System and Growth Condition 25.5.2 Comparisons Between Ozone- and RF-Plasma MBE Techniques 25.5.3 MBE Growth Kinetics of Ga2 O3 25.5.4 Homoepitaxial Growth of Ga2 O3 Thin Films on Ga2 O3 Native Substrates 25.5.5 n-Type Doping 25.5.6 Heterostructures 25.6 Transistor Applications 26.6.1 MESFETs 25.6.2 Depletion-Mode Ga2 O3 MOSFETs 25.6.3 Field-Plated MOSFETs 25.7 Summary References 26. Molecular Beam Epitaxy for Oxide Electronics Abhinav Prakash and Bharat Jalan 26.1 Introduction 26.2 Structure–Property Relationship in Perovskite Oxides 26.2.1 Lattice Degrees of Freedom 26.2.2 Interfacial Physics 26.2.3 Thin-Film Growth Approaches 26.3 Oxide Molecular Beam Epitaxy 26.3.1 Historical Perspective 26.3.2 Design of Oxide MBE 26.3.3 Challenges with Oxide MBE 26.4 Recent Developments in Oxide MBE 26.4.1 Adsorption-Controlled Growth 26.4.2 Hybrid Molecular Beam Epitaxy 26.4.3 Radical-Based Molecular Beam Epitaxy 26.5 Outlook 26.6 Summary Acknowledgments References

xiii

411 411 411 411 412 414 414 414 414 415 415 416 416 418 419 419 419 420 421 421 423 423 423 424 427 428 430 430 431 433 435 435 437 440 443 447 447 447

xiv

Contents

27. In-situ STM Study of MBE Growth Process Shiro Tsukamoto 27.1 Introduction 27.2 The Advantages of In-situ STM Observation for Understanding Growth Mechanisms 27.3 In-situ STM Observation of InAs Growth on GaAs(001) by STMBE System 27.4 In-situ STM Observation of Various Growths and Treatments on GaAs Surfaces by STMBE System 27.5 Conclusion References 28. Heterovalent Semiconductor Structures and their Device Applications Yong-Hang Zhang 28.1 Introduction 28.2 MBE Growth of Heterovalent Structures 28.3 ZnTe and GaSb/ZnTe Heterovalent Distributed Bragg Reflector Structures Grown on GaSb 28.4 CdTe/MgCdTe Structure and Heterovalent Devices Grown on InSb Substrates 28.5 Single-Crystal CdTe/Mgx Cd1−x Te Solar Cells 28.6 CdTe/InSb Two-Color Photodetectors Acknowledgments References Index

453 453 454 454 456 460 460 463 463 465 466 468 474 477 479 480 i1

List of Contributors

Rolf J. Aidam, Fraunhofer Institute for Applied Solid State Physics, Germany Kouichi Akahane, National Institute of Information and Communication Technology, Japan O. Ambacher, Fraunhofer Institute for Applied Solid State Physics, Germany Hajime Asahi, The Institute of Scientific and Industrial Research, Osaka University, Japan Harvey E. Beere, Department of Physics, Cavendish Laboratory, University of Cambridge, UK Pallab Bhattacharya, Department of Electrical Engineering and Computer Science, University of Michigan, USA Saniya Deshpande, Department of Electrical Engineering and Computer Science, University of Michigan, USA E. Diwo, Fraunhofer Institute for Applied Solid State Physics, Germany ̇ A. Feduniewicz-Zmuda, Institute of High Pressure Physics, Polish Academy of Sciences, Poland Xiao Feng, Tsinghua University, Beijing, China Micha N. Fireman, University of Carifornia, Santa Barbara, USA Tom Foxon, Nottingham University, UK Thomas Frost, Department of Electrical Engineering and Computer Science, University of Michigan, USA B.-J. Godejohann, Fraunhofer Institute for Applied Solid State Physics, Germany Arnab Hazari, Department of Electrical Engineering and Computer Science, University of Michigan, USA

xvi

List of Contributors

Ke He, Tsinghua University, Beijing, China Masataka Higashiwaki, National Institute of Information and Communications Technology, Tokyo, Japan Yoshiji Horikoshi, Waseda University, Tokyo, Japan Shafat Jahangir, Department of Electrical Engineering and Computer Science, University of Michigan, USA Bharat Jalan, University of Minnesota, USA Yuichi Kawamura, Osaka Prefecture University, Japan Salim El Kazzi, Interuniversity Microelectronics Center, Belgium L. Kirste, Fraunhofer Institute for Applied Solid State Physics, Germany Katsumi Kishino, Sophia University, Tokyo, Japan Philip C. Klipstein, SemiConductor Devices, Israel Shinji Kuroda, Institute of Materials Science, University of Tsukuba, Japan T. Lim, Fraunhofer Institute for Applied Solid State Physics, Germany J. Marcelo J. Lopes, Paul-Drude-Institut für Festkörperelektronik, Berlin, Germany Xucun Ma, Tsinghua University, Beijing, China Hiro Munekata, Tokyo Institute of Technology, Japan G. Muziol, Institute of High Pressure Physics, Polish Academy of Sciences, Poland Yoshiaki Nakata, National Institute of Information and Communication Technology, Japan Tianxiao Nie, Fert Beijing Institute, BDBC, and School of Electronic and Information Engineering, Beihang University, China and Device Research Laboratory, Department of Electrical Engineering, University of California, USA K. Nowakowski-Szkudlarek, Institute of High Pressure Physics, Polish Academy of Sciences, Poland Abhinav Prakash, University of Minnesota, USA R. Quay, Fraunhofer Institute for Applied Solid State Physics, Germany

List of Contributors

xvii

David. A. Ritchie, Department of Physics, Cavendish Laboratory, University of Cambridge, UK Marta Sawicka, Institute of High Pressure Physics, Polish Academy of Sciences, Poland and TopGaN Ltd., Poland M. Siekacz, Institute of High Pressure Physics, Polish Academy of Sciences, Poland Czeslaw Skierbiszewski, Institute of High Pressure Physics, Polish Academy of Sciences, Poland and TopGaN Ltd., Poland James S. Speck, University of California, Santa Barbara, USA Takeyoshi Sugaya, National Institute of Advanced Industrial Science and Technology, Japan Masaaki Tanaka, Center for Spintronics Research Network, and Department of Electrical Engineering & Information Systems, and Institute for Nano Quantum Information Electronics, University of Tokyo, Japan Jianshi Tang, IBM Thomas J. Watson Research Center, USA Eric Tournie, IES, Université de Montpellier, France Shiro Tsukamoto, National Institute of Technology, Anan College, Japan H. Turski, Institute of High Pressure Physics, Polish Academy of Sciences, Poland P. Waltereit, Fraunhofer Institute for Applied Solid State Physics, Germany Kang L. Wang, Device Research Laboratory, Department of Electrical Engineering, University of California, USA P. Wolny, Institute of High Pressure Physics, Polish Academy of Sciences, Poland Qi-Kun Xue, Tsinghua University, Beijing, China Koichi Yamaguchi, The University of Electro-Communications, Tokyo, Japan Masahiro Yoshimoto, Kyoto Institute of Technology, Kyoto, Japan Yong-Hang Zhang, Arizona State University, Arizona, USA Yi-Kai Zhou, The Institute of Scientific and Industrial Research, Osaka University, Japan and Key Laboratory of Optoelectronic Material and Device, Mathematics & Science College, Shanghai Normal University, China

Series Preface Wiley Series in Materials for Electronic and Optoelectronic Applications This book series is devoted to the rapidly developing class of materials used for electronic and optoelectronic applications. It is designed to provide much-needed information on the fundamental scientific principles of these materials, together with how these are employed in technological applications. The books are aimed at (postgraduate) students, researchers and technologists, engaged in research, development and the study of materials in electronics and photonics, and industrial scientists developing new materials, devices and circuits for the electronic, optoelectronic and communications industries. The development of new electronic and optoelectronic materials depends not only on materials engineering at a practical level, but also on a clear understanding of the properties of materials, and the fundamental science behind these properties. It is the properties of a material that eventually determine its usefulness in an application. The series therefore also includes such titles as electrical conduction in solids, optical properties, thermal properties, and so on, all with applications and examples of materials in electronics and optoelectronics. The characterization of materials is also covered within the series in as much as it is impossible to develop new materials without the proper characterization of their structure and properties. Structure-property relationships have always been fundamentally and intrinsically important to materials science and engineering. Materials science is well known for being one of the most interdisciplinary sciences. It is the interdisciplinary aspect of materials science that has led to many exciting discoveries, new materials and new applications. It is not unusual to find scientists with a chemical engineering background working on materials projects with applications in electronics. In selecting titles for the series, we have tried to maintain the interdisciplinary aspect of the field, and hence its excitement to researchers in this field. Arthur Willoughby Peter Capper Safa Kasap

Preface

More than 50 years have passed since the first epitaxial growth of semiconductors was recognized by molecular beam epitaxy (MBE). During these decades, tremendous improvements have been made in the MBE apparatus itself and in-situ evaluation methods. These improvements are directly connected to the quality of grown materials and devices created from them. Indeed, many brilliant results have been achieved, such as quantum well lasers, quantum cascade lasers, high electron mobility materials exceeding 107 cm2 V−1 s−1 , to name but a few. Even now, these efforts are ongoing, and MBE therefore still attracts many researchers for investigations on new materials and new device applications. In the last few decades, many books have been published on MBE related topics. Our book is distinguished from these by discussion not only of the fundamentals and state of the art of MBE technology, but also by discussion of new challenging research. We hope that this book will encourage young researchers and students to explore new fields of research and applications. This book covers the fundamentals of MBE technology and science, and state-of-the-art MBE technology for electronic and optoelectronic device applications. MBE research on magnetic semiconductor materials is also included for future magnetic and spintronic device applications. The book consists of the following five parts: I, Fundamentals of MBE; II, MBE Technology for Electronic Devices Application; III, MBE for Optoelectronic Devices; IV, Magnetic Semiconductors and Spintronics Devices; V, Challenge of MBE to New Materials and New Researches. There are twenty-eight chapters divided between the five parts, all written by researchers working actively in the front line. Part I starts with an overview of the history of MBE, from the early stages of MBE technology to modern advanced MBE technology, and the principles of MBE and fundamental mechanisms of MBE growth are described. It also includes migration-enhanced epitaxy (MEE), which helps in understanding the atomic processes of MBE. Quantum dot formation through self-assembly processes and low-dimensional structures by selective area epitaxy are also discussed. In Part II, MBE of III-nitride semiconductors for electronic devices and application of MBE to electronic devices using the tunneling effect are described. Part III covers MBE for the light emitters of various semiconductors (III–V and III-nitrides) with emission wavelengths in the range from terahertz to ultraviolet. MBE growth of the materials for mid-infrared photodetectors and solar cells is also described. Part IV covers MBE growth for dilute magnetic semiconductor materials and

xxii

Preface

their application to spintronic devices based on III–V, III-nitrides, II–VI, and IV. MBE growth of ferromagnet/semiconductor heterostructures is also described for spintronic applications. Recent new challenges in MBE research are collected in Part V. MBE is a versatile growth technique for creating high-quality materials and devices, and has great potential in opening up new material and fundamental researches.

Part I Fundamentals of MBE

1 History of MBE Tom Foxon Department of Physics and Astronomy, Nottingham University, Nottingham NG7 2RD, UK

1.1

Introduction

John Orton and I have recently published a book entitled Molecular Beam Epitaxy – A Short History [1]. In that book we were not able to cover all aspects of this topic, so many significant contributions had to be omitted. In this short chapter, even more work of importance will be missing and what follows is my personal view of how molecular beam epitaxy (MBE) evolved. Before starting to describe how it occurred, we have of course to decide what we mean by MBE. As far as I can discover, the term was first used in the Proceedings of the Third International Symposium on GaAs and Related Compounds by Cho et al. [2] in order to distinguish MBE from the earlier growth methods, namely liquid phase epitaxy (LPE) and vapour phase epitaxy (VPE). So, what is MBE? One may consider it to be a refined form of vacuum evaporation, but it is clearly significantly different from simple evaporation in many ways. It usually involves multiple sources and deposition onto a heated substrate. It usually takes place in ultra-high vacuum (UHV) equipment, in order to reduce the arrival rate of unwanted species. In general, collision-free molecular beams are used to supply the required species to the substrate. It differs from many other growth methods in having many different in-situ measurements (e.g. electron diffraction or mass spectrometry), which enables the process to be controlled at an atomic level. My personal view is that there are at least two MBE topics of equal importance, there is the study of the MBE process itself and the application of MBE to provide samples and devices of the highest possible quality. In my career I have been involved in both aspects for a variety of material systems and regard them as equally significant. In this chapter I will try to summarise what went into our book and will begin by discussing the development of the MBE process before turning to its application. Before starting on this task, I recommend to the Molecular Beam Epitaxy: Materials and Applications for Electronics and Optoelectronics, First Edition. Edited by Hajime Asahi and Yoshiji Horikoshi. © 2019 John Wiley & Sons Ltd. Published 2019 by John Wiley & Sons Ltd.

4

Molecular Beam Epitaxy

reader two review articles published by three of the pioneers of MBE in 1974 [3] and 1975 [4], respectively. The first deals with epitaxy in general, whilst the second deals exclusively with MBE and gives a comprehensive account of the state of the art at that time (1975). In what follows I will try to avoid too much overlap with the following chapters, so comments on devices, magnetic semiconductors and new materials will be very brief.

1.2

The MBE Process

As far as I am aware, the first studies of growth, by what we now call MBE, were performed at Plessey Labs in Caswell by Bruce Joyce and co-workers. They grew Si films from molecular beams of silane on a heated Si substrate under UHV conditions and the resulting samples were studied by Roger Booker at Cambridge University using transmission electron microscopy (TEM). This study was concerned with the nucleation process itself and very low growth rates were used in order to separate reactions on the surface from those in the gas phase, hence the need for collision-free molecular beams. This work was published in a series of papers from 1966 onwards [5–7] and was summarised in a review article by Bruce Joyce in 1968 [8]. The equipment used for this study had many of the attributes of a modern MBE system (having collimated, collision-free, molecular beams of silane impinging on a heated Si substrate) and took place under UHV conditions; the pressure during growth in the reaction chamber was ∼3 × 10−9 Torr. The growth rates were small compared to modern practise and no in-situ characterisation was involved, but in all other respects this could be considered to be the first study of the MBE growth process. A result from this study is shown in Figure 1.1, where it is clear that Si grows epitaxially on

Figure 1.1 MBE deposition of Si from silane on a heated Si substrate under UHV conditions [8].

History of MBE

5

the heated Si substrate by decomposition from the molecular beam of silane [8], in other words by MBE. Following this initial work on Si, what followed was the use of MBE to grow compound semiconductors, mainly III–Vs and to a lesser extent II–VIs, motivated in part by the desire to produce semiconductor lasers. The initial work on lasers was carried out using LPE (for those interested in the development of solid-state lasers, see the excellent book on the story of semiconductors [9]), but it soon became apparent that MBE could produce equivalent or better results. What followed was the development of MBE for many practical device applications, including lasers, transistors, and so on. Shortly after the work at Plessey came the pioneering work at Bell Labs by John Arthur and co-workers, who studied the interaction of molecular beams of gallium and arsenic on a heated GaAs substrate using in-situ mass spectrometry [10]. This was followed by a study of the growth of GaAs, GaP and GaAsP by what he termed molecular beam deposition [11]. In his system the sources were surrounded by a liquid nitrogen-cooled shroud and in-situ mass spectrometry, Auger electron spectroscopy (AES) and low-energy electron diffraction (LEED) were used for in-situ analysis. The system also had provision for cleaning the substrate prior to growth using an argon ion gun. The mass spectrometer could be rotated to enable it to measure either the beams from the Knudsen cells or the species desorbing from the surface. From this study it became clear that for III–Vs the growth rate is controlled by the arrival rate of the group III species, providing there is an excess of the group V species. It also showed that the relative sticking coefficients for As and P are very different. Shortly after Arthur’s work at Bell Labs, Al Cho joined Morton B. Panish’s group and embarked on his pioneering study of MBE. As everyone knows, he made outstanding contributions to both understanding the MBE process and its practical application for devices. His system had a reflection high-energy electron diffraction (RHEED) system for surface analysis, an argon ion gun for surface cleaning, a cylindrical mirror analysis Auger system for surface composition and again the cells were mounted within a liquid nitrogen-cooled shroud. The change from LEED to RHEED was crucial and enabled real-time surface analysis, which has become the de-facto standard in all modern MBE systems. I will discuss Al Cho’s many contributions to the growth of structures for low-dimensional structures and devices below, but here I wish to point out some of his critical contributions to understanding the MBE process. One of his most important contributions was to show, using RHEED, that for both the (111) [12] and (001) [13] surfaces a clear correlation exists between the surface structure we observe and both the III–V ratio and substrate temperature. The second paper [13] established the fact that under As-rich conditions on the (001) surface we see a c(2 × 8) RHEED pattern, which becomes a c(8 × 2) pattern under Ga-rich conditions; in between we also see other 6× patterns. This crucial observation enabled others to follow the process and establish the optimum III–V ratio and substrate temperature for growth. In 1971 he also published a paper showing how the RHEED pattern develops during growth from diffuse spots to streaks and at the same time the surface goes from rough to smooth [14]. This work was done long before atomic resolution of the surface by atomic force microscopy (AFM) became available and so the myth developed that streaky RHEED patterns correspond to atomically flat surfaces; this has become so ingrained in the literature that it is still stated as gospel today! Al Cho never said that and we know from first principles that RHEED streaks come from disorder on the surface and that an atomically

6

Molecular Beam Epitaxy

smooth surface such as the Si(7 × 7) reconstruction will show sharp spots in the RHEED pattern [15]. In parallel with the work at Bell Labs, the IBM group also studied the growth of GaAs by MBE using RHEED. They showed how the various surface reconstructions related to the As2 :Ga ratio and substrate temperature [16]. In that paper they make the statement that ‘The streaked RHEED pattern indicates smoothness on an atomic scale’, which is perhaps the origin of the myth surrounding RHEED streaks. This paper also mentions the use of a computer to control the MBE process, using data from the in-situ mass spectrometer measurements. Shortly after the pioneering work at Plessey, Bruce Joyce and Jim Neave moved to Mullards (later Philips) Research Laboratories at Redhill to work on the Si–SiO2 interface. I joined them in 1969 to do electron microscopy, but this proved impossible due to lack of equipment. At the same time there were difficulties with the VPE process and so collectively we set out to study the growth of GaAs by what became known as modulated beam mass spectrometry (MBMS) (see Figure 1.2). Our system was similar to that at Bell, but had the mass spectrometer mounted in a liquid nitrogen-cooled cryopanel to improve the signal-to-noise ratio. We also used periodic modulation and Fourier transform techniques to extract the information, which included measurements of surface lifetime [17]. We first used this equipment to study the evaporation of GaAs under equilibrium and non-equilibrium conditions as part of a PhD programme [18]. The results were essentially identical to those previously reported by John Arthur [19], which gave us confidence in our new approach. In a series of papers we then studied the growth of GaAs using As4 [20] and As2 [21], before studying alloys with mixed group III [22] and mixed group V

Figure 1.2 The system used at Mullards/Philips for modulated beam mass spectrometry measurements.

History of MBE

7

species [23]. Again, this study confirmed earlier results from John Arthur, whilst adding additional information to the story. By that time MBE growth for devices was well established in several places and the emphasis switched to understanding MBE as opposed to VPE. A similar study for the growth of InP was also taking place at what was then the Royal Radar Establishment by Robin Farrow [24], who later made important contributions to understanding MBE of cadmium mercury telluride (CMT). Probably one of the most important contributions to understanding the growth process in MBE was the discovery of oscillations in the RHEED pattern, which relate to the growth rate. This was first reported by Jeff Harris et al. [25], who saw this behaviour in Sn-doped GaAs. Colin Wood states that he had previously observed such oscillations, but had not published this data [26]. As shown in Figure 1.3, it is now well established that the period of the oscillation corresponds to the deposition of a single monolayer of GaAs, as Harris and co-workers proposed [25], but is now thought to arise from periodic changes in step density on the surface. Figure 1.4 shows a typical example of RHEED oscillations for AlAs and GaAs, and from such data it is possible to accurately predict the composition of AlGaAs alloys [27]. The origin of RHEED oscillations is generally now agreed to be associated with periodic changes in step density on the surface, as illustrated in Figure 1.5. This original picture in colour was drawn to explain this to the senior management at Philips and has been reproduced in many articles and books. The original is in my office. The growth of alloy films with mixed group III species is fairly straightforward, with the more volatile species determining the maximum growth temperature [22]. However, for alloys with mixed group V species the situation is more complex. The first studies by John Arthur and LePore [11] had shown that for GaAsP with equal beam intensities of As and P, approximately four times as much arsenic is incorporated compared to phosphorus. Our later MBMS studies confirmed the preferential incorporation of As over P [23]. Chang and co-workers at IBM showed that for GaSbAs the incorporation of antimony is in preference to arsenic [28].

Frequency (Hz)

4

3

2

1

0

0

1

2 3 Flux (monolayer s−1)

4

Figure 1.3 Relation between the period of the oscillations and the growth rate in monolayers/second. Source: This figure has been drawn using data taken from the original paper by Harris et al. [25].

8

Molecular Beam Epitaxy

Rheed Oscillations for AIAs 79

Intensity (arb)

78

77

76

75 0

5

10

15

20 25 Time (secs)

30

35

40

Rheed Oscillations for GaAs 79

Intensity (arb)

78

77

76

75 0

5

10

15

20 25 Time (secs)

30

35

40

Figure 1.4 Typical examples of RHEED oscillations for AlAs and GaAs courtesy of Dr Richard Campion. From such data it is possible to accurately measure the growth rates for both AlAs and GaAs and to predict the composition of AlGaAs alloys [27]. Note that the data is taken after any shutter transient has decayed (i.e. once the growth rate is constant with time).

Thus, by the beginning of the 1980s most of the fundamental issues for the growth of III–V compounds and alloys had been resolved, but to make any reliable device, controlled n and p-type doping is needed. Before discussing this, there is one final piece of the jigsaw which enabled the surface reconstruction to be related to the atomic structure of the surface. This came from the invention of scanning tunnelling microscopy (STM), which Mike Pashley and co-workers used to study the GaAs(001)(2 × 4)–c(2 × 8) surface structure [29]. This showed that the 4× reconstruction is associated with missing dimers, which are aligned along the [−110] direction, thus giving rise to the 2× RHEED pattern observed [30]. Pashley later showed that this reconstruction can be predicted from an electron counting model, the missing dimers making the surface electrically neutral [31].

History of MBE

9

θ ≈0

θ ≈ 0.25

θ ≈ 0.5

θ ≈1

Intensity

θ ≈ 0.75

θ ≈ 1.25

θ ≈ 1.5

θ ≈ 1.75

θ ≈2

Time θ = number of monolayers deposited. Surface coverage and RHEED oscillations. Figure 1.5 Model of film growth proposed by Joyce and co-workers in 1986 starting from a flat surface. This model was used to explain RHEED oscillations to Philips managers who visited the lab. It has appeared in many articles, but the original remains in my office at Nottingham.

10

1.3

Molecular Beam Epitaxy

Controlled n and p Doping

In their 1975 review article [4], Cho and Arthur discussed doping by elements including Si, Ge, Sn, Mn, Te, Zn, C and Mg, each of which is a potential n or p-type dopant. For n-type doping Sn gives the highest doping levels, but is subject to surface segregation [32], making sharp doping changes difficult. Si does not suffer from this problem and is generally a donor, except for growth on the (111)A, (211)A and (311)A surfaces [33], where p-type conductivity is observed. Ge can also be used as either an n-type or p-type dopant, depending on the As:Ga ratio and temperature [34]. Of the three, Si is generally used for n-type doping of III–Vs. Alternative approaches include the use of gas sources (e.g. SiH4 [35]), which avoids the use of a high-temperature Si cell and again depends on growth parameters or the use of compound sources such as PbS, PbSe [36] or SnTe [37]. Historically, p-doping proved more difficult to resolve; many of the traditional dopants (Zn, Cd, etc.) had very high vapour pressures and so were difficult to incorporate, others such as Mg had a very low sticking coefficient and diffused rapidly in GaAs. One solution to this problem was proposed by Naganuma and Takahashi [38], who used an ion source to improve the incorporation of Zn. Again, the solution came from Bell Labs with the proposal from Marc Ilegems to use Be as a p-type dopant [39]. Later, C was used in metal-organic MBE as a p-type dopant by Weyers et al. [40] and later in MBE by Malik et al. [41], who used a heated graphite filament as the source of carbon. In all the early work, changes in the doping level required adjusting either the dopant cell temperature or in some cases the substrate temperature, both rather slow processes. Wood et al. [42] introduced the idea of changing the doping level, by what they termed ‘atomic-plane’ doping, and demonstrated this for GaAs, which led in turn to the concept of delta-doping.

1.4

Modified Growth Procedures

To improve the properties of films grown by MBE, various modified procedures were used with a view in many cases to improving surface morphology and hence interface abruptness. It was clear from the early RHEED studies that on terminating growth, under the correct conditions, the RHEED intensity recovers. This method was used by Sakaki et al. [43] and Fukunaga et al. [44] to improve the quality of interfaces using growth interrupts. A second application for RHEED came from the use of so-called phase locked epitaxy. Again, two groups (Sano et al. [45] and Sakamoto et al. [46]) used RHEED to grow GaAs/AlAs superlattices with controlled thicknesses. Briones et al. [47] modified this idea by interrupting only the group V species to provide the necessary enhanced migration for the group III species, again for growth at low substrate temperatures. They called this method nucleation-enhanced molecular beam epitaxy (NEMBE) and compared the results with those obtained by migration-enhanced epitaxy (MEE). Another variant was introduced by Horikoshi et al. [48]. They found that if the group V flux is absent during the deposition of the group III species, it enhances diffusion, which gave rise to the term MEE. This method was especially relevant to growth at low substrate temperatures.

History of MBE

1.5

11

Gas-Source MBE

The replacement of conventional solid sources by their gaseous equivalents began quite early with the work of Panish at Bell Labs, who used arsine and phosphine to grow GaAs and InP, respectively [49]. This was later extended to the growth of the quaternaries (Panish et al. [50]). The first use of metal-organics as a replacement was reported shortly after by Veuhoff et al. [51] in Germany and by Vodjani et al. [52] in France. In both cases, hydrides were also used for the group V species. The combination of metal-organics with solid-source arsenic came from Japan (Tokumitsu et al. [53]). As noted above, the first use of gas sources for both species came from Veuhoff et al. [51], but major contributions to this came from later work at Bell Labs by Tsang [54]. In this work he used metal-organics for both group III and group V sources, mainly to avoid the toxic hazards involved in the use of arsine and phosphine. He went on to apply this method to produce highly efficient double heterostructure lasers [55].

1.6

Low-Dimensional Structures

A major contributor to the development of MBE came from the increasing interest in the physics and device possibilities associated with very thin films, where quantum effects become significant and tunnelling through barriers becomes possible, the so-called low-dimensional structures (LDS). Prior to the development of MBE, growth of III–Vs by either VPE or LPE usually involved relatively thick layers of different composition. However, in 1970 at IBM Esaki and Tsu [56] proposed a new superlattice, which should show negative differential conductivity. This required very thin layers only a few monolayers thick. The existing growth methods did not seem suitable for this task and so they built the first computer-controlled MBE system [57]. Using this system, they showed clear evidence for a composition profile of a periodic structure both by AES using ion beam sputter etching and by X-ray interference showing satellite peaks [58]. This system showed clear evidence for negative resistance, thus confirming the theoretical predictions [16]. As an example, Figure 1.6 shows a typical superlattice grown by the present author at Philips Research Laboratories. The transmission electron micrograph was taken by my colleague Dr Jon Gowers. The IBM group also proposed resonant tunnelling through a double barrier structure [59] and again showed that this was in fact possible [60]. In 1974 Dohler spent some time at IBM where he worked with Esaki and became aware of the capability of MBE. On his return to Stuggart he worked with Ploog and together they developed the concept of a doping superlattice consisting of alternating layers of n and p-type material with regions of intrinsic material in between, the so-called n–i–p–i structure [61]. Even though the original idea was proposed by Dohler in 1972 [62], it took some time before the MBE technique became mature enough to realise practical devices; in particular, the development of p-type doping by Be at Bell Labs [39] enabled the Stuggart group to realise such structures in practise. An even greater stimulus to the development of MBE came from the development of quantum well (QW) structures. Again, Bell Labs were at the forefront of this development

12

Molecular Beam Epitaxy

Figure 1.6 Example of a superlattice grown by MBE at Philips Research Laboratories UK as part of a programme on low-threshold current lasers. The micrograph was taken by Dr Jon Gowers.

when, in 1974, Dingle et al. [63] discussed quantisation in thin AlGaAs/GaAs structures. One year later, Dingle produced an excellent review article discussing quantum confinement in ultra-thin semiconductor structures [64], after which there was an explosion of work worldwide on such structures. In this type I QW structure the electrons and holes are both contained in the GaAs well, with a strong overlap of the electron and hole wave functions. However, it is possible to engineer a type II QW in which the electron confinement is in one material but the holes are confined to the barrier, thus increasing the radiative lifetime. This was first demonstrated by Dawson et al. [65], again at Bell Labs, during his year there on secondment. Finally, the ability to be able to programme and control the flux accurately in MBE enabled Miller et al. [66] to demonstrate the linear dependence of the confined states in a parabolic quantum well for the first time. Two theoretical predictions from the University of Tokyo were to stimulate even more research into novel LDS. In the first paper, Sakaki [67] proposed that in a one-dimensional structure there should be enhanced mobility due to suppression of scattering; he later proposed a reduced temperature dependence for the threshold current of QW lasers [68]. Both further stimulated research by MBE of LDS and low-dimensional devices, including quantum wires and quantum dots. Several novel approaches to achieving such structures included growth on high-index 311 surfaces by Notzel et al. [69] at Stuggart and the cleaved-edge overgrowth method developed by Pfeiffer et al. [70] at Bell Labs. Somewhat later, the first growth of nanowires by MBE was reported by Lee et al. [71] from Dongguk University in Korea. The more

History of MBE

13

conventional approach of using gold nanoparticles was used by Ohlsson et al. [72] at Lund University, who used chemical beam epitaxy (CBE) for their samples. The first demonstration of defect-free quantum dots came from the work of Eaglesham and Cerullo [73] at Bell Labs, who showed by TEM defect-free islands of Ge on Si grown by MBE. Later that same year, Guha et al. [74] at the University of California showed that defect-free InAs islands could also be grown by MBE. In both cases the strain between the substrate and the layer is critical in transforming growth from two-dimensional to three-dimensional, resulting in quantum dot formation. There followed an intense discussion of the precise mechanism involved, which is described in some detail in the later review article by Joyce and Vvedensky [75]. Another major contributor to the success of MBE came from the discovery of modulation-doped heterojunctions, where electron mobilities are enhanced by spatially separating the conducting channel from the dopants. This was first observed by Dingle et al. [76] at Bell Labs in an AlGaAs/GaAs multi-quantum-well structure and later in single heterojunction samples. The discovery of the two-dimensional electron gas (2DEG) was followed by a demonstration of the two-dimensional hole gas (2DHG) by Stormer and Tsang [77]. Following on from this initial work at Bell Labs there ensued an international race to improve the mobility, with the lead going from Japan to Europe and back to the USA. Some of the highest 2DEG mobilities were also obtained in Israel. The gradual improvements came from the introduction of the undoped spacer layer between the dopant and the 2DEG/2DHG and from a gradual improvement in both the source materials, the use of pre-layers (including superlattices) and improvements to the MBE systems with extensive baking and improved pumping. While the original 2DHG was demonstrated in (001)-oriented AlGaAs using Be as the dopant [77], using 311A-oriented sample Si can act as an acceptor, thus improving the mobility [78]. Again this was partly driven by the discovery of the quantum Hall effect, which was first observed in silicon by Von Klitzing et al. [79] in 1980, who proposed a new resistance standard based on this discovery. In a search for the so-called Wigner crystal, Tsui et al. [80] then discovered the fractional quantum Hall effect (FQHE) with a filling factor of one-third. Another driving force for the further improvement in mobility came from studies of ballistic transport in LDS, leading eventually to the observation of the quantised conductance of a quantum point contact [81, 82]. In summary, the quest for new discoveries in LDS led to the development of MBE and to gradual improvements in the quality of the samples. Many of these new effects were first observed in samples grown by MBE.

1.7

III–V Nitrides, Phosphides, Antimonides and Bismides and Other Materials

Almost all of what is discussed above refers to III–As structures and there is no doubt that they were the most studied materials in the early history of MBE. However, other III–Vs, II–VIs, IV–VIs and SiGe were investigated to a smaller extent and more recently graphene and other two-dimensional (2D) systems have been in vogue. It is clearly impossible to mention in this brief history all aspects of each and indeed, as noted in Section 1.1, other

14

Molecular Beam Epitaxy

chapters in this book will cover much of this material in more detail. Accordingly, I will only mention the early papers in each case and hope this will be sufficient to encourage those interested to look in more detail at each topic. 1.7.1

III-Nitrides

The interest in nitrides clearly came from the fact that they cover a very wide range of band gaps, from 0.7 eV for InN to 6.2 eV for AlN, thus covering all of the visible spectrum and into the deep ultraviolet and near infrared. The major stumbling blocks were lack of suitable lattice matched substrates and difficulty with p-type doping. Nevertheless, there was considerable interest in nitrides from the MBE community, starting with the pioneering work in Japan of Yoshida et al. [83] in 1975 using a simple evaporation method. Later they developed what they termed reactive molecular beam epitaxy, which they used to study GaN/AlN heterostructures [84]. In this more sophisticated process, nitrogen was decomposed on the hot substrate from ammonia (NH3 ), Al was supplied from an e-beam evaporator and Ga from a conventional effusion source. Using NH3 , growth occurs under nitrogen-rich conditions, usually the other III–Vs and later demonstrated growth of AlGaN alloys over the whole composition range from GaN to AlN [85]. They also showed that introducing an AlN buffer layer improved the quality of GaN grown on sapphire [86]. Various groups have continued to use ammonia as a source of active nitrogen, but there are well-documented problems with this approach. An alternative is to use a plasma to excite nitrogen and form either atomic nitrogen or excited molecular nitrogen. Sato and Sato [87] used this method to grow InN, in their case using an RF coil in their evaporation system. The first MBE films using this method were grown by Davis’s group in North Carolina using what became known as either plasma-assisted (or plasma-enhanced) MBE (PA-MBE or PE-MBE) [88]. In this work they used a microwave discharge in what became known as an electron cyclotron resonance (ECR) source. The same group also reported the first growth of BN films using a special high-temperature source for boron [89]. An alternative approach was used by Hoke et al. [90] at Raytheon, who used the alternative RF plasma source from Oxford Applied Research to grow both InN and GaN (the RF plasma approach has now become the de-facto standard for PA-MBE). Various changes have allowed growth rates of several microns per hour to be obtained using this method, which we have used to grow thick free-standing films of GaN, AlN and AlGaN [91]. Unlike other III–Vs, growth using a plasma source as opposed to ammonia requires a very different growth mode; growth under nitrogen-rich conditions produces rough films, whilst growth under gallium-rich conditions results in Ga droplet formation on the surface. However, growth in the intermediate regime results in relatively smooth surfaces and improved electrical properties [92]. However, growth under strongly nitrogen-rich conditions does produce GaN nanocolumns, which are defect free. This growth mode was first reported by Yoshizawa et al. [93] from Sophi University, who grew GaN nanostructures by RF-MBE directly on (0001) sapphire, without the use of any pre-deposited metal droplets. Finally, we should note that it is still not clear (at least to me) whether the active species are atomic or excited molecular nitrogen; there is excellent evidence for both, so it probably

History of MBE

15

depends on the precise configuration of the source and may vary with both excitation power and nitrogen flow rate. The interest in the meta-stable form of cubic or the zinc-blende form of nitrides stems from the fact that for (001)-oriented films no electric fields are present, which can increase the overlap of electron and hole wave functions in QWs. The first report of the growth of cubic GaN came from Davis’s group [89] and many groups have studied this type of GaN. In our case we used an arsenic flux to change the growth mode from wurtzite (hexagonal) to zinc-blende (cubic) in a reproducible manner [94]. 1.7.2

III-Phosphides

The first reports of the growth of phosphide by MBE came from Bell Labs, where Arthur and LePore reported the growth of both GaAsP and GaP [11], shortly followed by Cho who grew GaP on CaF2 [95]. This early work involved growth using P4 , but later alternative sources producing P2 were introduced to minimise the production of white phosphorus either by employing a thermal cracker (Wicks et al. [96]) or by dissociation of GaP (Yamane et al. [97]). The alloy (Al)GaInP can be lattice matched to GaAs and this could potentially be a route to red LEDs or lasers. The first reported growth of GaInP came from Philips (Mullards) Lab in Redhill by Scott and Roberts [98] and shortly after by Kawamura et al. [99] from the NTT Lab in Tokyo. One year later the NTT group also reported on the growth of the quaternary AlGaInP, again lattice matched to GaAs [100]. The prospect of growing III–V structures on Si could potentially be used to combine the best of both worlds. The first step towards this came from the growth of GaP on Si, where there is only a small mismatch in lattice parameter. This was first reported by Gonda et al. [101]. One of the key issues here is to avoid anti-phase domain boundaries, which in turn require double steps on the Si substrate, which was solved much later by Grassman et al. [102] using a quite complex procedure. 1.7.3

III-Antimonides

As with the phosphide and arsenides, there was very early work on the growth of antimonides starting with the IBM group, who grew GaSbAs lattice matched to InGaAs [103]. Shortly after this the group at Waseda University reported the growth of both GaSb and GaSbAs [104]. Much of the work in this area involved the growth of GaSb:InAs heterostructures, where there is no common anion, thus alloying the formation of either As-like or Sb-like interfaces. The differences were studied in detail by Tuttle et al. [105] much later for InAs:AlSb quantum wells; they found significant differences in both carrier density and mobility. 1.7.4

III-Bismides

The current interest in bismides comes from the incorporation of small amounts in GaAs, which rapidly narrows the band gap, but the original motivation involved incorporation of small amounts of Bi in InSb for far-infrared detectors by the Westinghouse group [106]. Much later, in a collaborative effort between the National Renewable Energy Lab in the USA and the University of British Columbia in Canada, Bi incorporation into GaAs was

16

Molecular Beam Epitaxy

shown to rapidly narrow the band gap, with up to a few percent Bi incorporation under close to stoichiometric conditions [107]. Thai work was in part motivated by the earlier growth by metal-organic vapour phase epitaxy (MOVPE), where small amounts of Bi were incorporated [108]. 1.7.5

Highly Mismatched Alloys

Alloys where a much larger or smaller atom is incorporated in a host (e.g. N in GaAs) produced the surprising result that instead of a linear dependence of the band gap from, in this case, GaN to GaAs, there is an extreme bowing of the band gap, which was not predicted by theory until it was first observed experimentally. In 1992, Baillargeon et al. [109] in Illinois showed this behaviour for GaPN and in the same year, using plasma-assisted MOVPE, Weyers et al. [30] at NTT saw similar behaviour in GaAsN. Later, Kondo et al. [110] at Hitachi showed that a similar effect is seen in MBE, again using a plasma source for nitrogen. This general trend in highly mismatched alloys was then explained by Shan et al. [111] using the band anti-crossing (BAC) model. In both cases above the larger P or As atom was displaced by the much smaller N atom, giving rise to bowing. In the case of Bi the opposite case also shows a rapid decrease in band gap, so this is a general trend. To incorporate large amounts requires low growth temperatures, as we have shown for GaN with As, P or Sb, where even when the material becomes first polycrystalline and finally amorphous, there is still excellent agreement between the theoretical predictions of the BAC and experimental data (see e.g. Yu et al. [112]). 1.7.6

II–VIs

The II–VI compounds and alloys have energy gaps covering all of the visible spectrum and indeed some have negative gaps, some crystallise in the zinc-blende form and others are wurtzite. Thus in principle they have a wide variety of possible applications. However, a major difficulty in II–VIs was controlled n and p-type doping, since many contained high levels of unintentional impurities. Nevertheless, early in the development of MBE the group at Perkin Elmer reported the growth of CdSe, CdTe, ZnSe and ZnTe [113]. Their dual-chamber system introduced the samples via a preparation/analysis chamber, which included a LEED/Auger system for surface analysis and in-line mass spectrometry in the growth chamber, but without real-time monitoring with RHEED. In the II–VIs, careful control of stoichiometry is needed and in a later paper they did succeed in showing controlled n and p-type doping of II–VIs using Bi and Tl, respectively [114]. At almost the same time the group at the Electrotechnical Laboratory studied the growth of ZnTe by MBE and found that they could obtain twin-free growth at substrate temperatures between 360 and 400 ∘ C [115]. Later the same group reported the first observation of RHEED oscillations for II–VI films grown by MBE [116]. The problem of p-type doping for ZnSe grown by MBE was finally improved by the introduction of nitrogen doping using a plasma source [117]. This followed on from previous studies of N doping by ion implantation [118]. Although the wide-band-gap II–VIs initially showed great promise for blue/green LEDs, the nitrides developed by MOVPE took over this activity. However, one area where the II–VIs remained competitive was for CMT, which was first grown by MBE in France at

History of MBE

17

the LETI/CENG laboratory near Grenoble [119]. After much development this has now become a commercial product and MBE proved suitable for this technology [120]. 1.7.7

IV–VIs

T. Ford Holloway et al. [121] first grew lead tin telluride by vacuum evaporation in 1970, but later progressively refined this to become MBE (see the review by Walpole [122] for details). Perhaps the first report of growth by MBE as we understand it now came from the Perkin-Elmer work by Smith and Pickhardt [123]. They later also used the system described above for II–VIs to grow IV–VIs by MBE to obtain low-doped films [124]. Later, Walpole et al. [125] at Lincoln Labs used MBE to produce PbSnTe lasers able to operate CW up to 114 K. Even now there are some groups working in this field, but the number of groups working on IV–VIs by MBE is limited compared to those working on III–Vs. 1.7.8

SiGe

Once again the early work involved two Bell Labs, who in 1977 both commenced work on Si MBE [126, 127]. Both groups continued to study MBE for many years and their papers are highly cited in the literature. Si was provided from an e-beam source. Both groups studied Sb for n-type doping, as the conventional dopants (As and P) have high vapour pressure. For p-type doping, Al and Ga were used [126]. Later, Ota [128] introduced ion beam doping with As. The use of an e-beam source enabled Bean and Sadowski [129] to achieve extremely high growth rates, up to 20 μm h−1 [comparable to the chemical vapour deposition (CVD) process used in Si technology]. At much the same time, both AEG Telefunken and Hitachi also started programmes in this area. Konig et al. [130] used MBE to grow Si, again using Sb as the n-type dopant. Again, an e-beam source was used for Si and a conventional Knudsen source for Sb. This paper also refers to their earlier work on the growth of SiGe and SiGe SLs by what they termed UHV epitaxy, which to all intents and purposes is MBE by another name [131]. For this study again the Si came from an e-beam source and the Ge from a Knudsen cell. At Hitachi, Katayama et al. [132] reported a metal-oxide field effect transistor, where they compared a device prepared on Si grown by MBE with one prepared by the conventional method. They concluded that the mobility of the Si grown by MBE is comparable to that obtained by the usual method. They also commented that this avoids any problems produced by ion implantation and thermal treatment. At NTT, Sugiura [133] also studied doping in Si MBE using ion doping to obtain accurate control of the Sb doping profile. Following on from the work on Si it was natural to consider alloys with Si and in particular SiGe. This resulted in a number of interesting observations, including the fact that the critical layer thickness could be greater than the existing theory predicted, leading People and Bean [134] to propose a more accurate theory for samples with very low dislocation density. The first 2DHG and 2DEG were also reported by People et al. [135] and Abstreiter et al. [136], respectively. In due course Si/SiGe HBTs were also grown and reported by four groups in 1988, but competition from the existing CVD technology meant that as far as I am aware, this never reached production.

18

Molecular Beam Epitaxy

Finally we should mention MBE growth of SiC alloys, which was first reported by Miyazawa et al. [137] in 1984. In this case the Si was evaporated from an e-beam source and an ion beam was used for carbon. Slightly later, Kaneda et al. [138] used e-beam sources for both Si and C. In 1992 Yoshinobu et al. [139] grew 3C SiC on 6H SiC using gas source MBE. In this case alternating supplies of disilane and acetylene were used to grow monolayers of Si and C to produce SiC. 1.7.9

2D Materials

The discovery of graphene by ex-foliation in 2004 produced a high interest in 2D materials in general, and since then many have been discovered. For those interested in the history of this new family of materials, I recommend two recent articles which may be of interest [140, 141]. The growth of 2D films is possible due to the absence of dangling bonds in this family of materials; work on such growth by MBE started as early as 1884 and is generally known as van der Waals epitaxy. Due to the lack of strong interlayer bonding, despite a large difference in lattice parameter, defect-free material can be obtained (as discussed by Koma [142], who was one of the pioneers in this area of MBE). Despite the lack of interlayer bonding the various layers are oriented in-plane, giving rise to moiré patterns in AFM or STM images [143]. In our recent studies of the high-temperature growth of graphene on hexagonal boron nitride, such patterns can clearly be seen by STM [144].

1.8

Early MBE-Grown Devices

Many groups worldwide were quick to see the potential of MBE for device applications, but there can be no doubt that the early work at Bell Labs by many researchers including, most especially, Al Cho stimulated interest in this aspect of MBE. In Section 1.1 I mentioned the early review by Cho and Arthur who summarised the situation in 1975 for devices produced using MBE, and that article will provide the reader with an excellent summary [4]. It is often said that other epitaxial techniques (CVD and MOVPE) are more appropriate for production, but there are areas where MBE has taken the lion’s share of the market (e.g. power transistors for mobile phones and quantum cascade lasers, to name but two).

1.9

Summary

MBE has come a long way since I first became involved in the late 1960s, with pioneering efforts worldwide. A whole community of researchers have contributed to this development and continue to do so in many different aspects of the work. For those whose work I have failed to mention, I can only plead lack of space!

Acknowledgments I wish to acknowledge all the help I have received over the years from colleagues all around the world and in particular to thank Professor Orton with whom I prepared the book on the

History of MBE

19

history of MBE and also my close colleagues here at Nottingham – Professor Novikov, Dr Campion and Dr Cheng – with whom I have worked since arriving.

References 1. Orton, J.W. and Foxon, C.T. (2015). Molecular Beam Epitaxy – A Short History. Oxford: Oxford University Press. 2. Cho, A.Y., Panish, M.B., and Hayashi, I. (1970). Proceedings of the Third International Symposium on GaAs and Related Compounds, vol. 18. London: Institute of Physics. ISBN: 0854980148. 3. Joyce, B.A. (1974). Rep. Prog. Phys. 37: 363. 4. Cho, A.Y. and Arthur, J.R. (1975). Prog. Solid State Chem. 10: 157. 5. Joyce, B.A. and Bradley, R.R. (1966). Philos. Mag. 14: 289. 6. Booker, G.R. and Joyce, B.A. (1966). Philos. Mag. 14: 301. 7. Joyce, B.A., Bradley, R.R., and Booker, G.R. (1967). Philos. Mag. 15: 1167. 8. Joyce, B.A. (1968). J. Cryst. Growth 3: 43. 9. Orton, J.W. (2004). The Story of Semiconductors. Oxford: Oxford University Press. 10. Arthur, J.R. (1968). J. Appl. Phys. 39: 4032. 11. Arthur, J.R. and LePore, J.J. (1969). J. Vac. Sci. Technol. 6: 545. 12. Cho, A.Y. (1970). J. Appl. Phys. 41: 2780. 13. Cho, A.Y. (1971). J. Appl. Phys. 42: 2074. 14. Cho, A.Y. (1971). J. Vac. Sci. Technol. 8: S31. 15. Ichimura, A. and Cohen, P.I. (2004). Reflection High Energy Electron Diffraction. Cambridge: Cambridge University Press. 16. Chang, L.L., Esaki, L., Howard, W.E. et al. (1973). J. Vac. Sci. Technol. 10: 655. 17. Foxon, C.T., Boudry, M.R., and Joyce, B.A. (1974). Surf. Sci. 44: 69. 18. Foxon, C.T., Harvey, J.A., and Joyce, B.A. (1973). J. Phys. Chem. Solids 34: 1693. 19. Arthur, J.R. (1967). J. Phys. Chem. 28: 2257. 20. Foxon, C.T. and Joyce, B.A. (1975). Surf. Sci. 50: 434. 21. Foxon, C.T. and Joyce, B.A. (1977). Surf. Sci. 64: 293. 22. Foxon, C.T. and Joyce, B.A. (1978). J. Cryst. Growth 44: 75. 23. Foxon, C.T., Joyce, B.A., and Norris, M.T. (1980). J. Cryst. Growth 49: 132. 24. Farrow, R.F.C. (1974). J. Phys. D7: 114. 25. Harris, J.J., Joyce, B.A., and Dobson, P.J. (1981). Surf. Sci. Lett. 108: L90. 26. Wood, C.E.C. (1981). Surf. Sci. 108: 441. 27. P. J. Dobson, C. T. Foxon and J. H. Neave, Patent Applications US19830552653 19831117, GB198220033778 28. Chang, C., Ludeke, R., Chang, L.L., and Esaki, L. (1977). Appl. Phys. Lett. 31 (759). 29. Pashley, M.D., Haberern, K.W., Friday, W. et al. (1988). Phys. Rev. Lett. 60: 2176. 30. Weyers, M., Sato, M., and Ando, H. (1992). Jpn. J. Appl. Phys. 31: L853. 31. Pashley, M.D. (1989). Phys. Rev. B 40: 10481. 32. Wood, C.E.C. and Joyce, B.A. (1978). J. Appl. Phys. 49: 4854. 33. Wang, W.I., Mendez, E.E., Kuan, T.S., and Esaki, L. (1985). Appl. Phys. Lett. 47: 826. 34. Cho, A.Y. and Hayashi, I. (1971). J. Appl. Phys. 42: 4422. 35. Briones, F., Golmayo, D., Gonzalez, L., and de Miguel, J.L. (1985). J. Vac. Sci. Technol. B3: 568. 36. Wood, C.E.C. (1978). Appl. Phys. Lett. 33: 770. 37. Collins, D.M. (1979). Appl. Phys. Lett. 35: 67. 38. Naganuma, M. and Takahashi, K. (1975). Appl. Phys. Lett. 27: 342. 39. Ilegems, M. (1977). Appl. Phys. Lett. 48: 1278. 40. Weyers, M., Putz, N., Heineke, H. et al. (1986). J. Electron. Mater. 15: 57. 41. Malik, R.J., Nottenberg, R.N., Schubert, E.F. et al. (1988). Appl. Phys. Lett. 53: 2661. 42. Wood, C.E.C., Metze, G., Berry, J., and Eastmann, L.F. (1980). J. Appl. Phys. 51: 383.

20 43. 44. 45. 46. 47. 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. 80. 81. 82. 83. 84. 85. 86. 87. 88. 89. 90. 91. 92. 93. 94. 95.

Molecular Beam Epitaxy Sakaki, H., Tanaka, M., and Yoshino, J. (1985). Jpn. J. Appl. Phys. 24: L417. Fukunaga, T., Kobayashi, K.L.I., and Nakashima, H. (1985). Jpn. J. Appl. Phys. 24: L510. Sano, N., Kato, H., Nakayama, M. et al. (1984). Jpn. J. Appl. Phys. 23: L640. Sakamoto, T., Funabashi, H., Ohta, K. et al. (1984). Jpn. J. Appl. Phys. 23: L657. Briones, F., Gonzalez, L., Recio, M., and Vazquez, M. (1987). Jpn. J. Appl. Phys. 26: L1125. Horikoshi, Y., Kawashima, M., and Yamaguchi, H. (1986). Jpn. J. Appl. Phys. 25: L868. Panish, M.B. (1980). J. Electrochem. Soc. 127: 2729. Panish, M.B., Temkin, H., and Sumski, S. (1985). J. Vac. Sci. Technol. B3: 657. Veuhoff, E., Pletschen, W., Balk, P., and Luth, H. (1981). J. Cryst. Growth 55: 30. Vodjani, N., Lemarchand, A., and Paradan, H. (1982). J. Phys. C5: 339. Tokumitsu, E., Kudou, Y., Konagai, M., and Takahashi, K. (1884). J. Appl. Phys. 55: 3163. Tsang, W.T. (1984). Appl. Phys. Lett. 45: 1234. Tsang, W.T. and Miller, R.C. (1986). J. Cryst. Growth 77: 55. Esaki, L. and Tsu, R. (1970). IBM J. Res. Dev. 14: 61. Chang, L.L., Esaki, L., Howard, W.E. et al. (1973). J. Vac. Sci. Technol. 10: 11. Esaki, L. and Chang, L.L. (1976). Thin Solid Films 36: 285. Tsu, R. and Esaki, L. (1973). Appl. Phys. Lett. 22: 562. Chang, L.L., Esaki, L., and Tsu, R. (1974). Appl. Phys. Lett. 24: 593. Dohler, G.H. and Ploog, K. (1979). Prog. Cryst. Growth Charact. Mater. 2: 145. Dohler, G.H. (1972). Phys. Status Solidi B52: 533. Dingle, R., Wiegman, W., and Henry, C.H. (1974). Phys. Rev. Lett. 33: 827. Dingle, R. (1975). Festkorperprobleme XV: 21. Dawson, P., Wilson, B.A., Tu, C.W., and Miller, R.C. (1986). Appl. Phys. Lett. 48: 541. Miller, R.C., Gossard, A.C., Kleinman, D.A., and Munteanu, O. (1984). Phys. Rev. B29: 3740. Sakaki, H. (1980). Jpn. J. Appl. Phys. 19: L735. Arakawa, Y. and Sakaki, H. (1982). Appl. Phys. Lett. 40: 939. Notzel, R., Ledentsov, N.N., Daweritz, L. et al. (1992). Phys. Rev. B45: 3507. Pfeiffer, L., West, K.W., Stormer, H.L. et al. (1990). Appl. Phys. Lett. 56: 1697. Lee, H.G., Jeon, H.C., Kang, T.W., and Kim, T.W. (2001). Appl. Phys. Lett. 78: 3319. Ohlsson, B.J., Bjork, M.T., Magnusson, M.H. et al. (2001). Appl. Phys. Lett. 79: 3335. Eaglesham, D.J. and Cerullo, M. (1990). Phys. Rev. Lett. 64: 1943. Guha, S., Madhukar, A., and Rajkumar, K.C. (1990). Appl. Phys. Lett. 57: 2110. Joyce, B.A. and Vvedensky, D.D. (2004). Mater. Sci. Eng., R 46: 127. Dingle, R., Stormer, H.L., Gossard, A.C., and Wiegmann, W. (1978). Appl. Phys. Lett. 33: 665. Stormer, H.L. and Tsang, W.T. (1980). Appl. Phys. Lett. 36: 685. Wang, W.I., Mendez, E.E., Iye, Y. et al. (1986). J. Appl. Phys. 60: 1834. Von Klitzing, K., Dorda, G., and Pepper, M. (1980). Phys. Rev. Lett. 45: 494. Tsui, D.C., Stormer, H.L., and Gossard, A.C. (1982). Phys. Rev. Lett. 48: 1559. Wharam, D.A., Thornton, T.J., Newbury, R. et al. (1988). J. Phys. C21: L209. Van Wees, B.J., Kouwenhoven, L.P., Van Houten, H. et al. (1988). Phys. Rev. B38: 3625. Yoshida, S., Misawa, S., and Itoh, A. (1975). Appl. Phys. Lett. 26: 461. Yoshida, S., Misawa, S., and Gonda, S. (1983). J. Vac. Sci. Technol. B1: 250. Yoshida, S., Misawa, S., and Gonda, S. (1982). J. Appl. Phys. 53: 6844. Yoshida, S., Misawa, S., and Gonda, S. (1983). Appl. Phys. Lett. 42: 427. Sato, Y. and Sato, S. (1989). Jpn. J. Appl. Phys. 28: L1641. Paisley, M.J., Sitar, Z., Posthill, J.B., and Davis, R.F. (1989). J. Vac. Sci. Technol. A7: 701. Paisley, M.J., Sitar, Z., Yan, B., and Davis, R.F. (1990). J. Vac. Sci. Technol. B8: 323. Hoke, W.E., Lemonias, P.J., and Weir, D.G. (1991). J. Cryst. Growth 111: 1024. Novikov, S.V., Kent, A.J., and Foxon, C.T. (2017). Prog. Cryst. Growth Charact. Mater. 63: 25. Heying, B., Smorchkova, I., Poblenz, C. et al. (2000). Appl. Phys. Lett. 77: 2885. Yoshizawa, M., Kikuchi, A., Nori, M. et al. (1997). Jpn. J. Appl. Phys. 36: L459. Cheng, T.S., Jenkins, L.C., Hooper, S.E. et al. (1995). Appl. Phys. Lett. 66: 1509. Cho, A.Y. (1970). J. Appl. Phys. 41: 782.

History of MBE 96. 97. 98. 99. 100. 101. 102. 103. 104. 105. 106. 107. 108. 109. 110. 111. 112. 113. 114. 115. 116. 117. 118. 119. 120. 121. 122. 123. 124. 125. 126. 127. 128. 129. 130. 131. 132. 133. 134. 135. 136. 137. 138. 139. 140. 141. 142. 143. 144.

21

Wicks, G.W., Koch, M.W., Varriano, J.A. et al. (1991). Appl. Phys. Lett. 59: 342. Yamane, K., Kobayashi, T., Furakawa, Y. et al. (2009). J. Cryst. Growth 311: 794. Scott, G.B. and Roberts, J.S. (1979). Inst. Phys. Conf. Ser. 45: 181. Kawamura, Y., Asahi, H., and Nagai, H. (1981). Jpn. J. Appl. Phys. 20: L807. Asahi, H., Kawamura, Y., and Nagai, H. (1982). J. Appl. Phys. 53: 4928. Gonda, S., Matsushima, Y., Mukai, S. et al. (1978). Jpn. J. Appl. Phys. 17: 1043. Grassman, T.J., Brenner, M.R., Rajagopalan, S. et al. (2009). Appl. Phys. Lett. 94: 232106. Sakaki, H., Chang, L.L., Ludeke, R. et al. (1977). Appl. Phys. Lett. 31: 211. Yano, M., Suzuki, Y., Ishii, T. et al. (1978). Jpn. J. Appl. Phys. 17: 2091. Tuttle, G., Kroemer, H., and English, J.C. (1990). J. Appl. Phys. 67: 3032. Noreika, A.J., Takei, W.J., Francombe, M.H., and Wood, C.E.C. (1982). J. Appl. Phys. 53: 4932. Francoeur, S., Seong, M.J., Macarenhas, A. et al. (2003). Appl. Phys. Lett. 82: 3874. Oe, K. and Okamoto, H. (1998). Jpn. J. Appl. Phys. 37: L1283. Baillargeon, J.N., Pearah, P.J., Cheng, K.Y. et al. (1992). J. Vac. Sci. Technol. B10: 829. Kondo, M., Uomi, K., Hosomi, K., and Mozume, T. (1994). Jpn. J. Appl. Phys. 33: L1056. Shan, W., Walukiewicz, W., Ager, J.W. et al. (1999). Phys. Rev. Lett. 82: 1221. Yu, K.M., Novikov, S.V., Broesler, R. et al. (2009). J. Appl. Phys. 106: 103709. Smith, D.L. and Pickard, V.Y. (1975). J. Appl. Phys. 46: 2366. Smith, D.L. and Pickard, V.Y. (1978). J. Electrochem. Soc. 125: 2042. Yao, T., Amano, S., Makita, Y., and Maekawa, S. (1976). Jpn. J. Appl. Phys. 15: 1001. Yao, T., Taneda, H., and Funaki, M. (1986). Jpn. J. Appl. Phys. 25: L952. Park, R.M., Mar, H.A., and Salansky, N.M. (1985). J. Appl. Phys. 58: 1047. Wu, Z.L., Merz, J.L., Werkhoven, C.J. et al. (1982). Appl. Phys. Lett. 40: 345. Faurie, J.P. and Million, A. (1981). J. Cryst. Growth 54: 582. Norton, P. (2002). Opto-Electron. Rev. 10: 159. Holloway, H., Logothetis, E.M., and Wilkes, E. (1970). J. Appl. Phys. 41: 3543. Holloway, H. and Walpole, J.N. (1979). Prog. Cryst. Growth Charact. Mater. 2: 49. Smith, D.L. and Pickhardt, V.Y. (1975). J. Electron. Mater. 4: 1256. Smith, D.L. and Pickhardt, V.Y. (1976). J. Electron. Mater. 5: 247. Walpole, J.N., Calawa, A.R., Harman, T.C., and Groves, S.H. (1976). Appl. Phys. Lett. 28: 552. Becker, G.E. and Bean, J.C. (1977). J. Appl. Phys. 48: 3395. Ota, Y. (1977). J. Electrochem. Soc. 124: 1795. Ota, Y. (1979). J. Electrochem. Soc. 126: 1761. Bean, J.C. and Sadowski, E.A. (1982). J. Vac. Sci. Technol. 20: 137. Konig, U., Kibbeland, H., and Kasper, E. (1979). J. Vac. Sci. Technol. 16: 985. Kasper, E., Herzog, H.J., and Kibbel, H. (1975). Appl. Phys. 8: 199. Katayama, Y., Shiraki, Y., Kobayashi, K.L.I. et al. (1979). Appl. Phys. Lett. 34: 740. Sugiura, H. (1980). J. Appl. Phys. 51: 2630. People, R. and Bean, J.C. (1985). Appl. Phys. Lett. 487: 322. People, R., Bean, J.C., Lang, D.V. et al. (1984). Appl. Phys. Lett. 45: 1231. Abstreiter, G., Brugger, H., Wolf, T. et al. (1985). Phys. Rev. Lett. 54: 2441. Miyazawa, T., Yoshida, S., Misawa, S., and Gonda, S. (1984). Appl. Phys. Lett. 45: 380. Kaneda, S., Sakamoto, Y., Nishi, C. et al. (1986). Jpn. J. Appl. Phys. 25: 1307. Yoshinobu, T., Mitsui, H., Izumikawa, I. et al. (1992). Appl. Phys. Lett. 60: 824. Castellanos-Gomez, A. (2016). Nat. Photonics 10: 202. Bhimanapati, G.R., Lin, Z., Meunier, V. et al. (2015). ACS Nano 9: 11509. Koma, A. (1999). J. Cryst. Growth 201/202: 236. Parkinson, B.A., Ohuchi, F.S., Ueno, K., and Koma, A. (1991). Appl. Phys. Lett. 58: 472. Cheng, T.S., Davies, A., Summerfield, A. et al. (2016). J. Vac. Sci. Technol. B34: 02L101.

2 General Description of MBE Yoshiji Horikoshi Department of Science and Engineering, Waseda University, Tokyo 169-8050, Japan

2.1

Introduction

Molecular beam epitaxy (MBE) is a versatile growth technique for producing epitaxial films of semiconductors, insulators, and metals. The usefulness of MBE has been recognized in both research and production areas. Therefore, many books have been published on various aspects of MBE in the last few decades [1–3]. In addition, a tremendous number of papers have been published on MBE apparatus, growth processes, application to new materials, and so on. On GaAs and other III–V compound semiconductors, many important works were published prior to 1970 [4–10]. Much pioneering work on Si MBE was also carried out at this time [11, 12]. A precise description of the dawn of MBE is given in Chapter 1. More than 50 years have passed since the first epitaxial growth of semiconductors by MBE was recognized [4, 11, 13]. Nevertheless, MBE still attracts researchers for the investigation of new materials, new structures, new phenomena of surfaces and interfaces, new devices, and so on. The fundamental factors of MBE are: ultra-high vacuum (UHV) chambers, high-quality effusion cells, precise shutter control system, and in-situ observation techniques. Each of these has been improved considerably in the history of MBE, and improvement is still ongoing with the efforts of manufacturers. Therefore, the MBE systems tomorrow will be different from those today. Most of the improvements directly reflect the quality of grown layers and structures. This may be the reason why MBE attracts researchers even now. In this chapter, all these factors will be discussed briefly.

Molecular Beam Epitaxy: Materials and Applications for Electronics and Optoelectronics, First Edition. Edited by Hajime Asahi and Yoshiji Horikoshi. © 2019 John Wiley & Sons Ltd. Published 2019 by John Wiley & Sons Ltd.

24

2.2

Molecular Beam Epitaxy

High-Vacuum Chamber System

Among the important factors of MBE, producing the UHV condition around the effusion cells and the substrate is extremely important to grow high-quality materials. Usually, UHV means a vacuum level with pressures of residual gases lower than 10−7 Pa. In a practical growth of Si or GaAs, however, much lower residual gas pressures ( 1∕2Ds 𝜏

(3.1)

Migration-Enhanced Epitaxy and its Application

43

Here, 2Ds 𝜏 corresponds to the 2D area where deposited Ga atoms can move freely. With the conditions of Eq. (3.1), most of the deposited Ga atoms will migrate and be adsorbed at steps and kinks. Immediately after the adsorption, Ga atoms react with As and are incorporated into the crystal lattice, creating new steps and kinks. Thus, the step-flow growth dominates and the surface becomes flat. As a result, Ns decreases considerably and Eq. (3.1) no longer holds. A new situation emerges as: Ns < 1∕2Ds 𝜏

(3.2)

Under this situation, most of the migrating isolated Ga atoms cannot reach the adsorption site before reacting with As on the flat surface. Thus, new island formation takes place, producing again a rough surface, and the surface condition returns to Eq. (3.1). Therefore, the growth proceeds by repeating the surface conditions of Eq. (3.1) and Eq. (3.2) [19]. In MBE growth, this process is well examined through RHEED observation. When the surface condition changes from Eq. (3.1) to Eq. (3.2), the RHEED specular beam intensity increases while otherwise it decreases, because the specular beam intensity is lower for rougher surfaces. As a result, the RHEED intensity oscillates during growth [20]. This is, however, a damping oscillation as shown in Figure 3.1, which is caused by the limited migration length of isolated Ga atoms during MBE. Finally, the RHEED intensity oscillation terminates because the island formation process reaches equilibrium with the migration process (i.e. the flattening process). The resulting roughness depends on the growth conditions. Surface roughness created in MBE growth can be alleviated by interrupting the growth [21, 22]. Growth interruption is done by stopping the Ga supply on the surface. Thus, the new island supply process disappears and only the flattening process survives. In this case, isolated Ga atoms are supplied in such a way that the As atoms on the edges of small islands evaporate, leaving Ga atoms behind. These Ga atoms migrate to find a more stable site at the periphery of bigger islands. Thus, the flattening process proceeds during growth interruption. Since the evaporation of As from the small islands is rather a slow process in As-stable conditions, a longer growth interruption time is needed to achieve coalescence of small islands. Indeed, a few minutes of growth interruption is needed to obtain a discernible flattening effect in the growth of GaAs at 580 ∘ C.

Specular beam intensity

Start Ts = 580 °C Monolayer growth 1s

t

Figure 3.1 RHEED specular beam intensity variation recorded in the [110] azimuth during MBE growth of GaAs on (001) GaAs substrate at 580 ∘ C. As4 BEP and Ga deposition rate are 5 × 10−6 mbar and 6.5 × 1014 cm−2 s−1 , respectively.

44

Molecular Beam Epitaxy

3.3

Principle of MEE

If Eq. (3.1) holds even for fairly flat surfaces (i.e. those with very small Ns values), no new island formation takes place until the previous layer growth is complete. Thus, the ideal layer-by-layer growth is achieved. In this case, Ds 𝜏 should be large enough to keep Eq. (3.1) even for a small density of Ns . However, artificial enhancement of Ds is usually very difficult, unless excess energy (such as temperature increase, application of electric field, or optical irradiation) is introduced on the surface. This is because Ds is an inherent characteristic determined by the surface structure and chemical nature of surface adatoms. 𝜏, on the other hand, is an average lifetime of isolated Ga atoms before reacting with As to form GaAs molecules. Therefore, the latter is easily modulated. In MEE growth of GaAs, Ga atoms are deposited separately from As molecules. Therefore, 𝜏 is easily enhanced to make the migration distance very long. This effect can be operative even at fairly low temperatures. Indeed, the migration of Ga atoms occurs actively even at temperatures as low as 200 ∘ C [23]. RHEED observation has revealed the MEE growth mechanism of GaAs [24]. In Figure 3.2, RHEED specular beam intensity traces are shown during the alternate deposition of Ga and As4 on a (001) GaAs surface. The deposition sequence is depicted in the inset. The substrate surface exposed to As4 at 580 ∘ C shows a clear (2 × 4) reconstruction. Even after closing the As4 cell shutter, (2 × 4) reconstruction is maintained for a while. However, when the Ga cell shutter is opened at the same time, both the RHEED pattern and the specular beam intensity change very quickly. The RHEED pattern changes from (2 × 4) to (4 × 2), while the specular beam intensity drops steeply. The Ga deposition is performed for tGa seconds. As4 deposition is resumed after five seconds of Ga deposition. A rapid recovery is observed in the RHEED specular beam intensity when As4 supply is resumed. The recovery characteristics, however, depend on the amount of Ga atoms deposited in tGa , as clearly seen in Figure 3.2. When the number of Ga atoms deposited on Start 0

5

15 (sec)

10

Ts = 580 °C

Specular beam intensity

NGa = N0 2N0 0.43N0 1.43N0

Start As4

Ga

tGa 5 sec t

Figure 3.2 RHEED specular beam intensity traces recorded during the alternate deposition of Ga and As4 on (001) GaAs at 580 ∘ C. As4 BEP is fixed at 1 × 10−5 mbar. No excess As adsorption takes place.

Migration-Enhanced Epitaxy and its Application

45

the surface per unit area (NGa ) is approximately adjusted to N0 , where N0 denotes the site density on the (001) GaAs surface, rapid RHEED intensity recovery is observed. The value N0 is approximately equal to 6.26 × 1014 cm−2 , while NGa is obtained from the deposition rate of Ga estimated from RHEED intensity oscillation during GaAs growth. Since the RHEED pattern shows the Ga-stabilized (4 × 2) pattern, Ga atoms deposited within tGa may form one complete monolayer on the (001) GaAs surface. When As4 deposition is resumed, the reaction between the Ga surface and As4 occurs very quickly, resulting in a quick recovery of RHEED specular beam intensity. At the same time, As-stable (2 × 4) reconstruction recovers. When the amount of Ga atoms is increased to NGa ≈ 2N0 , rapid recovery of RHEED intensity again takes place. In this case, the RHEED pattern after 2N0 Ga deposition also shows Ga-stabilized (4 × 2), and therefore deposited 2N0 Ga atoms first form one complete monolayer, and the excess Ga atoms probably agglomerate into small droplets distributed on the complete coverage of Ga atoms, as suggested by Neave et al. [25] and Yamada et al. [26]. Immediately after As4 deposition resumption, Ga atoms in the flat Ga surface react with As4 to form an As-stable surface. The Ga atoms in the droplets then diffuse quickly on the As surface to form a new Ga flat layer. A small hump seen in the RHEED intensity recovery trace reflects this process. Thus, quick formation of flat GaAs layers after As4 resumption is achieved in the commensurate deposition of Ga atoms. In the case of incommensurate deposition, the recovery process is quite different. As shown in Figure 3.2, the RHEED intensity recovery process for NGa ≈ 0.43N0 is composed of two steps with different time constant. The first rapid recovery is an adsorption process of As on the Ga surface, since just after this rapid recovery a well-defined (2 × 4) pattern appears. In this process, however, the RHEED intensity does not recover its original value but the intensity increase stops at an intermediate level where the second slow recovery step starts. An incomplete recovery of the RHEED intensity at the end of the first step is probably caused by electron beam scattering by randomly distributed 1-ML thick small islands. Since the deposition is incommensurate, small 2D islands are formed on the surface. The second recovery step with a long time constant is probably caused by the coalescence process of small islands into bigger islands, resulting in a slow recovery of RHEED intensity. This slow recovery has time constants similar to those observed in conventional MBE growth, where a very slow RHEED intensity recovery is observed when the growth is interrupted by closing the Ga cell shutter. A similar explanation is possible when NGa ≈ 1.43N0 is used. Thus, when NGa is adjusted to close to the site density N0 , layer-by-layer growth of GaAs is achieved, conserving atomically flat surfaces. Indeed, the resulting RHEED specular beam intensity showed persistent oscillation with constant amplitude throughout the growth. In the above experiment, the number of As4 molecules supplied after Ga deposition is not carefully considered, because only a little excess As adsorption takes place at temperatures as high as 580 ∘ C, even for high As4 deposition rates. The RHEED intensity traces shown in Figure 3.2 are typical at relatively high temperatures. However, at low temperatures, opposite characteristics are often observed even with the same RHEED observation conditions. Figure 3.3 demonstrates RHEED specular beam intensity traces recorded during the alternate deposition of Ga and As4 at 200 ∘ C [23]. In this experiment, thermal cleaning is performed after buffer layer growth at 580 ∘ C under an appropriate As4 beam equivalent pressure (BEP). Then the substrate is cooled down to 200 ∘ C. At the same time, the As cell temperature is changed to control the As4 BEP at 1.6 × 10−7 –4 × 10−6 mbar. Here, NGa is

46

Molecular Beam Epitaxy

Specular beam intensity

Ts = 200 °C

NGa = N0

pAs4 (mbar) 4 × 10−6 1.3 × 10−6 6.6 × 10−7 1.6 × 10−7

5

10

15

20s

Ga As4 t

Figure 3.3 RHEED specular beam intensity traces recorded during the alternate deposition of Ga and As4 on (001) GaAs at 200 ∘ C. Here, the number of Ga atoms deposited is fixed at the amount of 1 ML while the As4 BEP is varied. Since the excess As adsorption lowers the specular beam intensity, it is sensitive to the As4 BEP. Therefore, each trace was shifted vertically to adjust the initial intensity. When the As4 BEP is high, no Ga-stable surface appears even after Ga deposition.

fixed at N0 . With an As4 BEP as high as 4 × 10−6 mbar, the original surface is covered with excess As as characterized by c(4 × 4) reconstruction, resulting in a considerable reduction of RHEED specular beam intensity. When the Ga deposition starts on this surface, the RHEED intensity shows a prominent increase instead of decreasing, because 1-ML Ga deposition consumes excess As and the surface approaches the As surface without excess As. By opening the As4 cell shutter after five seconds of 1-ML Ga deposition, excess As adsorption again occurs and the RHEED intensity decreases toward the initial value. When the As4 BEP is reduced to 1.6 × 10−7 mbar, the original surface suffers from only a little excess arsenic adsorption even at 200 ∘ C. As a result, the RHEED specular beam intensity is as high as those obtained at high temperatures, and when Ga deposition starts, the RHEED intensity behavior is similar to those at high temperatures. Indeed, a well-defined Ga-stable surface is confirmed by RHEED pattern observation after Ga deposition. The recovery after As4 resumption is quite slow, as demonstrated in Figure 3.3, because of a very low As4 BEP. This characteristic suggests that stoichiometric growth probably takes place even at 200 ∘ C with very carefully controlled As BEPs. However, this result also shows that the growth rate should be very small because it takes a long time to complete the As adsorption. In order to increase the growth rate at low temperatures, the As4 BEP should be increased. As can be seen in Figure 3.3, however, excess As adsorption occurs even when the As4 BEP is as low as 6.6 × 10−7 mbar, which corresponds to an As deposition rate of only 8 × 1013 cm−2 s−1 . At this As4 BEP, the surface is a little As4 over-adsorbed before Ga deposition. Therefore, the RHEED intensity first increases, because the excess As atoms on the surface could be consumed by impinged Ga atoms, and the surface approaches an As-stable surface without excess As adsorption. The RHEED intensity shows a maximum at this point. Then the RHEED intensity begins to decrease because the surface coverage

Migration-Enhanced Epitaxy and its Application

47

of Ga starts. However, the intensity decrease saturates at a certain level because of the limited number of NGa . When the As4 deposition resumes, the intensity increases toward an As stabilized (without excess As adsorption) condition. This condition can be achieved when the intensity arrives at the maximum. Further deposition of As4 results in excess As adsorption, resulting in a decrease in the RHEED intensity again. This phenomenon suggests that by optimizing not only the As4 BEP but also the deposition time, GaAs growth can be achieved with reasonable rates without excess As adsorption even at 200 ∘ C. Figure 3.4 demonstrates the optimization of As deposition by RHEED observation in the growth of GaAs at 300 ∘ C. The growth was performed according to the deposition sequence shown in the inset. The GaAs (001) surface is first annealed to remove the surface oxide in As4 atmosphere at 590 ∘ C. A clear As-stable (2 × 4) reconstruction is confirmed. Then the temperature is cooled down to 300 ∘ C under no As deposition condition. The upper trace in Figure 3.4 is obtained with NGa = 0.5N0 , and the lower trace with NGa = 0.9N0 , while the As4 BEP is kept at 1.3 × 10−6 mbar. When Ga deposition is started, the RHEED intensity drops, and recovers in the succeeding As4 deposition period. However, the RHEED intensity again decreases when the As4 deposition is continued for a long time, as shown by the solid curve in each trace. This decrease of the RHEED intensity is probably caused by the excess As adsorption. When the number of As4 molecules is limited at a certain number, the RHEED intensity does not decrease from the saturated value, as shown by the dashed curves in Figure 3.4. The dashed curve of the upper trace (NGa = 0.5N0 ) occurs when the deposition time of As4 is 0.8 s, which corresponds to the number of As4 molecules NAs4 = 2 × 1014 cm−2 . A similar result is obtained when NAs4 is reduced to 1.7 × 1014 cm−2 . However, further reduction of As deposition to NAs4 = 1.4 × 1014 cm−2 deteriorates the RHEED intensity considerably. Therefore, the NAs4 value of 1.7 × 1014 cm−2 may be optimum in this case. It is interesting to note that this value is very close to half of NGa , which is approximately 3.15 × 1014 cm−2 in this case. A similar relationship between NAs4 and NGa is obtained for the lower trace in Figure 3.4, where NGa = 0.9N0 . These results imply tGa = 0.5 s tAs4 = 0.8s Specular beam intensity

Ts = 300 °C tGa = 0.5 s tAs4 →∞ tGa = 0.9 s tAs4 = 1.4s

pAs4 = 1.3 × 10−6 mbar JGa = 6.26 × 1014 / cm−2 s−1 tGa

tGa = 0.9 s tAs4 →∞

tAs4

JGa pAs4

0

5

10 s t

3s

t

Figure 3.4 RHEED intensity recovery characteristics during alternate deposition of Ga and As4 at 300 ∘ C using the deposition sequence shown in the inset. Flat responses (broken line curves) are observed for optimized As4 deposition.

48

Molecular Beam Epitaxy

that the critical value of NAs4 lies close to 0.5NGa , which is consistent with the study on the sticking characteristics of As4 reported by Foxon and Joyce [27]. Note, however, that the estimation of NAs4 is performed by assuming the linear relationship between the number of electrons in the molecule and the ionization efficiency reported in the literature [28].

3.4

Growth of GaAs by MEE

According to the discussion in the previous section, growth of flat surfaces and interfaces can be achieved by the alternate deposition of Ga, Al, and As. Figure 3.5 shows an example of a RHEED specular beam intensity trace recorded during MEE growth at 580 ∘ C. Here, NGa ≈ N0 and the As4 BEP of 4 × 10−6 mbar are used. The RHEED patterns in the [110] azimuth are also given. After As4 deposition, a twofold As-stable surface is established, while a well-defined fourfold pattern appears after Ga deposition. Between the peaks and valleys, threefold patterns briefly appear in both Ga and As4 deposition periods. This RHEED pattern observation indicates that the specular beam intensity oscillation in Figure 3.5 is caused by the difference in the electron beam reflectivity between differently reconstructed surfaces (i.e. Ga-stable and As-stable surfaces) rather than by a 2D nucleation process. The RHEED intensity at the end of each As4 deposition period, however, is related to the flatness of the As-stable surface. The constant intensity at the end of As4 deposition thus indicates that the initial flatness is conserved throughout the growth. Similar characteristics have also been observed when the growth temperature is lowered. At low temperatures, however, excess As adsorption takes place on the substrate surface. As discussed previously, this problem could be circumvented by using an optimum number of deposited As4 molecules per MEE unit cycle. Figure 3.6 demonstrates the RHEED intensity traces during MEE growth of GaAs at 300 ∘ C under two different As4 deposition conditions, while keeping the Ga deposition rate at NGa ≈ N0 . Trace (a) is obtained with an NGa ≈ N0

Ga As Ga

Figure 3.5 RHEED specular beam intensity trace recorded during MEE growth of GaAs at 580 ∘ C. RHEED patterns are obtained at the [110] azimuth.

Migration-Enhanced Epitaxy and its Application

49

RHEED Specular Beam Intensity

NGa = N0 ~ ~ 6.26 × 1014 cm−2 (a)

NAs4 = 3.4 × 1014 cm−2

(b)

NAs4 = 5.6 × 1014 cm−2

Ga

Ga As4

Ga As4

effect of excess As 5 sec

t

Figure 3.6 RHEED specular beam intensity traces recorded during the MEE growth of GaAs at 300 ∘ C. (a) Optimized deposition condition: NGa ≈ N0 and NAs4 ≈ 3.4 × 1014 cm−2 . (b) Excess As4 deposition: NGa ≈ N0 and NAs4 ≈ 5.6 × 1014 cm−2 . The effect of excess As adsorption is shown in the inset (see also Figure 3.4).

As4 deposition amount of 3.4 × 1014 cm−2 every MEE cycle, which is close to 0.5N0 . The RHEED specular beam intensity decreases in the Ga deposition period, and recovers its initial value during the succeeding As4 deposition period. This periodic change with almost the same amplitude continues throughout the growth. When the As4 deposition amount is increased to 5.6 × 1014 cm−2 , the trace exhibits a typical characteristic of excess As adsorption, as shown in trace (b) (i.e. the RHEED intensity during the As4 deposition period first increases and reaches a maximum then decreases again due to excess As adsorption, as shown in the inset). This behavior is similar to the result shown in Figure 3.3. High-quality layers are obtained in such a growth with trace (a), as expected.

3.5

Incommensurate Deposition and Migration of Ga Atoms

In the above discussion, the deposition of Ga is considered to be commensurate (i.e. NGa ≈ N0 ). Commensurate deposition in a strict sense is, however, very difficult. To see the effect of incommensurate deposition, the growth of GaAs with NGa ≈ 0.5N0 is performed. Figure 3.7 shows the RHEED specular beam intensity trace recorded during the growth by NGa ≈ 0.5N0 , together with the result of commensurate deposition (NGa ≈ N0 ) at 580 ∘ C. As expected, the commensurate deposition results in a periodic RHEED intensity variation with almost constant amplitude, as shown by trace (a). When NGa ≈ 0.5N0 is used, the first MEE cycle gives a weak RHEED intensity recovery after As4 deposition. However, it recovers considerably in the next MEE cycle. In the first MEE cycle, 50% of the surface

50

Molecular Beam Epitaxy 580 °C (a)

NGa = N0

Ga

As4

(b)

NGa =

1 N 2 0

10 sec

t (a)

1 sec

(b)

0.5 sec

Figure 3.7 Effect of incommensurate deposition of Ga atoms during MEE growth of GaAs at 580 ∘ C. (a) RHEED specular beam intensity trace for commensurate deposition: NGa ≈ N0 . Deposition time of Ga was 1 s. (b) Specular beam intensity trace for incommensurate deposition: NGa ≈ 12 N0 . Deposition time of Ga was 0.5 s. The slow modulation structure is probably caused by the deviation from the exact deposition condition.

area is covered with small 1-ML thick GaAs islands, resulting in a weak RHEED specular beam intensity. In the second MEE cycle, deposited Ga atoms tend to be adsorbed at the steps and kinks at the periphery of small islands, thus compensating the roughness caused in the first cycle. Similar roughness compensation phenomena were observed for different deposition rates of Ga per MEE cycle [8]. The clear slow modulation structure in Figure 3.7 [trace (b)] is probably caused by the fact that NGa is not exactly equal to 0.5N0 . This finding also suggests that Ga atoms can be adsorbed not only at the adsorption sites at the periphery of GaAs islands, but also at the step edges of the Ga atoms adsorbed previously [29]. As a result, even in incommensurate deposition, roughness on the growing surface is at most 1 ML high.

3.6

Application of MEE Deposition Sequence to Surface Research

The MEE deposition process is found to be useful for surface study. When Ga atoms are deposited on a (001) GaAs surface, the growth follows the so-called Stranski–Krastanov mode, in other words, no Ga droplets can be formed on the surface until the first Ga monolayer is complete. When Ga atoms are deposited on a (2 × 4) GaAs (001) surface at a very slow rate, Ga droplets are found to appear far before the 1-ML coverage of the first layer, indicating that the As coverage on the original (2 × 4) GaAs (001) surface is much less than 100%. The fourfold origin of the (2 × 4) GaAs (001) surface has been interpreted by the missing dimer model of As [30–32]. According to this model, As coverage of the (2 × 4) GaAs (001) surface is at most 75%. Optical observation on Ga droplet formation during the Ga deposition process revealed that the droplets emerge after 0.5–0.7 ML deposition of Ga, depending on the background As4 pressure [19]. This result suggests that the As coverage of the original (2 × 4) GaAs (001) surface is 50–70%. We also investigated the behavior of Ga atoms deposited on a GaAs √ (111)B√surface by RHEED observation. The diffraction pattern gradually changes from ( 19 × 19) to (1 × 1) as Ga deposition proceeds. The diffracted beam intensity gradually decreases and

Migration-Enhanced Epitaxy and its Application

51

becomes constant when the amount of deposited atoms reaches 0.36 ML [33]. This value does not change for different deposition √ of Ga, and agrees exactly with the amount of √ rates Ga vacancy, 7/19 = 0.368 ML, of ( 19 × 19) reconstruction [34].

3.7

Application of MEE to Selective Area Epitaxy

Semiconductor microstructures and nanostructures are essential for modern electronic and electro-optic devices. Several methods have been developed to fabricate these structures. They include chemical and ion etching techniques [35], focused ion beam implantation [36], self-assembled growth [37], SAE, and so on. Among these methods, etching techniques and focused ion beam implantation may introduce damage to the structure. Therefore, they don’t seem to be appropriate for nanostructure fabrication. A self-assembled growth technique based on V–W or S–K growth mode has been shown to be “damage free” and used for high-density quantum dot structures for lasers and photovoltaic devices [38, 39]. However, these still suffer from size fluctuation and the difficulty in optional positioning. In contrast, SAE is inherently a damage-free technique and will minimize the size fluctuation. Also, this method makes optional positioning possible. Even using gas-source MBE [40, 41] and MOVPE [42, 43], successful area selective epitaxy can be achieved. However, higher substrate temperatures are needed for SAE compared with uniform layer growth. SAE is usually performed on the window region opened on the SiO2 mask. In ideal SAE, growth should occur only in the window region, and no deposited materials should remain on the SiO2 mask. Thus, higher temperatures are needed to avoid the crystallization of deposited material on the SiO2 mask. Ideal SAE is achieved by applying MEE and FME at relatively low temperatures. In the SAE of GaAs using MEE, Ga will be deposited on the substrate in the absence of As. Therefore, Ga atoms on the SiO2 mask will have a longer lifetime and diffuse freely on the surface. They will either diffuse beyond the SiO2 boundaries and contribute to the growth in the window region, or re-evaporate from the SiO2 surface. This process continues until the succeeding As deposition starts. Figure 3.8 demonstrates the maximum growth rates for successful SAE as a function of substrate temperature [14]. In the MEE deposition sequence of this experiment, an annealing time of 1.5 s is added after 1-s Ga deposition to enhance the migration and evaporation of Ga atoms from the SiO2 mask, followed by 2-s As4 deposition as shown in the inset. In Figure 3.8, open circles indicate the successful SAE conditions, while solid circles show the condition where polycrystalline deposition takes place on the SiO2 mask. Thus, successful growth can be achieved in the shaded area in the figure. Figure 3.9 demonstrates a GaAs square island structure on the (001) GaAs substrate using square-shaped windows. The experimental procedure is as follows. A 30-nm thick SiO2 film is deposited on a GaAs substrate by a magnetron sputtering technique. Electron beam (EB) lithography followed by chemical etching is employed to produce a patterned SiO2 mask on the GaAs substrate. The structures shown in Figure 3.9 were grown at 590 ∘ C with the deposition sequence given in the inset of Figure 3.8. The deposition rate of Ga per MEE cycle was fixed at 3.6 × 1014 cm−2 , with As4 at 1.9 × 10−5 mbar. In the beginning of the growth, clear facets such as {411}, {011}, {111}A, and {111}B appear, as shown in Figure 3.9(a). In addition, the (001) plane remains on top of the structure. When the growth

52

Molecular Beam Epitaxy 1015 Ga flux intensity (cm−2 s−1)

1s

2s

Ga As4 1.5 s

650 °C

successful ASE incomplete ASE

590 °C

1014 1.05

1.10

1.15 1.20 1000/T (K−1)

1.25

Figure 3.8 Maximum growth rates for successful selective area epitaxy of GaAs by MEE for different substrate temperatures. The deposition sequence is shown in the inset. The growth at open circles shows no polycrystalline deposition on the SiO2 mask, indicating successful SAE. In the shaded area, well-defined SAE is achieved. {411}

{011} (001)

{111}B

{111}B

{011} (001) (001)

{111}A

{111}A 1μm (a)

500 nm [110]

(b) [110]

Figure 3.9 SAE of GaAs on square-shaped SiO2 windows. (a) Clear facets such as {411}, {011}, {111}A, and {111}B appear in the early stage of SAE. The (001) plane remains on top of the structure. (b) For further growth, {011} facets dominate, forming a pyramidal structure with a very small (001) plateau on top.

proceeds, {011} facets develop, resulting in the formation of a pyramidal structure with a very small (001) plateau on top, as shown in Figure 3.9(b). When circular windows are used, circularly shaped microstructures are grown. However, for windows with less than 100-nm size, the resulting microstructures become identical to those grown on the square windows. This is probably caused by the dominant {011} facet formation process. This indicates that the growth could take place beyond the SiO2 mask boundaries when the window size is smaller than 100 nm. Thus, nanometer-scale structures are very difficult to grow by SAE with the above procedure. This problem could be circumvented by combining area selective growth with self-assembled growth. As shown in Figure 3.9(b), the (001) plateau on top of the

Migration-Enhanced Epitaxy and its Application

53

pyramidal structure shrinks to less than 50 nm across. Since the surface energy density of the (001) plane is slightly higher than that of {011} planes, nanometer-scale InAs dots can be grown on the (001) plateau by self-assembled growth of InAs. By growing an InGaAs confinement layer on the dots thus obtained, InAs quantum dots are formed [44]. Figure 3.10 demonstrates the InAs quantum dot image deposited on the (001) plateau on top of the GaAs pyramid, together with the photoluminescence spectrum obtained from 10 pyramids. High-resolution measurement revealed that the spectrum is composed of several sharp lines with widths as narrow as 200 μeV [45]. The small (111)B facets seen in Figure 3.9 are also applicable as the seeds of another low-dimensional structure. Both in MBE and MOVPE, (111)B is one of the major facets in GaAs SAE. In MBE using As4 , (111)B facets appear because the growth rate on the (111)B surface is minimized, probably due to As-trimer formation. However, when As2 is used instead of As4 and when the BEP of As2 is relatively low, growth on the (111)B surface recovers. When the BEP of As2 is lower than approximately 1 × 10−6 mbar, the onset of growth on (111)B facets is clearly seen, as shown in Figure 3.11(b). Since the Wavelength (nm) 1240

1127

1033

954

886

4.2 K (001) InAs dot

{011}

1.000 1.100 1.200 1.300 1.400 Photon energy (eV)

Figure 3.10 By use of the pyramidal structure in Figure 3.9(b), an InAs quantum dot structure is formed on the small (001) plateau on top of the pyramid. After growing an InGaAs cladding layer on top, efficient photoluminescence is observed.

(111)B

(111)B

1 μm (a) PAs2 = 4 × 10−6 mbar

(b) PAs2 = 8 × 10−7 mbar

Figure 3.11 By applying As2 instead of As4 , growth on the (111)B surface can be activated. From the small (111)B facet, thin GaAs wires are grown when the As2 BEP is relatively low.

54

Molecular Beam Epitaxy

[111] B

[111] B 1 μm

(a) (001) surface

5 μm

(b) (411) B surface

Figure 3.12 GaAs wires grown toward the [111]B directions. (a) On (001) GaAs surface, [111]B is inclined by ±53.7∘ with respect to the [011] direction. (b) When a (411)B substrate is used, the height of one of the [111]B directions increased and more vertical wires are grown.

height of the [111]B direction is inclined by ±53.7∘ with respect to the [011] direction on the (001) surface, the 1D wires inclined in the [011] direction appear as demonstrated in Figure 3.12(a) [17]. When a (411)B substrate is used, the height of one of the [111]B directions increased and more vertical wires are seen, as shown in Figure 3.12(b).

3.8

Summary

In this chapter, the fundamental characteristics of MEE and its application to area selective epitaxy of GaAs are described. By repeating the commensurate deposition, MEE produces atomically flat surfaces and interfaces in GaAs and other III–V compound semiconductors. Although an exact control of the number of deposited Ga atoms in one MEE cycle is not possible, the resulting roughness of grown surfaces is shown to be 1-ML thick at most, regardless of the deposition rate, due to the flattening effect of migrating Ga atoms on the island-rich surfaces. Indeed, even in incommensurate deposition, flat surfaces and interfaces are obtained. Since the active migration of Ga occurs at low temperatures, high-quality GaAs layers, AlGaAs/GaAs superlattices, and quantum wells are grown at temperatures much lower than those used in conventional MBE. Thus, abrupt heterointerfaces and impurity profiles are successfully achieved. Using conventional solid-source MBE, area selective epitaxy is not easy because polycrystalline deposition occurs on the SiO2 mask. The MEE deposition sequence proved useful to circumvent this problem, and well-defined microstructures and nanostructures are achieved by combining it with the self-assembled growth method. MEE is also applied to grow heterostructures with heterovalent combinations such as GaAs/Si and GaAs/ZnSe compounds. The (GaAs)1−x (Si2)x superlattice is successfully grown on GaAs substrates and used for the buffer layer of the growth of GaAs on Si. With this structure, average dislocation density in GaAs as low as 5 × 105 cm−2 has been achieved. GaAs/ZnSe superlattices and single quantum wells can be successfully grown on GaAs substrate by lowering the growth temperature of GaAs.

Acknowledgments The author would like to acknowledge H. Yamaguchi, A. Kawaharazuka, and J. Nishinaga for their support and suggestions throughout this work. He is also indebted to T. Toda,

Migration-Enhanced Epitaxy and its Application

55

I. Yoshiba, and M. Zander for useful discussions and careful area selective growth of nanostructures. This work is partly supported by a Grant-in-Aid for Scientific Research (A) from the Japan Society for the Promotion of Science (JSPS) and the COE Program “Molecular Nanoengineering” from the Ministry of Education, Culture, Sports, Science and Technology (MEXT), Japan.

References 1. Venables, J.A., Spiller, G.T.D., and Hanbuecken, M. (1984). Rep. Prog. Phys. 47: 399. 2. Goldstein, L., Horikoshi, Y., Tarucha, S., and Okamoto, H. (1983). Jpn. J. Appl. Phys. 22: L1489. 3. Kawai, H., Kaneko, K., and Watanabe, N. (1984). J. Appl. Phys. 56: 463. 4. Nagata, S. and Tanaka, T. (1977). J. Appl. Phys. 48: 940. 5. Horikoshi, Y., Kawashima, M., and Yamagchi, H. (1986). Jpn. J. Appl. Phys. 25: L868. 6. Briones, F., Gonzales, L., Recio, M., and Vazquez, M. (1986). Jpn. J. Appl. Phys. 26: L358. 7. Kobayashi, N., Makimoto, T., and Horikoshi, Y. (1985). Jpn. J. Appl. Phys. 24: L962. 8. Horikoshi, Y., Kawashima, M., and Yamaguchi, H. (1988). Jpn. J. Appl. Phys. 27: 169. 9. Horikoshi, Y. (1993). Semicond. Sol. Technol. 8: 1032. 10. Sudersena Rao, T., Nozawa, K., and Horikoshi, Y. (1991). Jpn. J. Appl. Phys. 30: L547. 11. Nozawa, K. and Horikoshi, Y. (1992). J. Electron. Mater. 21: 641. 12. Kobayashi, N. and Horikoshi, Y. (1990). Jpn. J. Appl. Phys. 29: L236. 13. Ramesh, S., Kobayashi, N., and Horikoshi, Y. (1991). J. Cryst. Growth 111: 752. 14. Kuriyama, H., Ito, M., Suzuki, K., and Horikoshi, Y. (2000). Jpn. J. Appl. Phys. 39: 2457. 15. Suzuki, K., Ito, M., and Horikoshi, Y. (1999). Jpn. J. Appl. Phys. 38: 6197. 16. Ando, S., Kobayashi, N., and Ando, H. (1996). Jpn. J. Appl. Phys. 35: L411. 17. Kawaharazuka, A., Yoshiba, I., and Horikoshi, Y. (2008). Appl. Surf. Sci. 737. 18. Ando, S., Kobayashi, N., Ando, H., and Horikoshi, Y. (1997). J. Cryst. Growth 170: 719. 19. Horikoshi, Y., Yamaguchi, H., Briones, F., and Kawashima, M. (1990). J. Cryst. Growth 105: 326. 20. Neave, J.H. and Joyce, B.A. (1983). Appl. Phys. A31: 1. 21. Sakaki, H., Tanaka, M., and Yoshino, J. (1985). Jpn. J. Appl. Phys. 24: L417. 22. Fukunaga, T., Kobayashi, K.L.I., and Nakashima, H. (1985). Jpn. J. Appl. Phys. 24: L510. 23. Horikoshi, Y. and Kawashima, M. (1988). Jpn. J. Appl. Phys. 28: 200. 24. Horikoshi, Y., Yamaguchi, H., and Kawashima, M. (1988). Jpn. J. Appl. Phys. 28: 1307. 25. Neave, J.H., Joyce, B.A., and Dobson, P.J. (1984). Appl. Phys. A 34: 179. 26. Yamada, K., Inoue, N., Osaka, J., and Wada, K. (1989). Appl. Phys. Lett. 56: 622. 27. Foxon, C.T. and Joyce, B.A. (1977). Surf. Sci. 64: 293. 28. Flaim, T.A. and Ownby, P.E. (1971). J. Vac. Sci. Technol. 8: 661. 29. Horikoshi, Y. (1999). J. Cryst. Growth 201/201: 150. 30. Chadi, D.J. (1987). J. Vac. Sci. Technol. A5: 834. 31. Frankel, D.J., Yu, C., Harbison, J.P., and Farrel, H.H. (1987). J. Vac. Sci. Technol. B5: 1113. 32. Pashley, D.M., Haberern, K.W., Friday, W. et al. (1988). Phys. Rev. Lett. 60: 2176. 33. Kawaharazuka, A. and Horikoshi, Y. (2017). J. Cryst. Growth 477: 25. 34. Biegelsen, D.K., Bringans, R.D., Northrup, J.E., and Swartz, L.-E. (1990). Phys. Rev. Lett. 65: 452. 35. Gershoni, D., Temkin, H., Dolan, G.J. et al. (1988). Appl. Phys. Lett. 53: 995. 36. Hirayama, Y., Suzki, Y., and Okamoto, H. (1985). Jpn. J. Appl. Phys. 24: 1498. 37. Leonard, D., Krishnamurthy, M., Reaves, C.M. et al. (1993). Appl. Phys. Lett. 63: 3203. 38. Shoji, H. (1998). Oyobuturi 67: 172. https://doi.org/10.11470/oubutsu1932.67.172. 39. Okada, Y. (2012). J. Vac. Soc. Jpn. 55: 556. 40. Torimitsu, E., Kudou, Y., Konagai, M., and Tanahashi, K. (1984). J. Appl. Phys. 55: 3163.

56

Molecular Beam Epitaxy

41. Heinecke, H., Brauers, A., Grafahred, F. et al. (1986). J. Cryst. Growth 77: 309. 42. Ando, S. and Fukui, T. (1989). J. Cryst. Growth 98: 646. 43. Fukui, T., Ando, S., Tokura, Y., and Toriyama, T. (1991). Appl. Phys. Lett. 58: 2018. Jpn. J. Appl. Phys. 28, 1307 (1988). 44. Zander, M., Nishinaga, J., and Horikoshi, Y. (2013). J. Cryst. Growth 378: 480. 45. Zander, M., Nishinaga, J., Gotoh, J., and Horikoshi, Y. (2013). Phys. Stat. Solidi C 10: 1500.

4 Nanostructure Formation Process of MBE Koichi Yamaguchi Department of Engineering Science, The University of Electro-Communications, Tokyo 182-8585, Japan

4.1

Introduction

Recent progress in semiconductor epitaxial growth techniques, such as molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD), has enabled the fabrication of semiconductor nanostructures having low-dimensional electronic characteristics. When the semiconductor crystal size is reduced to the de Broglie wavelength, electrons in the crystal have discretized density of states. In addition, since the degree of freedom of their movement is extremely limited, their kinetic energy increases. Therefore, as the crystal size decreases, the band-gap energy increases. Owing to this quantum size effect, the absorption and emission wavelengths of light can be controlled by adjusting the semiconductor crystal size. Figure 4.1 illustrates the density of states in the conduction bands of a bulk semiconductor, quantum well (QW), quantum well wire (QWR), and quantum dot (QD). The density of states for QW, QWR, and QD show, respectively, step, sawtooth, and delta functions. Semiconductor materials having low-dimensional electronic characteristics are expected to enable the development of high-performance optoelectronic devices. The thickness of the epitaxial layer can be controlled atomically by conventional MBE growth. Many QW devices have already been developed and put to practical use, such as semiconductor lasers. In addition, various special growth techniques of well-controlled QWR, nanowire (NWR), and QD structures have been attempted so far. This chapter focuses on the

Molecular Beam Epitaxy: Materials and Applications for Electronics and Optoelectronics, First Edition. Edited by Hajime Asahi and Yoshiji Horikoshi. © 2019 John Wiley & Sons Ltd. Published 2019 by John Wiley & Sons Ltd.

58

Molecular Beam Epitaxy Bulk semiconductor

Quantum Well (QW)

Quantum Well Wire (QWR)

Quantum Dot (QD)

< de Broglie wavelength α E

Electron energy

1/2

Ec

E3

E2

E2

E3 E2

spin

Electron distribution

Density of states

E3

E1

Density of states

E1

Density of states

E1

Density of states

Figure 4.1 Schematics of the density of states of the conduction bands of a bulk semiconductor, QW, QWR, and QD.

basic growth processes of QW (Section 4.2), QWR and NWR (Section 4.3), and QD (Section 4.4) structures, based on MBE.

4.2

Growth of Quantum Wells

QW structures showing the one-dimensional (1D) quantum confinement effect are typically fabricated by heteroepitaxial growth on substrates. Thus, in order to fabricate QW structures, it is necessary to control the thickness of heteroepitaxial layers at the atomic level and to improve the flatness of the heterointerface. In MBE growth, reflection high-energy electron beam diffraction (RHEED) is a powerful tool for controlling the epitaxial layer thickness. Since the RHEED specular beam intensity depends on the surface roughness at the atomic level, the intensity variation provides information about monolayer (ML) coverage during MBE growth [1]. In layer-by-layer growth through alternating repetitions of the step flow mode and two-dimensional (2D) nucleation mode, the specular beam intensity usually oscillates, as shown in Figure 4.2. One cycle of specular beam intensity oscillation corresponds to a thickness of 1 ML. Thus, it is possible to control the growth of each monolayer. However, as conventional MBE growth progresses, the amplitude of the intensity oscillation gradually decays. This means that 2D nuclei are formed on the terrace before the monolayer is complete (i.e. multi-nucleation-mode growth occurs). Growth interruption is one useful technique for improving the surface flatness [2]. In Figure 4.2, the specular beam intensity increases with increasing growth interruption time because of the migration and rearrangement of surface atoms during growth interruption. Thus, a flat heterointerface structure can be obtained by growth interruption. However, it should be noted that impurities are incorporated into the heterointerface when the growth interruption time is prolonged. Figure 4.3 shows cross-sectional transmission electron

RHEED specular beam intensity

Nanostructure Formation Process of MBE

59

Ga shutter open

1 period = GaAs 1 monolayer Ga shutter close

Time

Figure 4.2 RHEED specular beam intensity as a function of GaAs growth time. The inset is a RHEED pattern obtained during growth.

GaAs 8 nm (27 ML)

InGaAs GaAs InGaAs GaAs (a)

GaAs GaAsSb

3 ML

GaAs (b)

Figure 4.3 Cross-sectional TEM images of InGaAs double QWs (27 ML) (a) and GaAsSb single QW (3 ML) (b).

microscopy (TEM) images of well-controlled InGaAs double QWs (27 ML) (a) and a GaAsSb single QW (3 ML) (b) in a GaAs matrix. In step-flow-mode growth on an off-angle surface, specular beam intensity oscillations are often not observed [3]. When the terrace width is small (large off-angle), only step-flow growth occurs, and 2D nucleation on the terrace is suppressed. As a result, the specular beam intensity does not fluctuate during growth. A vertical heterointerface can be fabricated by preparing a uniform terrace width and precisely controlling sub-monolayer growth by step-flow-mode growth. In particular, a vertical superlattice structure (SLS) can be fabricated by this growth technique [4], as shown in Figure 4.4. When the QW thickness is less than 1 ML, many 2D islands are included in the QW layer. Scanning tunneling microscopy (STM) reveals that the InAs 2D islands formed by

60

Molecular Beam Epitaxy AlAs

Vicinal GaAs substrate

AlAs GaAs

AlAs GaAs

AlAs GaAs

Vertical SLS

Figure 4.4 substrate.

Schematics of growth process of a vertical GaAs/AlAs SLS on vicinal GaAs

sub-monolayer growth on GaAs(001) have an anisotropic shape extending along the [110] direction. This is caused by an anisotropic strain at the InAs/GaAs heterointerface. Such InAs sub-monolayer QWs (SMQWs) in a GaAs matrix show very sharp photoluminescence (PL) spectra. As the thickness of InAs SMQWs decreased from 1 to 1/12 ML, the PL peak energy at 10 K shifted from 1.4635 to 1.5118 eV, and the full-width at half-maximum (FWHM) decreased from 9.5 to 0.4 meV [5].

4.3

Growth of Quantum Wires and Nanowires

This section describes the growth processes of semiconductor QWRs and NWRs. QWRs exhibit a 2D quantum confinement effect that creates a 1D electron system. QWRs are often buried in epitaxial layers and aligned along the in-plane direction [6]. In contrast, NWRs are built vertically or grown laterally on the substrate [7]. The size of NWRs is not small enough to show a quantum confinement effect. However, QWs and QDs can be fabricated in NWRs by heterostructure growth. NWRs are expected to lead to new nanodevices that exploit their structural features. Figure 4.5 illustrates three types of QWRs and two types of NWRs. The QWRs in Figures 4.5(a, b) are fabricated by epitaxial growth into V-shaped grooves and on striped ridges. Another is grown on the stepped surface structures [Figure 4.5(c)]. NWRs are normally fabricated by vapor–liquid–solid (VLS) catalysis [Figure 4.5(d)] or selective-area growth [Figure 4.5(e)]. All of these growth processes will be described below. Figure 4.6 illustrates the formation process of V-shaped GaAs QWRs. A striped mask pattern of the photoresist film is formed along the [110] direction on a GaAs(001) substrate. Next, V-shaped grooves are fabricated by wet chemical etching, and (111)A facets appear on both sidewalls of the V-grooves. The growth rate of GaAs on the (001) bottom surface

Nanostructure Formation Process of MBE

61

(a) QWR

QWR

(d)

NWRs

metal

V-shaped grooves

QWR (b) NWRs

(e) Striped ridge

(c)

Stepped surface structure

Patterned dielectric film

QWRs

Figure 4.5 Schematics of three types of QWRs and two types of NWRs: QWRs grown in V-shaped grooves (a), QWR grown on striped ridge (b), QWRs grown on stepped surface structure (c), NWRs formed by VLS catalysis (d), and NWRs formed by selective-area growth (e). Photoresist {111}A

GaAs substrate [001]

V-shaped grooves by wet etching

[110] [110]

MBE Ga atom

GaAs QWRs

GaAs AlGaAs

{111}A

V-shaped grooves

Figure 4.6

Schematics of growth process of V-shaped GaAs QWRs.

is usually higher than that on the (111)A sidewall facets. Thus, V-shaped GaAs QWRs can be fabricated in the grooves by growing an AlGaAs/GaAs heterostructure [8, 9]. Figure 4.7 illustrates the fabrication process of GaAs QWRs on top of sharp ridges. A striped ridge structure is formed along the [110] direction on a GaAs(001) substrate, and then a GaAs buffer layer is grown on this substrate. As the growth of GaAs proceeds,

62

Molecular Beam Epitaxy Photoresist mask Striped ridge [001]

GaAs(001) substrate [110] [110]

MBE GaAs

GaAs QWRs (111)B

Figure 4.7

AlGaAs

Schematics of fabrication process of GaAs QWRs on striped ridges.

(111)B facets appear on both sidewalls of the ridged top layer [10]. The growth evolution of the ridge structure varies with growth competition on the (001) top surface and (111)B sidewall surface. As shown in Figure 4.8, the relationship between the growth rates of the (001) top surface (Rtop ) and the (111)B sidewall surface (Rside ) yield three types of top ridge shape. Rtop and Rside can be controlled by adjusting the growth conditions. If Rtop cos 𝜃 > Rside [Figure 4.8(a)], the width (W) of the (001) upper surface can be reduced to the quantum size. If Rtop cos 𝜃 = Rside [Figure 4.8(c)], the top width can be maintained constant. As a result, a GaAs QWR surrounded by the AlGaAs layer is formed on top of sharp ridges (Figure 4.7). The large multi-atomic steps and the sidewalls of a buffer layer serve as templates for the QWR growth. Figure 4.9 illustrates the formation process of multi-atomic steps and QWRs on a buffer layer. In MOCVD, U-shaped strip grooves are often prepared on the vicinal (001) GaAs substrate to increase the lengths of these QWRs. In a case of MBE, multi-atomic steps are often formed on high-index substrates [11, 12]. Group III adatoms migrate on the terraces and are captured at up-side or down-side step sites. When the incorporation rate of adatoms at the down-side step sites exceeds that at the up-side step sites, step bunching occurs, and multi-atomic steps are formed spontaneously. Therefore, long GaAs QWRs are grown at the edges of the multi-atomic steps, and the resulting QWR layers can be stacked on the substrate (Figure 4.9).

Nanostructure Formation Process of MBE top

63

(b) side

Rtop Rside

θ

Rtopcos θ < Rside (a) (c)

W Rtopcos θ > Rside

Rtopcos θ = Rside

Figure 4.8 Growth evolution of ridge structures for three combinations of growth rates of (001) top surface (Rtop ) and sidewall surface (Rside ): Rtop cos 𝜃 > Rside (a), Rtop cos 𝜃 < Rside (b), and Rtop cos 𝜃 = Rside (c). Multi-atomic steps AlGaAs buffer layer GaAs substrate

GaAs QWRs

AlGaAs

Figure 4.9 Schematic diagrams of formation process of GaAs QWRs formed at multi-atomic step edges on a buffer layer.

Next, the fabrication process of III–V semiconductor NWRs is described. NWRs can be grown by bottom-up techniques and are regularly fabricated using VLS growth [13] and selective-area growth. Figure 4.10 illustrates two typical VLS growth methods for GaAs QWRs on Si substrates. One is Au-assisted VLS growth [Figure 4.10(a)]. VLS growth of QWRs involves three distinct stages: alloying, nucleation and growth. First, Au droplets are prepared by deposition of a thin Au film and subsequent annealing. Then, Ga and As atoms are supplied and collected by the Au droplets. The solubility of As in the Au–Ga alloy droplets is very low, and there is no binary Au–As solid compound below 636 ∘ C [14]. Supersaturation of the Au–Ga droplets leads to crystallization of the supplied species at the liquid–solid interface, and GaAs NWRs grow vertically [15].

64

Molecular Beam Epitaxy (a) Au-assisted VLS growth

(b) Self-assisted VLS growth As Ga

As Ga

Ga droplet SiOx

Au droplet Si substrate

Si substrate

Au GaAs NWR

Ga GaAs NWR

Figure 4.10 Schematics of two typical VLS growth methods of GaAs QWRs on Si substrates: Au-assisted VLS growth (a) and self-assisted VLS growth (b).

The other VLS growth method illustrated in Figure 4.10 is self-assisted VLS growth [Figure 4.10(b)]. Since the incorporation of Au into NWRs leads to scattering centers, Au-free synthesis techniques have been investigated. One such technique currently used is Ga-assisted VLS growth. GaAs NWRs can be fabricated using Ga droplets, formed by the Ga molecular beam of MBE [16]. This technique is called “self-assisted VLS growth.” As shown in Figure 4.10(b), the supplied Ga atoms form the pinholes in a SiOx thin film prepared on a Si substrate. Then, Ga droplets are formed on the Si surface through the pinholes. Supersaturation of As-containing Ga droplets leads to the crystallization of GaAs at the liquid–solid interface. Owing to recent advances in lithographic techniques, selective-area growth can be employed to fabricate NWR arrays with well-controlled wire diameter and pitch [17]. Figure 4.11 illustrates the selective-area-growth process used for AlGaAs/GaAs NWRs on Si substrates. The nanosized opening pattern is fabricated in a SiOx mask film deposited on the substrate using lithography and etching techniques. The sticking coefficient of adatoms on the SiOx mask is lower than that on the exposed semiconductor surface of the opening region [Figure 4.11(a)]. In addition, surface diffusion of III-adatoms occurs from the mask region to the opening region. Consequently, the GaAs NWRs are grown selectively from the opening region. Essentially, in the initial growth stage of NWR growth, the wire diameter is limited by the mask diameter [Figure 4.11(b)]. As the NWRs grow vertically, facets appear on the sidewalls. The layer-by-layer growth proceeds on the top surface and sidewalls, and the growth rates depend on the growth conditions. Thus, “core–shell” AlGaAs/GaAs heterostructures with the quantum confinement effect can be produced by controlling the growth conditions [Figure 4.11(c)].

4.4

Growth of Quantum Dots

Semiconductor QDs have an atomic-like density of states based on a zero-dimensional (0D) quantum confinement effect. Hence, QDs are also referred to as “artificial atoms.”

Nanostructure Formation Process of MBE (a)

65

Patterned SiOx film

Si substrate

MBE (b)

GaAs NWRs

(c) AlGaAs/GaAs core-shell NWRs AlGaAs GaAs

Figure 4.11 Schematics of selective-area growth of AlGaAs/GaAs NWRs on Si substrate: SiOx mask film patterned on Si substrate (a), initial growth of GaAs NWRs on opening area (b), and AlGaAs/GaAs core–shell NWRs (c).

This and other attractive properties of QDs can presumably be exploited in various next-generation optoelectronic devices. In order to develop QD devices, the QD structure must be precisely controlled. There are two main methods for growing self-assembled QDs: the Stranski–Krastanov (SK)-growth-mode method [18] and the droplet-epitaxy method [19]. In this section, SK growth of self-assembled QDs is described. Figure 4.12 illustrates the relationship between the growth amount and the free energy of 2D and 3D growth in the SK mode. A 2D–3D growth-mode transition of SK growth is often observed in the heteroepitaxial growth of lattice-mismatched materials. During the initial SK growth, the free energy of 2D growth is lower than that of 3D growth ([A]). As growth progresses, the strain energy increases. When the free-energy relationship reverses owing to the increased strain, a growth-mode transition from 2D to 3D growth occurs spontaneously ([B]). The resulting coherent 3D islands with high crystal quality [20] are often used as self-assembled QDs. However, it should be noted that dislocations are formed in the case of excessive growth ([C, D]). In MBE, RHEED monitoring is a useful tool to control growth. Figure 4.13 shows the RHEED diffraction beam intensity as a function of growth time for InAs growth on GaAs. When the InAs coverage exceeds the critical thickness for the 2D–3D growth-mode transition (∼1.75 ML), the RHEED pattern changes from a streak pattern to a spot pattern, and the diffraction beam intensity increases rapidly [21]. Figure 4.14 shows atomic force

66

Molecular Beam Epitaxy

[D]

[C]

[A]

[B] Free energy

[A]

[B]

3D growth

[C]

[D]

2D growth

Growth amount

Free energy vs. growth amount for 2D and 3D growth in the SK mode.

RHEED diff. beam intensity

Figure 4.12

2D growth

3D growth

[110] azimuth

1.75 ML

InAs coverage [ML]

Figure 4.13 RHEED diffraction beam intensity as a function of growth time for InAs growth on GaAs. The inset is a RHEED pattern obtained during 2D and 3D growth.

microscopy (AFM) images of the surfaces of InAs grown on the GaAs(001): 1.25 ML [Figure 4.14(a)] and 1.83 ML [Figure 4.14(b)], which exhibit, respectively, laterally expanded 2D islands and many 3D islands of InAs. The InAs growth amount can be precisely controlled by in-situ RHEED observation. However, 3D islanding proceeds rapidly immediately after the critical thickness is exceeded, and occurs randomly. As a result, it is not easy to control the formation of ensemble QD structures during growth. Thus, SK growth conditions and the growth sequence are adjusted to control the QD structure. Figure 4.15 plots the height of InAs islands grown on GaAs versus the lateral size for various InAs coverages ranging from 1.6 to 3.0 ML. During the initial growth, the 2D islands spread laterally, maintaining an island height of about 2 ML. As growth proceeds, the island height increases rapidly, indicating a growth-mode transition. 3D islands are formed spontaneously, and fluctuate in size [22]. Uniform QDs with a narrow size distribution are required for some QD devices, such as QD lasers.

Nanostructure Formation Process of MBE

67

100 nm

(a) InAs: 1.25 ML

(b) 1.85 ML

Figure 4.14 AFM images of growth surfaces of InAs [1.25 ML (a) and 1.83 ML (b)] grown on GaAs(001).

10 InAs on GaAs

Height [nm]

8

3D islands

6 4 2 2D islands 0 10

15

20

25

30

35

40

[110] lateral size [nm]

Figure 4.15 Height vs. lateral size for InAs islands grown on GaAs with various InAs coverages ranging from 1.6 to 3.0 ML.

A low growth rate and low As pressure prove effective in reducing the size fluctuation of InAs/GaAs QDs because of the resulting enhanced surface migration [23, 24]. More specifically, these growth conditions cause the QD size to saturate, through what is referred to as the self-size-limiting effect [24, 25]. A typical result of the self-size-limiting phenomenon is shown in Figure 4.16, which plots the lateral size and height of InAs QDs as a function of InAs coverage. When the InAs coverage exceeds the critical thickness for the growth-mode transition, the lateral size and height of the 3D dots immediately saturate. This limiting of lateral size may be attributable to a compressive strain at the island edges near the wetting layer. The incorporation of In adatoms is suppressed at the strained island edges [26]. However, since the top surface of the 3D islands is relaxed, the limiting of height cannot be due to the strain. AFM and RHEED revealed that the limited dots were almost covered by stable {110} facets. Once limited dots with {110} facets are formed, In adatoms are no longer incorporated into the limited dots. Therefore, the dot height is also limited by the formation of stable facets [25]. The self-size-limiting effect plays an important role in the improvement of size uniformity. Figure 4.17 shows an AFM image of uniform InAs QDs with limited size, grown at a low growth rate and low arsenic pressure. The QD density was 3 × 1010 cm−2 , which is not high density because of the long migration length. The

68

Molecular Beam Epitaxy

40

[1−10]

30

15

20

10

10

5

0 1.5

Figure 4.16

[110]

2 2.5 3 InAs coverage [ML]

Average height [nm]

Average lateral size [nm]

50

0 3.5

Lateral size and height of InAs QDs as a function of InAs coverage.

100 nm

Figure 4.17

An AFM image of uniform InAs QDs with self-limited size.

standard deviations of the sizes of these QDs were small: 4% for the lateral size and 8% for the height [24]. Self-assembled QDs are usually embedded within capping layers for device applications. Since capping growth often modifies the QD structure [27], the growth conditions of the capping layer and growth interruption must be optimized to maintain a uniform QD structure and high crystal quality. Figure 4.18 shows cross-sectional scanning transmission electron microscopy (STEM) images of size-limited InAs QDs with GaAs capping layers, grown at 400 ∘ C (b), 450 ∘ C (c), and 500 ∘ C (d). The dot height decreased with increasing growth temperature because the surface segregation and desorption of InAs were enhanced. This modification of the QD structure strongly affected the inhomogeneous broadening in the quantum confinement energy level. The growth temperature, growth rate and arsenic pressure during GaAs capping growth were optimized to obtain uniform QDs with high crystal quality. Figure 4.19 shows a PL spectrum of size-limited InAs QDs with the GaAs capping layer grown under optimized conditions. The PL spectrum revealed a strong luminescence intensity and a narrow PL linewidth of 17.6 meV. High-density QDs are expected to improve the performance of semiconductor lasers and solar cells. In conventional SK growth of QDs, low growth temperatures, high arsenic pressures, and high growth rates of InAs/GaAs QDs yield high QD densities. However,

Nanostructure Formation Process of MBE (a)

69

InAs QDs

GaAs (b)

GaAs

(c)

(d)

Figure 4.18 Cross-sectional STEM images of size-limited InAs QDs without (a) and with GaAs capping layers grown at 400 ∘ C (b), 450 ∘ C (c), and 500 ∘ C (d).

PL intensity [arb.units]

12 K

1050

FWHM 17.6 meV

1100

1150

1200

1250

1300

Wavelength [nm]

Figure 4.19 A PL spectrum of size-limited InAs QDs with GaAs capping layer grown under optimized conditions.

high-density QDs readily coalescence because of their proximity. Dislocations are often observed in the giant dots that result from such coalescence. Thus, coalescence must be suppressed to maintain a high crystal quality. An Sb-mediated SK-growth method using Sb-containing underlying layers was employed to increase the density of InAs QDs and to suppress coalescence [28]. Figure 4.20 shows AFM images of high-density InAs QDs

70

Molecular Beam Epitaxy (a)

(b)

(c)

100 nm

InAs QDs

100 nm

100 nm

InAs QDs

InAs QDs

GaAs buffer layer

GaAsSb GaAs buffer layer

GaAs buffer layer

GaAs sub (001)

GaAs sub (001)

GaAs(001) sub

Sb

InAsSb

Figure 4.20 AFM images of high-density InAs QDs grown on Sb/GaAs (a), GaAsSb/GaAs (b), and InAsSb/GaAs (c). QD densities of (a), (b), and (c) were 1 × 1011 cm−2 , 5 × 1011 cm−2 , and 1 × 1012 cm−2 , respectively.

grown on Sb/GaAs (a), GaAsSb/GaAs (b), and InAsSb/GaAs (c). The QD densities of (a), (b), and (c) were 1 × 1011 cm−2 [28], 5 × 1011 cm−2 [29], and 1 × 1012 cm−2 [30], respectively. There are no giant dots in this image; it is possible that the segregated Sb surface atoms suppressed QD coalescence. The high-density InAs QDs on Sb/GaAs showed a narrow PL linewidth of about 28 meV [28]. Clearly, Sb-mediated SK growth is a powerful method for obtaining high-density, high-uniformity InAs QDs with a high crystal quality. The self-formation of in-plane-arranged QDs was attempted through a stacked growth technique or by using high-index-plane substrates. Strain control of the underlying buffer layers is one important technique for site control and the arrangement of QDs. The fabrication of 1D aligned InAs QD chains on GaAs(001) substrates is described below. The nucleation of 3D islands is related to the surface strain and surface undulation of the underlying buffer layers. Thus, strained buffer layers have been used for the selective growth of QDs. Figure 4.21(a) shows AFM images of InAs QDs on a GaAs(70–80 nm)/In0.16 Ga0.84 As(175 nm)/GaAs buffer layer. These InAs QDs were aligned periodically in the [110] direction. The distance of periodicity between the QD chains ranged from 100 to 150 nm. The self-formation of the QD chain structure can be attributed to misfit dislocations at the InGaAs/GaAs heterointerface [31]. Figure 4.21(b) shows a planar TEM image of InAs QD chains on a GaAs/InGaAs/GaAs buffer layer. The image reveals misfit dislocation lines at the upper GaAs/InGaAs heterointerface. No threading dislocations were observed in the buffer layer. Misfit dislocations were preferentially generated along the [110] direction, rather than the [110] direction, because of the anisotropic residual strain in the buffer layer. The [110] misfit dislocations were arranged in an orderly manner, and had a lateral spacing of about 100–150 nm. The lateral ordering of the misfit dislocations was mainly due to the periodic corrugation of the InGaAs layer. The periodic QD chains were aligned near the misfit dislocation lines along the [110] direction. In addition, the number of QD chains was almost the same as

Nanostructure Formation Process of MBE

71

(a) AFM [1−10]

200 nm InAs QDs

50 nm

(b) TEM

InGaAs GaAs buffer layer GaAs sub (001)

50 nm

Figure 4.21 AFM images (a) and a planar TEM image (b) of InAs QD chains on a GaAs(70–80 nm)/In0.16 Ga0.84 As(175 nm)/GaAs buffer layer.

the number of misfit dislocations. Thus, the self-formation of periodic QD chains was presumably induced by the lateral ordering of misfit dislocations.

4.5

Conclusion

In this chapter, we reviewed the formation processes of semiconductor nanostructures (QWs, QWRs, NWRs, and QDs) by MBE. The fabrication of QW structures based on atomic-level control of the thickness of heteroepitaxial layers and improving the flatness of the heterointerface is well established. In MBE growth, in-situ RHEED observation is a powerful tool to control the epitaxial-growth surface. By the epitaxial-growth technique, many optoelectronic QW devices have already been developed. QWRs with an embedded layer are typically fabricated by epitaxial growth into V-shaped grooves and on striped ridges or stepped surface structures, while NWRs are fabricated vertically or grown laterally on the substrates by VLS catalysis or selective-area growth. Self-assembled QDs were fabricated by SK-mode growth. Uniform InAs QDs were achieved by the self-size-limiting effect. High-density InAs QDs with narrow size distribution were formed by Sb-mediated growth. Furthermore, 1D InAs QD chains were spontaneously formed along the [110] direction on InGaAs/GaAs buffer layers. It is expected that as advances are made in the nanoepitaxial growth technique, QWRs, NWRs, and QDs will find application in nanophotonic and nanoelectronic devices with novel functions and good performance.

72

Molecular Beam Epitaxy

References 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31.

Neave, J.H., Joyce, B.A., Dobson, P.I., and Norton, N. (1983). Appl. Phys. A31: 1. Tanaka, M., Sakaki, H., and Yoshino, J. (1986). Jpn. J. Appl. Phys. 25: L155. Neave, J.H., Dobson, P.I., and Joyce, B.A. (1985). Appl. Phys. Lett. 47: 100. Gaines, J.M., Petroff, P.M., Kroemer, H. et al. (1988). J. Vac. Sci. Technol. B6: 1378. Yuan, Z.L., Xu, Z.Y., Zheng, B.Z. et al. (1996). Phys. Rev. B54: 16919. Wang, X.-L. and Voliotis, V. (2006). Appl. Phys. Rev. 99: 121301. Dasgupta, N.P., Sun, J., Liu, C. et al. (2014). Adv. Mater. 26: 2137. Kapon, E., Hwang, D.M., and Bhat, R. (1989). Phys. Rev. Lett. 63: 430. Haider, N., Wilby, M.R., and Vvedensky, D.D. (1993). Appl. Phys. Lett. 62: 3108. Koshiba, S., Noge, H., Akiyama, H. et al. (1994). Appl. Phys. Lett. 64: 363. Notzel, R., Ledentsov, N.N., Daweritz, L. et al. (1992). Phys. Rev. B45: 3507. Higashiwaki, M., Yamamoto, M., Higuchi, T. et al. (1996). Jpn. J. Appl. Phys. 35: L606. Wagner, R.S. and Ellis, W.C. (1964). Appl. Phys. Lett. 4: 89. Tsai, C.T. and Williams, R.S. (1986). J. Mater. Res. 1: 352. Dubrovskii, V.G., Cirlin, G.E., Soshnikov, I.P. et al. (2005). Phys. Rev. B71: 205325. Colombo, C., Spirkoska, D., Frimmer, M. et al. (2008). Phys. Rev. B77: 155326. Schumann, T., Gotschke, T., Limbach, F. et al. (2011). Nanotechnology 22: 095603. Goldstein, L., Glas, F., Marzin, J.Y. et al. Appl. Phys. Lett. 41: 1099, 1985. Koguchi, N., Takahashi, S., and Chikyow, T. (1991). J. Cryst. Growth 111: 688. Eaglesham, D.J. and Cerullo, M. (1990). Phys. Rev. Lett. 4: 1943. Marti Ceschin, A. and Massies, J. (1991). J. Cryst. Growth 114: 693. Yamaguchi, K., Kaizu, T., Yujobo, K., and Saito, Y. (2002). J. Cryst. Growth 237–239: 1301. Murray, R., Childs, D., Malik, S. et al. (1999). Jpn. J. Appl. Phys. 38: 528. Yamaguchi, K., Yujobo, K., and Kaizu, T. (2000). Jpn. J. Appl. Phys. 39: L1245. Kaizu, T. and Yamaguchi, K. (2003). Jpn. J. Appl. Phys. 42: 4166. Barabási, A.-L. (1997). Appl. Phys. Lett. 70: 2565. García, J.M., Medeiros-Ribeiro, G., Schmidt, K. et al. (1997). Appl. Phys. Lett. 71: 2014. Yamaguchi, K. and Kanto, T. (2005). J. Cryst. Growth 275: e2269. Saputra, E., Ohta, J., Kakuda, N., and Yamaguchi, K. (2012). Appl. Phys. Express 5: 125502. Sameshima, K., Sano, T., and Yamaguchi, K. (2016). Appl. Phys. Express 9: 075501. Yamaguchi, K., Waki, E., and Hasegawa, H. (1997). Jpn. J. Appl. Phys. 36: L871.

5 Ammonia Molecular Beam Epitaxy of III-Nitrides Micha N. Fireman and James S. Speck Department of Materials, University of California, Santa Barbara, CA 93106, USA

5.1

Introduction

Over the past two decades, the III-nitrides have evolved from a mere laboratory curiosity to an industrially manufactured semiconductor. The dominant applications of III-nitrides today are optoelectronics devices such as light-emitting diodes (LEDs) [1–3], edge-emitting laser diodes (LDs) [4–6], and vertical cavity surface-emitting lasers (VCSELS) [7–9], which have already made a large impact in the solid-state lighting and automotive lighting sectors. Continued development of nitride laser technologies is predicted to soon provide applications in light detection and ranging (LIDAR) and telecommunications [10]. In the electronics domain, lateral nitride transistors such as high-electron-mobility transistors (HEMTs) show excellent power output and power added efficiencies (PAEs) over a wide range of frequency bands [11, 12]. Applications in military and civilian avionics and radar applications may benefit greatly from these high-frequency, high-power advances. Furthermore, nitrides can perform with less stringent active cooling requirements, of great benefit to lowering the overall efficiency and footprint of any product. Finally, advances in nitride growth and device development are expected to soon impact the power electronics community as high-voltage rectifiers, vertical transistor devices, and power switching devices continue to become more efficient and commercially viable [13–15]. Progress in the group III-nitrides has long been hampered by an absence of chemically similar, nearly lattice-matched substrates for subsequent epitaxial growth. Chemical dissimilarity (e.g. GaN on sapphire or silicon) or lattice mismatch inevitably leads to Molecular Beam Epitaxy: Materials and Applications for Electronics and Optoelectronics, First Edition. Edited by Hajime Asahi and Yoshiji Horikoshi. © 2019 John Wiley & Sons Ltd. Published 2019 by John Wiley & Sons Ltd.

74

Molecular Beam Epitaxy

extended defect formation in the form of threading and misfit dislocations in both tensile and compressive strained layers, or brittle cracking for epitaxial layers under biaxial tensile stress. Remarkably, III-nitride devices routinely function with dislocation densities of more than 108 cm−3 , an unimaginable density in many other systems. Efforts to reduce this density by producing bulk GaN substrates are ongoing. Regardless, III-nitride devices rely on controlled-quality epitaxial growth for active device regions. Metal-organic chemical vapor deposition (MOCVD) and molecular beam epitaxy (MBE) are predominant for III-nitrides. This chapter will introduce III-nitride epitaxy by ammonia molecular beam epitaxy (NH3 -MBE).

5.2

III-Nitride Fundamentals

The nitrides are principally distinguished from other traditional III–V semiconductors in two aspects. First, the bandgap of the (In, Ga, Al)N system spans an impressive range from 0.65 eV for InN up to 6.0 eV for AlN. GaN, the most mature and dominant substrate material, has a bandgap of 3.4 eV. This places III-nitrides in the category of “wide-bandgap” semiconductors, although quantum wells with moderately large indium content may lower the bandgap below this definition. Figure 5.1 demonstrates the bandgap relationship of the III-nitride system on the most commonly studied c-plane orientation, as well as the more common III–V zincblende system. This large range of attainable bandgap energies is also related to important materials properties such as carrier mobility, carrier saturation velocity, breakdown voltage, conductivity, and electroluminescence. Crystal structure is a second distinguishing feature of the nitrides. Unlike the cubic zincblende crystal structure of other III–V semiconductors, III-nitrides exist in a wurtzite crystal structure, which is most easily visualized with a hexagonal unit cell. Figure 5.2 illustrates this crystal structure and highlights the different crystal planes of the wurtzite structure using a four-index (hkil) Miller index notation. Like many other semiconductors,

(Zincblende)

(Wurtzite)

AIN

200

5.0 4.0 3.0

GaN

2.0

400

ZnSe

AIP GaP

AIAs GaAs

700 InP

1.0 0.0 3.0

Wavelength (nm)

Bandgap energy (eV)

6.0

1500 InN 3.2

3.4

3.6 5.4

InAs 5.6

5.8

6.0

Lattice constant (Å)

Figure 5.1 The bandgap lattice parameter relationship for the InGaN, AlGaN, and InAlN systems, as well as that of the arsenide/phosphide based III–V systems. (Source: Ref. [16])

Ammonia Molecular Beam Epitaxy of III-Nitrides (a)

N

Ga N

Ga

[0001]

[0001]

[0001] Ga N [1120]

Ga-face

75

[1100]

N-face Nonpolar

(b) “c-plane” (0001)

Polar

(1122)

“m-plane” (1100)

“a-plane” (1120)

Semipolar (2021)

(2021)

Figure 5.2 (a) Ball-and-stick model of the wurtzite crystal structure of III-nitrides, oriented along the [0001] polarization axis. The two inequivalent “Ga-face” and “N-face” orientations along this direction are a consequence of the lack of inversion symmetry in the wurtzite structure. (b) Common wurtzite plane orientations, labeled by both Miller notation and the more common alphabetic name. (Source: Refs [16, 17])

significant differences may exist in the growth properties with choice of substrate orientation. These differences may include intentional or unintentional impurity uptake, surface adatom mobility, and kinetics and surface reconstructions; control of these factors is essential in tailoring the electronic and structural properties of epitaxially grown III-nitride materials. A complete understanding of the III-nitride wurtzite crystal structure is therefore necessary for successfully developing nitride devices. Perhaps the most remarkable feature of III-nitrides is polarization, which is a direct result of the 6-mm point symmetry of the wurtzite structure. This lower-symmetry structure lacks an inversion center, which, in conjunction with the polar metal–nitrogen bonds, leads to a net imbalance of dipole charge. Each unit cell must necessarily therefore accumulate a

76

Molecular Beam Epitaxy

bound sheet of charge at the (0001) and (0001) planes. This results in a net dipole orientation along the [0001] direction. This effect, present in all bulk III-nitride materials, is termed spontaneous polarization. Additionally, when III-nitride thin films are strained or compressed by either external forces or due to coherent heteroepitaxy of material of a differing lattice constant, the deviation of the strained layer’s lattice constants from their equilibrium values will similarly induce a dipole along the [0001] direction, in which case the phenomenon is termed piezoelectric polarization. Note that piezoelectric polarization requires strain. In fact, piezoelectric polarization may still occur in cubic crystals that lack an inversion center, such as zincblende. Spontaneous polarization, however, may only occur in crystalline materials lacking an inversion center in their unstrained state, which is the case in the wurtzite structure. The total polarization charge at a coherent III-nitride interface is the sum of the separate piezoelectric and spontaneous polarization differences between the two interface materials. These values depend on the alloy composition, piezoelectric and elastic constants, and the film orientation. Full theoretical treatment of the polarization properties of III-nitrides may be found in the work of Romanov et al., Bernandini et al., and Van de Walle et al. [18–20]. The development of III-nitride epitaxial techniques has enabled the growth of nitride structures that take selective advantage of polarization properties. Optoelectronic devices such as LEDs, LDs, or VCSELS are bipolar devices and critically depend on generation or recombination of electrons and holes in an engineered quantum well layer to create light. The efficacy of this recombination is expressed as an overlap integral of the bound electron and hole wavefunctions in this active region. However, one consequence of polarization is strong band bending if there is any appreciable projection of the polarization dipole vector along the growth axis normal vector. This projection is maximal if films are grown on the “polar” c-plane and zero on the “nonpolar” a-plane or m-plane orientations [21]. Other planes are often referred to as “semipolar.” Note that these terms do not imply that the polarization simply vanishes. Rather, the [0001] direction of the unit cell, along which the polarization vector lies, is parallel to the growth plane in the case of “nonpolar” orientations, or mostly parallel to the growth plane in the “semipolar” orientations. The nonpolar orientations, as well as several semipolar orientations, are indicated in Figure 5.2. Orientations with a large degree of polarization shift the wavefunctions of bound electron and hole states away from each other in a quantum well, reducing their overlap and therefore the efficacy of the intended radiative recombination process. This effect is termed the quantum-confined stark effect (QCSE). On nonpolar orientations QCSE is eliminated, while on semipolar orientations it is greatly reduced; therefore, the nonpolar and semipolar orientations are preferred for optoelectronic applications, as indicated in Figure 5.3. If polarization is to be avoided in optoelectronic III-nitride applications, it is advantageous to lateral III-nitride high-frequency devices such as HEMTs. The bound positive polarization sheet charge at a c-plane nitride AlGaN/GaN heterointerface, illustrated in Figure 5.3, induces a natural quantum well which is populated by highly bound and mobile two-dimensional electron gas (2DEG). This effect, known as modulation doping, physically separates the charge-donating region in either the AlGaN or GaN layers from the 2DEG channel. 2DEGs have many advantages for electronic devices, such as high-mobility carriers, strong confinement away from scattering centers (such as alloy fluctuations, intentional dopants, and crystallographic defects), as well as a strong ability to effectively screen

Ammonia Molecular Beam Epitaxy of III-Nitrides

Energy (eV)

1.0 m-plane 0.0 −1.0 n-GaN −2.0 −3.0 1.0 2021 0.0 −1.0 n-GaN −2.0 −3.0 1.0 c-plane 0.0 −1.0 n-GaN −2.0 −3.0 0

10

AIGaN

CB

77

GaN

p-GaN

EDD

VB

CB p-GaN

VB

Electron wavefunction

EF 2DEG

Hole wavefunction

CB p-GaN

20 30 Distance (nm)

VB 40

(a) QCSE of LEDs on several GaN orientations

NDD+

Qπ(cm−2)

−Qπ(cm−2)

NS(cm−2)

(b) c-plane HEMT

Figure 5.3 (a) In a nonpolar m-plane quantum well, electron (blue) and hole (pink) wavefunctions show maximal overlap, as calculated by an overlap integral. An electric field, either external or, in the case of polar materials, intrinsic, shifts the bands and spatially separates the electron and hole wavefunctions within the well, minimizing the overlap integral, which is proportional to the radiative recombination rate. (b) An AlGaN/GaN interface on a polar c-plane orientation results in a large fixed Q𝜋 polarization sheet charge, inducing a mobile 2DEG at that interface. The opposite interface −Q𝜋 sheet charge is compensated by positive trap states NDD + at the free surface. (Source: Refs [11, 16])

themselves against such scattering centers [21]. From a device-design perspective, the two inequivalent c-plane faces (0001) and (0001) will dictate the polarity of the sheet charges at the AlGaN/GaN interface, and therefore the epitaxial stack sequence: AlGaN atop GaN(0001) or GaN atop AlGaN(0001). The (0001) or “N-face” orientation offers superior gate control of the 2DEG channel (gm ) due to decreased distance and lack of an interlaying barrier; the same holds for the source and drain contacts, which do not require recessing and typically result in lower contact resistances. In practice, the more mature Ga-face growth offers lower unintentional doping, which has large effects on carrier scattering. Nonetheless, impressive mobilities and device characteristics are routinely achieved on both orientations by several epitaxial techniques; the benefits of polarization effects for such devices are clear.

5.3

Ammonia Molecular Beam Epitaxy

Precise crystal growth by MBE is fundamentally a non-equilibrium process. As an ultra-high-vacuum (UHV) process, unintentional impurities can be dramatically reduced as carrier gases or metal-organic precursors are eliminated; the lower limits of unintentional impurities will therefore be dictated by impurity control of precursor materials and

78

Molecular Beam Epitaxy

proper sample preparation. Typically, substrates will be rinsed in appropriate solvents or acid solutions prior to loading and baked at elevated temperature in an intermediary UHV buffer chamber to remove volatile impurities and atmospherics prior to loading into the growth chamber. MBE derives its name from the flux of constituent materials which grow the crystal. For traditional III–V growth, both group III and group V elements can be provided from solid-state Knudsen cells. Providing an atomic source of nitrogen, however, is more complicated. Nitrogen is not available in the solid state but in two gas-phase precursors. In turn, two gas-source MBE (GSMBE) techniques have been developed. Pure nitrogen gas is stable in its N2 molecular form, which is chemically stable due to a strong triple bond. Growth with molecular nitrogen therefore requires a plasma source to remotely crack a small fraction of molecular nitrogen into energetically active atomic nitrogen. This falls under the domain of plasma-assisted MBE (PAMBE). By contrast, the molecular bonds of ammonia (NH3 ), the simplest nitrogen hydride, are not as strongly bound as N2 . About 4% of the NH3 will thermally disassociate at the substrate surface of a growing III-nitride crystal at growth temperatures above 450 ∘ C, providing the necessary nitrogen flux to grow the crystal [22]. This technique, NH3 -MBE, eliminates the need for a gas-source plasma cracker. One major precaution unique to NH3 -MBE is the safe management of ammonia. Unlike other crystal growth processes which may use ammonia, like hydride vapor-phase epitaxy (HVPE) or MOCVD, MBE incorporates a cryogenically cooled panel within the growth chamber to remove residual impurities. As this panel is often cooled with liquid nitrogen at 77 K, excess ammonia, at a freezing point of 196 K, will readily freeze as ice onto the cryopanel. A periodic and controlled warming of the system, termed a recovery, is required to prevent buildup of ammonia to unsafe levels, which could lead to a dangerous overpressure of the system if pumping and/or cryogens are suddenly interrupted [23]. An overview of the process is to first lower the idle temperatures of the Knudsen effusion cells to prevent polycrystalline growth on the sources at the elevated recovery pressures. Care must be taken with aluminum sources, which nitride rapidly under high ammonia pressures. Oftentimes it is best to solidify the charge during the recovery. Other gauge, cell, or pumping equipment which cannot tolerate high pressures of ammonia should be similarly isolated and/or idled. The liquid-nitrogen supply is then interrupted and periodically pulsed so that the cryopanel warms in a controllable manner and the ammonia ice sublimes. One pump of sufficient pumping speed must remain in operation to remove the ammonia, as a recovery pressure in the 10−1 –10−2 Torr range is necessary for timely recovery. This is usually the same pump which is used during active growth at growth-chamber pressures of 10−6 –10−5 Torr. A turbomolecular or cryopump is sufficient, with the added benefit of a turbomolecular pump not also requiring periodic regeneration (as would a cryopump). Once the ammonia ice has been removed, the chamber pressure will fall back to the high-vacuum conditions afforded by the pump, and liquid-nitrogen supply can be restarted. Cells and other idled components may then be reactivated, and an extended dump of the cells at typical growth temperatures is suggested. Details of the process may be found elsewhere [23, 24]. Growth control is primarily achieved via control over growth temperature and input reactant stoichiometry. These two parameters are often sufficient to construct a growth diagram, which maps out regions of optimized growth with respect to crystalline quality, surface morphology, or impurity incorporation. In terms of growth temperature, it must be low enough for provided group III and group V species to reside on the surface for an extended

Ammonia Molecular Beam Epitaxy of III-Nitrides

79

period without desorbing back into vacuum, but also high enough to provide sufficient surface mobility for the species to incorporate into the proper crystal lattice site. It is important to note that the reverse reaction, or the temperature-dependent decomposition of existing crystalline material, is simultaneously occurring; steady-state growth occurs when the forward reaction dominates. This criterion also sets the upper limit to growth temperature. As with many chemical reactions, perfect incorporation of all reactants is not achieved. The reactant stoichiometry is intentionally set to either cation/metal-rich or nitrogen-rich, in which case the limiting reactant determines the growth rate. The reactants are provided as molecular fluxes in a high-vacuum environment; as such, there is a low likelihood of gas-phase interactions and the true reaction occurs at the growth surface. This rule is complicated by the fact that gas-phase NH3 -MBE and even PAMBE require much larger overpressures of their respective gases than would be provided by solid Knudsen cell sources alone; transitions to gas-phase scattering may begin to occur at higher gas flow rates. This is observed as a drop in the growth rate for fixed metal cation flux with an increase in the ammonia flow rate in N-rich growth conditions. As the metal flux is the limiting reactant, it alone should dictate the growth rate; the increase in excess gaseous reactant leading to a growth rate drop implies the onset of gas-phase scattering [25]. Additional complications arise due to the surface residency of species on the growth surface. The vapor pressure of the species, the substrate surface temperature, the substrate orientation, the influence of excess species on the surface in the form of stable monolayers or bilayers, or other impurities can all play a large role in the incorporation and growth dynamics of the crystal. Optimized NH3 -MBE homoepitaxial growth conditions on Ga-face c-plane GaN have been determined to occur at higher temperatures with respect to PAMBE, and under N-rich growth conditions. NH3 -MBE is complicated by the involvement of hydrogen during the growth process, and a full understanding of surface structure during growth remains elusive. The homoepitaxial growth regime of GaN on GaN buffer substrates was systematically investigated [26]. The growth diagram, indicated in Figure 5.4, delineates growth regimes as a function of V/III ratio and growth temperature. Several distinct regions were determined by the quality of in-situ reflection high-energy electron diffraction (RHEED) patterns and their time-dependent oscillations, and by ex-situ atomic force microscopy (AFM). The metal-rich growth regime revealed large surface pits, thought to be a result of excess gallium accumulating as droplets, inhibiting GaN growth underneath. This is well understood in standard Ga-rich PAMBE growth conditions, where periodic growth interrupts (known as desorption steps) are included to prevent such droplet accumulation. PAMBE, however, operates under V/III ratios closer to unity, due to lower N2 flow rates; in NH3 -MBE, V/III ratios are typically ∼103 or greater. Under such N-rich conditions, three distinct growth modes are observed. At the lowest growth temperatures, below ∼700 ∘ C, a layer-by-layer growth mode is observed. Above 700 ∘ C, this transitions to a quasi-stable step-flow mode. The difference in growth mode is confirmed upon examination of the duration of RHEED oscillations versus growth temperature. Finally, at even higher growth temperatures, dislocation-mediated pitting is observable by AFM. The exact onset of this feature depends upon the reactant fluxes, as ammonia overpressure and overall growth rate will determine the balance between crystal growth and decomposition. The quasi-stable step-flow regime under N-rich conditions showed optimal structural and morphological properties. These growth conditions for the Ga-face (0001) hold well for nonpolar and semipolar orientations, while the N-face (0001) requires higher growth temperatures due

80

Molecular Beam Epitaxy Ga Beam-Equivalent Pressure = 3.4 × 10−7 Torr

NH3 beam-equivalent pressure (10−4 Torr)

v 3.5 Unstable Layer-by-Layer

Dislocationmediated Pitting

Quasi-stable Step Flow

2.5 i

ii

iii

iv

1.5 N-rich Ga-rich 0.5

Ga droplets

Decomposition

vii 650

vi 700 750 Growth temperature (°C)

800

Figure 5.4 The growth diagram of NH3 -MBE delineates three N-rich growth regimes: unstable layer-by-layer, quasi-stable step flow, and dislocation-mediated pitting. Ga-rich growth regimes as in PAMBE are not extensively explored due to poorer morphologies. (Source: Ref. [26])

to poorer adatom mobility [27]. Continued growth optimization with respect to V/III ratio, growth rate, Si doping levels, and growth temperature on low-dislocation-density bulk GaN substrates demonstrates that homoepitaxy by NH3 -MBE can result in bulk mobilities over 1200 cm2 V−1 s−1 , approaching the electron mobilities realized in 2DEGs at the AlGaN/GaN interface [28]. NH3 -MBE growth is not only limited to homoepitaxy. Heteroepitaxy on sapphire, SiC, or Si substrates is also of great interest [14, 15]. The primary motive in growing III-nitrides on sapphire is cost, and thermal management for growth on SiC or Si. However, heteroepitaxial growth of III-nitride films must overcome several obstacles. The room-temperature values of a-parameter lattice mismatch are 16% for GaN on sapphire, −3.9% on SiC, and 16.9% on Si(111). Additionally, large coefficient of thermal expansion mismatches between nitrides and these substrates become significant factors; coherently grown films may crack during cooldown from the growth temperature. These large lattice mismatches mean that large threading dislocation densities (TDDs) form during the coalescence of misoriented 3D islands. As electrically active crystalline defects, TDDs must be managed through judicious use of nucleation schemes and/or buffer layers if subsequently grown layers are intended to be fabricated into devices. High-resolution X-ray diffraction (HRXRD) measurements can provide information about TDD densities in the epitaxially grown film in heteroepitaxial structures [29, 30]. Lessons from MOCVD heteroepitaxy demonstrate that the coalescence of nucleation islands is a primary source of dislocations. However, MOCVD operates at higher growth temperatures and via a boundary-layer mass transport mechanism; the thermodynamics of the system therefore prefer growth

Ammonia Molecular Beam Epitaxy of III-Nitrides

81

of large islands at the expense of smaller ones [31]. However, heteroepitaxy of nitrides by MBE occurs at lower growth temperature and therefore nucleates a higher density of small islands. Techniques to align nucleating crystallite orientations or encourage TDD annihilation in subsequent layers must therefore be implemented. The initial nucleation conditions of III-nitrides on sapphire by NH3 -MBE begin with a nitridation process of the substrate. In-situ RHEED observations have determined that a thin layer of AlN forms during this process, which quickly relaxes to its equilibrium lattice constant. Subsequent GaN growth on this AlN template is smoother as the GaN on AlN lattice mismatch is −2.4%, lower than the 16% mismatch of GaN directly on sapphire. While this mismatch still leads to initial GaN growth in a 3D Volmer–Weber growth mode, clear morphological and optical improvements are seen with the nitridation step (Figure 5.5) [32]. Further optimization involved a two-step growth process after sapphire nitridation. First, a low-temperature (500–550 ∘ C) GaN buffer is intentionally grown in the 3D regime. The low temperature limits sizes of nucleating polycrystalline GaN grains. A 10-minute 900 ∘ C anneal step then induces a phase transformation and coalescence of the grains to a predominantly wurtzite structure. Temperature-controlled grain size determines the efficacy of this process, with smaller grains more easily undergoing the transition. A transition from spotty to streaky RHEED pattern during the high-temperature anneal step indicates the transition from a rough polycrystalline to a smoother, monocrystalline surface. GaN growth is then continued at 830 ∘ C, under 2D growth conditions [33–35]. NH3 -MBE GaN heteroepitaxial growth on SiC substrates similarly begins with an AlN layer. This intermediate AlN layer is used to enhance surface wetting of subsequent GaN layers, like the previously mentioned nitridation step on sapphire substrates, as GaN grown directly on SiC shows rough, islanded morphology (Figure 5.5). This AlN interlayer is also

g = (1120)

g = (0002)

g = (1120)

g = (0002)

200 nm

800 nm

c

b a

GaN AIN (a)

(b)

Figure 5.5 Cross-sectional TEM of heteroepitaxial grown GaN films on (a) sapphire and (b) SiC substrates by NH3 -MBE (Source: Refs [34, 37]). The g = (0002) condition allows visibility of screw-component threading dislocations, while the g = (1120) condition allows visibility of edge-component threading dislocations. Total dislocation densities are estimated at ∼5 × 109 cm−2 for sapphire and ∼3 × 109 cm−2 for SiC.

82

Molecular Beam Epitaxy

used in PAMBE GaN on SiC growth. As an n-type dopant, careful control of Si doping is required for high-mobility structures. Secondary ion mass spectroscopy (SIMS) revealed that under certain metal-rich PAMBE growth conditions, unintentional silicon remained in the AlN nucleation layer and was incorporated into subsequently grown layers. N-rich growth of the AlN layer, as by NH3 -MBE, saw a two order of magnitude reduction in unintentional Si incorporation [36]. Once 50 to 100-nm AlN layers were grown by NH3 -MBE under layer-by-layer conditions, a two-step GaN buffer similar to those reported in PAMBE GaN on SiC heteroepitaxy was used [37, 38]. During the first step, a reduction in the V/III ratio leads to roughening of the growing GaN and dislocation annihilation. This dislocation annihilation was observed to both reduce the total TDD and reduce the compressive strain in the GaN layer [39]. The V/III ratio is then increased and a smooth GaN layer is grown for subsequent device development. NH3 -MBE heteroepitaxy of GaN on SiC has yielded thin films with TDD in the ∼3 × 109 cm−2 range, an order of magnitude lower than for PAMBE grown devices. Heteroepitaxy of GaN directly onto silicon substrates is of great interest due to the potential to incorporate it directly into existing silicon manufacturing processes. Thick, ∼3-𝜇m growth of GaN on (111)-oriented substrates has been shown to require an AlN or AlGaN/GaN superlattice to prevent subsequent cracking of thick GaN layers [40]. No evidence of SiNx at the AlN/Si interface has been revealed by high-resolution TEM (HRTEM), and the surface morphology is comparable to that of homoepitaxial GaN. An additional benefit of thick AlN or AlGaN superlattices may be to remove any residual impurities, notably oxygen, silicon, or carbon, from the regrowth interface. SIMS confirmed low unintentional impurity incorporation, while Hall effect measurements demonstrated carrier concentrations and bulk mobilities in the 2–5 1017 cm−3 and 150–300 cm2 V−1 s−1 range. Additional measurements of material quality by photoluminescence show that the quality of GaN grown on Si can be nearly that of GaN nucleated on sapphire by MOCVD [41]. Finally, electronic defect spectroscopy, particularly defect-level transient spectroscopy (DLTS) and defect-level optical spectroscopy (DLOS), have been instrumental in gauging epitaxial material quality [42]. While techniques such as HRXRD and transmission electron microscopy (TEM) may quantify the density of line defects such as TDDs and misfit dislocations, the electronic properties of defects can only be quantified by such spectrographic techniques. Analysis of such spectra in conjunction with theoretical predictions can lead to assignment of trap levels in the bandgap to specific defects, such as intrinsic gallium and nitrogen vacancies, extrinsic carbon interstitials or defect complexes [43]. Further investigation can compare trends in defect levels and densities across growth techniques, as well as with variation in growth parameters, for instance the V/III ratio in NH3 -MBE material [44–46]. Investigations can also incorporate the effects of intentional dopants, as well as spatially resolve defect formation and evolution in both material and processed devices under conditions of high electrical strain or irradiation [47–49].

5.4

Ternary Nitride Alloys and Doping

The large-bandgap tunability and polarization effects achieved through heterostructure engineering are what make III-nitride structures so versatile. Growth of the technologically important Al(Ga)N/GaN heterostructure by NH3 -MBE is particularly simple, as AlGaN

Ammonia Molecular Beam Epitaxy of III-Nitrides

83

growth roughly follows the homoepitaxial growth window determined for GaN. At temperatures below ∼750 ∘ C [24, 26], Ga and Al species will incorporate nearly equally during N-rich NH3 -MBE growth, and AlGaN compositions closely track flux ratios. At higher temperatures, deviations will occur as the decomposition of GaN will accelerate relative to the decomposition of AlN. However, growth of AlGaN can continue well into the dislocation pitted regime. A higher composition of AlGaN requires higher growth temperatures, optimally above 800 ∘ C, as the surface mobility of Al is poorer than that of Ga, and may lead to roughening at lower temperatures, especially in the layer-by-layer growth regime. Growth of nominally pure thin AlN layers when only the Al cell shutter is open is hindered by decomposition of underlying GaN layers at standard GaN growth temperatures. Evidence of more than 20% Ga incorporation at the group III site in nominally pure AlN layers has been observed by atom probe tomography (APT) analysis. Further development of pure AlN layers grown at reduced temperatures was confirmed by APT, as demonstrated in Figure 5.6, and led to increased 2DEG mobilities [50]. Controlled growth of Al(Ga)N/GaN heterostructures is important not only for lateral devices relying upon high channel mobilities, but also for growth on nonpolar and semipolar orientations. AlGaN development at these orientations is driven by optoelectronic devices emitting in the ultraviolet range. The requirement of high-Al-content AlGaN layers for both barriers and wells to reach such lower emission wavelengths is hindered by the prismatic slip relaxation mechanism, which is available to relieve strain on nonbasal orientations [51–53]. A variety of Al(Ga)N buffer layers have been explored by NH3 -MBE on such orientations, which not only agreed well with the theoretically critical thicknesses for the onset of relaxation, but also provided a route to keep misfit dislocations outside the active region of the emitter layers [54, 55]. By contrast, indium-containing nitride alloys by NH3 -MBE require substantially different growth conditions to achieve optimal material, as is the case with other nitride growth techniques. InGaN growth is highly temperature dependent, with insignificant indium incorporation occurring at growth temperatures higher than 700 ∘ C. Indium

Growth direction

Growth direction 1.0

(a)

Ga AI

0.8 0.6

Group III mole fraction

Group III mole fraction

1.0

Tsub: 820 °C 200 SCCM NH3

0.4 0.2 0.0 −10

−5

0 Position (nm)

5

10

0.8 0.6

LT NH3-MBE

0.4

Tsub: 700 °C

Ga AI

0.2 0.0

−4

−2 0 2 Position (nm)

4

Figure 5.6 APT of thin AlN layers under varying NH3 -MBE conditions. Ga intermixing must be balanced with surface roughening at lower temperatures, however nominally pure AlN layers can be achieved. (Source: Ref. [50])

84

Molecular Beam Epitaxy 18

(0001) (1010) (1122) (2021)

16 14

% InN

12 10 8 6 4 2 585

600 615 630 645 660 InGaN growth temperature (°C) (a) (0001) (1010) (1122) (2021)

30 25

60 50

20 % InN

70

40

15

30 10

Thickness (nm)

0 570

20 5

10

0

0 200

400 600 800 NH3 flow (sccm)

1000

(b)

Figure 5.7 Results of an InGaN growth study by NH3 -MBE highlight the effects of (a) growth temperature and (b) V/III ratio (fixed total metal flux, 200 sccm NH3 corresponds to V/III ∼ 2000) on indium incorporation for different orientations. A decrease in growth rate with increasing V/III is also evident. (Source: Ref. [56])

incorporation also varies strongly with V/III ratio, with higher incorporation and improved morphological stability under higher ammonia overpressure [25]. Another observation was that in addition to V/III ratio and growth temperature dependences, indium alloy composition and impurity content within growing InGaN layers for a given set of growth parameters were also a strong function of substrate orientation [56]. Figure 5.7 illustrates some of these compositional dependencies. The third ternary alloy, InAlN, is sometimes considered in structures, particularly as a backbarrier for HEMTs at the lattice-matched composition In0.18 Al0.82 N. The difficulty in growing this alloy lies in the dual requirements of low temperature to ensure indium incorporation and poor Al adatom mobility at reduced temperature. One report was able to achieve an InAlN sample at lattice-matched

Ammonia Molecular Beam Epitaxy of III-Nitrides

85

composition by using an indium-to-gallium flux larger than three at a growth temperature of 620 ∘ C and reducing the growth rate to 0.5 nm min−1 [57]. Other interesting epitaxial structures, such as strain-compensated InGaN/AlGaN superlattices, can be grown with relative ease by judicious use of growth temperature, V/III ratio, and substrate orientation by NH3 -MBE [58]. Ultimately, the major limitation of NH3 -MBE growth of indium-containing layers is the relatively inefficient thermal cracking of ammonia molecules at the substrate [22]. For InGaN this experimentally places a lower limit on the growth temperature near 550 ∘ C and an upper limit on the In content of ∼20% [59, 60]. Nitride layers which require large compositions of indium, such as InGaN for green LEDs or InAlN for enhancement-mode HEMTs, can therefore only be grown by PAMBE where the substrate temperature is independent of the plasma-activated nitrogen source. N-type doping in NH3 -MBE GaN can be achieved by dopant-level flux from the elemental Si source or silane [24, 61]. Si sitting on the Ga site acts as a single charge donor, with a shallow ionization energy of ∼10–15 meV. Unintentional oxygen incorporation must be minimized, as it also acts as a shallow donor at room temperatures with an ionization energy of ∼35 meV. Controllable Si doping levels from 1016 cm−3 to over 1020 cm−3 are achievable with a solid elemental Si effusion cell. Effective n-doping by Si in high-Al-content Al(Ga)N layers has been reported as difficult to achieve, due to increasing ionization energy with increasing Al content and potential formation of a DX center [62, 63]. Nonetheless, electron carrier concentrations in the 1019 cm−3 range are achievable in Al0.6 Ga0.4 N alloys by NH3 -MBE. Extremely degenerate doping levels, beyond the conduction band effective density of states, are of great interest in minimizing the deletion region in tunnel junction layers [64]. Excessive silicon concentrations, however, lead to structural degradation; a minimum film resistivity of 3 × 10−4 Ω cm at a carrier concentration of ∼2 × 1020 cm−3 by Hall measurements is observed, beyond which point resistivity begins to increase. P-type doping in nitrides is achieved by magnesium doping. However, due to an extremely high ionization energy of 150–200 meV, ionization of Mg acceptors is typically less than 1%. This constraint leads to an ultimate carrier concentration achievable in the low 1018 cm−3 range, corresponding to a total Mg concentration no greater than 1020 cm−3 . Mg doping beyond this level induces crystallographic defects, particularly pyramidal inversion domains, which serve to further trap holes and reduce p-type conductivity [65]. Subsequent studies have indicated that ionization of Mg dopants in NH3 -MBE is maximized at growth temperatures between 700 and 750 ∘ C, and that the use of an indium surfactant further assists in reducing p-GaN resistivity [66, 67]. On a historical note, MOCVD Mg doping requires a post-growth anneal in an N2 atmosphere to remove hydrogen, which forms passivated Mg–H complexes as grown [68]. An unresolved point is that while hydrogen incorporation levels in NH3 -MBE grown films are in the 1017 –1018 cm−3 range, comparable to that of annealed MOCVD material, hydrogen passivation of Mg-doped GaN does not occur in Mg-doped NH3 -MBE grown films. Furthermore, NH3 -MBE GaN grown atop annealed MOCVD p-GaN for tunnel junctions does not repassivate the Mg donors [64]. It is suspected that the overall low growth pressure in NH3 -MBE, 10−6 –10−5 Torr, is a major factor compared to atmospheric MOCVD. One application of the removal of the post-growth Mg-doped GaN anneal is highly doped tunnel junctions. Mg-doped p-GaN suffers from poor ohmic contacts and current crowding due to poor lateral spreading; the use of semitransparent conducting layers is often required.

86

Molecular Beam Epitaxy

Avoiding the complex processing required to form acceptable p-GaN contacts is one of the benefits of moving toward a tunnel junction. MOCVD grown devices which terminated on a p++ layer had NH3 -MBE grown n++ layers regrown [64, 69]. This growth is not possible by MOCVD alone, as the subsequent n++ layer would passivate the underlying p-GaN layers. The highly doped interface acts as a tunnel junction, enabling current to pass through two n-contacts. Tunnel junction structures grown entirely by NH3 -MBE have also been investigated and can sustain large current densities [70].

5.5

Conclusions

NH3 -MBE has been developed over the past two decades as a viable nitride epitaxial technique with steady progress in material quality. The N-rich growth regime offers a relatively wide range of growth temperatures, and unlike PAMBE, careful feedback during growth to prevent the metal-rich surface layer from accumulating droplets is not required. Furthermore, the N-rich growth regime may assist in decreasing leakage current, as both experimental and theoretical work points to Ga-filled screw dislocations as a leakage mechanism [71, 72]. Alloying and doping are well understood and follow similar trends in growth temperature as other techniques. The building blocks of vertical devices, such as pn junctions, quantum wells, and heterojunctions, have all been demonstrated by NH3 -MBE [25, 60, 73–79]. Lateral HEMT devices have been realized by this technique on all three heteroepitaxial substrates mentioned [36, 37, 57, 80–82]. Development of highly doped tunnel junctions offers a route to circumvent the limitations of transparent contacts to p-GaN for optical devices [64, 69]. Ongoing advances in NH3 -MBE materials will undoubtedly continue to contribute to III-nitride device development.

References 1. Nakamura, S., Senoh, M., Iwasa, N., and Nagahama, S.I. (1995). High-brightness InGaN blue, green and yellow light-emitting diodes with quantum well structures. Japanese Journal of Applied Physics 34 (7A): L797. 2. Pimputkar, S., Speck, J.S., DenBaars, S.P., and Nakamura, S. (2009). Prospects for LED lighting. Nature Photonics 3 (4): 180. 3. Feezell, D.F., Speck, J.S., DenBaars, S.P., and Nakamura, S. (2013). Semipolar (2021) InGaN/GaN light-emitting diodes for high-efficiency solid-state lighting. Journal of Display Technology 9 (4): 190–198. 4. Schmidt, M.C., Kim, K.C., Farrell, R.M. et al. (2007). Demonstration of nonpolar m-plane InGaN/GaN laser diodes. Japanese Journal of Applied Physics 46 (3L): L190. 5. Sato, H., Chung, R.B., Hirasawa, H. et al. (2008). Optical properties of yellow light-emitting diodes grown on semipolar (1122) bulk GaN substrates. Applied Physics Letters 92 (22): 221110. 6. Pourhashemi, A., Farrell, R.M., Hardy, M.T. et al. (2013). Pulsed high-power AlGaN-claddingfree blue laser diodes on semipolar (2021)GaN substrates. Applied Physics Letters 103 (15): 151112. 7. Holder, C., Speck, J.S., DenBaars, S.P. et al. (2012). Demonstration of nonpolar GaN-based vertical-cavity surface-emitting lasers. Applied Physics Express 5 (9): 092104. 8. Leonard, J.T., Cohen, D.A., Yonkee, B.P. et al. (2015). Nonpolar III-nitride vertical-cavity surface-emitting lasers incorporating an ion implanted aperture. Applied Physics Letters 107 (1): 011102.

Ammonia Molecular Beam Epitaxy of III-Nitrides

87

9. Forman, C.A., Lee, S., Young, E.C. et al. (2018). Continuous-wave operation of m-plane GaN-based vertical-cavity surface-emitting lasers with a tunnel junction intracavity contact. Applied Physics Letters 112 (11): 111106. 10. Lee, C., Shen, C., Cozzan, C. et al. (2017). Gigabit-per-second white light-based visible light communication using near-ultraviolet laser diode and red-, green-, and blue-emitting phosphors. Optics Express 25 (15): 17480–17487. 11. Mishra, U.K., Parikh, P., and Wu, Y.F. (2002). AlGaN/GaN HEMTs – an overview of device operation and applications. Proceedings of the IEEE 90 (6): 1022–1031. 12. Mishra, U.K., Shen, L., Kazior, T.E., and Wu, Y.F. (2008). GaN-based RF power devices and amplifiers. Proceedings of the IEEE 96 (2): 287–305. 13. Baliga, B.J. (2013). Gallium nitride devices for power electronic applications. Semiconductor Science and Technology 28 (7): 074011. 14. Ishida, M., Ueda, T., Tanaka, T., and Ueda, D. (2013). GaN on Si technologies for power switching devices. IEEE Transactions on Electron Devices 60 (10): 3053–3059. 15. Chen, K.J., Häberlen, O., Lidow, A. et al. (2017). GaN-on-Si power technology: Devices and applications. IEEE Transactions on Electron Devices 64 (3): 779–795. 16. Kuritzky, L.Y. and Speck, J.S. (2015). Lighting for the 21st century with laser diodes based on non-basal plane orientations of GaN. MRS Communications 5 (3): 463–473. 17. Ambacher, O., Smart, J., Shealy, J.R. et al. (1999). Two-dimensional electron gases induced by spontaneous and piezoelectric polarization charges in N- and Ga-face AlGaN/GaN heterostructures. Journal of Applied Physics 85 (6): 3222–3233. 18. Romanov, A.E., Baker, T.J., Nakamura, S., and Speck, J.S. ERATO/JST UCSB Group (2006). Strain-induced polarization in wurtzite III-nitride semipolar layers. Journal of Applied Physics 100 (2): 023522. 19. Bernardini, F., Fiorentini, V., and Vanderbilt, D. (1997). Spontaneous polarization and piezoelectric constants of III–V nitrides. Physical Review B 56 (16): R10024. 20. Yan, Q., Rinke, P., Janotti, A. et al. (2014). Effects of strain on the band structure of group-III nitrides. Physical Review B 90 (12): 125118. 21. Speck, J.S. and Chichibu, S.F. (2009). Nonpolar and semipolar group III nitride-based materials. MRS Bulletin 34 (5): 304–312. 22. Mesrine, M., Grandjean, N., and Massies, J. (1998). Efficiency of NH3 as nitrogen source for GaN molecular beam epitaxy. Applied Physics Letters 72 (3): 350–352. 23. Cordier, Y., Semond, F., Massies, J. et al. (2007). Developments for the production of high-quality and high-uniformity AlGaN/GaN heterostructures by ammonia MBE. Journal of Crystal Growth 301: 434–436. 24. Corrion, A. (2008). Ammonia molecular beam epitaxy of (Al,Ga)N for AlGaN/GaN high electron mobility transistors. PhD thesis. Santa Barbara, CA: University of California. 25. Lang, J.R. and Speck, J.S. (2012). NH3 -rich growth of InGaN and InGaN/GaN superlattices by NH3 -based molecular beam epitaxy. Journal of Crystal Growth 346 (1): 50–55. 26. Corrion, A.L., Wu, F., and Speck, J.S. (2012). Growth regimes during homoepitaxial growth of GaN by ammonia molecular beam epitaxy. Journal of Applied Physics 112 (5): 054903. 27. Fireman, M.N., Li, H., Keller, S. et al. (2018). Growth of N-polar GaN by ammonia molecular beam epitaxy. Journal of Crystal Growth 481: 65–70. 28. Kyle, E.C., Kaun, S.W., Burke, P.G. et al. (2014). High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy. Journal of Applied Physics 115 (19): 193702. 29. Srikant, V., Speck, J.S., and Clarke, D.R. (1997). Mosaic structure in epitaxial thin films having large lattice mismatch. Journal of Applied Physics 82 (9): 4286–4295. 30. Lee, S.R., West, A.M., Allerman, A.A. et al. (2005). Effect of threading dislocations on the Bragg peakwidths of GaN, AlGaN, and AlN heterolayers. Applied Physics Letters 86 (24): 241904. 31. Moran, B., Wu, F., Romanov, A.E. et al. (2004). Structural and morphological evolution of GaN grown by metalorganic chemical vapor deposition on SiC substrates using an AlN initial layer. Journal of Crystal Growth 273 (1–2): 38–47. 32. Grandjean, N., Massies, J., and Leroux, M. (1996). Nitridation of sapphire. Effect on the optical properties of GaN epitaxial overlayers. Applied Physics Letters 69 (14): 2071–2073.

88

Molecular Beam Epitaxy

33. Grandjean, N., Massies, J., Semond, F. et al. (1999). GaN evaporation in molecular-beam epitaxy environment. Applied Physics Letters 74 (13): 1854–1856. 34. Grandjean, N., Massies, J., Vennegues, P. et al. (1998). Molecular-beam epitaxy of gallium nitride on (0001) sapphire substrates using ammonia. Journal of Applied Physics 83 (3): 1379–1383. 35. Grandjean, N., Leroux, M., Laügt, M., and Massies, J. (1997). Gas source molecular beam epitaxy of wurtzite GaN on sapphire substrates using GaN buffer layers. Applied Physics Letters 71 (2): 240–242. 36. Waltereit, P., Poblenz, C., Rajan, S. et al. (2005). Effect of AlN nucleation layer growth conditions on buffer leakage in AlGaN/GaN high electron mobility transistors grown by molecular beam epitaxy (MBE). Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomena 23 (4): 1562–1567. 37. Corrion, A.L., Poblenz, C., Wu, F., and Speck, J.S. (2008). Structural and morphological properties of GaN buffer layers grown by ammonia molecular beam epitaxy on SiC substrates for AlGaN/GaN high electron mobility transistors. Journal of Applied Physics 103 (9): 093529. 38. Webb, J.B., Tang, H., Bardwell, J.A. et al. (2002). Growth of GaN/AlGaN HFETs on SiC substrates with optimized electrical characteristics using the ammonia-MBE technique. Physica Status Solidi (a) 194 (2): 439–442. 39. Cantu, P., Wu, F., Waltereit, P. et al. (2005). Role of inclined threading dislocations in stress relaxation in mismatched layers. Journal of Applied Physics 97 (10): 103534. 40. Nikishin, S.A., Faleev, N.N., Antipov, V.G. et al. (1999). High quality GaN grown on Si (111) by gas source molecular beam epitaxy with ammonia. Applied Physics Letters 75 (14): 2073–2075. 41. Semond, F., Cordier, Y., Grandjean, N. et al. (2001). Molecular beam epitaxy of group-III nitrides on silicon substrates: Growth, properties and device applications. Physica Status Solidi (a) 188 (2): 501–510. 42. Armstrong, A., Arehart, A.R., and Ringel, S.A. (2005). A method to determine deep level profiles in highly compensated, wide band gap semiconductors. Journal of Applied Physics 97 (8): 083529. 43. Arehart, A.R., Corrion, A., Poblenz, C. et al. (2008). Deep level optical and thermal spectroscopy of traps in n-GaN grown by ammonia molecular beam epitaxy. Applied Physics Letters 93 (11): 112101. 44. Arehart, A.R., Corrion, A., Poblenz, C. et al. (2008). Comparison of deep level incorporation in ammonia and rf-plasma assisted molecular beam epitaxy n-GaN films. Physica Status Solidi (c) 5 (6): 1750–1752. 45. Zhang, Z., Hurni, C.A., Arehart, A.R. et al. (2012). Deep traps in nonpolar m-plane GaN grown by ammonia-based molecular beam epitaxy. Applied Physics Letters 100 (5): 052114. 46. Zhang, Z., Hurni, C.A., Arehart, A.R. et al. (2012). Influence of V/III growth flux ratio on trap states in m-plane GaN grown by ammonia-based molecular beam epitaxy. Applied Physics Letters 101 (15): 152104. 47. Zhang, Z., Arehart, A.R., Kyle, E.C.H. et al. (2015). Proton irradiation effects on deep level states in Mg-doped p-type GaN grown by ammonia-based molecular beam epitaxy. Applied Physics Letters 106 (2): 022104. 48. Zhang, Z., Arehart, A.R., Cinkilic, E. et al. (2013). Impact of proton irradiation on deep level states in n-GaN. Applied Physics Letters 103 (4): 042102. 49. Roy, T., Puzyrev, Y.S., Tuttle, B.R. et al. (2010). Electrical-stress-induced degradation in AlGaN/GaN high electron mobility transistors grown under gallium-rich, nitrogen-rich, and ammonia-rich conditions. Applied Physics Letters 96 (13): 133503. 50. Kaun, S.W., Mazumder, B., Fireman, M.N. et al. (2015). Pure AlN layers in metal-polar AlGaN/AlN/GaN and AlN/GaN heterostructures grown by low-temperature ammonia-based molecular beam epitaxy. Semiconductor Science and Technology 30 (5): 055010. 51. Romanov, A.E., Young, E.C., Wu, F. et al. (2011). Basal plane misfit dislocations and stress relaxation in III-nitride semipolar heteroepitaxy. Journal of Applied Physics 109 (10): 103522.

Ammonia Molecular Beam Epitaxy of III-Nitrides

89

52. Young, E.C., Wu, F., Romanov, A.E. et al. (2010). Lattice tilt and misfit dislocations in (1122) semipolar GaN heteroepitaxy. Applied Physics Express 3 (1): 011004. 53. Young, E.C., Romanov, A.E., and Speck, J.S. (2011). Determination of composition and lattice relaxation in semipolar ternary (In, Al, Ga) N strained layers from symmetric X-ray diffraction measurements. Applied Physics Express 4 (6): 061001. 54. Young, E.C., Yonkee, B.P., Wu, F. et al. (2015). Ultraviolet light emitting diodes by ammonia molecular beam epitaxy on metamorphic (2021) AlGaN/GaN buffer layers. Journal of Crystal Growth 425: 389–392. 55. Haeger, D.A., Young, E.C., Chung, R.B. et al. (2012). 384 nm laser diode grown on a (2021) semipolar relaxed AlGaN buffer layer. Applied Physics Letters 100 (16): 161107. 56. Browne, D.A., Young, E.C., Lang, J.R. et al. (2012). Indium and impurity incorporation in InGaN films on polar, nonpolar, and semipolar GaN orientations grown by ammonia molecular beam epitaxy. Journal of Vacuum Science and Technology A: Vacuum, Surfaces, and Films 30 (4): 041513. 57. Hoi Wong, M., Wu, F., Hurni, C.A. et al. (2012). Molecular beam epitaxy of InAlN lattice-matched to GaN with homogeneous composition using ammonia as nitrogen source. Applied Physics Letters 100 (7): 072107. 58. Fireman, M.N., Bonef, B., Young, E.C. et al. (2017). Strain compensated superlattices on m-plane gallium nitride by ammonia molecular beam epitaxy. Journal of Applied Physics 122 (7): 075105. 59. Damilano, B., Grandjean, N., Massies, J. et al. (2000). InGaN/GaN quantum wells grown by molecular-beam epitaxy emitting from blue to red at 300 K. Applied Physics Letters 77 (9): 1268–1270. 60. Fireman, M.N., Browne, D.A., Mishra, U.K., and Speck, J.S. (2016). Isotype InGaN/GaN heterobarrier diodes by ammonia molecular beam epitaxy. Journal of Applied Physics 119 (5): 055709. 61. Götz, W., Johnson, N.M., Chen, C. et al. (1996). Activation energies of Si donors in GaN. Applied Physics Letters 68 (22): 3144–3146. 62. Van de Walle, C.G., Stampfl, C., and Neugebauer, J. (1998). Theory of doping and defects in III–V nitrides. Journal of Crystal Growth 189: 505–510. 63. Gordon, L., Lyons, J.L., Janotti, A., and Van de Walle, C.G. (2014). Hybrid functional calculations of D X centers in AlN and GaN. Physical Review B 89 (8): 085204. 64. Young, E.C., Yonkee, B.P., Wu, F. et al. (2016). Hybrid tunnel junction contacts to III–nitride light-emitting diodes. Applied Physics Express 9 (2): 022102. 65. Vennegues, P., Benaissa, M., Dalmasso, S. et al. (2002). Influence of high Mg doping on the microstructural and optoelectronic properties of GaN. Materials Science and Engineering: B 93 (1–3): 224–228. 66. Hurni, C.A., Lang, J.R., Burke, P.G., and Speck, J.S. (2012). Effects of growth temperature on Mg-doped GaN grown by ammonia molecular beam epitaxy. Applied Physics Letters 101 (10): 102106. 67. Kyle, E.C., Kaun, S.W., Young, E.C., and Speck, J.S. (2015). Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN. Applied Physics Letters 106 (22): 222103. 68. Nakamura, S., Mukai, T., Senoh, M., and Iwasa, N. (1992). Thermal annealing effects on p-type Mg-doped GaN films. Japanese Journal of Applied Physics 31 (2B): L139. 69. Leonard, J.T., Young, E.C., Yonkee, B.P. et al. (2015). Demonstration of a III-nitride vertical-cavity surface-emitting laser with a III-nitride tunnel junction intracavity contact. Applied Physics Letters 107 (9): 091105. 70. Okumura, H., Martin, D., Malinverni, M., and Grandjean, N. (2016). Backward diodes using heavily Mg-doped GaN growth by ammonia molecular-beam epitaxy. Applied Physics Letters 108 (7): 072102. 71. Northrup, J.E. (2001). Screw dislocations in GaN: The Ga-filled core model. Applied Physics Letters 78 (16): 2288–2290. 72. Hsu, J.W.P., Manfra, M.J., Molnar, R.J. et al. (2002). Direct imaging of reverse-bias leakage through pure screw dislocations in GaN films grown by molecular beam epitaxy on GaN templates. Applied Physics Letters 81 (1): 79–81.

90

Molecular Beam Epitaxy

73. Hurni, C.A., Bierwagen, O., Lang, J.R. et al. (2010). pn junctions on Ga-face GaN grown by NH3 molecular beam epitaxy with low ideality factors and low reverse currents. Applied Physics Letters 97 (22): 222113. 74. Heffernan, J., Kauer, M., Hooper, S.E. et al. (2004). InGaN violet laser diodes grown by molecular beam epitaxy. Physica Status Solidi (a) 201 (12): 2668–2671. 75. Heffernan, J., Kauer, M., Johnson, K. et al. (2005). InGaN multiple quantum well lasers grown by MBE. Physica Status Solidi (a) 202 (5): 868–874. 76. Fireman, M.N., Browne, D.A., Mazumder, B. et al. (2015). Demonstration of isotype GaN/AlN/GaN heterobarrier diodes by NH3 -molecular beam epitaxy. Applied Physics Letters 106 (20): 202106. 77. Fireman, M.N., Li, H., Keller, S. et al. (2017). Vertical transport in isotype InAlN/GaN dipole induced diodes grown by molecular beam epitaxy. Journal of Applied Physics 121 (20): 205702. 78. Grandjean, N., Damilano, B., and Massies, J. (2001). Group-III nitride quantum heterostructures grown by molecular beam epitaxy. Journal of Physics: Condensed Matter 13 (32): 6945. 79. Raman, A., Hurni, C.A., Speck, J.S., and Mishra, U.K. (2012). AlGaN/GaN heterojunction bipolar transistors by ammonia molecular beam epitaxy. Physica Status Solidi (a) 209 (1): 216–220. 80. Cordier, Y., Hugues, M., Semond, F. et al. (2005). Structural and electrical properties of AlGaN/GaN HEMTs grown by MBE on SiC, Si (111) and GaN templates. Journal of Crystal Growth 278 (1–4): 383–386. 81. Rennesson, S., Leroux, M., Al Khalfioui, M. et al. (2017). Ultrathin AlN-based HEMTs grown on silicon substrate by NH3 -MBE. Physica Status Solidi (a) https://doi.org/10.1002/pssa .201700640. 82. Semond, F., Lorenzini, P., Grandjean, N., and Massies, J. (2001). High-electron-mobility AlGaN/GaN heterostructures grown on Si (111) by molecular-beam epitaxy. Applied Physics Letters 78 (3): 335–337. 83. Dharmarasu, N., Radhakrishnan, K., Agrawal, M. et al. (2012). Demonstration of AlGaN/GaN high-electron-mobility transistors on 100-mm-diameter Si (111) by ammonia molecular beam epitaxy. Applied Physics Express 5 (9): 091003.

6 Mechanism of Selective Area Growth by MBE Katsumi Kishino Department of Engineering and Applied Science, Sophia University, Tokyo 102-8554, Japan

6.1

Background

GaN-based nanocolumns, which are also referred to as nanowires, nanorods, and nanopillars, were first self-organized on (0001) sapphire substrates by RF plasma-assisted molecular beam epitaxy (RF-MBE) [1]. Recently, nanocrystals have attracted considerable attention among researchers, because their properties enable high crystalline quality, such as dislocation-free nature [2], high light extraction efficiency, and strain relaxation [3]. Using self-organized nanocolumns on Si, blue to red light-emitting diodes (LEDs) have been fabricated. However, we have frequently observed near-field images of nanocolumn LEDs with multicolor emissions, in which micrometer-scale spots of various colors, including red, green, blue, and yellow (RGBY), were observed in the vicinity of each other [4]. The self-organization of nanocolumns occurs through random and spontaneous crystal nucleation, which introduces fluctuations in their diameter and position, resulting in the variation of emission color among InGaN-based nanocolumns. In contrast, the uniform arrays of GaN nanocolumns, which are achieved through precise control in the size and position of nanocolumns [5, 6], can resolve the problem. In this section, the mechanism of selective area growth (SAG) by RF-MBE of GaN nanocolumns using nanomask patterns is described. By flowing nitrogen gas through a RF plasma cell, atomic nitrogen is generated for supply to the growth surface and Ga, Al, In, Si, and Mg are supplied by effusion cells. The SAG process has contributed to the homogenization of the diameter and composition of GaN nanocolumns. At the beginning of research on SAG of GaN nanocolumns, in 2006, SAG by RF-MBE was insufficiently performed Molecular Beam Epitaxy: Materials and Applications for Electronics and Optoelectronics, First Edition. Edited by Hajime Asahi and Yoshiji Horikoshi. © 2019 John Wiley & Sons Ltd. Published 2019 by John Wiley & Sons Ltd.

92

Molecular Beam Epitaxy

on nitrided Al metal [7] and SiO2 [8] patterned substrates, but pulsed metal-organic vapor-phase epitaxy (MOVPE) resulted in well-controlled SAG on a silicon nitride growth mask [9]. At that time, SAG of GaN, but not nanocrystals, had been well developed using standard MOVPE and hydride vapor-phase epitaxy (HVPE), employing an SiO2 mask [10, 11]. At the same time, metal-organic molecular beam epitaxy (MO-MBE) using triethyl gallium [12] and gas-source MBE using an ammonia source [13] were successfully utilized for SAG of GaN microcrystals, where the gas-phase supply of ingredients could contribute to the SAG. However, in the case of SAG by standard metal-source RF-MBE, the growth of GaN on the mask surface was not completely suppressed [4, 14, 15]. A high-temperature (966 ∘ C) growth of GaN by RF-MBE on nitrided Al nanodot patterns brought about the complete SAG of GaN nanocolumns on Si; thus, no growth of GaN occurred on the Si surface between the nanodots [16]. GaN nanocrystals were grown at the edge of the nitridated Al (i.e. AlN). Here, the difference in crystal nucleation temperature between the area of the nitrided Al nanodot and that on the surface of Si caused the SAG of GaN nanocrystals. However, the deformation of the Al patterns, which occurred before nitridation, induced insufficiently controlled shape of the nanocrystals. The use of AlN nanodots contributes to overcome this problem. We also performed SAG of GaN nanocolumns on Ti mask patterned Si [17], because the metal is stable during the MBE procedure at high temperature. In the course of investigation, various metal masks with high melting temperature, such as Ti, Ta, Mo, W, Ni, Pt, and so on, were experimented with, with the SAG being successfully achieved for the Ti mask. The crystal nucleation of GaN was sufficiently suppressed on the Ti mask surface at growth temperature above 900 ∘ C. At such a high temperature, the suppression of crystal nucleation on the Ti mask surface is attributed to the enhanced desorption of Ga adatoms from the surface. It was, however, difficult to control the nanocolumn size by varying the size of the mask opening on Si substrates because more than one thin GaN nanocolumn was independently self-organized on the Si surface. A high-strain energy is induced for the heteroepitaxy of GaN on Si, which could suppress the lateral growth of self-organized GaN, limiting the nanocrystal size to less than ∼100 nm. Nitride-based nucleation layers of GaN and AlN were utilized to achieve the uniform nucleation of nanocolumns in the mask opening. Uniform arrays of GaN nanocolumns were first prepared on MOVPE-grown GaN templates on sapphire substrates using Ti mask SAG by RF-MBE [5, 6], followed by SAG of nanocolumns on Si using AlN buffer layers with patterned SiNx [18], SiO2 [19], and Ti [20] masks.

6.2

Growth Parameters for Ti Mask SAG

Figure 6.1(a) describes the procedure of Ti mask SAG on GaN templates. First, Ti [5, 6] or TiO2 [21] films with thicknesses of 5–10 nm are prepared on MOVPE-grown (0001) Ga-polar GaN templates, both of which function as the SAG mask of GaN nanocolumns. Then, electron beam lithography followed by dry etching or focused ion beam (FIB) etching is performed to prepare the nanohole mask patterns by etching into the underlying GaN to depths of 10–50 nm. The nanopatterns consisted of circular nanoholes arranged in a triangle lattice or square lattice, with lattice constant of L. On the nanopatterns, GaN was grown typically for 3 hours by RF-MBE, which was initiated by surface nitridation at 400 ∘ C. Subsequently, GaN nanocolumns were prepared at the mask openings when the growth

Mechanism of Selective Area Growth by MBE

93

Figure 6.1 (a) Procedure of Ti mask SAG, (b) schematic of growth mechanism of SAG crystal nucleation, and (c) diameter and height of grown GaN nanocolumns as a function of growth time. (Source: Ref. [6])

temperature Tg was controlled at approximately 900 ∘ C. On top of the GaN nanocolumns, three-period InGaN/GaN multiple quantum wells (MQWs) were grown at approximately 650 ∘ C. GaN nanocolumn arrays have been grown using triangle lattice Ti mask nanohole patterns with lattice constant 60–600 nm. In the first SAG experiment, we grew GaN with 600-nm period, changing the growth temperature (Tg ) from 880 to 925 ∘ C at a nitrogen flow rate (QN2 ) of 3.5 sccm with a plasma input power of 450 W. Figure 6.1(b) exhibits schematically the growth mechanism of Ti mask SAG and the key elements of the SAG scheme are as follows: 1. Surface passivity of the nitrided Ti mask. 2. Diffusion and desorption of Ga adatoms. 3. Dissociation of GaN. The diffusion, desorption, and dissociation of Ga adatoms change strongly depending on Tg and QN2 . Figure 6.2 shows top-view scanning electron microscope (SEM) images of the grown GaN nanocolumns. The critical temperature for SAG was between 880 and 900 ∘ C [5, 6]. At the low temperature of 880 ∘ C, no SAG of nanocolumns was performed; the desorption and diffusion of Ga were sufficiently suppressed, producing crystal

Figure 6.2 Top-view SEM images of GaN nanocolumns with a 600-nm period triangular lattice, grown at 880 to 925 ∘ C with QN2 of 3.5 sccm. (Source: Ref. [5])

94

Molecular Beam Epitaxy

nucleation on the space between the nanoholes. In contrast, in the temperature range from 900 to 925 ∘ C, the nucleation on the space was suppressed, successfully growing GaN nanocolumns at the mask openings. The growth temperatures for the SAG were unusually high for RF-MBE, because standard high-quality GaN thin films are grown typically at 750–710 ∘ C under the growth condition of a slightly Ga-rich V/III ratio [22, 23]. In contrast, high crystalline quality GaN nanocolumns are grown under a nitrogen-rich condition, which enables high-temperature growth. Thus, the nanocolumns are suitable for SAG. The high temperature enhances the surface diffusion and desorption of Ga; on the Si surface, the diffusion length of Ga adatoms was reported to be several hundred nanometers at Tg = 961 ∘ C [16]. The growth rate of nanocolumns decreased linearly from 1.1 to 0.58 μm h−1 with increasing Tg under constant Ga flux, which indicates accelerated desorption of Ga adatoms from the surface. At the same time, the nanocolumn diameter (D) decreased from 416 to 224 nm with increasing Tg . The nanocolumn diameter was defined as the distance between two parallel hexagonal sides. Here, the dissociation of GaN and the desorption of Ga adatoms from GaN nanocolumn side-surfaces increase with increasing Tg , which suppresses the lateral growth of nanocolumns. The surface passivity of the nitrided Ti mask and the desorption of Ga from the same cause the difference in nucleation temperature of GaN between the surfaces of GaN and the Ti mask. Ga-polar GaN nanocrystals were grown at the mask opening carrying the polarity of the underlying GaN template, but nitrogen-polar GaN nanocolumns were self-organized on the Ti mask surface. At Tg = 900 ∘ C under QN2 = 3.5 sccm (i.e. in the above experiment), many self-organized GaN nanocolumns grew outside the nanohole pattern area on a wide Ti mask surface due to the insufficient desorption of Ga [5, 6], although the increased diffusion length suppressed the nucleation on the space between the nanoholes. With increasing Tg , the crystal nucleation on the Ti mask surface was suppressed, but the same was maintained at the mask openings. At the same time, the decreased QN2 from 3.5 to 1 sccm at Tg = 900 ∘ C dramatically suppressed the spontaneous nucleation outside the nanopattern area, as discussed in the next section. X-ray photoelectron spectroscopy (XPS) analysis evidenced that the surface of the Ti mask was oxidized in air after deposition on GaN templates. The Ti mask surface was nitrided prior to the growth in the growth chamber. The crystal was nucleated on the Ti mask surface behind the location of nucleation in the nanohole and the nitridation of the Ti surface proceeded at the high temperature of 900 ∘ C. The surface of the Ti film should be converted to TiN. After nitriding a thin Ti mask of 5 nm thickness for 10 min at 825 and 925 ∘ C, the surface was analyzed by XPS, observing both TiN and TiO2 peaks. However, the nitrided Ti mask functioned as SAG mask under the appropriate growth condition.

6.3

Initial Growth of Nanocolumns

At the initial stage of SAG, GaN grows inside the nanohole in a GaN template crystal. For deep nanoholes, probably at depths greater than 50 nm, the nucleation occurred at the nanohole side face resulting in the formation of GaN nanorings (nanotubes) [24], owing to insufficient coalescence at the nanohole center. When the nanohole diameter is sufficiently small, the crystal quickly fills up the hole and grows out of the hole. Subsequently, the lateral growth of the nanocolumn proceeds outward, preferentially along the a-axis.

Mechanism of Selective Area Growth by MBE

95

Figure 6.3 (a) Bird’s-eye view SEM images of GaN nanocolumn arrays with 600-nm period triangular lattice grown under different nitrogen flow rates from QN2 = 3.5 to 0.5 sccm at Tg of 900 ∘ C and (b) growth rate and lateral growth rate of GaN nanocolumns as a function of QN2 . (Source: Ref. [6])

Finally, stable hexagonal side facets (m-faces) are formed at the nanocolumn side walls [see Figure 6.1(b)]. Afterwards, the lateral growth rate decreased abruptly because of extremely low growth rate along the m-axis and the growth of GaN nanocolumns proceeded along the c-axis. This phenomenon is understood from Figure 6.1(c), which shows D and the height (H) of grown nanocolumns as a function of growth time. Therefore, in the course of the growth, H linearly increased, while D saturated. The crystal nucleation was delayed with decreased nanohole diameter. As schematically described in Figure 6.1(b), the threading dislocations are filtered at the bottom of the nanocolumns. For sufficiently narrow nanocolumns, the top crystal facets at the initial stage of growth tend to protrude from the Ti mask openings [see Figure 6.1(a)]. In fact, the nanocolumn possessed pyramidal tops when they appeared from the Ti mask surface, as shown in Figure 6.3(a). Thus, the threading dislocations propagated from the underlying GaN template to oblique crystal facets during the growth of the crystal, and bent to the side wall to be terminated; this phenomenon has been discussed elsewhere for the epitaxial lateral overgrowth (ELO) of GaN [10, 11]. In contrast, when a flat surface appears at the top of a wide nanocolumn having an oblique facet at the edge, the dislocations are filtered in the area close to the sidewall, but the dislocations near the centers of the nanocolumn propagate without bending [2].

6.4

Nitrogen Flow Rate Dependence of SAG

GaN nanocolumns were grown at Tg of 900 ∘ C under different supplied nitrogen flow rates (QN2 = 3.5, 2.0, 1.0, and 0.5 sccm). The lattice constant of the triangular lattice nanocolumn arrays was 600 nm. The samples with QN2 of 3.5, 2.0, and 1.0 sccm were grown for 3 hours, and the sample with QN2 of 0.5 sccm was grown for 2.5 hours.

96

Molecular Beam Epitaxy

Figure 6.3(a) shows bird’s-eye view SEM images of the grown nanocolumn arrays, exhibiting that the SAG of GaN nanocolumns was successfully achieved throughout the whole QN2 range from 3.5 to 0.5 sccm. With decreasing QN2 , D and H decreased and thus the desorption of Ga on the nitrided Ti mask surface was accelerated, which contributed to the suppression of crystal nucleation on the nitrided Ti mask outside the nanopattern area. In fact, the random and spontaneous nucleation was completely suppressed at QN2 = 0.5 sccm, where substantial desorption of Ga annihilated the nucleation. On the contrary, a large amount of nucleation outside the nanohole pattern occurred at QN2 of 3.5 sccm. The vertical and lateral growth rates of nanocolumns, that is, along the c- and m-axes, respectively, are plotted as a function of QN2 in Figure 6.3(b). The vertical growth rate increased monotonically from 0.15 to 1.05 μm h−1 with increasing QN2 and thus, the SAG occurred under the nitrogen supply limitation scheme. The growth behavior is understood as follows: the desorption of Ga occurs rapidly at the high growth temperature of 900 ∘ C, but the rate of desorption decreases with increasing nitrogen supply, resulting in an increase in the growth rate [6]. At the same time, the increase in Tg from 900 to 925 ∘ C induced a decrease in the growth rate from 1.1 to 0.58 μm h−1 with the increased desorption as described above; thus, the Tg increment of 25 ∘ C roughly corresponded to the decrement in QN2 from 3.5 to 1 sccm [see Figure 6.3(b)]. Therefore, the desorption of Ga is more sensitive to the increase in the growth temperature. The nanocolumn arrays were grown on the nanopatterns with a nanohole diameter of 167 nm at QN2 = 3.5, 2.0, 1.0, and 0.5 sccm and the diameters of the grown nanocolumns were evaluated to be 417, 234, 205, and 186 nm, respectively. From the difference between D and the nanohole diameter, the lateral growth rate was calculated and plotted as a function of QN2 in Figure 6.3(b). An increase in the lateral growth rate was observed above 2 sccm. At a low QN2 of less than 1.5 sccm, the lateral growth rate was sufficiently low to be approximately 8 nm h−1 and thus, the mask patterns were well transcribed to the grown GaN crystal. The lateral growth rate was constantly low from 0.5 to 1.5 sccm and an appropriate growth rate along the c-axis was obtained at QN2 = 1.5 sccm. However, in the experiment, QN2 ∼ 1 sccm was typically adopted for suppressing the self-organization of nanocolumns outside the Ti mask surface. A sufficient supply of nitrogen at 3.5 sccm enhanced the incorporation of Ga and N into the sidewall of nanocolumns, causing a jump in the lateral growth rate to 45 nm h−1 , although the growth rate along the c-axis was sufficiently large to be 1.05 μm h−1 . Nevertheless, the ratio between the vertical (c-axis) and lateral (m-axis) growth rates was still high at 23.

6.5

Diffusion Length of Ga Adatoms

Figure 6.4(a,b) show diffusion lengths (𝜆Ga ) of GaN adatoms on the Ti mask area evaluated from the growth behavior of GaN nanocolumns as a function of QN2 and Tg , respectively. On the area neighboring a single nanocolumn, the spontaneous nucleation of self-organized nanocolumns was well suppressed, as shown in the inset of Figure 6.4(a). In that area, Ga adatoms diffused toward the nanocolumn on the nitrided Ti film to be absorbed into the same. Therefore, the diffusion length of Ga can be roughly estimated from the width of the no-nucleation area surrounding a single nanocolumn. By observing the neighborhood of nanocolumns for long-period nanocolumn arrays, 𝜆Ga was estimated.

Mechanism of Selective Area Growth by MBE

97

Figure 6.4 Ga diffusion length as a function of (a) nitrogen flow rate (QN2 ) and (b) growth temperature. The inset shows the neighboring no-nucleation area of a single nanocolumn, the width d of which corresponds to the diffusion length. (Source: Ref. [6])

With decreasing nitrogen supply (i.e. QN2 ), the surface diffusion of Ga adatoms was enhanced [see Figure 6.4(a)]; the average diffusion length increased from 92 to 187 nm upon reducing QN2 from 3.5 to 1.0 sccm. At QN2 = 0.5 sccm, no spontaneous GaN nanocolumns appeared around single nanocolumns, and thus the diffusion length could not be estimated. The increase of Tg was more effective at enhancing Ga diffusion. 𝜆Ga increased from 92 to 495 nm with increasing Tg from 900 to 925 ∘ C [see Figure 6.4(b)] [6]. The accelerated diffusion of Ga adatoms as well as the enhanced desorption under a lower nitrogen supply contributes to the suppressed crystal nucleation on the Ti mask, attaining the SAG of GaN nanocolumns. At a low nitrogen supply rate (i.e. QN2 = 1 sccm), the spontaneous nucleation on the space between nanoholes was considerably suppressed. Uniform arrays of GaN nanocolumns were yielded for the whole range of the array period from 400 nm to 4 μm, even though the GaN nanocolumns were grown at the critical SAG temperature of 900 ∘ C. Figure 6.5 shows GaN nanocolumn arrays with L = 600 nm and 2 μm, grown

Figure 6.5 GaN nanocolumn arrays with (a) L = 600 nm and (b) 2 μm, grown by Ti mask SAG at Tg = 900 ∘ C and QN2 = 1 sccm. On the areas within the 200-nm distance adjacent to nanocolumns, the spontaneous self-assembly of nanocolumns on the Ti mask was suppressed [see (b)]. (Source: Ref. [6])

98

Molecular Beam Epitaxy

at QN2 = 1 sccm and Tg = 900 ∘ C, both of which possessed nanocolumn diameter of approximately 190 nm. For the L = 2 μm sample, spontaneously nucleated GaN nanocolumns of very narrow diameter, typically 30 nm, were still observed scattering randomly throughout the space between the periodic nanocolumns. Figure 6.5(b) shows that the spontaneous nucleation of self-organized nanocolumns was suppressed on the neighborhood of nanocolumns at a distance of ∼200 nm, which corresponds to 𝜆Ga at QN2 = 1 sccm and Tg = 900 ∘ C [see Figure 6.4(a)]. For such a long period of the nanocolumn array, complete SAG of nanocolumns can be achieved, when the spacing between nanocolumns is smaller than the twofold diffusion length of Ga. For L = 600 nm, no spontaneous nucleation was observed on the space between nanocolumns, where the nanocolumn spacing was approximately 410 nm, close to twice 𝜆Ga . Note that the fine self-organized nanocolumns appearing in Figure 6.5(b) could be removed by increasing Tg slightly, because the diffusion length and desorption are more susceptible to the variation of Tg .

6.6

Fine Control of Nanocolumn Arrays by SAG

As discussed above, Tg and QN2 control the SAG behavior of GaN through changing the desorption and diffusion length of Ga and an appropriate selection of L produces well-controlled uniform nanocolumn arrays. Here, the controllability of the nanocolumn diameter was investigated by preparing various triangle lattice Ti mask nanopatterns with nanohole diameters from 50–80 to 230 nm at L = 400 nm [25]. Uniform triangle lattice arrays of GaN nanocolumns were grown on them for 3 hours at approximately 900 ∘ C with QN2 = 1.0 sccm, as shown in Figure 6.6(a). On top of the nanocolumns,

Figure 6.6 (a) Bird’s-eye view SEM images of GaN nanocolumn arrays with D = 252, 192, 146, and 100 nm; the corresponding diameters of the underlying nanoholes were 50–80, 140, 170, and 230 nm [5]; (b) GaN nanocolumn arrays with small lattice constants of L < 200 nm and nanocolumn diameters of D < 100 nm, grown by the two-step SAG technique. (Source: Ref. [25])

Mechanism of Selective Area Growth by MBE

99

three-period InGaN/GaN MQWs were grown and the total height was typically 1.5 μm. The average nanocolumn diameter was precisely controlled from 100 to 250 nm, where the diameter increased by approximately 20 nm from that of the underlying nanohole; thus, the lateral growth of nanocolumns was well suppressed. For the sample prepared at the same time, the average diameter and standard deviation for the distribution of regularly arranged nanocolumns were evaluated to be 188 and 4.0 nm, respectively; the diameter was controlled to within a fluctuation of ±2%. The photoluminescence (PL) spectrum exhibited double emission peaks at wavelengths of 363 and 506 nm; the former was the emission peak from the GaN nanocolumns and the latter was that from the InGaN/GaN MQWs. The full-width at half-maximum (FWHM) of the spectrum at 506 nm was 135 meV (27 nm) [5]. Figure 6.6(b) shows GaN nanocolumn arrays with small nanocolumn diameters of D < 100 nm. A novel two-step SAG was employed to grow uniform arrays of thin GaN nanocolumns with D < 50 nm. With the standard Ti mask SAG employed under the normal growth condition described above, the crystal nucleation of nanocolumns randomly occurred at the nanoholes for small nanoholes with diameters less than 40 nm, resulting in the missing growth of nanocolumns at many parts of the nanoholes [see the upper SEM image in Figure 6.7(a)]. To overcome this problem, the initial nucleation behavior of the nanocolumns was investigated through two growth modes: method A, which is the normal MBE mode with a simultaneous atomic supply of Ga and N; and method B, which is a migration-enhanced epitaxy (MEE) mode with an alternating atomic supply. The missing nanocolumn (NC) ratio as a function of nanohole diameter was evaluated for methods A and B, as shown in Figure 6.7(a). For method A (normal growth mode; MBE mode), the missing NC ratio rapidly increased with decreasing nanohole diameter. Here, QN2 was 0.9 sccm, the substrate heater temperature was 1210 ∘ C (corresponding to Tg ∼ 900 ∘ C), and the growth time was 15 min. To enhance the crystal nucleation in the nanoholes, QN2 was increased from 0.9 to 2 sccm with the substrate heater temperature lowered to 1170 ∘ C (lowered by 40 ∘ C). Preceding the growth, however, a large number of coalescences between nanocolumns occurred. Hence, the MEE mode was introduced in

Figure 6.7 (a) Missing nanocolumn ratio vs. nanohole diameter and top-view SEM images of GaN nanocolumns for SAG on nanohole patterns with L = 70 nm (Source: Ref. [25]); (b) histogram of nanocolumn diameter for nanocolumn array with L = 60 nm.

100

Molecular Beam Epitaxy

the initial stage of the growth (method B), where the Ga and N shutters were opened and closed alternately every 1.5 s. The alternating supply of species is expected to promote the migration of Ga adatoms, which is effective for suppressing the spontaneous nucleation on the Ti mask surface. The growth time was 30 min. The crystal nucleation was substantially improved, producing a uniform nucleation with low missing NC ratio down to 15 nm of the nanohole diameter [see Figure 6.7(a)]. Note that nanocolumns were uniformly formed once the nucleation occurred. The growth rates of GaN nanocolumns for the normal MBE and MEE modes were evaluated to be 7.0 and 4.1 nm min−1 , respectively. Thus, the MEE mode results in a time-consuming growth, which is not suitable for device fabrication, although uniform nucleation occurs for the nanoholes with diameters less than 50 nm. In the experiment of Figure 6.6(b), once crystal nucleation occurs, the growth mode was immediately switched from method A to B, that is, the two-step SAG was employed. A high-density uniform array of very thin nanocolumns in a triangular lattice with a diameter of 26 nm and a lattice constant of 60 nm was demonstrated; the nanocolumn density was 3.2 × 1010 cm−2 . The histogram of D is shown in Figure 6.7(c). The standard deviation of D was 2.4 nm, which is within the resolution of the SEM image. It was, therefore, evinced that a high-density uniform array of very thin NCs was fabricated by controlling crystal nucleation in the small nanoholes.

6.7

Controlled Columnar Crystals from Micrometer to Nanometer Size

The ability of the SAG technique to grow GaN nanocolumns was evaluated by growing GaN on Ti mask hole patterns with various hole diameters ranging from micrometers to nanometers, that is, from 4.0 μm to 150 nm [see Figure 6.8(a)] [24]. GaN was grown for 3 hours at Tg = 900 ∘ C and QN2 = 1.0 sccm, followed by the growth of InGaN (3 nm)/GaN (12 nm) MQW. GaN columnar crystals were perfectly controlled by the underlying mask openings, as shown in Figure 6.8. Figure 6.8(c–e) shows bird’s-eye views of the grown crystals; the height was approximately 1 μm. For the 2- and 4-μm diameter circular hole patterns, the crystals were grown well, tracing the underlying circular mask openings [see Figure 6.8(d)]; the lateral growth was approximately 50 nm for the micrometer-size columns. For the GaN crystals with diameters less than 1.5 μm, the top-view SEM image exhibited hexagonal shape, as shown in Figure 6.8(b,e). For crystals with D = 1.5 and 1.1 μm, the hexagonal facet appeared at the top, although they had circular shapes at the bottom. The growth rate is high along the a-axis and low along the m-axis, and the difference in growth rate causes the hexagonal cross-sectional shape of the crystals. In the case of the crystal with a small diameter, the hexagonal shape can easily be formed during the growth through a small modification of the shape from the underlying circular mask opening. For crystals with diameter less than several hundred nanometers, the hexagonal shape appeared from the bottom of the column. However, for micrometer-size GaN columns, the hexagonal cross-section of the crystal can be obtained using a hexagonal-shaped mask opening. Nanocrystals with a nanoparasol-like configuration were frequently observed, as denoted by 1, 2, and 3 in Figure 6.8(e). The growth of InGaN on N-polarity GaN nanocolumns yields peculiar nanoplates at the top of the nanocolumns [26]. In the experiment, N-polarity GaN nanocolumns were unintentionally self-organized on the Ti mask surface, at the top of

Mechanism of Selective Area Growth by MBE

101

D = 4.1 μm (a) D = 2.1 μm

2 μm D = 600 nm 1 μm

(d) (b)

2 μm D = 1.5 μm

D = 1.1 μm 3

1 (c)

2 μm

(e)

2 1 μm

Figure 6.8 InGaN/GaN crystals on a Ti mask patterned substrate grown by SAG with QN2 = 1 sccm and Tg = 900 ∘ C. (a) Top-view SEM image of Ti nanohole pattern; (b, c) top and bird’s-eye view SEM images of grown crystals, respectively, where the diameter of the narrowest one was D ∼ 160 nm; (d, e) bird’s-eye view images of micropillars of D = 4.1 μm and D = 1.1, 1.5 μm, respectively. (Source: Ref. [24])

which the InGaN hexagonal nanoplates were supported extending outward. The diameter of the InGaN nanoplate was 370–480 nm, and D of the underlying GaN nanocolumns was 80–130 nm. The growth mechanism of the nanoplate has been discussed in ref. [26].

6.8

Nanotemplate SAG of AlGaN Nanocolumns

SAG of AlGaN nanocolumn arrays is challenging, because Al adatoms do not diffuse for a long distance on the Ti mask surface in the presence of nitrogen, owing to the high bond energy between Al and N. Al atoms on the surface stick both on the crystal and on the Ti mask, facilitating spontaneous nucleation of crystals on the mask, as described in Figure 6.9(a). Thus, the SAG of AlGaN at the mask openings is deterred with increasing Al composition. To overcome this problem, we have demonstrated a novel SAG method by RF-MBE, in which nanotemplates consisting of top-down nanopillars were employed to promote the SAG, as shown in Figure 6.9(b) [27]. When AlGaN is grown on the nanotemplate, Al and Ga beams impinge on the concavo-convex surface of the nanopillar structure under the irradiation of atomic nitrogen. However, when the spacing between the nanopillars is small, the sidewalls and gap regions of the structure are shadowed from the beam radiation by the neighboring nanopillars and Al and Ga atoms do not enter therein. Therefore, the growth of AlGaN is suppressed on the space, enabling AlGaN nanocolumns to be grown selectively on top of the underlying top-down nanopillars. In the experiment, the triangle lattice nanopillar templates with a lattice constant (L) from 200 to 400 nm were prepared on MOVPE-grown GaN templates. First, GaN was grown on the nanopillar templates

102

Molecular Beam Epitaxy

Figure 6.9 SAG growth processes: (a) Ti mask SAG method; (b) nanotemplate SAG method proposed in this study. Bird’s-eye view SEM images in (a) and (b) correspond to the nanohole pattern and nanotemplate, respectively. (Source: Ref. [27])

for 2 hours at approximately 880 ∘ C and QN2 = 0.7 sccm with a plasma input power of 450 W. The gap width between adjacent nanopillars with L = 400 nm was varied from 30 to 130 nm. Well-controlled SAG of GaN nanocolumns was achieved on nanopillar arrays with gap widths of less than approximately 45 nm [27]. Here, to obtain well-controlled SAG, the substrate temperature and nitrogen flow rate were optimized; note that a lower temperature enhanced the lateral growth, resulting in coalescence between neighboring nanocolumns. The growth window for SAG in terms of Tg and QN2 was similar to that for conventional Ti mask SAG [6]. The beam shadowing effect is a key mechanism in SAG, which functions effectively in high-density nanopillar arrays with small gaps. For nanopillar templates with lattice constants from 200 to 400 nm, the gap width required for SAG was experimentally determined to be less than 45 nm. Figure 6.10(a) shows the calculated incident atomic beam profile along the nanopillar axis of Ga impinging on the nanopillar sidewalls. The beam shadowing by first- and second-neighbor nanopillars significantly decreases the incident atomic flux at the position z = 200 nm below the nanopillar top. Especially for gap widths less than 40 nm, the incident atomic beam profile is well suppressed over a wide area on the sidewalls. In fact, the controlled SAG of GaN nanocolumns occurred for nanopillars with a height of ∼200 nm. The inset of Figure 6.10(a) shows the incident atomic flux at z = 50 nm, which increases rapidly when the gap width is larger than 45 nm. The rapid increase in the incident atomic flux of Ga on the sidewalls enhances the diffusion of Ga to the bottom of the nanocolumns, hindering the SAG ability on top of the nanopillars. It is noteworthy that the 0.2-μm depth concavo-convex structure only functions as the SAG nanotemplate. Using the nanotemplate SAG by RF-MBE, four Si-doped AlGaN nanocolumn arrays were prepared for Al compositions from 13% to 100%. The growth was performed for 30 min at Tg ∼ 900 ∘ C at the beam equivalent pressure of Ga (PGa ) of 3 × 10−4 Pa, where PAl was controlled to 1 × 10−5 , 2.5 × 10−5 , 5 × 10−5 , and 1.5 × 10−4 Pa under QN2 = 1 sccm with a plasma input power of 450 W. A higher-temperature growth is expected to yield

Mechanism of Selective Area Growth by MBE XAI = 43 %

XAI = 100 %

Beam profile

0 50 100 150 200 250 300

z

1st 2nd neighbor Gap = 20 nm Gap = 40 nm Gap = 620 nm Gap = 80 nm Gap = 100 nm

Incident atoms (a.u.)

Distance from pillar top z (nm)

0

103

0.25 at z = 50 nm 0.20 0.15

500 nm AIN

45 nm

0.10

AIGaN

0.05 0.00

10 100 Gap width (nm)

0.0 0.2 0.4 0.6 0.8 Incident atomic flux on sidewalI (a.u.) (a)

a-plane

m-plane

Nanotemplate (b)

Figure 6.10 (a) Calculated incident atomic beam profile along the nanopillar axis impinging on the nanopillar sidewalls for a triangular lattice nanopillar array with L = 400 nm. The inset shows the incident atomic flux at a position 50 nm below the nanopillar top. (b) SEM top view (upper images) and SIM bird’s-eye view (lower images) images of the AlGaN nanocolumns. (Source: Ref. [27])

AlGaN with a higher crystal quality; the growth temperature was higher than that of a standard film growth of AlGaN. Figure 6.10(b) shows SEM top-view and scanning ion microscope (SIM) bird’s-eye-view images for the grown crystals with Al composition of 43% and 100%. AlN was grown under the simultaneous supply of Al and Ga at PAl = 1.5 × 10−4 Pa. FIB milling reveals the vertical cross-sectional faces of the nanocolumns and the SIM images were observed by the same FIB machine. The top-view image exhibited hexagonal-shaped nanocolumns and the SIM images clearly distinguished the boundaries between the AlGaN and the underlying GaN template, evidencing that the AlGaN nanocolumns were grown on top of the nanopillars. PL spectra of AlGaN nanocolumns were measured at room temperature (RT) under excitation by an ArF excimer laser with a wavelength of 193 nm (pulse width: 4 ns, repetition rate: 25 Hz). All samples exhibited single-peak PL spectra of AlGaN; the peak wavelength was 212 nm for the AlN nanocolumns. The cathodoluminescence (CL) emission for AlN was a single peak at the wavelength of 209 nm. At the same time, the emission wavelengths of the freestanding bulk and homoepitaxial AlN crystals were reported to be 208 [28] and 207.8 nm [29], respectively, which are close to the CL peak wavelength of AlN nanocolumns. Here, the nanotemplate was prepared by top-down etching, but the SAG-grown nanocolumn arrays can be utilized for the nanotemplates, although the top-down technology provides a maskless SAG, increasing the reproducibility of nanostructure fabrication. This section provides prerequisites for nanotemplate preparation.

6.9

Conclusions and Outlook

The growth mechanisms of Ti mask SAG and nanotemplate SAG are systematically discussed, clarifying the growth conditions of SAG. By using Ti mask SAG, regularly

104

Molecular Beam Epitaxy

arranged InGaN/GaN nanocolumn arrays were prepared, fabricating green and yellow nanocolumn LEDs [21, 30]. The periodic arrangement of nanocolumns yields a photonic crystal. When L and D of the nanocolumns are properly designed, a light wave traveling along the lateral direction is diffracted at the photonic band edge. The diffraction at the Γ point introduced high functionality of nanocolumn LEDs with directional beam radiation [30]. At the same time, by employing triangular lattice nanocolumn arrays, a novel technology to control the emission color from blue to red was developed [31]; here, the In composition of InGaN is changed by varying the nanocolumn parameters D, L, and H. The technology of emission color control has recently been applied for the demonstration of monolithic integration of InGaN-based LEDs with different emission colors [32]. The new generation of LEDs, in which RGBY micro nanocolumn LEDs are integrated, will find application in full-color displays, such as a micro-LED display and small-size LED projector. Hence, the development of solid-state lighting with high color rendering, exhibiting a multifunctionality of LED display and lighting, is expected. In addition, the nanocolumn photonic crystals contribute to surface-emitting lasing; optically pumped blue and green lasers on InGaN-based nanocolumn arrays were demonstrated [33, 34]. A small change in one or both of the nanocolumn parameters (L and D) shifts the photonic band edge wavelength, resulting in a shift of the lasing wavelength [34]. The Ti mask SAG produced structurally graded InGaN-based nanocolumn photonic crystals, in which nanocolumns were arranged in a triangular lattice and the nanocolumn diameter changed one-dimensionally from 93 to 213 nm with a fixed lattice constant of 250 nm. The controlled spatial distribution of the diameter resulted in a broad multi-wavelength lasing spectrum with FWHM of 27 nm at 505 nm wavelength, which is expected to be suitable for speckle contrast reduction in laser projection display applications [35].

References 1. Yoshizawa, M., Kikuchi, A., Mori, M. et al. (1997). Growth of self-organized GaN nanostructures on Al2 O3 (0001) by RF-radical source molecular beam epitaxy. Japanese Journal of Applied Physics 36 (4B): L459. 2. Kishino, K. and Ishizawa, S. (2015). Selective-area growth of GaN nanocolumns on Si (111) substrates for application to nanocolumn emitters with systematic analysis of dislocation filtering effect of nanocolumns. Nanotechnology 26 (22): 225602. 3. Oto, T., Mizuno, Y., Yanagihara, A. et al. (2016). Influence of GaN column diameter on structural properties for InGaN nanocolumns grown on top of GaN nanocolumns. AIP Advances 6 (11): 115214. 4. Kishino, K., Kikuchi, A., Sekiguchi, H. et al. (2007). InGaN/GaN nanocolumn LEDs emitting from blue to red. Proceedings of SPIE 6473: 64730T. 5. Sekiguchi, H., Kishino, K., and Kikuchi, A. (2008). Ti-mask selective-area growth of GaN by RF-plasma-assisted molecular-beam epitaxy for fabricating regularly arranged InGaN/GaN nanocolumns. Applied Physics Express 1 (12): 124002. 6. Kishino, K., Sekiguchi, H., and Kikuchi, A. (2009). Improved Ti-mask selective-area growth (SAG) by rf-plasma-assisted molecular beam epitaxy demonstrating extremely uniform GaN nanocolumn arrays. Journal of Crystal Growth 311 (7): 2063–2068. 7. Ishizawa, S., Sekiguchi, H., Kikuchi, A. et al. (2006). Proceedings of International WS Nitride Semiconductors (IWN2006) Tu2-1: 91. 8. Risti´c, J., Cerutti, L., Calleja, E. et al. (2006). Proceedings of International WS Nitride Semiconductors (IWN2006) Tu-LN9: 109.

Mechanism of Selective Area Growth by MBE

105

9. Hersee, S.D., Sun, X., and Wang, X. (2006). The controlled growth of GaN nanowires. Nano Letters 6 (8): 1808–1811. 10. Usui, A., Sunakawa, H., Sakai, A. et al. (1997). Thick GaN epitaxial growth with low dislocation density by hydride vapor phase epitaxy. Japanese Journal of Applied Physics 36 (7B): L899. 11. Nakamura, S., Senoh, M., Nagahama, S.I. et al. (1997). InGaN/GaN/AlGaN-based laser diodes with modulation-doped strained-layer superlattices. Japanese Journal of Applied Physics 36 (12A): L1568. 12. Guha, S., Bojarczuk, N.A., Johnson, M.A.L. et al. (1999). Selective area metalorganic molecular-beam epitaxy of GaN and the growth of luminescent microcolumns on Si/SiO2 . Applied Physics Letters 75 (4): 463–465. 13. Tang, H., Haffouz, S., and Bardwell, J.A. (2006). Si (111) substrates as highly effective pseudomasks for selective growth of GaN material and devices by ammonia-molecular-beam epitaxy. Applied Physics Letters 88 (17): 172110. 14. Ishizawa, S., Sekiguchi, H., Kikuchi, A. et al. (2007). Selective growth of GaN nanocolumns by Al thin layer on substrate. Physica Status Solidi B 244 (6): 1815–1819. 15. Calleja, E., Risti´c, J., Fernández-Garrido, S. et al. (2007). Growth, morphology, and structural properties of group-III-nitride nanocolumns and nanodisks. Physica Status Solidi B 244 (8): 2816–2837. 16. Ishizawa, S., Kishino, K., and Kikuchi, A. (2008). Selective-area growth of GaN nanocolumns on Si (111) substrates using nitrided Al nanopatterns by RF-plasma-assisted molecular-beam epitaxy. Applied Physics Express 1 (1): 015006. 17. Kishino, K., Hoshino, T., Ishizawa, S. et al. (2008). Selective-area growth of GaN nanocolumns on titanium-mask-patterned silicon (111) substrates by RF-plasma-assisted molecular-beam epitaxy. Electronics Letters 44 (13): 819–821. 18. Bertness, K.A., Sanders, A.W., Rourke, D.M. et al. (2010). Controlled nucleation of GaN nanowires grown with molecular beam epitaxy. Advanced Functional Materials 20 (17): 2911–2915. 19. Schumann, T., Gotschke, T., Limbach, F. et al. (2011). Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer. Nanotechnology 22 (9): 095603. 20. Hayashi, H., Fukushima, D., Tomimatsu, D. et al. (2015). Flip-chip bonding and fabrication of well-ordered nanocolumn arrays on sputter-deposited AlN/Si (111) substrate. Physica Status Solidi A 212 (5): 992–996. 21. Kishino, K. and Yamano, K. (2014). Green-light nanocolumn light emitting diodes with triangular-lattice uniform arrays of InGaN-based nanocolumns. IEEE Journal of Quantum Electronics 50 (7): 538–547. 22. Kishino, K. and Kikuchi, A. (2002). Improved molecular beam epitaxy for fabricating AlGaN/GaN heterojunction devices. Physica Status Solidi A 190 (1): 23–31. 23. Koblmüller, G., Brown, J., Averbeck, R. et al. (2005). Ga adlayer governed surface defect evolution of (0001) GaN films grown by plasma-assisted molecular beam epitaxy. Japanese Journal of Applied Physics 44 (7L): L906. 24. Kishino, K., Sekiguchi, H., and Kikuchi, A. (2008). Proceedings of 15th International Conference on Molecular Beam Epitaxy, p. 16. 25. Kano, T., Yoshida, J., Miyagawa, R. et al. (2015). GaN nanocolumn arrays with diameter 1) produces excellent smooth surfaces. The observed morphology transition between 2D and 3D growth can be explained by excess versus deficiency of adatoms, either enhancing or limiting surface migration processes. Very high Al fluxes lead to Al droplet formation, which is referred to as the Al-droplet regime (Al/N ≫ 1). The boundary line for droplet formation follows an Arrhenius dependence with an activation energy of ∼3.4 eV, similar to the activation energy of Al evaporation over liquid Al. Contrary to GaN growth, the smoothest AlN morphologies with no pits are achieved in the intermediate regime, while a finite density of pits occurs for growth in the Al-droplet regime. The removal of detrimental Al droplets by post-growth nitridation or annealing in vacuum is limited by increasing surface roughness and impractical annealing duration. To perform optimum growth of AlN in the intermediate regime, Al flux is limited to almost stoichiometric conditions, since the usual growth temperatures of 775–820 ∘ C and Al flux of merely a few percent in excess of the N flux already generates Al droplets. The limitation to such a narrow process window is particularly important for the growth of heterostructures involving GaN, as above 800 ∘ C significant decomposition of GaN takes place. A shutter-modulated technique, MME, can be used to move the growth conditions in the intermediate regime close to the droplet regime barrier and enhance lateral growth, leading to improved surface morphology and a wider growth window of conditions, resulting in

pits, trenches ts ple

o dr N+ Al AlN

6,0

Al-rich Al-droplets

excess Al Al limited desorps 5,5 growth 0,0 0,0 5,5 6,0 6,5 Al flux (nm/min)

7,0

8 7

Al-rich intermediate

smooth

6 N-rich 0,0 740

760

780

800 820 840 860 Growth temperature (°C)

3D 880

900

Figure 7.4 Growth diagram for AlN according to Koblmüller et al. [21] giving aluminum fluxes and growth temperatures for the nitrogen-rich, intermediate, and Al-droplet regimes at a constant nitrogen flux of 6 nm min−1 .

114

Molecular Beam Epitaxy

intermediate morphologies for more reproducible results with reduced root-mean-square roughness and increased grain size [23]. 7.2.1.3

AlGaN

Ternary Alx Ga1−x N films are typically grown at a temperature comparable to GaN growth to avoid thermal decomposition of GaN. The surface mobility of Al adatoms in this case is increased by a Ga wetting layer similar to GaN growth in the Ga-droplet regime. The composition of those layers is solely determined by the Al/N ratio, since Al is preferably incorporated in the growing film due to the larger binding energy of AlN (11.52 eV) in comparison to GaN (8.92 eV) [22]. Therefore, an almost linear dependence of the Al content on the Al flux is found. In the case of stoichiometric or Al-rich Al/N ratio (Al/N > 1), a binary AlN film with only minimum Ga content grows despite the presence of Ga atoms in the wetting layer. Thick ternary Alx Ga1−x N films grown in the Ga-droplet regime can also be achieved by an interval growth technique utilizing short periods of Alx Ga1−x N growth at a Ga and Al flux above the crossover to the Ga-droplet regime alternated with growth stops to desorb Ga at the growth temperature. In this case a nitridation of excess Ga during growth stop must be avoided to prevent unintentional formation of an AlGaN/GaN superlattice. 7.2.2

Ammonia MBE

For ammonia MBE growth, active nitrogen species are provided by thermal cracking of ammonia molecules on the growing surface. In contrast to PAMBE, where the flux ratio of group III to nitrogen is close to unity, the ammonia flux is typically two to three orders of magnitude larger than the group-III flux. The presence of adsorbed NH3 radicals on the growth surface allows growth at elevated temperatures under nitrogen-rich conditions with growth rates weakly dependent on the substrate temperature fluctuations [24]. Therefore, ammonia MBE has the potential to achieve high uniformity and reproducibility of growth. Specific design of group-III element cells and recovery procedures is necessary for growth with ammonia [25]. A summary of typical parameters of PAMBE vs. ammonia MBE is presented in Table 7.1.

Table 7.1 Commonly used properties of PAMBE and ammonia MBE Nitrogen species GaN growth temperature GaN growth rate N/III flux ratio

AlGaInN growth temperature

PAMBE

Ammonia MBE

Plasma-activated nitrogen ∼700 ∘ C 0.1–1 μm h−1 ∼1 Small growth parameter window Interval growth –

Thermally cracked ammonia

400–550 ∘ C

800–900 ∘ C 1–2 μm h−1 100–1000 Stable growth conditions

Ammonia recovery procedure necessary Not suitable

MBE of III-Nitride Semiconductors for Electronic Devices

115

Ammonia MBE reactors are typically equipped with a liquid-nitrogen cryopanel as well as turbomolecular pumping to produce the high-vacuum conditions necessary for the growth of high-purity materials [25]. Ammonia is introduced via an injector maintained well below the cracking temperature of ammonia. Specific designs for the group-III element cells were developed. In particular, dual-filament cells are used for Ga, whose high temperature at the top of the cell prevents the formation of GaN. A cold-lip single-filament cell is used to avoid creeping and overflow from the Al cell. A recovery procedure is necessary to evacuate the ammonia trapped on the cryopanels. For this purpose, liquid-nitrogen cooling is stopped while the temperature of the cells is decreased to less than 100 ∘ C in order to prevent reactions with ammonia and the turbomolecular pump is decelerated to resist ammonia overpressure. The evaporation of liquid nitrogen might be accelerated by external baking. When the temperature of the cryopanel reaches the triple point of ammonia (−78 ∘ C), the pressure in the chamber increases abruptly to several Torr. Afterwards, the baking is stopped; the turbomolecular pump and the cells are set to their standard set points, while liquid-nitrogen cooling is established for the following growth [25].

7.2.2.1

GaN Homoepitaxy

In order to understand the growth mechanisms of the ammonia MBE technique, it is crucial to understand the cracking behavior of ammonia molecules. The cracking efficiency can be studied, for example, by the hydrogen desorption method [26] or by monitoring the optical reflectivity of predeposited Ga layers during exposure to ammonia flux [27]. Above ∼450 ∘ C the cracking efficiency becomes significant and reaches around 4% above 700 ∘ C. Karpov et al. [28] developed a detailed surface kinetic model, which assumes an adsorption layer consisting of Ga adatoms and adsorbed NHx radicals. It takes into account specific effects of the blocking of NH3 adsorption sites by the coverage of excess Ga adatoms under Ga-rich growth conditions [29]. On stationary non-growing GaN surfaces a saturation of ammonia cracking with increasing ammonia flux is observed, which can be explained by saturated adsorption sites that block further adsorption of ammonia molecules. Since the desorption rate of NHx radicals is thermally activated, the cracking efficiency on a non-growing stationary GaN surface shows strong temperature dependence. Under incident Ga flux an increase in cracking efficiency can be observed. The reaction between Ga adatoms and NHx radicals, which results in GaN lattice incorporation, speeds up the replenishing rate of adsorption sites, since sites blocked by adsorbed NHx become available for adsorption of new ammonia radicals. Practical growth of GaN-based devices by ammonia MBE has been performed by various groups using a range of ammonia fluxes and temperatures. The different growth modes are illustrated in Figure 7.5. Most practical growth regimes are nitrogen-rich growth, where the growth rate is limited by the supplied Ga flux. At moderate temperatures between 550 and 800 ∘ C [30], the growth rate is constant when ammonia is above V/III = 1, where V represents cracked ammonia flux, and drops abruptly when the ammonia flow is decreased below V/III = 1. Reported values for the transition are, for example: 770 ∘ C, BEPGa = 1.3 × 10−6 Torr, BEPNH3 = 4.5 × 10−6 Torr, growth rate

116

Molecular Beam Epitaxy

NH3 beam-equivalent pressure (10−4 Torr)

Ga Beam-Equivalent Pressure = 3.4 × 10−7 Torr Step 2

3.5 Unstable Layer-by-Layer

Quasi-stable Step Flow

v

Dislocationmediated Pitting

2.5 i

ii

iii

iv Step 1

1.5 N-rich Ga-rich 0.5

Ga droplets

Decomposition

vii 700

vi 750 800 Growth temperature (°C)

850

Figure 7.5 Growth diagram for ammonia MBE showing the conditions used for step 1 and step 2 of the buffer growth according to Corrion et al. [30].

1 mm h−1 [31]. For higher substrate temperatures this transition becomes less abrupt, because Ga sticking is no longer unity. Growth temperatures below 550 ∘ C have rarely been applied to any practical growth. Growth of highly resistive non-intentionally doped (n.i.d.) GaN was established at the higher end of the moderate temperature range (740–800 ∘ C) and high ammonia flux (BEP ∼ 1 × 10−4 Torr) [32–34]. In this regime, growth is via the 2D mode, yielding excellent surface and interface smoothness. The layers are suitable for resistive buffer layers for HEMT devices [32–34]. In this growth regime, the substrate temperature provides sufficient surface mobility of Ga adatoms to diffuse to step edges, where they are incorporated into the lattice, thus enabling 2D growth of films. On the other hand, the growth temperature is still low enough that steps and nucleation islands are still stable. Such nitrogen-rich growth kinetics were found to suppress oxygen impurity incorporation and discourage nitrogen vacancies [31]. Semi-insulating n.i.d. GaN on SiC exhibits room-temperature resistivity of 1011 Ω cm. A transition from 2D to 3D growth occurs at higher growth temperatures, significantly above 800 ∘ C, where ammonia cracking and NHx radical desorption rates are significantly enhanced, indicating reduced blocking of adsorption sites. This increases the likelihood of 3D nucleation and growth. The step edges are no longer thermally stable and nitrogen atoms can easily decompose and dissociate. As a consequence, Ga atoms can move up the edge and react with nitrogen radicals to form a new layer on top [31]. The growth temperature is above that for thermal decomposition of GaN, resulting in pitting at dislocations, where decomposition occurs favorably [30]. N.i.d. GaN layers grown under these conditions are found to be conducting, with charge carrier concentrations usually in the range of 1016 –1017 cm−3 .

MBE of III-Nitride Semiconductors for Electronic Devices

7.2.2.2

117

AlN and AlGaN

AlN homoepitaxy has not been studied as intensively as GaN homoepitaxy. AlN is generally grown at high temperature with high NH3 overpressure to yield smooth surface morphology. High NH3 overpressure appears to suppress decomposition, while the high growth temperature enhances adatom mobility [35]. Reported values for AlN growth temperatures range from 800–850 ∘ C [30] to 920 ∘ C [25]. AlGaN layers in GaN/AlGaN heterostructures are generally grown under similar conditions as GaN layers. 7.2.3 7.2.3.1

Doping n-Type Doping

Both Si- and Ge-doping are effective for getting high electron concentrations of n-type III-nitrides, while Si-doping is more widely used due to its higher solubility in III-nitrides [36]. Standard silicon dopant sources can be used for PAMPE as well as for ammonia MBE. Standard operating parameters as for III–V MBE can be used, as the sticking coefficient for Si practically equals one for all generally used III-nitride growth parameters. Si atoms substitute Ga sites in GaN and form shallow donors, and the achieved charge carrier concentration is close to the concentration of Si atoms. Activation energy for Si determined by electrical methods is in the range of 12–17 meV [37]. The electron concentration of Si-doped GaN grown by MBE was reported to be above 1020 cm−3 [38]. High electron concentration of Ge-doped GaN was reported by Hageman et al. [39] with n = 4 × 1020 cm−3 . Besides Si and Ge, Se is also used for n-type doping [40]. 7.2.3.2

p-Type Doping

p-Doping can be achieved with PAMBE using magnesium without any annealing processes due to the absence of hydrogen in the growth reactor. Conventional effusion cells as well as valved sources can be used. The number of incorporated Mg atoms changes exponentially with cell temperature or linearly with incident Mg flux. For typical PAMBE growth temperatures, no saturation in Mg incorporation up to the 1020 cm−3 range was observed. Approximately 1–2% of all Mg atoms are ionized at room temperature, consistent with the high Mg ionization energy. Secondary ion mass spectroscopy reveals uniform Mg doping profiles with very sharp boundaries [41]. The MME technique is a powerful method to achieve extremely high hole concentrations. MME is extended to include simultaneous modulation of both Ga and Mg shutters. The Ga flux is supposed to be sufficiently large that droplets rapidly form when shutters open and deplete when shutters close. This results in the ability to limit surface faceting, while predominantly growing under n-rich conditions and thus, possibly, reducing N-vacancy defects that are known to result in compensation. This results in very high hole concentrations above 2 × 1019 cm−3 , with approximately 10% ionized atoms [42, 43]. p-Doping in ammonia MBE is one of the major challenges. p-Conductivity can be achieved with Mg supplied from an effusion cell for p-type doping. In spite of the presence of hydrogen during the growth process, p-conductivity is achieved without annealing; however, tempering at 750 ∘ C for several minutes improves conductivity. Mg incorporation is governed by an almost exponential decrease with increasing temperature, where the

118

Molecular Beam Epitaxy

activation energy for Mg desorption is 2.56 eV [44]. Some reports claim that p-doping can be improved with simultaneous use of a plasma cell and ammonia [45].

7.3 7.3.1

AlGaN/GaN High Electron Mobility Transistors on SiC Substrate PAMBE

GaN-based HEMTs usually consist of a GaN buffer layer followed by an AlGaN barrier. A two-dimensional electron gas (2DEG) forms at the AlGaN/GaN interface in conventional c-plane-oriented devices due to a discontinuity in total polarization, which is a sum of spontaneous and piezoelectric polarization, between AlGaN and GaN [46]. The sheet charges in the 2DEG have a density on the order of 1 × 1013 cm−2 with room temperature mobilities on the order of 1000–2000 cm2 V−1 s−1 [47]. With high-quality growth by PAMBE, ammonia MBE as well as metal organic chemical vapor deposition (MOCVD) HEMTs have been realized with low on-resistance and high output power, which are essential for high-performance power-switching devices and microwave amplifiers [48]. Free-standing c-plane GaN substrates are currently extremely expensive and lack availability. Therefore, GaN-based devices are commonly grown on SiC (6H or 4H) or Si. Early studies also included sapphire as substrate, but poor thermal conductivity limited saturation currents due to self-heating. Nowadays, high-quality heteroepitaxy can be achieved for GaN growth on SiC substrates. These substrates are available with good quality and diameters up to 4 inches, with a steady fall in prices. General epitaxial HEMT structures consist of a 50–100 nm thick AlN nucleation layer, an approximately 1 μm thick semi-insulating GaN buffer layer, a low point defect GaN channel, and an AlGaN barrier. Optionally, an AlN interlayer can be inserted between the channel and the barrier and a thin GaN cap layer can be added (Figure 7.6) [35]. 50 – 200 nm ex situ SiNx 15 – 30 nm ALGaN 0 – 3 nm AIN

Passivation layer deposited ex situ Passivated dangling bonds Barrier providing polarization doping Interlayer to reduce alloy disorder And interface roughness scattering 2DEG

0.7 – 1 µm step 2 n.i.d. GaN

Smooth, semi-insulating buffer

~100 nm step 1 n.i.d. GaN

Faceted, TDD reduction layer

ANI 50–100 nm

Resistive growth interface Growth initiation layer

SiC Substrate

Figure 7.6 Schematic of a general HEMT structure with epitaxial layers grown by PAMBE on SiC substrate. Layer and interface functions are noted [35].

MBE of III-Nitride Semiconductors for Electronic Devices

119

Charge carrier concentration (1/cm3)

Lattice mismatch between SiC and GaN of −3.9% necessitates a nucleation layer. GaN grown directly on SiC results in rough, islanded layers due to poor surface wetting [49]. Therefore, common nucleation layers consist of AlN, since AlN enables surface wetting and reduces lattice mismatch to 2.4%. With PAMBE, significantly lower buffer leakage can be achieved when the AlN nucleation layer is grown under N-rich conditions in comparison to Al-rich conditions [50]. Although Al-rich conditions lead to smooth surface morphology and low threading dislocation density (TDD), the poor leakage is explained by a reaction of liquid Al on the SiC surface, segregation of Si during AlN growth, and incorporation of Si in GaN during subsequent GaN buffer layer growth [50]. N-rich growth occurs in a 3D mode, as indicated by a spotty RHEED, and results in a rough surface. Nevertheless, the absence of liquid Al suppresses Si segregation and enables subsequent growth of highly resistive GaN [50]. GaN buffer layers are usually grown in two steps [51]. The first step involves growth in the intermediate regime, where GaN growth is faceted and TDs are bent off-axis. The TD propagation leads to fusion and annihilation and therefore reduces the TDD [11]. The second step involves growth in the Ga-droplet regime, utilizing interval growth. In this step, surface morphology smooths and the RHEED pattern becomes streaky. With increasing layer thickness, annihilation of TDs continues. To guarantee high resistivity, doping of the lower part of the GaN buffer layer with carbon to compensate potential charge carriers is an option, because carbon acts as a deep acceptor in GaN and free electrons can be bound [51]. Although the compensation is not mandatory to achieve high resistivity, the reliability of fabrication can be improved. Depth profiles of the charge carrier concentration reveal n-type background doping with a low carrier concentration in the range of 2 × 1013 cm−3 , as shown in Figure 7.7 [52]. GaN-channel, optional AlN interlayer, barrier and cap layers are grown without growth interruption at a constant Ga flux. An AlN interlayer can be grown with an Al flux

1020

1018

1016

1014

1012

0

1000 Depth (nm)

2000

Figure 7.7 Depth profiles of the charge carrier concentration determined by capacitance– voltage (CV) measurements of four different AlGaN/GaN HEMT structures with (blue and green) and without (red and black) carbon doping of the buffer layers. All structures reveal the same n-type background doping with a carrier concentration in the range of 2 × 1013 cm−3 [52].

120

Molecular Beam Epitaxy

equivalent to an Al/N ratio equal to unity. Due to the larger binding energy of AlN in comparison to GaN, an almost pure AlN layer is formed, despite the presence of a Ga wetting layer [53]. Moreover, the presence of the Ga wetting layer seems to increase Al adatom motion and therefore helps to improve crystal quality. AlGaN barriers are grown by adding Al flux to the Ga flux. A linear increase of the Al content with the Al flux can be found up to at least an Al content of 30% [52]. For a PAMBE process suitable for production of GaN-based HEMT structures, a robust technique with good temperature uniformity and long-term stability across large-area wafers with diameter equal to or larger than 100 mm is necessary. The main difficulties are the small parameter windows for the substrate temperature and the material fluxes to obtain good material quality as well as good morphological properties. Fluxes of Al, Ga, and excited nitrogen species are mainly determined by the design of the MBE system and can hardly be affected during a growth campaign. But MBE systems for large-area wafers are often equipped with dual-zone substrate heaters that allow the control of temperature distribution across wafers by adjusting the power ratio of the inner to outer filaments. The temperature variations across the wafer can be reduced to provide similar growth conditions on the entire wafer by analyzing RHEED intensity changes caused by desorption of Ga adlayers during growth interruption. A determination of the time periods between closing shutters and brightening of the electron beam at the wafer center and edge enables a precise adjustment of the temperature uniformity. Strictly speaking, this time period is defined by the duration of the desorption of excess Ga, which is a function of Ga flux, nitrogen-limited growth rate, and desorption rate during the growth period. Marginal non-uniformities of Ga and N flux can be balanced by adjusting the substrate temperature and therefore the Ga desorption rate. In order to obtain long-term stability of the process, monitoring of the RHEED intensity changes during the entire buffer growth is necessary. Minor fluctuations of substrate temperature and material fluxes must be tolerable, and fluctuations exceeding the tolerance limits must be corrected [52]. Structural analysis showed that 1–2 μm thick Ga-rich PAMBE GaN buffer layers grown on SiC (with AlN nucleation layer) have TDDs of ∼1010 cm−2 . The high TDD results in an AlGaN surface morphology dominated by spiral hillocks with monolayer step height, terminated by screw component dislocations (Figure 7.8). Despite having high TDD, 400 nm

400 nm

Figure 7.8 2 × 2 μm2 AFM taping mode images of the surface of an AlGaN/GaN HEMT structure grown on SiC by PAMBE. Left: height; right: amplitude error signal. Spiral hillocks, characteristic for PAMBE growth, and monolayer steps are clearly visible [52].

MBE of III-Nitride Semiconductors for Electronic Devices 70

PAE, Pout, Gain

60

121

PAE (%) Power (dBm) Gain (dB)

50 40 30 20 10 2.5

5.0

7.5

10.0

12.5

15.0

17.5

Pin (dB)

Figure 7.9 Load-pull mapping at 2 GHz and 50 V of 500 nm gate length HEMTs across all 37 cells of an entire 4-inch wafer [52].

HEMTs have been fabricated with excellent DC and RF performance [50, 52, 54, 55]. High buffer isolation with n-type background doping in the range of 2 × 1013 cm−3 and 2DEG with sheet resistance of 400–600 Ω sq.−1 (mobility 1300–1600 cm2 V−1 s−1 ) have generally been achieved. Achieved device data have been – for example – power added efficiency (PAE) values around 63%, power density of 6 W mm−1 , and linear gain around 25 dB at 2 GHz and 50 V drain bias (Figure 7.9). Gate leakage current has been as low as 10 μA mm−1 and accelerated lifetime tests revealed long-term stability [52]. 7.3.2

Ammonia MBE

A heterostructure for the ammonia MBE growth of the AlGaN/GaN HEMT structure that was introduced by Cordier et al. [34] is presented in Figure 7.10. An AlN nucleation layer of several 10 nm is grown on a SiC substrate followed by a 250 nm GaN/250 nm AlN stack. The nucleation temperature is typically 920 ∘ C and GaN layers are grown in the 780–800 ∘ C range. A 1 to 2 μm thick GaN buffer is grown before the realization of the HEMT structure, which consists of a 1 to 2 nm AlN interlayer, ∼20 nm AlGaN barrier layer capped by GaN. Increased buffer layer thicknesses can result in TD reduction in ammonia MBE-grown GaN buffer layers on SiC substrates due to TD inclination [56]. However, strain mitigation is required to prevent cracking, as TD inclination can also generate tensile strain in the films. TDDs in the mid 109 cm−2 range can be achieved. In addition, smooth surface morphologies are desired at the GaN/AlGaN interface to minimize interface roughness scattering in the 2DEG. Tensile strain mitigation can be achieved through strain-relief structures such as AlN interlayers [57]. The introduction of just one AlN interlayer seems to be sufficient to prevent cracking in thick (>2 μm) buffers. Corrion et al. [56] introduced a two-step buffer to reduce TDD. For the first step, only slight overpressures of NH3 and growth temperatures above that for the thermal decomposition of GaN are used. Pitting at dislocations leads to a faceted surface that promotes

122

Molecular Beam Epitaxy GaN 5 nm AIGaN 21 nm AIN 1 nm

GaN 1.7 μm

AIN 250 nm GaN 250 nm AIN 40 nm SiC Substrate

Figure 7.10 scale) [34].

Schematic cross-section of HEMT structure grown by ammonia MBE (not to

the formation of closed TD loops. A smooth surface is recovered in a second step in which high NH3 overpressures effectively suppress decomposition, yielding quasi-stable step-flow growth (Figure 7.5). 2DEG carrier densities and electron mobilities measured at 300 K are presented in Figure 7.11, together with results achieved on Si (111) substrate and MOCVD-grown GaN templates [34]. Self-consistent calculations correctly fit with those results. The electron mobility presents a bell-shaped dependence with a maximum occurring at Al content between 15% and 25%. However, the electron mobility is more dependent on the 2DEG 2000

n Si(111)

1.2E+13 1E+13

n SiC

1800

n template

1600

simul

1400

μ Si(111)

8E+12

1200

μ SiC

1000

μ template

6E+12

800 600

4E+12

400

2E+12

Electron mobility (cm2/V.s)

2DEG carrier density (cm−2)

1.4E+13

200

0

0 0

10

20 AI content %

30

40

Figure 7.11 2DEG carrier density and electron mobility as a function of Al content in the barrier of AlGAN/GaN HEMT structures grown by ammonia MBE on various substrates [34].

MBE of III-Nitride Semiconductors for Electronic Devices

123

carrier concentration than on the Al content, as a similar behavior (i.e. an increase of the mobility when decreasing the carrier density) is observed by applying a reverse gate voltage in HEMT structures. This enhancement is due to the increased distance between the 2DEG and the AlGaN/GaN interface. The maximum of the mobility is sensitive to the interface quality and occurs for a sheet carrier density of about 5 × 1012 cm−2 . Values around 2000 cm2 V−1 s−1 have been achieved [58]. There are very few reports of large-signal performance for AlGaN/GaN HEMTs grown on SiC by ammonia MBE. For example, Pout and PAE values at 10 GHz of 11.2 W mm−1 and 58%, respectively, were reported by Corrion et al. [56].

7.4

AlGaN/GaN High Electron Mobility Transistors on Si Substrate

For some applications, especially high-power applications, the growth on low-cost substrates such as Si is mandatory for commercial success. The large lattice mismatch between Si and GaN of about 17%, and the large difference in thermal expansion coefficient (TEC) of 𝛼 GaN − 𝛼 Si = 2.9 × 10−6 K−1 , are the main challenges to produce crack-free layers with sufficient thickness to achieve high-performance HEMT structures on this type of substrate. The difference in TEC of both materials leads to tensile stress on the III-N layer during cool down from growth temperature to room temperature. Without any strain control concepts, this stress would lead to significant bow of the wafers or even to cracks in the III-N layer. Hence, in order to produce strain-free layers at room temperature, the epilayers have to be grown compressively strained to compensate the additional tensile stress during cool down. Since AlN and GaN have smaller lattice constants than Si , a nucleation layer can be grown completely relaxed at best. Any non-relaxation leads to tensile stress, which even enlarges the tensile stress caused by the cool down. In contrast, GaN can be grown compressively strained on an AlN nucleation layer due to its 2.4% larger lattice constant. The main challenge for epitaxy is to grow GaN in such a way that it relaxes (controlled) at growth temperature to a value which exactly compensates the thermally induced strain during cool down. Several concepts based on the insertion of Six Ny [59, 60] or AlN layers [61, 62] in the GaN buffer layer, or step-graded buffers consisting of several AlGaN layers with decreasing Al content [63], have been investigated to achieve large buffer layer thickness and large breakdown voltages. 7.4.1

PAMBE

A relatively simple GaN buffer layer on Si substrate can be achieved by PAMBE with in-situ curvature measurement to precisely control the strain state of the GaN buffer layer. By adjustment of the strain, crack-free and even bow-free GaN layers were reported with thickness up to 4.2 μm [64]. A detailed analysis of the curvature data shows that the growth conditions, especially the Ga/N ratio during the first 100 nm GaN growth, affect the relaxation behavior during the entire GaN growth. HEMT structures deposited on top of these buffers reveal isolation characteristics and electrical properties comparable to structures grown on SiC substrates. For example, the change in curvature during the entire growth process of a HEMT structure with a 2 μm thick GaN buffer is shown in Figure 7.12.

124

Molecular Beam Epitaxy 25 6

Curvature (km−1)

0

1

3 4

7

9 −50

8

−100

−150

0

10000 Time (s)

20000

Figure 7.12 The change in curvature during the entire PAMBE growth process of a HEMT structure with a 2 μm thick GaN buffer [64]. It can be explained by the different steps of the entire growth process. (1) Heat substrate to Tp = 950 ∘ C for oxide removal. A change of curvature is caused by temperature inhomogeneity in the substrate, since the backside of the substrate facing the heater is hotter and therefore more expanded. (2) Oxide removal. (3) Cool down to Tp = 800 ∘ C. Reduced thermal inhomogeneity in the substrate. (4) AlN nucleation. (5) Heat up to Tp = 950 ∘ C for AlN growth. Again, increase of inhomogeneity. (6) Growth of AlN. The stable curvature is consistent with unstrained growth of AlN. (7) Cool down to Tp = 730 ∘ C for GaN growth. (8) Growth of GaN. (9) Cool down from growth temperature to room temperature.

Depth profiles of the charge carrier concentration reveal n-type background doping with a low carrier concentration in the range of 2 × 1013 cm−3 and characteristics of charge carrier concentration quasi-identical to those of samples grown on SiC, despite a slight increase of n at the interface to the Si substrate that can be explained by a Si carryover in the first few nanometers of the AlN nucleation layer. Room-temperature Hall measurements of all samples show mobility values of electrons in the 2DEG from 1230 to 1350 cm2 V−1 s−1 at a charge carrier concentration of N = 6.5–7.0 × 1012 cm−2 , comparable to the results for HEMT structures grown on SiC substrates [52]. Resistance of the buffer in the range of R > 109 Ω at 100 V and breakdown voltage VBD of several hundred volts can be achieved. 7.4.2

Ammonia MBE

The layer structure used for ammonia MBE growth of AlGaN/GaN HEMT structures on Si (111) substrate is essentially the same as for growth on SiC substrates. Special care has to be taken with AlN nucleation layer growth. Furthermore (as for PAMBE growth), in-situ monitoring of wafer curvature is the key for crack-free GaN buffer layers [25]. The formation of the AlN/Si interface has been studied by Le Louarn et al. [65]. The growth developed from this study starts with the exposure of the Si (111) surface to ammonia and the nucleation of AlN at a reduced temperature below 650 ∘ C, followed by a quick temperature ramping to 920 ∘ C while pursuing the growth of the following AlN layers. A flat, well-defined interface with no sign of amorphous or highly perturbed

MBE of III-Nitride Semiconductors for Electronic Devices

125

crystal layers has been achieved. The low growth temperature has been shown to be a key parameter to enhance the resistivity of the buffer layers, resulting in low RF propagation losses, reduced leakage currents, and larger breakdown voltages. These results may be explained by the absence of silicon nitride at the AlN/Si interface, combined with a limited aluminum diffusion in the substrate, but sufficient to mitigate the formation of an electron inversion layer [58]. For monolithic integration of III-nitride devices with silicon complementary metal oxide semiconductor (CMOS) devices, an AlN growth temperature above 900 ∘ C is already too high due to dopant diffusion. In this context, reducing the growth temperature of AlN is mandatory and Cordier et al. [58] demonstrated that AlN can be grown by ammonia MBE with limited degradation of the crystal quality in the range of 830–850 ∘ C. Among the parameters that influence the final quality of the layers, the growth temperature of the thick GaN buffer layer was identified as one of highest importance. A general trend was observed between the final wafer bowing at room temperature and the TDD. TD was found to affect the gradual relaxation rate of the compressive stress and the resulting wafer bow, as well as the 2DEG transport properties. A higher growth temperature leads to a faster rate of compressive strain relaxation and therefore to a lower residual compressive strain at the end of growth, which cannot compensate the TEC mismatch-induced tensile strain during post-growth cooling. This faster relaxation rate leads to a thinner compressive portion of the GaN buffer and therefore a less effective dislocation filtering in the rest of the structure [66]. A mobility approaching 2000 cm2 V−1 s−1 at room temperature was obtained for samples with TDD of less than 5 × 109 cm−2 . Structures with aluminum in the buffer layer can be used to increase buffer resistivity as well as enhance the confinement of the carriers located in the 2DEG. The optimal amount of aluminum in the buffer layer is a trade-off of resistivity and crystal quality. For example, structures with 5% aluminum in the buffer and a thin GaN channel (10 nm) demonstrated excellent breakdown voltage and transfer characteristics [58].

7.5

HEMTs with Thin Barrier Layers for High-Frequency Applications

In order to improve the operation of GaN-based HEMT structures at higher frequencies above 10 GHz, a thin barrier with thickness around 10 nm or less is required to allow an effective scaling toward short gate lengths below 100 nm. This requires high aluminum contents in the barrier to obtain sufficiently high sheet carrier concentrations. However, the inherent tensile strain in the AlGaN barrier and the corresponding relaxation effects limit the freedom of heterostructure design. Two alternative approaches have been studied intensively. Binary AlN barrier layers grown tensile strained to GaN allow the highest possible polarization gradient within the group-III nitrides. In combination with the large conduction band offset, the polarization field induced at the AlN/GaN heterojunction yields extremely high sheet carrier densities in the 2DEG channel [67, 68]. But only a small window exists with regard to barrier thickness, due to the high lattice mismatch of 2.4%. One promising alternative as barrier material is the ternary alloy AlInN, which is lattice-matched to GaN at an InN mole fraction of around 18%. It enables high sheet carrier densities due to its high spontaneous polarization and eliminates the problem of

126

Molecular Beam Epitaxy

strain relaxation. One severe drawback with this compound is its immiscibility, which makes the growth of high-quality material difficult. Quaternary AlGaInN compounds with suitable Al/In ratio can be lattice-matched to GaN and simultaneously have a better miscibility than ternary Al0.82 In0.18 N. Furthermore, the additional degree of freedom in quaternary alloys enables the independent adjustment of bandgap and strain state [7]. 7.5.1

AlN/GaN Heterostructures

AlN barrier layers, tensile strained on GaN, in theory allow the highest possible polarization gradient within the group-III nitrides. In combination with the large conduction band offset, the polarization field induced at the AlN/GaN heterojunction yields extremely high sheet carrier densities in the 2DEG channel [67, 68]. This heterojunction also improves carrier mobility due to a decrease in alloy scattering [69]. Therefore, binary structures have high potential to fabricate HEMTs with very low sheet resistance for high-frequency applications. However, only a small window exists with regard to barrier thickness due to the high lattice mismatch of 2.4%. While the critical thickness is in theory around two to three monolayers [70], the experimentally determined critical thickness for strained AlN layers was found to be significantly higher due to the formation of dislocation [71]. Godejohann et al. [72] observed in PAMBE-grown heterostructures the formation of a 2DEG for AlN thicknesses above 2 nm, followed by a quick increase and then a degradation of the sheet carrier density and mobility above 5 nm, giving very little scope within the barrier thickness. Electrical characterization of PAMBE-grown HEMT structures revealed small sheet resistances of less than 200 Ω sq.−1 , depending on the layer thickness of the barrier and the cap. Sheet carrier densities from 0.7 × 1013 to 3.3 × 1013 cm−2 and mobilities from 1040 to 1580 cm2 V−1 s−1 were measured for barrier and cap layer thicknesses varying between 2–5 nm and 3–7 nm, respectively [68]. Above 6 nm barrier thickness, loss of piezoelectric charge initiated by partial strain relaxation was observed. In PAMBE GaN/AlN heterostructure growth, the growth temperature for thin AlN layers cannot be increased significantly above GaN growth temperature because of thermal decomposition of GaN. The surface mobility of Al adatoms in this case is increased by the Ga wetting layer, which is still present at the substrate surface when AlN deposition occurs immediately after GaN growth, thus high-quality AlN layers can be grown. The composition of this layer is predominantly determined by the Al/N ratio, since Al is preferably incorporated in the growing film due to the larger binding energy of AlN in comparison to GaN. In the case of stoichiometric or Al-rich Al/N ratio (Al/N ≥ 1), an almost binary AlN film grows despite the presence of Ga atoms in the wetting layer. Interface roughness scattering becomes more dominant in those heterostructures due to the fact that the center of electron distribution shifts closer to the interface with increasing 2DEG density, resulting in a decrease in mobility. Therefore, interface sharpness is essential. AlN layers grown by PAMBE under N-rich conditions in the absence of a wetting layer result in poor adatom mobility related to reduced interface and AlN layer quality. These growth conditions lead to drastically reduced charge carrier mobility [72]. Even under stoichiometric Al/N ratio, a slight deviation of charge carrier concentration from theory was observed, which could possibly be related to a small amount of gallium within the barrier interface region. High-resolution X-ray diffraction (HRXRD) and scanning transmission

MBE of III-Nitride Semiconductors for Electronic Devices

127

MBE GaN AIN

GaN 5 nm (a)

60

Group III percentage

MBE

GaN buffer

80

AIN barrier

GaN cap

Total percentage (%)

100

~100% AI

40 AI Ga

20 0

50

40

30

20

10

0

Position (nm) (b)

Figure 7.13 STEM: (a) high-angle annular dark field (HAADF) image; (b) energy dispersive X-ray (EDX) profile of PAMBE-grown GaN/AlN/GaN heterostructure with 4.8 nm AlN barrier thickness [68].

electron microscopy (STEM) confirmed sharp interfaces between AlN and GaN and a very high amount of aluminum in the AlN barrier layers, as shown in Figure 7.13(a, b). For ammonia MBE the interface sharpness is less pronounced, as a higher growth temperature leads to a significant desorption rate of Ga atoms from the partially covered GaN surface during the growth of the first AlN monolayers and subsequent incorporation of those Ga atoms into the growing layer [73]. This effect is even more pronounced in samples grown by MOCVD above 1100 ∘ C, where an unintentional gallium content of up to 40% in the “AlN” barriers was found [68]. The increased potential barrier induced by the high aluminum content of the structures complicates ohmic contact formation. A commonly used method to overcome this problem for MOVPE-grown samples is silicon implantation and subsequent high-temperature annealing at temperatures of about 1100 ∘ C. PAMBE-grown samples indicated interdiffusion of AlN and GaN after this treatment [68]. Therefore, alternative methods to form ohmic contacts as regrown contact layers are required to benefit from the high potential of AlN/GaN heterostructures grown by PAMBE. 7.5.2

Lattice-Matched AlInN and AlGaInN Barrier Layers

Epitaxial heterostructures for high-frequency applications with In-containing barriers typically consist of a GaN buffer layer including GaN channel, a thin AlN-based spacer layer, a

128

Molecular Beam Epitaxy

nearly lattice-matched ternary AlInN or quaternary AlGaInN barrier layer, and an optional GaN cap layer. The high vapor pressure of the element indium requires growth conditions different from those for GaN. The deposition temperature must be reduced significantly below 600 ∘ C to achieve measurable indium incorporation. PAMBE is especially capable of growing compounds with poor intrinsic miscibility as well as sharp interfaces, and is therefore highly suitable to fabricate In-containing barriers in combination with ultrathin AlN spacer layers. The low growth temperatures necessary for indium incorporation are a domain of PAMBE, while ammonia MBE does not play a significant role. Ternary Al0.82 In0.18 N has to be grown at relatively low temperatures. Lim et al. and Kirste et al. [74, 75], for example, revealed that temperatures as low as 420 ∘ C are necessary to prevent phase separation of the grown material. The growth of HEMT structures with quaternary AlGaInN barrier with an Al/In ratio of ∼4.8 provides several advantages compared to ternary barriers. The miscibility improves with increasing Ga concentration and enables higher deposition temperatures [76]. A consequence of the higher deposition temperature is increased adatom mobility on the growth surface, resulting in a better structural quality (e.g. smaller surface roughness). For example, quaternary barriers with Ga content around 50% could be grown at 580 ∘ C [74]. HRXRD can be used to determine the lattice parameters of the AlGaInN alloys and to subsequently calculate the composition assuming Vegard’s Law. For this procedure, a pair consisting of an AlGaInN layer and an additional AlGaN sample is investigated. This additional AlGaN wafer is grown equal to the AlGaInN wafer but without In, so that the Al/Ga ratio is the same in both samples owing to complete incorporation of Al and Ga. In this way, the AlGaInN composition is accessible by the two HRXRD measurements. Almost all presented device results have been achieved with heterostructures, which comprised thin spacer layers between the GaN channel and the barrier. This spacer increases spatial separation of the 2DEG from the barrier in order to reduce alloy scattering and therefore to increase charge carrier mobility. Most spacers consist of binary AlN, but also sophisticated heterostructures such as GaN/AlN bilayers and AlN/GaN/AlN triple layers have been used [53, 74, 77]. PAMBE growth of the device region of those heterostructures differed from AlGaN/GaN HEMT structure growth. Deposition of the GaN buffer layer utilized growth sequences as described above. Subsequent growth of the spacer layers was performed without growth interruption on top of GaN at the same growth temperature, while Al(Ga)InN barrier layers were grown after growth interruption of several minutes under reduced temperatures with slightly metal-rich III/V ratios. Special care has to be taken with the Al/N ratio during spacer layer growth, as any slight deviation from the optimal conditions results in either Al residue formation on the surface (Al/N > 1) or the formation of ternary AlGaN spacer layers (Al/N < 1) with increased alloy scattering of charge carriers in the 2DEG [53]. As described in the previous section, thin AlN spacer layers are grown under the presence of a Ga wetting layer on top of the GaN buffer/channel. During ternary AlInN or quaternary AlGaInN growth, a simultaneous supply of Al, Ga, In, and N leads to a preferred incorporation of Al in the growing layer. In case Al/N < 1, gallium is incorporated with the second preference and only in case (Al + Ga)/N < 1 indium can be incorporated in a significant amount. Therefore, the gallium wetting layer at the

MBE of III-Nitride Semiconductors for Electronic Devices

129

growth front after the AlN spacer initially hampers ternary or quaternary barrier growth. In case of material supply (Al + Ga)/N < 1, the “free” sites would be filled by atoms out of the wetting layer, and only after incorporation of all atoms of the gallium wetting layer a significant amount of indium can be incorporated. Consequently, the gallium wetting layer must be thermally desorbed at GaN growth temperature after spacer growth. For high-quality Al(Ga) InN growth, the surface mobility of adatoms can only be enhanced by an indium wetting layer, but the thermal indium desorption rate is extremely high at GaN growth temperature, therefore, controlled growth of In-containing material is very challenging at this temperature. Hence, in the used growth sequence, the substrate temperature is lowered after gallium desorption and an indium wetting layer is formed by briefly opening the indium shutter before subsequent growth. Al(Ga)InN barrier growth is then performed by simultaneous supply of Al, Ga, In, and N under In-rich conditions. The composition is determined by Al and Ga fluxes, while N flux determines the growth rate. Impressive results were achieved with both AlInN [78, 79] and AlGaInN barriers [80, 81]. For example, Lim et al. [81] demonstrated heterostructures with 7 nm Al0.40 Ga0.53 In0.07 N barriers and AlN/GaN/AlN (0.8/1.1 0.8 nm) spacer layers (Figure 7.14). The triple-layer spacer allowed a higher separation between the 2DEG and the barrier than a single AlN interlayer, and therefore further reduced alloy scattering. According to Poisson–Schrödinger simulations, no second 2DEG was confined in the spacer GaN layer (Figure 7.15). 2DEG charge carrier density of NS ∼1.9 × 1013 cm−3 and S

G SiNx D

InAIGaN AIN/GaN/AIN GaN AIN 4H-SiC

Figure 7.14 Schematic overview of a device structure with quaternary AlGaInN barrier and AlN/GaN/AlN spacer layer (not to scale) [7].

2.0

Barrier S*

Channel

E-EF (ev)

1.5 1.0

10

5

0.5 e2

0.0 e1

n (1019 cm−3)

Ec Quantized states n * Spacer

0

–0.5 5

10

15

20

25

30

Depth (nm)

Figure 7.15 Conduction band edge of a heterostructure with AlInN barrier and AlN/GaN/AlN triple-layer spacer. No second 2DEG is confined in the upper-triangular quantum well of the spacer [7].

Molecular Beam Epitaxy 800

2.5

700

1

2.0 1.5

600 0 0

10 VDS (V)

500

20

400

1.0

300 200

0.5

100 0.0 –6

–4

–2

0

2

Transconductance (mS/mm)

Drain current density (A/mm)

130

0

Gate-source-voltage(V)

Figure 7.16 Transfer characteristics of a device with 150 nm gate length and a width of 2 × 30 μm at a bias of VDS = 5 V. The inset shows exemplary d.c. characteristics (drain current density [A mm–1 ] on the left) at gate-source voltage 1, −1, −3, and − 5 V (the latter two graphs are nearly congruent) from top to bottom [7].

electron mobility 𝜇 = 1460 cm2 V−1 s−1 were achieved. Both a high continuous wave (CW) current density of 2.3 A mm−1 and a high extrinsic transconductance of 675 mS mm−1 were achieved (Figure 7.16). HEMTs exhibited LG fT product of 10.75 GHz μm, operate at 10 GHz with 47% PAE using 10 V bias, and delivered a power density of 5.6 W mm−1 under a bias of 30 V. Device performance could be further optimized by implantation of ohmic contacts [82].

7.6

Vertical Devices

In comparison to the above-described lateral devices, GaN-based vertical electronic device research is in its infancy. In contrast to lateral devices, vertical devices are based on p-type and n-type doped epitaxial layers. MBE enables heavily doped p-type GaN layers without any post-growth annealing procedures. Regrowth processes, which are necessary for some vertical device architectures, can be performed at – in comparison to MOCVD – temperatures which prevent magnesium atoms from unintentional diffusion into neighboring layers. 7.6.1

p–n Junction

Only a few reports exist so far on the investigation of vertical devices grown by MBE. A p–n junction is a simple vertical device and yet has technological implantations in most vertical devices. Hurni et al. [83] presented ammonia MBE-grown p–n junctions on MOCVD GaN:Fe on sapphire templates with low ideality factors and reverse currents. The samples were grown with a V/III ratio about 1000 at about 840 ∘ C using elemental Ga, Si, and Mg sources. The structures started with an initial 300 nm n+ GaN:Si layer (n ∼ 3 × 1018 cm−3 ), followed by an n− layer and topped with 100 nm of p+ GaN:Mg (Mg ∼ 1020 cm−3 ). Diodes with n-doping in the n− layer of n ∼ 3.5 × 1017 cm−3 exhibited an extremely low reverse

MBE of III-Nitride Semiconductors for Electronic Devices

131

current and an ideality factor of ∼1.3, which is lower than state-of-the-art p–n junctions grown on sapphire by MOCVD. Qi et al. [84] have shown that PAMBE-grown p–n junctions can attain near-intrinsic behavior on single-crystal low dislocation density bulk substrates. Off-state leakage current as low as 3 nA cm−2 , breakdown field Ebr ∼ 3.1 MV cm−1 and Ron ∼ 0.23 mΩ cm2 have been achieved in epitaxial diodes. A 400 nm thick slightly Si-doped (n ∼ 1−2 × 1017 cm−3 ) GaN layer was grown at 720 ∘ C under slightly Ga-rich conditions to ensure step-flow growth. In order to achieve low n-type doping, the Mg was kept at standby temperatures to minimize oxygen outgassing. During subsequent growth of 10 nm n.i.d. GaN, the growth temperature was ramped to 600 ∘ C and Mg was ramped to operating temperature. 100 nm p-type GaN (p ∼ 2 × 1019 cm−3 ) and a p+ GaN cap layer (p ∼ 1020 cm−3 ) to facilitate ohmic contacts were grown without any growth interruptions. 7.6.2

Current Aperture Vertical Electron Transistors

A few alternative geometries that allow smaller device area and higher power density than lateral devices have been investigated for GaN power devices. A current aperture vertical electron transistor (CAVET) consists of a channel region, an aperture region, and a drift region to support the high voltage. Current flows from the source, through the 2DEG formed at an AlGaN/GaN heterojunction, is modulated by a planar gate, and directed to the drain through an aperture using a current blocking layer (CBL) (Figure 7.17) [85]. The device utilizes both the high electron mobility of the 2DEG in the lateral direction and the better field distribution in the vertical direction. The p-type CBL can be formed either by Mg implantation [86] or by active Mg-doped GaN layers grown by MBE [87]. Current blocking is realized by a reverse-biased p–n junction. Active doped CBL layers allow p–n junctions with more predictable behavior than implanted CBL, since implantation can cause layer damage. Device fabrication requires one or two regrowth steps. In the case of Mg implantation, the CBL can be formed using a mask to protect the aperture. In the case of active doped CBL, about 100 nm of n-GaN is etched away and the same mask is used to cover the aperture during MBE regrowth of 100 nm p+ -type GaN:Mg. Mask removal is followed by regrowth of an AlGaN/GaN channel. Both PAMBE [86] as well as ammonia MBE regrowth [87] have been demonstrated. The low growth temperature of the MBE technique prevented

Figure 7.17

Epitaxial layer structure and schematic layout of a CAVET [85].

132

Molecular Beam Epitaxy

the diffusion of the Mg atoms, either implanted or actively doped, from the CBL into the regrown layers, which has been a very difficult problem in MOCVD regrowth at elevated temperatures. Promising device performance has been demonstrated. For example, Yeluri et al. [87] demonstrated leakage current less than 140 μA at 733 V. CAVET revealed good channel modulation and a pinch-off voltage of −10 V. Very low Ron of 1.22 mΩ cm−2 was obtained.

References 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. 32. 33. 34. 35.

Mishra, U.K., Shen, L., Kazior, T.E., and Wu, Y.-F. (2007). Proc. IEEE 96: 287. Jmerik, V.N., Mizerov, A.M., Shubina, T.V. et al. (2007). J. Cryst. Growth 301/302: 469–472. Shen, X.-Q., Ide, T., Shimizu, M. et al. (2000). Jpn. J. Appl. Phys. 39: L1270–L1272. Jeganathan, K., Shimizu, M., Okumura, H. et al. (2007). J. Cryst. Growth 304: 342–345. Shi, B.M., Wang, Z.Y., Xie, M.H., and Wu, H.S. (2008). Appl. Phys. Lett. 92: 101902. Kirchner, V., Heinke, H., Birkle, U. et al. (1998). Phys. Rev. B 58: 15749. Lim, T., Aidam, R., Waltereit, P. et al. (2010). IEEE Electron Device Lett. 31 (7). Lin, M.E., Sverdlov, B., Zhou, G.L., and Morkoç, H. (1993). Appl. Phys. Lett. 62: 3479. Hughes, W.C., Rowland, W.H. Jr., Johnson, M.A.L. et al. (1995). J. Vac. Sci. Technol. B 13: 1571. Tarsa, E.J., Heying, B., Wu, X.H. et al. (1997). J. Appl. Phys. 82: 5472. Heying, B., Averbeck, R., Chen, L.F. et al. (2000). J. Appl. Phys. 88: 1855. Gunning, B.P., Clinton, E.A., Merola, J.J. et al. (2015). J. Appl. Phys. 118: 155302. McSkimming, B.M., Chaix, C., and Speck, J.S. (2015). J. Vac. Sci. Technol., A 33: 05E128. Brandt, O., Muralidharan, R., Waltereit, P. et al. (1999). Appl. Phys. Lett. 75: 4019. Poblenz, C., Waltereit, P., and Speck, J.S. (2005). J. Vac. Sci. Technol. B 23 (4): 1379. J. Phys. D: Appl. Phys. 40, 765–768 (2007). Burnham, S.D., Namkoong, G., Henderson, W., and Doolittle, W.A. (2004). Mater. Res. Soc. Symp. Proc. 798: 479. Machuca, F., Liu, Z., Sun, Y. et al. (2002). J. Vac. Sci. Technol. A 20: 1784. Burnham, S.D., Namkoong, G., Henderson, W., and Doolittle, W.A. (2005). J. Cryst. Growth 279: 26. Burnham, S.D., Henderson, W., and Doolittle, W.A. (2008). Phys. Status Solidi C 5 (6): 1855–1858. Koblmüller, G., Fernándes-Garrido, S., Calleja, E., and Speck, J.S. (2007). Appl. Phys. Lett. 91: 161904. Koblmüller, G., Averbeck, R., Geelhaar, L. et al. (2003). J. Appl. Phys. 93 (12): 9591. Shul, R.J., Willison, C.G., Bridges, M.M. et al. (1998). Solid-State Electron. 42 (12): 2269–2276. Burnham, S.D., Namkoong, G., Lee, K.K., and Doolittle, W.A. (2007). J. Vac. Sci. Technol. B 25: 1009. Fu, W. and Venkat, R. (2000). J. Vac. Sci. Technol. B 18 (3): 467. Cordier, Y., Semond, F., Massies, J. et al. (2007). J. Cryst. Growth 301&302: 434–436. Gupta, V.K., Averett, K.L., Koch, M.W. et al. (2000). J. Electron. Mater. 29: 322. Mesrine, M., Grandjean, N., and Massies, J. (1998). Appl. Phys. Lett. 72: 350. Karpov, S.Y., Talalaev, R.A., Makarov, Y.N. et al. (2000). Surf. Sci. 450: 191. Crawford, D.E., Held, R., Johnston, A.M. et al. (1996). MRS Internet J. Nitride Semicond. Res. 1: 12. Corrion, A.L., Wu, F., and Speck, J.S. (2012). J. Appl. Phys. 112: 054903. Tang, H., Fang, Z.Q., Rolfe, S. et al. (2010). J. Appl. Phys. 107: 103701. Semond, F., Lorenzini, P., Grandjean, N., and Massies, J. (2001). Appl. Phys. Lett. 78: 335. Baron, N., Cordier, Y., Chenot, S. et al. (2009). J. Appl. Phys. 105: 033701. Cordier, Y., Semond, F., Hugues, M. et al. (2005). J. Cryst. Growth 278: 393. Kaun, S.W., Wong, M.H., Mishra, U.K., and Speck, J.S. (2013). Semicond. Sci. Technol. 28: 074001.

MBE of III-Nitride Semiconductors for Electronic Devices 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. 48. 49. 50. 51. 52. 53. 54. 55. 56. 57. 58. 59. 60. 61. 62. 63. 64. 65. 66. 67. 68. 69. 70. 71. 72. 73. 74. 75. 76. 77. 78. 79. 80. 81. 82. 83.

133

Wang, X. and Yoshikawa, A. (2004). Prog. Cryst. Growth Charact. Mater. 48/49: 42–103. Jian, S.C., Willander, M., Narayan, J., and Van Overstraeten, R. (2000). J. Appl. Phys. 87: 965. Ng, H.M., Doppalapudi, D., Korakakis, D. et al. (1998). J. Cryst. Growth 189: 349. Hageman, P.R., Schaff, W.J., Janinski, J., and Liliental-Weber, Z. (2004). J. Cryst. Growth 267: 123. Yi, C.C. and Wessels, B.W. (1996). Appl. Phys. Lett. 69: 3026. Smorchkova, I.P., Haus, E., Heying, B. et al. (2000). Appl. Phys. Lett. 76: 718. Trybus, E., Doolittle, W.A., Mosely, M. et al. (2009). Phys. Status Solidi C 6 (S2): S788. Burnham, S.D., Namkoong, G., Look, D.C. et al. (2008). J. Appl. Phys. 104: 024902. Kamp, M., Mayer, M., Pelzmann, A., and Ebeling, K. (1996). On surface cracking of ammonia for MBE growth of GaN. MRS Proc. 449: https://doi.org/10.1557/PROC-449-161. Yang, Z., Li, L.K., and Wang, W.I. (1995). Appl. Phys. Lett. 67: 1686–1688. Ambacher, O., Smart, J., Shealy, J.R. et al. (1999). J. Appl. Phys. 85: 3222. Smorchkova, I.P., Chen, L., Mates, T. et al. (2001). J. Appl. Phys. 90: 5195. Palacios, T. (2009). Phys. Status Solidi A 206: 1145. Lahrèche, H., Vennéguès, P., Vaille, M. et al. (1999). Semicond. Sci. Technol. 14: L33. Poblenz, C., Waltereit, P., Rajan, S. et al. (2005). J. Vac. Sci. Technol. B 23: 1562. Poblenz, C., Waltereit, P., Rajan, S. et al. (2004). J. Vac. Sci. Technol. B 22 (3): 1145. Aidam, R., Waltereit, P., Kirste, L. et al. (2010). Phys. Status Solidi A 207 (6). Aidam, R., Diwo, E., Godejohann, B.-J. et al. (2014). Phys. Status Solidi A 211 (12): 2854–2860. L. Shen, Y. Pei, L. McCarthy, C. Poblenz, A. Corrion, A. Chakraborty, N. Fichtenbaum, S. Keller, S.P. Denbaars, J.S. Speck and U.K. Mishra, 2007, IEEE/MTT-S Int. Microwave Symp. (Honolulu, HI, USA), p. 623 Shen, L., Palacios, T., Poblenz, C. et al. (2006). IEEE Electron Device Lett. 27: 214. Corrion, A.L., Poblenz, C., Wu, F., and Speck, J.S. (2008). J. Appl. Phys. 103: 093529. Cordier, Y., Semond, F., Lorenzini, P. et al. (2003). J. Cryst. Growth 251: 811. Cordier, Y., Comyn, R., Frayssinet, E. et al. (2017). Phys. Status Solidi A 1700637. Schenk, H.P.D., Vennéguès, P., Tottereau, O. et al. (2003). J. Cryst. Growth 258: 232. Zamir, S., Meyler, B., and Salzman, J. (2001a). Appl. Phys. Lett. 78: 288. Semond, F., Cordier, Y., Grandjean, N. et al. (2001). Phys. Stat. Sol. A 188: 501. Dadgar, A., Bläsing, J., Diez, A. et al. (2000). Jpn. J. Appl. Phys. 39 (Part 2): L1183. Ishigawa, H., Zhao, G.Y., Nakada, N. et al. (1999a). Phys. Status Solidi A 176: 599. Aidam, R., Diwo, E., Rollbühler, N. et al. (2012). J. Appl. Phys. 111: 114516. Le Louarn, A., Vézian, S., Semond, F., and Massis, J. (2009). J. Cryst. Growth 311: 3278. Cordier, Y., Baron, N., Chenot, S. et al. (2009). J. Cryst. Growth 311: 2002–2005. Dabiran, A.M., Wowchak, A.M., Osinsky, A. et al. (2008). Appl. Phys. Lett. 93 (8): 10–13. Godejohann, B.-J., Ture, E., Müller, S. et al. (2017). Phys. Status Solidi B 1600715. Shen, L., Heikman, S., Moran, B. et al. (2001). IEEE Electron Device Lett. 22 (10): 457–459. Grandjean, N. and Massies, J. (1997). Appl. Phys. Lett. 71 (13): 1816. Amano, H., Takeuchi, T., Sota, S. et al. (1996). MRS Proc. 449. B.-J. Godejohann, Graduate thesis, “GaN-based high electron mobility transistors with high Al-content barriers“ (2017). Kaun, S.W., Ahmadi, E., Mazumder, B. et al. (2014). Semicond. Sci. Technol. 29: 045011. Lim, T., Aidam, R., Kirste, L. et al. (2010). Phys. Status Solidi C 7 (7–8): 1958–1960. Kirste, L., Lim, T., Aidam, R. et al. (2010). Phys. Status Solidi A 207 (6): 1338–1341. Matsuoka, T. (1997). Appl. Phys. Lett. 71: 105. S. Kaun, B. Mazumder, M. Fireman, E. Kyle, and J. Speck, 18th Internation Conference on Molecular Beam Epitaxy, 2014 Crespo, A., Bellot, M.M., Chabak, K.D. et al. (2010). IEEE Electron Device Lett. 31 (1): 2–4. Sarazin, N., Morvan, E., di Forte Poisson, M.A. et al. (2010). IEEE Electron Device Lett. 31 (1): 11–13. Wang, R., Li, G., Fang, T. et al. (2011). Proc. Annu. DRC 139–140. Lim, T., Aidam, R., Kirsrte, L. et al. (2010). Appl. Phys. Lett. 96: 252108. Wang, R., Li, G., Karbasian, G. et al. (2013). IEEE Electron Device Lett. 34 (3). Hurni, C.A., Bierwagen, O., Lang, J.R. et al. (2010). Appl. Phys. Lett. 97: 222113.

134

Molecular Beam Epitaxy

84. Qi, M., Nomoto, K., Zhu, M. et al. (2015). Appl. Phys. Lett. 107: 232101. 85. Chowdhury, S. and Mishra, U.K. (2013). IEEE Trans. Electron Devices 60 (10). 86. Chowdhury, S., Wong, M.H., Swenson, B.L., and Mishra, U.K. (2012). IEEE Electron Device Lett. 33 (1). 87. Yeluri, R., Lu, J., Hurni, C.A. et al. (2015). Appl. Phys. Lett. 106 (10): 183502.

8 Molecular Beam Epitaxy for Steep Switching Tunnel FETs Salim El Kazzi IMEC, Leuven 3001, Belgium

8.1

Introduction

The advent of 5G communications and the convergence with the Internet of Things (IoT) require next-generation energy-efficient devices which can integrate into our daily life. From autonomous cars to drones, entertainment, defense technologies, health services and low-power pervasive radio frequency (RF) communication, technology enablers incorporating large-scale-integration chips with extremely low power consumption are in huge need [1]. At the transistor level, one of the main requirements is to achieve very steep devices operating at supply voltages (Vdd ) less than 0.5 V. Therefore, tunneling field effect transistors (TFETs) are being explored as the most promising devices which can answer this demand and help in reducing power consumption and boosting performance [2, 3]. In this chapter, we try to show the importance of molecular beam epitaxy (MBE) as an ideal technique for making next-generation low-power tunneling devices. We start with a brief introduction of the TFET working principle and its main advantage over the traditional metal–oxide–semiconductor field effect transistor (MOSFET). Then, we show how III–V properties and their capability to offer different band alignments make them promising alternatives to Si in TFETs. After showing an example of an ideal MBE production cluster for complementary metal–oxide–semiconductor (CMOS) technology, we discuss the main challenges encountered during the epitaxial growth of III–V tunneling devices and how MBE is helping in solving them.

Molecular Beam Epitaxy: Materials and Applications for Electronics and Optoelectronics, First Edition. Edited by Hajime Asahi and Yoshiji Horikoshi. © 2019 John Wiley & Sons Ltd. Published 2019 by John Wiley & Sons Ltd.

136

Molecular Beam Epitaxy

8.2

TFET Working Principle

The basic working principle of a TFET, especially its switching mechanism, is compared with that of a traditional MOSFET in Figure 8.1. Unlike MOSFETs, where the source and drain have the same doping polarity (i.e. n+/i/n+ for n-MOS or p+/i/p+ for p-MOS), TFETs operate like a reversed p–i–n diode which is gate controlled (p+/i/n+ for n-TFET and n+/i/p+ for p-TFET). Here, we take the example of an n-(MOS)TFET configuration with a gate stack over the intrinsic region. For MOSFETs, the high gate voltage in the on-state regime reduces the band energies of the channel region. This allows the flow of electrons from the conduction band (CB) of the n+ source region to the CB of the n+ drain region through the channel CB. In the off-state regime, the potential barrier imposed by the channel prevents the flow of the majority of the CB source electrons. However, the very high-energy electrons still succeed in being injected into the channel because of thermionic emission. This phenomenon is the core reason for the MOSFET sub-threshold swing theoretical limit SS = ln(10)kB T/q = 60 mV dec−1 at room temperature, where T is the temperature, kB is the Boltzmann constant, and q is the electron charge. For TFETs, the behavior is different. In the on-state regime, the high gate voltage suppresses the energy bands of the channel. But this time, the electrons reach the CB of the channel from the electron valence band (VB) of the p+ source region through an energy filtering mechanism by band-to-band tunneling (BTBT). In the off-state, the tunneling path of these electrons becomes much longer (Figure 8.1). Compared to a MOSFET, the high-energy carriers from the Fermi–Dirac tail are now eliminated by the bandgap in the p-type source and thermionic emission is therefore absent. It is this steep change from short to long tunnel paths which allows TFETs to operate with SS < 60 mv dec−1 at a potentially smaller Vdd and thus lower power than MOSFETs (see graph in Figure 8.1).

8.3

III–V Heterostructure for TFETs

The graph in Figure 8.1 clearly highlights the benefit of TFETs over MOSFETs for low-power applications. However, it points out that in order for TFET to compete with MOSFET, Ion should be in the range of 300 μA μm−1 for Vdd at 0.4 V, combined with a low SS over several decades of current while maintaining a low Ioff of ∼50 pA μm−1 . With the target to find ideal materials to boost Ion in TFETs, Smets and Renard [4] compared the BTBT generation rate (G) as a function of the electric field (E) for different active-layer materials of Esaki tunneling diodes [Figure 8.2(a)]. They demonstrated how III–V single-material generation rates exceed the group IV ones for lower electric fields, basically due to the lower electron mass of the III–V compound and the switch from an indirect to a direct bandgap. Nevertheless, the use of a small bandgap combined with a low effective mass also facilitates both ambipolar and direct source–drain tunneling, which can induce high Ioff . This can be solved by the use of III–V heterostructure configurations, where different materials are used in one system. This actually allows us to have a large bandgap at the channel–drain junction, for example, and solve the problem of any Ioff degradation. Verreck and Webster [5] showed

k

on-state, surface A

MOSFET

Ec

Ion = 300 μA/μm

Ev

TF

ET

Ev

Ec Energy

drain

B′ n+ C′

Ev

tunneling BTBT window

B Ec

B′ long source-drain tunnel path

Ev

long channel-drain tunnel path

Ioff = 50pA/μm

ET

SF O

M SS ≥ 60 mV/dec

Vdd ≈ 0.4V Vdd ≈ 0.7V

Vgs

Figure 8.1 A comparison of the basic working principle of a MOSFET and a TFET reproduced by permission from [4]. The graph shows MOSFET transfer characteristics with SS < 60 mV dec−1 , and target characteristics of an optimized TFET operating at smaller Vdd than the MOSFET.

k

k C

intrinsic

B′ Energy

B

gate B p+

off-state, surface

on-state, surface

TFET source

Energy

Energy

A′ n+

target input characteristics

A′

log(lds)

intrinsic

Ec

drain

source

n+

A

Molecular Beam Epitaxy for Steep Switching Tunnel FETs

gate A

off-state, surface

A′ drift/diffusion

137

k

Molecular Beam Epitaxy 10−3

Hetero Homo −5 10 VDS = 0.3V

1010

10−11 0

1

2 3 E [MV/cm]

4

5

(a)

c /de mV 60

10−9

c

10−7

/de

1020

mV

InAs InGaAs GaAs Ge Si

IDS (A/μm)

G [1/(cm3 s)]

1030

60

138

(a)

0.9 0.6 VGS (V)

(b)

0.6 0.9 VGS (V)

(b)

Figure 8.2 (a) A simulated comparison of generation rate vs. electric field for different active-layer material Esaki diodes [4]. (b) Quantum mechanical simulated transfer characteristics for an In0.53 Ga0.47 As homostructure TFET and a GaAs0.5 Sb0.5 /In0.53 Ga0.47 As heterostructure TFET [5]. Both figures are reproduced by permission.

how the use of heterostructure TFET would help in solving this problem by comparing simulated I–V characteristics of InGaAs homostructure and heterostructure staggered gap (SG) InGaAs/GaAsSb TFETs [Figure 8.2(b)]. It is clear how the heterostructure TFET exhibits better SS than the homostructure one. Furthermore, the difference in the band alignment of the SG allows a decrease in the effective bandgap, which means a smaller electron tunneling length and thus a higher Ion in TFETs. This has also been expected for the broken gap (BG) configurations, which would further decrease the tunneling length and improve drive currents [5]. A summary of the reported TFETs with different configurations is compared with the state-of-the-art MOSFET (modified from ref. [6]). Here we would like to mention that even if other promising TFETs are made using other techniques {e.g. exfoliation of 2D dichalcogenide materials [7] or carbon nanotubes (CNTs) [8]}, we only focus in our study on III–V TFEETs which are directly epitaxially grown on commercial substrates (see Figure 8.3). We first notice that TFETs with a III–V heterostructure system lead to much better characteristics than a single-material TFET, where a trade-off between Ion and Ioff is observed (i.e. either a high Ion with a high Ioff or a decent Ioff but a low Ion ). However, it is clear that none of these devices meet the target input characteristics defined in Figure 8.1, due to many traditional device fabrication problems (channel growth, gate stack, contacts, etc.) [3, 4]. In the following section, we focus on the interface defects [like trap-assisted tunneling (TAT) and Shockley–Read–Hall (SRH)] which are found at the tunnel junction of a TFET and play a unique role in device performance.

8.4

MBE for Beyond CMOS Technologies

In order to make III–V TFETs operate with the desired characteristics, the different material components constituting these devices – as well as the interfaces between them – should be at their best. One would need to carefully choose the exact growth machines for the different deposition steps. An example of a suitable machine to grow TFETs is the RIBER 200-mm MBE production cluster installed at IMEC (Belgium) and depicted in detail in

Molecular Beam Epitaxy for Steep Switching Tunnel FETs 102

139

I60

60

10−4

c

10−2

c

10−6

60

de V/

mV

m

/de

IDS (μA/μm)

100

−0.6

−0.4

−0.2

0.0

0.2

0.4

0.6

VGS(V) pTFET Si SG | -1V [7] Si NW GAA | −0.5V [8] Si line MuG | −0.05V [9] sSiGe SG | −0.1V [10] Si MuG | −0.1V [11]

nTFET Si/InAs NW | 1V [12] In0.53Ga0.47As/In0.7Ga0.3As line SG | 0.05V [13] MoS2/Ge | 0.1V [14] Si/Ge SG | 0.5V [15] CNT MuG | −0.01V [16] sGe DG | 1V [17]

In0.7Ga0.3As SG | 0.2V [18] Si | 0.6V [19] CNT MuG | −0.5V [20] InP/GaAs NW | −0.75V [21] Si NW GAA | 0.1V [22] Si SG | 0.05V [23] InAs/InGaAsSb /GaSb | 0.3V [24]

Figure 8.3 Experimental transfer characteristics in the literature [7–24] of nTFET and pTFET with SS < 70 mV dec−1 . This figure is an updated version of Figure 2 of Lu and Seabaugh [6].

Figure 8.4. This cluster is used to study different kinds of FET devices and consists of one III–V MBE machine, one passivation chamber, and one oxide deposition machine. One of the main advantages of this cluster is the connection of the three machines under ultra-high vacuum (UHV), which protects for instance the III–V channel surface from being oxidized before the gate stack deposition. This has been shown to be a critical step for lowering defects at the channel/gate interface (Dit , interface traps, etc.) [25]. Many studies in the literature on passivation and gate stack deposition on group IV and III–V surfaces (like GaAs, GaSb, InGaAs, etc.) using MBE technique can be found [26– 28], and all these studies are of crucial importance for FET fabrication. Since our chapter highlights the MBE advantage for tunnel devices, we keep our discussion to III–V epitaxial growth of the tunneling active area, which is the main difference between TFET and other FET devices.

8.5

Doping

As mentioned, TAT, interface defects, phonon-assisted tunneling, and band tails have all been shown to contribute to TFET performance [5]. Since doping, which is a point defect, can be the main source in all this, but also affects the system band configuration [29], studies on III–V doping and its effects on structural and tunneling properties are mandatory. As an example, we present in Figure 8.5 the effects of Si (Be) doping on the InGaAs (GaAsSb) layer grown on (001) InP in our III–V MBE tool [30]. It can be seen from the microscope

140

Molecular Beam Epitaxy

Gas source MBE: - Plasma cell: H2, N2, H2S, H2Se - E-gun: M (Mo, W) III-V SSMBE: Oxide growth:

- Group III: Al, In, Ga - Group V: As, Sb

- Effusion cells: Ba, Sr

- Dopants: Si, Be

- E-gun: Hf, La, Ti,Al2O3, Si - Plasma cell: O2, N2

Figure 8.4 The 200-mm RIBER cluster installed at IMEC consisting of three MBE machines and used for the large-area growth of novel materials for beyond CMOS technology.

6.0k

4.0k 1E18

1E17

0.95

1.00

1300 °C

1.05 1.10 1000/TSi (K−1)

1.15

1.20

1100 °C

60

55 1E18

2

2.0k

1E19 Hole mobility (cm /V..s)

1E19

Carrier concentration (cm−3)

(b) Doping Electron mobility

Electron mobility (cm2/V..s)

Electron concentration (cm−3)

(a)

50 740

760

780 800 820 840 Be cell temperature (°C)

750 °C

860

850 °C

Figure 8.5 Carrier concentrations and electron mobility measured by Hall of Si-doped (a) and Be-doped (b) InGaAs grown on (001) Si InP as a function of Si and Be cell temperatures, respectively. The optical microscope images are used to assess layer quality.

Molecular Beam Epitaxy for Steep Switching Tunnel FETs

141

images that the Si doping at high concentrations (>2e19 cm−3 ) leads to the deterioration of the InGaAs crystalline quality. Also, doping polarity deviation is observed at high concentrations due to the amphoteric nature of Si in InGaAs [31]. For the Be case, no clear surface degradation is observed at high doping concentrations. However, a p-type doping saturation starts to be observed when the hole concentration reaches the range of 1e19 cm−2 (nearly 820 ∘ C for cell temperature). Since our idea is to understand the growth influence on BTBT and separate it from other challenges (like gate stack or contacting), we have already proposed an easy BTBT measurement method using highly doped Esaki tunneling diodes to have accurate TFET predictions [32]. Using the above results, Esaki tunneling diodes are grown by the EPIPHY group (IEMN-Lille) [30] with maximum Si n-type doping of 1e19 cm−3 for InGaAs to avoid any III–V material degradation and carbon (C) instead of Be for p+ doping due to its low diffusivity and ability to achieve very high p-type doping in III–V materials [33]. The SIMS dopant profiles of the different Esaki diode heterostructures fabricated using either Be or C-doped GaAs0.5 Sb0.5 are shown in Figure 8.6, along with the corresponding I–V characteristics. Si, Be, and C (even at high concentrations, ED3) exhibit slopes less than 10 nm dec−1 , which is considered a rough guide line to allow TFET operation with In0.5Ga0.5As(Si)

1E20

nm 3.5 30

40 50 Depth (nm)

60

C Sb

0.0

40

70

50

Si

10

10

50

60 Depth (nm)

(c)

80

70

80

ED1: (Be) 1.0 × 1019 cm−3 ED2: (C) 0.9 × 1019 cm−3 ED3: (C) 6 × 1019 cm−3

contact

−4

n + In0.5GA0.5As P+ GaAs0.5Sb0.5 P + InP substrate dielectric interlayer back contact

−5

0.0 10

40

70

2

c /de nm 5.7

ec

0.2

IIn, ISb [a.u.]

/d

C Sb

10−3

0.4

nm

1E16

0.6

6

1E18

1E17

10−2

In

4.

NSi, NC [cm−3]

1E19

Interface GaAs0.5Sb0.5(C)

J (A/μm )

1E20

60 Depth (nm)

(b)

(a) In0.5Ga0.5As(Si)

IIn, ISb [a.u.]

1E16

0.0

0.2

ec

20

/d

1E16

0.4

nm

Be Sb

Si

1E18

1E17

0.6

In

6

0.2

ec

1E17

GaAs0.5Sb0.5(C)

7.8

/d

nm

1E18

IIn, ISb [a.u.]

1

6.

/de

c

0.4

NSi, NC [cm−3]

1E19

Si

Interface

m/d ec

0.6

In

1E19

NSi, NBe [cm−3]

Interface GaAs0.5Sb0.5(Be)

3.5 n

In0.5Ga0.5As(Si) 1E20

−6

−0.8

T = 298K T = 233K

−0.6

−0.4 −0.2 VNP (V)

0.0

0.2

(d)

Figure 8.6 SIMS analyses of three different Esaki diodes: (a) ED1, (b) ED2, and (c) ED3 with nearly the same n+ Si doping and different p+ doping. For p+ GaAs0.5 Sb0.5 , ED1 is 1e19 cm−2 for Be doping and ED2 (ED3) are 1e19 cm−2 (6e19 cm−2 ) for C doping. (d) J–V characteristics of the three diodes [30].

142

Molecular Beam Epitaxy

SS ≪ 60 mV dec−1 [34]. This highlights the MBE advantage as a suitable doping control technique, which allows high doping concentrations with steep gradients. One can also see a similar J–V behavior by using either Be or C as p+ dopant in GaAsSb (ED1 and ED2). The peak current in ED3 shows a higher current value with a slight shift of the supply voltage. This is due to the higher doping concentration, which increases the band overlaps and reduces the tunneling length. This will also result in a decrease of the peak-to-valley ratio (PVCR) values with the doping concentration (ED1 = 13; ED2 = 13; ED3 = 5). The lower PVCR is caused by band tails due to random dopant fluctuations or extra defect states. Alternatively, it may also be due to a higher hole quasi-Fermi level degeneracy. Ongoing simulation and experimental works, for example on quantifying TATs [35] and understanding Urbach tails [36] at different doping levels, aim to reveal the role of doping on BTBT behavior and thus provide deeper learning on experimental TFETs.

8.6

Tunneling Interface Engineering

Other sources of interface defects at the tunneling region are interface roughness and stoichiometry engineering during epitaxial growth of this interface. Studies have already reported the importance of growing by MBE sharp and defect-free heterointerfaces for many electronic or photonic devices [37–40]. For TFETs, Zhu et al. [41] compared III–V TFET grown by MBE with different tunneling interface stoichiometry, as shown in Figure 8.7(a). They demonstrated that an InAs-like interface is essential at the 106

(a)

Forward

Current density.JlA/cm2

5

InAs-like interface

10

Reverse

104 103 102 101

100 10−1 10−2 10−3 10−4

Drain current, lDS [μA/μm]

−1.0

(b)

GaAs like InAs like

T = 300K

102 101 100 10−1 10−2 10−3 10−4 10−5

−0.5 0.0 0.5 Applied bias [V]

1.0

InAs/GaSb hetJ T = 300K(a)

T = 150K

VDS = −0.05V,−0.5V

−2

−1

0

Gate voltage, VGS [V]

Figure 8.7 (a) Cross-section TEM images of In0.7 Ga0.3 As/GaAs0.35 Sb0.65 TFETs grown with GaAs-like and InAs-like interfaces along with their corresponding I–V [41]. (b) InAs/GaSb TFET fabricated by Rajamohanan et al. [42] showing a GaAsSb amorphous layer at the tunneling region, which is the region of Ioff degradation. .Source: The figures are reproduced from [41, 43] with the permission of AIP Publishing

Molecular Beam Epitaxy for Steep Switching Tunnel FETs

143

In0.7 Ga0.3 As/GaAs0.35 Sb0.65 source channel tunneling region. This confines strain between the layers, inducing sharp interfaces with much better TFET characteristics compared to when a GaAs-like interface is used. At a later stage, they proved that a defective interface would change the bandgap configuration of the system from a staggered bandgap to a broken bandgap [43]. Alternatively, Rajamohanan et al. [42] fabricated broken-gap p-type InAs/GaSb TFETs [Figure 8.7(b)]. From the IDS –VGS characteristics of the device, they noticed high Ioff of 1 μA μm−1 at 300 K, which reduces substantially at 150 K and Ion /Ioff improves to 104 . They related the Ioff degradation to the GaAsSb amorphous layer at the tunneling InAs/GaSb region and concluded that optimized MBE growth conditions will help in reducing tunneling defects, which would translate into better TFET performance. In our group we have investigated tunneling interface engineering for both the staggered-gap n+ (50 nm)/p+ (600 nm) In0.53 Ga0.47 As/GaAs0.49 Sb0.51 [30] and broken-gap InAs/GaSb systems [44] [Figures 8.8(a–c) and (d–e), respectively]. For the SG system, we have first studied the right growth conditions to grow GaAsSb and InGaAs separately at the same growth temperature [45]. Proper monitoring of the MBE shutter sequence was then used to grow InGaAs/GaAsSb Esaki diodes [30] with different interface stoichiometry [see e.g. the GaAs-like interface in Figure 8.8(a)]. High-angle annular dark field (HAADF)-HR-STEM was used to carefully probe the interface stoichiometry of samples with different interface engineering, as shown in the example of Figure 8.8(b). The diodes fabricated with different interface stoichiometry in the SG showed similar J–V behavior [Figure 8.8(c)]. We believe that since GaAsSb and InGaAs are nearly perfectly matched and grown pseudomorphically on InP, whatever the interface stoichiometry is, the interface can be kept strained and thus no relaxation or dislocations are introduced. However, this behavior was different for the BG system, where InAs and GaSb have a small 0.6% mismatch: as can be see from the I–V characteristics in Figure 8.8(e), a higher valley current is observed for the GaAs-like interface with nearly no negative differential resistance (NDR). On the other hand, a clear NDR is observed for the InSb-like case with a peak current reaching 8 μA μm−1 . The difference in the device behavior is linked to material degradation for the GaAs-like interface case [see Figure 8.8(d)], which is related to strain relaxation by 60∘ misfit dislocations (MDs) at the InAs/GaSb tunneling interface [44].

8.7

MBE for III–V TFET Integration

Until now, we have discussed the problems which can be encountered during growth of the III–V active area without handling the challenges coming from the substrates. To reach industry, TFETs would need to be integrated on commercial substrates (like Si or GaAs), which usually have a large lattice mismatch with the device active area. Furthermore, nanowire (NW)-based devices are believed to be the ideal architecture for TFET characteristics [46]. Hence, two main routes are being investigated in order to achieve III–V NW TFETs on commercial substrates. Here, we will focus on how MBE is helping in both approaches.

Molecular Beam Epitaxy

Ga

(d)

In

Ga As

As Ga

As Ga

Ga

InSb-Interface

As

Sb

(b)

As In As Ga

Shutter

Sb Ga 1nm

In0.53Ga0.47As GaAs0.5Sb0.5

Sb Ga

14 Sb

In,Ga

As A′

B′ C

6.5 B 6 5.5 5 4.5

Current density [A/μm2]

10 Counts - [104]

A As,Sb

6.5 6 5.5 5 4.5

(c)

2

3 4 Distance [nm] GaAs-like InSb-like InAs-like

SRH

BTBT 10−4

BTBT T = 300K

−0.8

−0.4 Vnp [V]

0

Ga Sb

6

2

C′

(e) 3 4 Distance [nm]

10−3

1nm

[110] 3

In As In

GaSb

A

Current density [A/μm2]

Counts × [104] Counts × [104]

BC

2

A B C D

In

A′ B′C′

Ga

GaSb

5nm

A B C D

As Ga Sb Sb

As

GaAs-Interface

GaSb

5nm

GaAsSb

Ga

(b)

InAs

InGaAs

In

Ga

InAs

As

As

Sb

Ga As

In

Sb

2Ga

B

Ga Sb In As In

C SbGa Sb Ga As In

D

Counts - [103]

(a)

Layer

144

1

As

Ga Sb

In As

In As

Ga Sb Ga As In As Ga Sb Ga Sb

A B

C

In As

D 0

0

0

1 2 Distance [nm]

1 2 Distance [nm]

1E-01

1E-02

1E-03

1E-04 InSb-like interface GaAs-like interface

1E-05

1E-06 −1.5

−1.0

−0.5

0.0

Vnp [V]

0.5

1.0

1.5

Figure 8.8 (a) An example of the MBE shutter sequence to achieve a GaAs-like interface as shown in the HAADF-HR-STEM of (b) [29]. (c) J–V characteristics of In0.53 Ga0.47 As/ GaAs0.49 Sb0.51 diodes grown with different interface stoichiometry [30]. (d) Cross-section HAADF-HR-STEM of InAs/GaSb diodes grown with InSb-like and GaAs-like interfaces along with (e) their corresponding J–V characteristics [44].

1. The first route is a ‘top-down’ approach, depicted in the left part of Figure 8.9. It consists of growing the III–V heterostructures on commercial blanket substrates, followed by careful III–V NW etching to reach very narrow NW diameters (sub-10 nm) [52]. The different studies on the MBE growth of tunneling diodes and TFETs on blanket substrates which are relevant for this route are also presented in Figure 8.9. The main epitaxial challenge is to decrease the defect density in the active area caused by the mismatch with the substrate. For instance, S. Datta’s group from Pennsylvania State University [Figure 8.9(a)] used MBE to mitigate the detrimental defects by

Molecular Beam Epitaxy for Steep Switching Tunnel FETs

III-V

III-V

Top-down: Epitaxy + etch

SRB

SRB

Pd

Mo drain

high-k

n i p+ Ga A

s0 s .3 200nm ource 5 Sb0.65

Substrate InAs

(e)

77.6 1 nm 66.8 9 nm

Substrate (g)

(f)

0.7 G a0 .3 As

511.5 4

ILD 200

I 1 μm

nm

0

1 μm

1 μm

39 n nm GaSm b

250 nm

25.0 nm

(d)

10 nA

II

Ga Sb

In

(b)

SiO2

SiO2

Ga InA Sb s

(c)

Pd Au

(a)

Bottom-up: Selective area epitaxy

Substrate

Substrate

145

[110]

(h)

(i)

GaSb 10.0 4 μm

0.0

SiO2

GaAs

50 nm

5 nm

0 nm

Figure 8.9 Overview of the different works found in the literature for III–V TFET integration on commercial substrates using either ‘top-down’ or ‘bottom-up’ approaches. The details of each work are in the text. .Source: (a, c, g, e, f) are reproduced from [41, 47–49] with the permission of AIP Publishing. (b) From [50] with the permission of the American Vacuum Society. (d) From [51] with the permission of IEEE

bending the vertically propagating defects coming from 60∘ MDs using compositionally graded layers [41, 43]. Thomas et al. [51] used buffer layers to grow InGaAs tunneling diodes on Si and reported nearly similar electrical results when compared to the lattice-matched InP growth, highlighting the high III–V on Si crystal quality [Figure 8.9(b)]. In the same context, Liu et al. [47] and Bhatnagar et al. [50] both grew BG InAsGaSb Esaki diodes on GaAs [Figure 8.9(c)] and Si substrates [Figure 8.9(d)], respectively. By using 90∘ interfacial MDs at the III-Sb buffer layer/substrate [the interface misfit (IMF) technique], the mismatch is revealed instantly at the first monolayer of growth. Both groups report severe device degradation when mismatched substrates are used, due to the high threading dislocation (TD) density. Since the IMF method already showed very low TD densities ( 6 nm. The thickness of 6 nm is roughly equal to the height of the islands and thus this saturation is considered to occur when the islands are completely covered by the InGaAs layer. Figure 9.6(a−d) shows cross-sectional field emission-scanning transmission electron microscope (FE-STEM) images together with data of the In composition profiles measured by energy dispersive X-ray spectroscopy (EDS) [26]. Two samples were grown: one where InAs islands were buried with a GaAs layer [Figure 9.6(a, b)] and another one where InAs islands were buried with an 8-nm-thick In0.17 Ga0.83 As layer [Figure 9.6(c, d)]. The In composition profiles were measured along the white lines shown in the FE-STEM images from the left side to the right side, corresponding to the surface side and the substrate side, respectively. In Figure 9.6(b, d), the center part of the InAs islands (labeled “Dot”) 40

GaAs

WL

GaAs

Dot

InGaAs

EL

InGaAs

Dot

20 0

0

10

20 0

Position (nm)

WL

10

0

10 Position (nm)

EL

Dot (a)

20

Position (nm)

(b)

20 0

10

Dot (c)

20

Position (nm)

10 nm (d)

Figure 9.6 In composition profiles of the InAs islands buried with GaAs (a, b) and buried with InGaAs (c, d) measured by EDS, together with the corresponding FE-STEM images. (Source: After Nakata et al. [26].)

Applications of III–V Semiconductor Quantum Dots in Optoelectronic Devices

0.1 μm (a)

157

40 nm (b)

Figure 9.7 (a) Plan view of TEM image of the structure when growing islands at a growth rate of 0.007 ML s−1 and buried with a 4-nm-thick In0.17 Ga0.83 As layer. (b) A (110) cross-sectional TEM image of the two-stacked island layers using a 26-nm-thick GaAs intermediate layer. (Source: After Nakata et al. [26].)

was probed, whereas in Figure 9.6(a, c) the wet layer or the InGaAs buried layer regions (labeled “WL” and “EL”) were probed. The interference fringes of the STEM samples confirmed that the thicknesses of all observation samples was almost the same, and it was possible to compare their compositions. As shown in Figure 9.6(d), even when buried with an InGaAs layer, InAs islands were formed, much like those in Figure 9.6(b), just above the GaAs underlayer. Although some differences are visible in the buried layer region, there are no significant differences between the In composition profile of the InAs islands in Figure 9.6(b, d) in the region near the GaAs underlayer. This indicates that island size and composition did not change, even when islands were buried with an InGaAs layer. Therefore, the longer wavelength due to InGaAs burying is not caused by an island size enlargement or a change in the In composition, but by the relaxation of the lattice strain of InAs islands, as pointed out by Nishi et al. [28]. Figure 9.7(a) is a plan view of a TEM image of InAs islands grown at a low growth rate of 0.007 ML s−1 and buried with a 4-nm-thick In0.17 Ga0.83 As layer [26]. The PL peak wavelength of these InAs islands at room temperature was 1.31 μm. The island density was approximately 2.5 × 1010 cm−2 , which was a factor of five higher than that of islands with a 1.3 μm PL peak wavelength created at a low growth rate of 0.002 ML s−1 and embedded by GaAs. Figure 9.7(b) is a cross-sectional TEM image of the stacked structures [26]. Both InAs island layers were buried with 4-nm-thick In0.17 Ga0.83 As layers and were stacked with a 26-nm-thick GaAs intermediate layer. The size and density of the upper island layer is almost the same as that of the first layer (lower layer), indicating that the stacked structures were satisfactorily grown. 9.2.3

InAs Quantum-Dot Lasers

In this section, we discuss the QD lasers fabricated using InAs islands grown at low growth rates and buried with InGaAs strained layers [26, 29–31]. InAs islands were grown at a growth rate of 0.007 ML s−1 with a nominal thickness of 1.9 ML, and were embedded with a 4-nm-thick In0.17 Ga0.83 As strained layer. The active region was a separate confinement heterostructure (SCH) in which four InAs island layers

158

Molecular Beam Epitaxy

were stacked via 26-nm-thick GaAs intermediate layers and were sandwiched by GaAs layers. A ridge structure with a cavity length of 300 μm was fabricated by chemical etching. Both facets were coated with 95% high-reflective (HR) films. In continuous wave (CW) oscillation at 25 ∘ C, the oscillation wavelength was 1.31 μm (measured at a current of 6 mA). The threshold current (Ith ) was 5.4 mA, which was very low for QD lasers oscillating in this wavelength range. These results have shown that increasing the island density with the stacking technique while maintaining the emission wavelength and emission efficiency are key factors for improving the performance of QD lasers. Recently, it has been found that In atoms diffuse from the InAs islands during the growth of the buried layer, effectively reducing the island size, shortening the emission wavelength, and degrading the size uniformity (i.e. widening the emission linewidth). By optimizing the embedding conditions, such as the growth temperature and the As pressure, there is a possibility that a higher density of InAs islands emitting in this wavelength region can be formed. In fact, Nishi et al. [32] realized a narrow linewidth of FWHM < 24 meV at emission wavelengths near 1.3 μm by improving the embedding conditions. The island density was approximately 6 × 1010 cm−2 , which is considerably high for islands emitting light in this wavelength range. In addition, multiple stacking structures free from defects such as dislocations were grown successively. In lasers using these stacked island structures, high optical gains (54 and 35 cm−1 at 25 and 100 ∘ C, respectively) were obtained from room temperature to high temperatures and it was shown that they can operate even for temperatures as high as 220 ∘ C [33]. In the future, further high-performance lasers are expected to be realized by improving island and buried layer growth.

9.3

InAs QD Optical Device Operating at Telecom Band (1.55 𝛍m)

Self-assembled InAs QDs grown on a GaAs substrate initially show emission at ∼1 μm, which is related to the increase of the InAs band gap, caused by the strong compressive strain. Although a 1.3 μm emission of the InAs QDs can be obtained by introducing a strain-reducing layer [28], it is challenging to obtain a 1.55 μm emission from the InAs QD structure grown on GaAs. On the other hand, InAs QDs grown on an InP substrate are less strained, hence the emission wavelength increases and can reach a value of 1.55 μm. In this section, we discuss the methods used to fabricate an InAs QD structure, with the focus on highly stacked InAs QDs produced by strain-compensation techniques, and their applications in laser diodes, SOAs, and wavelength tunable lasers. All samples were grown using conventional solid-source MBE, which provides accurate control of the flux and growth rate. As mentioned in Section 9.2, the dome-shaped InAs QD structures were obtained by self-assembly during the growth on a GaAs(001) substrate by using a wide range of growth conditions. However, the shape of a self-assembled InAs nanostructure on an InP(001) substrate is quite different compared to that on a GaAs(001) substrate. For conventional growth conditions, the InAs grown on an InP(001) substrate forms an elongated nanostructure along the [1 −1 0] direction, which is called a quantum dash (QDH) [34]. Although QDHs fix the polarization of light emitted along the surface normal direction, QDs are preferred due to the size uniformity and controllability of their structure. Circular InAs QD structures have been obtained on an InP(311)B substrate [35]. The formation of self-assembled QDHs

Applications of III–V Semiconductor Quantum Dots in Optoelectronic Devices

159

11.5 nm

–11.0 nm

Height

Figure 9.8

200.0 nm

AFM image of InAs QDs grown on InP (311)B substrate.

on an InP(001) substrate has been explained by the anisotropy of the surface diffusion of adatoms during the crystal growth [36–38]. Therefore, the surface diffusion of adatoms on the InP(311)B substrate is considered to be isotropic. Figure 9.8 shows an AFM image of InAs QDs grown on an InP(311)B substrate. The surface oxide on the InP(311)B substrate was removed by increasing the substrate temperature to a value of 470 ∘ C in the MBE chamber under As4 irradiation. After the temperature treatment, the lattice-matched InAlAs buffer layer and InGaAlAs spacer layer were grown, followed by the growth of four monolayers (MLs) InAs. These layers were grown at a temperature of 470 ∘ C. The AFM measurements show that this method produces circular-shaped InAs QDs with an average height and lateral size of 5 and 35 nm, respectively. Both size and density can be controlled by tuning the growth parameters such as the substrate temperature and growth rate [39]. In addition, the InAs QDs on InP(311)B emit at ∼1550 nm wavelength, and this can be controlled by the size of the QD and the barrier height. Therefore, high-performance optical devices for fiber-optic communication systems can be developed using InAs QDs grown on an InP(311)B substrate. For applications in semiconductor lasers or semiconductor amplifiers, the density of QDs should be high, because the QDs act as a gain medium. The density of QDs shown in Figure 9.8 is ∼5 × 1010 cm−2 ; this can increase up to 1 × 1011 cm−2 by tuning the growth conditions. However, the increase in QD density is limited by spatial restrictions. Assuming the QDs have a diameter of 20 nm and form a closely packed structure, their density would be limited to 3 × 1011 cm−2 . In order to further increase the density of QDs, a stacked structure should be considered. The stacked structure is a common method to increase the gain in QWs with a lattice-matched materials structure. However, self-assembled QD are obtained by lattice-mismatched materials systems, hence the accumulation of strain energy becomes an issue during the growth; this is particularly challenging for a stacking with more than 10 layers. However, the strain energy is an important factor, as this acts as a driving force for the self-assembly process. The accumulated strain energy changes the size and shape of the QDs, and excessive strain energy leads to defects and dislocations which degrade the QDs’ crystal quality [40, 41].

160

Molecular Beam Epitaxy

In order to overcome this challenge, strain-compensation techniques have been developed, where the tensile strain in the QDs layer is compensated by the compressive strain in the capping layer (or spacer layer) [35, 42]. By using this method, the strain energy can be compensated by the pair of an InAs QD layer and a spacer layer, without limiting the number of stacking layers. For example, 300 InAs QDs layers have been stacked by using the strain-compensation technique without any degradation of the structure or crystal quality [42]. In this chapter, InGaAlAs (it has a slightly smaller lattice constant compared to that of InP) was used as a strain-compensation layer on an InP(311)B substrate. The strain-compensation condition is given by: dQD • 𝜀QD = −dsp • 𝜀sp aInAs − aInP aInP aspacer − aInP

𝜀QD = 𝜀sp =

aInP

(9.1) (9.2) (9.3)

where dQD and dsp denote the thickness of the QD layer and the spacer layer, respectively, while aInAs , aspacer , and aInP are the lattice constants of InAs, InGaAlAs, and InP, respectively. The advantage of this method is that the parameters (such as thickness and composition) of both InAs and spacer layer can be changed even if the strain-compensation condition is satisfied. Therefore, the QDs’ emission wavelength can be controlled by changing the thickness of the InAs or spacer layer, or by changing the composition of the spacer layer; this is of great interest for various device applications. The detailed structure of a highly stacked QD laser is discussed in Refs. [43, 44], where the laser structures have been grown by MBE, as follows. First, an n-type InAlAs cladding layer was grown on an InP(311)B substrate, and then 20–30 layers of InAs QDs (active region) were grown using the strain-compensation technique. This was followed by the growth of a p-type InAlAs cladding layer and a p-type InGaAs contact layer. After the growth, using conventional ultraviolet (UV) lithography, dry etching, and metal evaporation, the wafer was processed in order to produce a ridge waveguide structure, while the laser cavity was fabricated by cleaving. The fabricated waveguide structure has a width of 2.7 μm and a length of 1 mm. The evaluation of this laser was conducted in a pulsed-current mode with 2 μs pulse duration and 1% duty; the current–light output (I–L) curve is shown in Figure 9.9. It can be noticed that the threshold current is 77 mA at room temperature (20 ∘ C), which corresponds to a current density of 2.85 kA cm−2 . Figure 9.10 shows the spectra before (dotted line) and after (solid line) lasing, measured at an input current of 65 and 111 mA, respectively. The lasing wavelength is 1520 nm, which is close to the peak of the spectrum before the lasing. Therefore, the lasing occurs at the energy level of the QDs’ ground states. Some research groups have reported that the lasing occurs at the energy level of the QDs’ excited states, because the density of the QDs is not very high [2, 3, 45]. On the other hand, a highly stacked QD laser has enough density to make the lasing at excited energy levels suppressed.

Applications of III–V Semiconductor Quantum Dots in Optoelectronic Devices

161

2.0

Power (mW)

1.5

1.0

0.5

0.0 0

50

100

150

200

Current (mA)

I–L curve of a highly stacked QD laser.

Intensity (arb. units)

Figure 9.9

1400

1450

1500 1550 1600 Wavelength (nm)

1650

Figure 9.10 Electroluminescence spectra before (dotted line) and after (solid line) lasing measured at an input current of 65 and 111 mA, respectively.

Figure 9.11 shows the temperature dependence of the I–L characteristics for a highly stacked QD laser. The most important feature of QD lasers is the temperature-independent threshold current. As mentioned before, as the density of states of a QD becomes delta-function (discrete) shaped and the carrier redistribution is suppressed with increasing temperature, in an ideal QD laser the threshold current is temperature independent.

162

Molecular Beam Epitaxy 2.0

Power (mW)

1.5

20°C to 80°C 10°C step

1.0

0.5

0.0 0

Figure 9.11

200 Current (mA)

400

Temperature dependence of the I–L curve of a highly stacked QD laser.

The conventional temperature dependence of the threshold current (Ith ) for a semiconductor laser is: ( ) T (9.4) Ith = I0 exp T0 where I0 , T0 , and T denote a constant, characteristic temperature, and measurement temperature, respectively. The large characteristic temperature makes the threshold current almost temperature independent. The highly stacked QD laser has a large characteristic temperature; the maximum value is 160 K for a semiconductor laser operating at 1550 nm telecom band. The improvement of the semiconductor laser’s properties is demonstrated not only by the temperature independence but also by the speed of direct modulation, short pulse generation, and high-frequency repetition in mode-locked lasers. Self-assembled QDs are also of interest for applications in SOAs. The pattern effect present in amplified signals, which is usually observed in bulk or QW-based SOAs, is expected to be suppressed in QD-SOAs. A QD-SOA operating at 1550 nm telecom band has been developed in Ref. [7], where the 40 Gbps high-speed signal was amplified without pattern effects, due to the high-speed carrier dynamics of QD-SOAs. The obtained chip gain and saturation power were over 25 dB and 20 dBm, respectively, which is suitable for applications in fiber-optic communication systems. In addition, highly stacked QDs have also been employed to fabricate SOAs and obtain a module gain larger than 25 dB. In particular, an extremely high-speed response of ∼220 Gbps is demonstrated in Ref. [46]. Another application as a light source is in “two-mode lasers,” which can be used to generate millimeter and terahertz electromagnetic waves. Usually, if the separation is constant, there is a beat signal between two or a few laser lines (multimode lasing). However, when the gain material is in bulk or a QW, there is a “mode competition” for these modes, as the carriers’ density of states in such a case is continuous. Therefore, the millimeter and terahertz waves will be unstable. On the other hand, the mode competition should be suppressed in QD lasers because of the discrete energy levels of the QD carriers.

Applications of III–V Semiconductor Quantum Dots in Optoelectronic Devices Narrow band-pass filter Mirror AR Lenz

Etalon filter (FSR: 90–GHz)

Figure 9.12

163

Single-mode fiber

Igain Lenz

Highly stacked QD optical gain

Schematic of an external cavity QD laser for two-mode lasing. 0

89.5 GHz

–10

Power (dBm)

–20 –30 –40 –50 –60 –70 –80 –90 1538

Figure 9.13

1540 1542 Wavelength (nm)

1544

Two-mode lasing spectrum with a mode separation of 90 GHz.

An example of a two-mode laser consisting of an external cavity that includes a QD gain chip, etalon filter, wavelength tunable band pass filter, and end mirror is shown in Figure 9.12. For this configuration, the mode separation is determined by the etalon filter, while the two modes are chosen by using a band pass filter. The obtained two-mode lasing spectrum is shown in Figure 9.13. A stable two-mode emission was observed at around 1541 nm. The actual mode separation of these two modes is 89.5 GHz, which is expected taking into account the design of the etalon filter. The difference in intensity between the two modes is almost zero, while the side-mode suppression ratio is over 50 dB. The simultaneousness of these two emissions, instead of mode hopping, was confirmed by measuring the beat signal using Michelson interferometry. Moreover, the separation between the two modes can be tuned by the etalon filter. Indeed, two mode emissions with a separation of 300 GHz and 1 THz were obtained, as shown in Figure 9.14. In addition, a millimeter wave was generated by combining the two-mode laser with a high-speed uni-traveling carrier photodiode (UTC-PD). These results reveal that the systems employed for producing millimeter and terahertz waves can be miniaturized. In addition to the applications in emitting devices, QDs find applications in detective devices such as photodetectors and solar cells. For such devices, high-density QDs are advantageous in achieving high performance, hence the increase in QD density by strain compensation becomes important. There is an obvious advantage in using QDs as an

164

Molecular Beam Epitaxy –10

0

299 GHz

Power (dBm)

Power (dBm)

–20 –30 –40

–20 –30 –40 –50

–50 1515

1520

1525

Wavelength (nm)

Figure 9.14

990 GHz

–10

1515

1520

1525

Wavelength (nm)

Two-mode lasing spectra with 299 GHz (left) and 990 GHz (right) separation.

absorption material in avalanche photodiodes (APDs), where InGaAs is commonly used for the absorption layer operating at 1550 nm wavelength region telecom band. APDs require a high reversed bias in order to achieve a multiplication of the electric signal, however, this is restricted by the InGaAs breakdown voltage. On the other hand, as the QDs in a QD-APD structure can absorb light at 1550 nm wavelength region, an electric field is applied to the barrier layer of the QD layer which has a larger band gap than that of InGaAs. This can increase the value of the QD-APD applied voltage compared to that for a conventional APD. Therefore, QD-APDs can operate at a higher voltage and can achieve a higher multiplication factor [47]. In addition, QDs are employed for the absorption layer of solar cells and are expected to increase the efficiency of the conversion from sunlight to electrical power.

9.4

Recent Progress in QD Lasers

Since the development of the first QD laser 20 years ago, researchers have investigated the advanced applications of QD lasers as well as the fabrication of hybrid integrated structures for silicon photonics. The improvement of a QD-laser diode (QD-LD) operating at 1.55 μm wavelength was achieved in Refs. [48, 49], where a high-speed direct modulation and a narrow linewidth were demonstrated in distributed feedback (DFB) lasers. In the high-speed modulation laser, 35 Gbps direct modulation was achieved. In addition, such lasers can operate up to a temperature of 125 ∘ C, facilitating temperature-stable operation. For the narrow-linewidth lasers, an integrated DFB structure was adapted, and a linewidth of 150 kHz was achieved. A micro-disk laser excited by a current injection is reported in Ref. [50], where an InAs QDs structure was grown on a GaAs substrate, with an emission at the 1.3 μm wavelength region. The micro-disk cavity with a diameter ranging from 15 to 31 μm was fabricated using plasma chemical etching of deep cylindrical mesas. This laser showed lasing with a threshold current of 1.6 mA in continuum current mode, at room temperature. The research on QD lasers integrated on silicon wafers proceeds in two directions: direct growth of III–V materials on Si wafers and wafer bonding of a III–V material and Si. In Ref. [51],

Applications of III–V Semiconductor Quantum Dots in Optoelectronic Devices

165

a low threshold current characteristic was shown for an InAs QD laser grown directly on a Si substrate. In their study, InAs QDs are used for the dislocation filter at the interface between the III–V material and Si, as well as for the gain medium at the active region. In the dislocation filter region, the InAs QDs adopt the dislocations, hence the number of dislocations in the III–V materials (grown on top of this layer) can be decreased. In addition, the authors reported the optimization of the annealing process performed after growth. They showed that the threshold current of the ridge waveguide laser with a width of 10 μm and a length of 3 mm is ∼100 mA (in pulsed operating mode) and that the lasing wavelength is 1280 nm. The reduction in threshold current (continuous-wave operating mode) is the subject of future study. On the other hand, the wafer-bonding method showed better characteristics. In Ref. [52], a QD laser structure with eight QD layers was bonded on a silicon-on-insulator (SOI) wafer using oxygen plasma treatment in which the Si waveguide had been fabricated. The length of the QD gain region and that of the Si waveguide were 2 and 3 mm, respectively. The optical gain of the QDs was coupled to the Si waveguide and showed lasing in the Si waveguide. This hybrid structure shows lasing with a threshold current of 35 mA and a lasing wavelength of 1300 nm at room temperature, in continuous-wave operating mode. In addition, the lasing for this device can be observed up to a temperature of 100 ∘ C. In Ref. [53], a QD laser structure with eight QD layers was bonded on a Si substrate by using the UV ozone method. Subsequently, the ridge waveguide was fabricated and the wafer was cleaved in order to produce a cavity mirror with a length of 500 μm. This device shows lasing with a threshold current of 41 mA at room temperature, and continuous-wave operating mode. In addition, this laser shows an ability to operate at high temperatures (up to 60 ∘ C) and achieve a high-speed direct modulation of 6 Gbps. In Ref. [54], a novel laser is reported, where InGaAs QDs are embedded in a GaAs nanowire. The nanowire has a diameter of 180 nm and acts as a very small optical cavity. The lasing wavelength varies from 840 to 860 nm, starting from low temperatures up to a temperature of 125 K. For this device, although the excitation is achieved by optical pumping, a very small volume and low power consumption are expected. There is ongoing research on the improvement of the performance of QD lasers and their applications.

9.5

Summary

In this chapter, applications of III–V semiconductor QDs in optoelectronic devices were discussed. In particular, we focused on semiconductor QD lasers. The InAs QD lasers grown on GaAs and InP substrates were explained, both of which showed temperature-stable characteristics based on the change in density of states of carriers in QDs. In addition, combinations of QD technology and others (such as Si photonics) have shown great progress.

References 1. Arakawa, H. and Sakaki, H. (1982). Applied Physics Letters 40: 939. 2. Huang, X., Stintz, A., Hains, C.P. et al. (2000). IEEE Photonics Technology Letters 12: 227. 3. Wang, J.S., Hsiao, R.S., Chen, J.F. et al. (2005). IEEE Photonics Technology Letters 17: 1590.

166 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47.

Molecular Beam Epitaxy Liu, C.Y., Yoon, S.F., Cao, Q. et al. (2007). Applied Physics Letters 90: 041103. Kovsh, A.R., Maleev, N.A., Zhukov, A.E. et al. (2002). Electronics Letters 38: 1104. Ishida, M., Hatori, N., Akiyama, T. et al. (2004). Applied Physics Letters 85: 4145. Sugawara, M., Hatori, N., Ishida, M. et al. (2005). Journal of Physics D 38: 2126. Saito, H., Nishi, K., Kamei, A., and Sugou, S. (2000). IEEE Photonics Technology Letters 12: 1298. Goldstein, L., Glas, F., Marzin, J.Y. et al. (1985). Applied Physics Letters 47: 1099. Kirstaedter, N., Ledentsov, N.N., Grundmann, M. et al. (1994). Electronics Letters 30: 1416. Shoji, H., Mukai, K., Ohtsuka, N. et al. (1995). IEEE Photonics Technology Letters 7: 1385. Kamath, K., Bhattacharya, P., Sosnowski, T. et al. (1996). Electronics Letters 32: 1374. Mirin, R., Gossard, A., and Bowers, J. (1996). Electronics Letters 32: 1732. Stranski, I.N. and Krastanow, L. (1937). Akademie der Wissenschaften in Wien. MathematischNaturwissenschaftliche Klasse-IIb 146: 797. Schaffer, W.J., Lind, M.D., Kowalczyk, S.P., and Grant, R.W. (1983). Journal of Vacuum Science and Technology B1: 688. Lewis, B.F., Lee, T.C., Grunthaner, F.J. et al. (1984). Journal of Vacuum Science and Technology B2: 419. Glas, F., Guill, C., Henoc, P., and Houzay, F. (1987). Institute of Physics Conference Series 87: 87. Houzay, F., Guille, C., Moisson, J.M. et al. (1987). Journal of Crystal Growth 81: 67. Berger, P.R., Chang, K., Bhattacharya, P. et al. (1988). Applied Physics Letters 53: 684. Guha, S., Madhukar, A., and Rajkumar, K.C. (1990). Applied Physics Letters 57: 2110. Tabuchi, M., Noda, S., and Sasaki, A. (1992). Science & Technology of Mesoscopic Structures, 379. New York: Springer. Leonard, D., Krishnamurthy, M., Reaves, C.M. et al. (1993). Applied Physics Letters 63: 3203. Arakawa, Y. and Yariv, A. (1986). IEEE Journal of Quantum Electronics QE-22: 1887. Grabow, M.H. and Gilmer, G.H. (1988). Surface Science 194: 333. Shoji, H., Nakata, Y., Mukai, K. et al. (1996). Japanese Journal of Applied Physics 35: L903. Nakata, Y., Mukai, K., Sugawara, M. et al. (2000). Journal of Crystal Growth 208: 93. Tackeuchi, A., Nakata, Y., Muto, S. et al. (1995). Japanese Journal of Applied Physics 34: L405. Nishi, K., Saito, H., and Sugou, S. (1999). Applied Physics Letters 74: 1111. Mukai, K., Nakata, Y., Ohtsubo, K. et al. (1999). IEEE Photonics Technology Letters 11: 1205. Mukai, K., Nakata, Y., Ohtsubo, K. et al. (2000). Applied Physics Letters 76: 3349. Mukai, K., Nakata, Y., Ohtsubo, K. et al. (2000). IEEE Journal of Quantum Electronics 36: 472. Nishi, K., Kageyama, T., Yamaguchi, M. et al. (2013). Journal of Crystal Growth 378: 459. Kageyama, T., Takada, K., Nishi, K. et al. (2012). Proceedings of SPIE 8277: 82770C-1. Akahane, K., Yamamoto, N., Gozu, S.I. et al. (2008). Physica E 40: 1916. Akahane, K., Ohtani, N., Okada, Y., and Kawabe, M. (2002). Journal of Crystal Growth 245: 31. Li, H., Zhuang, Q., Kong, X. et al. (1999). Journal of Crystal Growth 205: 613. Stintz, A., Rotter, T.J., and Malloy, K.J. (2003). Journal of Crystal Growth 255: 266. Sritirawisarn, N., van Otten, F.W.M., Eijkemans, T.J., and Nötzel, R. (2007). Journal of Crystal Growth 305: 63. Akahane, K. and Yamamoto, N. (2013). Journal of Crystal Growth 378: 450. Shiramine, K., Horisaki, Y., Suzuki, D. et al. (1998). Japanese Journal of Applied Physics 37: 5493. Kim, K.M., Park, Y.J., Roh, C.H. et al. (2003). Japanese Journal of Applied Physics 42: 54. Akahane, K., Yamamoto, N., and Kawanishi, T. (2011). Physica Status Solidi A 208: 425. Akahane, K., Yamamoto, N., and Tsuchiya, M. (2008). Applied Physics Letters 93: 041121. Akahane, K., Yamamoto, N., and Kawanishi, T. (2010). IEEE Photonics Technology Letters 22: 103. Markus, A., Chen, J.X., Paranthoën, C. et al. (2003). Applied Physics Letters 82: 1818. Matsumoto, A., Akahane, K., Sakamoto, T. et al. (2017). Physica Status Solidi A 214: 1600557. Umezawa, T., Akahane, K., Kanno, A., and Kawanishi, T. (2014). Applied Physics Express 7: 032201.

Applications of III–V Semiconductor Quantum Dots in Optoelectronic Devices 48. 49. 50. 51. 52. 53. 54.

167

Banyoudeh, S., Eyal, O., Abdollahinia, A. et al. (2017). Proceedings of SPIE 10123: 1012306. Becker, A., Sichkovskyi, V., Bjelica, M. et al. (2017). Applied Physics Letters 110: 181103. Kryzhanovskaya, N.V., Maximov, M.V., Blokhin, S.A. et al. (2016). Semiconductors 50: 390. Orchard, J.R., Shutts, S., Sobiesierski, A. et al. (2016). Optics Express 24: 6196. Kurczveil, G., Liang, D., Fiorentino, M., and Beausoleil, R.G. (2016). Optics Express 24: 16167. Jhang, Y.H., Mochida, R., Tanabe, K. et al. (2016). Optics Letters 24: 18428. Ho, J., Tatebayashi, J., Sergent, S. et al. (2016). Nano Letters 16: 2845.

10 Applications of III–V Semiconductors for Mid-infrared Lasers Yuichi Kawamura Graduate School of Engineering, Osaka Prefecture University, Osaka 599-8531, Japan

10.1

Introduction

Mid-infrared semiconductor lasers operating at room temperature with emission wavelengths beyond 2 μm have gathered much interest because of their applications to chemical analyses, remote sensing, the spectroscopic identification of gases with strong absorption lines in this wavelength range (CH4 , CO2 , SO2 , etc.), and biomedicals. This is because many absorption peaks of chemical molecules of various materials exist in this wavelength region. As is well known, in the mid-infrared wavelength region, it is very difficult to achieve room temperature operation with a low threshold current because of a large Auger effect and/or a large free carrier absorption effect. In addition, the molecular beam epitaxy (MBE) growth of III–V semiconductor materials for mid-infrared lasers has particular difficulties, because the crystal quality of substrates such as GaSb and InAs is not good enough compared with that of GaAs and InP. Also, many kinds of group V elements (such as As, Sb, and N) are used for quantum-well active layers, so that the very precise composition control of group-V elements is necessary. However, recent developments in epitaxy technology make it possible to fabricate high-performance mid-infrared lasers. There are several semiconductor materials which are suitable for mid-infrared lasers. Among these, GaSb-based lasers have been studied most extensively. Especially, InGaAsSb quantum-well lasers on GaSb substrates show excellent laser characteristics, such as low threshold current density, high light output power, and high operating temperature. Also, InAs-based lasers have been studied for mid-infrared light sources operating at wavelengths beyond 3 μm. Another interesting Molecular Beam Epitaxy: Materials and Applications for Electronics and Optoelectronics, First Edition. Edited by Hajime Asahi and Yoshiji Horikoshi. © 2019 John Wiley & Sons Ltd. Published 2019 by John Wiley & Sons Ltd.

170

Molecular Beam Epitaxy

material system is InP-based quantum-well lasers for the mid-infrared wavelength region. As is well known, InP-based lasers are very important for fiber-optic communication systems in the 1.3–1.5 μm wavelength region. Quantum cascade lasers (QCLs) operating in the 4–10 μm wavelength region are also very important, and have been developed in recent years. In this chapter, GaSb-based lasers, InP-based lasers, and InAs-based lasers operating in the mid-infrared wavelength region are described. QCLs will be described elsewhere.

10.2

GaSb-Based Lasers

GaSb-based lasers with InGaAsSb type I quantum wells have shown remarkable progress in recent years. In the 2 μm wavelength region, lasers with high output powers have been achieved. Room temperature continuous wave (CW) operation with 1 W light output was obtained at 2.5 μm [1]. The threshold current density is 250 A cm−2 and the T0 value is 83 ∘ C. In addition, under pulsed operation, output power of near 5 W was obtained. Room temperature CW operation at 2.72 μm with a threshold current density of 356 A cm−2 was also reported [2]. The barrier layers of these lasers are AlGaInAsSb layers. Vertical cavity surface-emitting laser diodes (VCSELs) are very suitable for chemical sensing using mid-infrared lasers, since single-mode operation can easily be obtained. Recently, GaSb-based VCSELs operating at 2.6 μm were successfully achieved [3]. The output power is 0.3 mW at room temperature and the threshold current density is 5 kA cm−2 . GaSb-based distributed feedback (DFB) lasers with single-mode operation were also fabricated with an emission wavelength of 2.2 μm and a side-mode suppression ratio of 34 dB [4]. GaSb-based lasers operating at 3 μm wavelength at room temperature have been successfully developed with recent technological progress. Room temperature CW operation at 3.44 μm was achieved for an InGaAsSb quantum well with AlGaInAsSb barrier layers [5]. Figure 10.1 shows the light–current characteristic of the lasers operating at 3.4 μm. It is known from this figure that the output power is more than 40 mW at 12 ∘ C. Also, by using 2.36% compressive strained InGaAsSb quantum wells, room temperature pulsed operation at 3.2 μm wavelength was obtained [6]. Output power as high as 250 mW was obtained.

10.3

InP-Based Lasers

As already mentioned, GaSb-based materials have been studied most extensively. However, device process technologies for GaSb-based materials need further improvement to achieve better crystal growth technology. On the other hand, the crystal quality of InP substrates is better than that of GaSb substrates, and device technologies of InP-based lasers are more established through research on devices for optical communication systems. Using a strained InAs/InGaAs quantum well, 2.4 μm wavelength CW operation was achieved recently at room temperature [7]. The laser diode was grown by gas-source MBE. Figure 10.2 shows the lasing spectra of the InAs quantum-well laser from 200 to 340 K. The threshold current density is 210 A cm−2 at room temperature and the T0 value is as high as 99 K. The output power is about 11 mW at 300 K. Strained InAs/InGaAs quantum-well

Applications of III–V Semiconductors for Mid-infrared Lasers 3.0

50 1.2A 17°C

12°C 2.5

3.35

3.40 λ(μm) CW cavity 2 mm stripe 100 μm AR/HR

30

20

3.45

2.0

17°C

1.5 1.0

22°C 10

0.5

27°C 0 0.0

0.5

1.0

1.5 2.0 2.5 Current (A)

3.5

3.0

Voltage (V)

40 Power (mW)

171

0.0 4.0

Figure 10.1 Light–current characteristic of GaSb-based InGaAsSb quantum-well lasers operating at 3.4 μm. Source: After Belenky et al. [5].

L = 0.8 mm, W = 6 μm Wavelength (μm)

1.2 nm/K

2.40

320 K

2.35 2.30

300 K

2.25 200 250 300 350 Temperature (K)

280 K 260 K 240 K 220 K 200 K

2.2

Intensity (arb.units)

Intensity (arb.units)

340 K 2.45

T = 300 K

2.365 2.370 2.375 2.380 Wavelength (μm)

2.3 2.4 Wavelength (μm)

2.5

Figure 10.2 Lasing spectra of the InP-based InAs quantum-well laser from 200 to 340 K. Source: After Gu et al. [7].

DFB lasers operating at 2.3 μm were also demonstrated, where the DFB lasers were grown by metal-organic vapor-phase epitaxy [8]. On the other hand, laser diodes using dilute nitride III–V compound semiconductors have also been studied extensively [9–11]. As is well known, the introduction of dilute nitrogen induces a decrease in the bandgap of the epitaxial layers [12]. Several material systems have been studied, such as InAsN quantum wells [9], InGaAsN quantum wells [10], and InAsSbN quantum wells [11]. Figure 10.3 shows (a) the electroluminescence spectrum

172

Molecular Beam Epitaxy

EL intensity (a.u.)

300 K

2000

2200

2400 2600 2800 Wavelength (nm) (a)

3000

Intensity (a.u.)

220 K

1800

2100 2400 2700 Wavelength (μm) (b)

3000

Figure 10.3 Electroluminescence spectrum (a) and lasing spectrum (b) of InP-based InAsSbN quantum-well lasers. Source: After Shono et al. [11].

at 300 K and (b) the lasing spectrum at 220 K of the InAsSbN quantum-well lasers. The nitrogen concentration of the InAsSbN quantum-well layer is 1% and the antimony concentration is 2%. The peak wavelength of electroluminescence is 2.53 μm at 300 K and the lasing wavelength was 2.36 μm at 220 K, respectively. Other very promising InP-based lasers are InGaAs/GaAsSb type II quantum-well lasers. CW operation was obtained at 2.55 and 2.7 μm at room temperature [13, 14]. Figure 10.4 shows the band structure of the InP-based type II InGaAs/GaAsSb quantum wells [13]. In this structure, the effective bandgap decreases and longer-wavelength emission can be obtained. The threshold current density of these lasers is 1.3–1.7 kA cm−2 at room temperature. Furthermore, as a light-emitting diode, room temperature light emission up to 3.5 μm was achieved using highly strained InGaAs/GaAsSb type II quantum wells [15]. By combining a dilute nitride semiconductor and type II quantum-well structure, electroluminescence at 2.8 μm was obtained at room temperature for InGaAsN/GaAsSb type II quantum-well light-emitting diodes [16].

Applications of III–V Semiconductors for Mid-infrared Lasers

173

AIAsSb electron-block

Energy (eV)

–4.5

AR with W-shaped QWs

–5.0

p-AIInAs

electrons

–5.5

n-GaAsSb n-InP

p-GalnAs p-InP

–6.0 –6.5 AIGaInAs hole-block

–7.0

1100

1000

1200

holes 1300

1400

Growth direction (nm) (a) GaAsSb

–5.0

Energy (eV)

Ψe-antisym. –5.5 Ψe-sym. –6.0 ΨHH

GaAs0.58Sb –6.5

GaInAs 0

5

15 10 Growth direction (nm)

20

(b)

Figure 10.4 Band structure of InP-based type II InGaAs/GaAsSb quantum-well lasers. Source: After Sprengel et al. [13].

InP-based VCSELs operating at 2.36 μm were obtained using strained InGaAs quantum wells [17]. Furthermore, VCSELs operating at 2.49 μm were recently achieved using InGaAs/GaAsSb type II quantum wells [18].

10.4

InAs-Based Lasers

InAs-based mid-infrared lasers have also been fabricated by MBE. For example, CW operation at 3.5 μm wavelength was obtained at 175 K for InAsSb/InAlAsSb type I quantum-well lasers [19]. The T0 value is 40 K. In the pulsed condition, laser operation was obtained at 225 K. Also, CW operation at 3.5 μm wavelength was obtained at 90 K for InAsSb/InAs type II quantum-well lasers [20]. The T0 value is about 40 K. However, the most studied InAs-based lasers have been concentrated in the fabrication of QCLs or interband cascade lasers, which will be described elsewhere.

174

Molecular Beam Epitaxy

10.5

Conclusion

MBE-grown mid-infrared semiconductor lasers operating in the 2–3 μm wavelength region have been described. GaSb-based InGaAsSb quantum-well lasers are studied most extensively, and high-power mid-infrared lasers were obtained in the 2 μm wavelength region. In addition, GaSb-based quantum-well lasers operating at 3 μm were also achieved by recent progress in epitaxial technology. On the other hand, it was shown that InP-based lasers are very promising for mid-infrared semiconductor lasers operating with emission wavelengths beyond 2 μm, where strained InAs quantum wells, dilute nitride semiconductor quantum wells, and InGaAs/GaAsSb type II quantum wells are used effectively. InAs-based lasers operating in the 3 μm wavelength region were also obtained using MBE. Further developments are still underway to achieve excellent lasing characteristics.

References 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20.

Kim, J.G., Shterengas, L., Martinelli, R.U. et al. (2002). Appl. Phys. Lett. 81: 3146. Li, W., Heroux, J.B., Shao, H., and Wang, W.I. (2004). Appl. Phys. Lett. 84: 2016. Ikyo, A.B., Marko, I.P., Hild, K. et al. (2016). Sci. Rep. 6: 19595. Gaimard, Q., Cerutti, L., Teissier, R., and Vicet, A. (2014). Appl. Phys. Lett. 104: 161111. Belenky, G., Shterengas, L., Kipshidze, G., and Hosoda, T. (2011). IEEE J. Select. Top. Quant. Electron. 17: 1426. Kaspi, R., Lu, C.A., Newell, T.C. et al. (2015). J. Cryst. Growth 424: 34. Gu, Y., Zhang, Y., Cao, Y. et al. (2014). Appl. Phys. Express 7: 032701. Sato, T., Mitsuhara, M., Nunoya, N. et al. (2008). IEEE Photon. Lett. 20: 1045. Shih, D.-K., Lin, H.-H., and Lin, Y.H. (2001). Electron. Lett. 37: 1343. Kohler, H., Wagner, J., Ganser, P. et al. (2004). J. Phys.: Condens. Matter. 16: s2995. Shono, T., Mizuta, S., and Kawamura, Y. (2013). J. Cryst Growth 378: 69. Kondow, M., Uomi, K., Niwa, A. et al. (1996). Jpn. J. Appl. Phys. 35: 1273. Sprengel, S., Andrejew, A., Vizbaras, K. et al. (2012). Appl. Phys. Lett. 100: 041109. Sprengel, S., Veerabathran, G.K., Andrejew, A. et al. (2015). Proc. SPIE 9382: https://doi.org/ 10.1117/12.2078779. Grasse, C., Wiecha, P., Gruendi, T. et al. (2012). Appl. Phys. Lett. 101: 221107. Kawamura, Y. and Sahashi, T. (2014). Jpn. J. Appl. Phys. 53: 028004. Boehm, G., Gran, M., Dier, O. et al. (2007). J. Crystal Growth 301: 941. Sprengel, S., Andrejew, A., Federer, F. et al. (2015). Appl. Phys. Lett. 106: 151102. Choi, H.K., Turner, G.W., Manfra, M.J., and Connors, M.K. (1996). Appl. Phys. Lett. 68: 2936. Wilk, A., Gazouli, M., Skouri, M. et al. (2000). Appl. Phys. Lett. 77: 2298.

11 Molecular Beam Epitaxial Growth of Terahertz Quantum Cascade Lasers Harvey E. Beere and David A. Ritchie Department of Physics, Cavendish Laboratory, University of Cambridge, Cambridge CB3 0HE, UK

11.1

Introduction

The terahertz (THz) frequency region of the electromagnetic spectrum (1–10 THz; ∼300–30 μm; 4–40 meV) has many important potential applications such as imaging, chemical spectroscopy and telecommunications [1]. This is a combination of the unique properties associated with this frequency regime. The penetration depth of THz waves through many materials in ordinary use, such as plastic and cloth, increases substantially with decreasing frequency. The vibrational modes of large molecules are in this frequency range, lending it to both chemical and biomedical sensing and imaging. In space, most molecules emit their rotational spectra in the THz, allowing processes in star formation and interstellar medium (gas clouds) to be observed. Communications over this frequency band are currently unallocated and have the potential for fast, terabit-per-second data link capacities. Consequently, there has been a strong drive to develop compact, low-cost, efficient THz sources. Although semiconductor devices traditionally account for a large share of the sources of electromagnetic waves, the THz range has remained substantially uncovered. The demonstration of the THz quantum cascade laser (QCL) in 2002 provided a potential solid-state solution [2]. Significant progress has been made in subsequent years, offering frequencies from 1.2 to 5 THz [3], maximum operating temperatures approaching 200 K [4], peak output powers >2 W [5] and an ultra-broadband (>1 THz) operating frequency range from a single laser [6]. Molecular Beam Epitaxy: Materials and Applications for Electronics and Optoelectronics, First Edition. Edited by Hajime Asahi and Yoshiji Horikoshi. © 2019 John Wiley & Sons Ltd. Published 2019 by John Wiley & Sons Ltd.

176

Molecular Beam Epitaxy

Any THz QCL needs to surmount two fundamental problems. The first is how to achieve large carrier population inversions below the longitudinal optical (LO) phonon energy (34–36 meV; 8–9 THz), since direct electron-LO phonon scattering processes are traditionally used in mid-infrared QCL designs [7]. The second challenge is to develop a suitable waveguide with low free-carrier absorption losses that can confine the long-wavelength light to an epilayer that is compatible with epitaxial growth techniques. QCLs are unipolar devices that are based on inter-subband transitions of electrons in the conduction band of a specifically engineered semiconductor heterostructure. This is in contrast to a conventional solid-state semiconductor laser that relies on the radiative recombination of conduction band electrons with valence band holes across the band gap of the active semiconductor material. Since the energy and envelope functions of the subbands in a QCL structure can be controlled by the thickness of the individual layers and by the applied bias, the band gap of the semiconductor is, for the most part, irrelevant. Consequently, mature material systems such as GaAs/AlGaAs [4–6], InGaAs/InAlAs [8] and InGaAs/GaAsSb [9] have been utilised to produce THz QCLs. To this end, an active ‘gain’ region typically hosts three levels, of which the upper two (levels 1 and 2) form the laser transition, and the third efficiently extracts electrons from the lower laser state to maintain population inversion. These active regions are connected by injector/extraction structures that collect electrons from the previous active region, cool down the carrier distribution and inject them again into the upper laser level of the following active region. Injector/collector regions and active regions constitute a building block (so-called ‘period’) that can be repeated many times, typically 100–200. Under appropriate bias, all periods line up to form the potential ‘cascade’, the active core of the laser, in which the electrons travel downstream, emitting ideally one photon at each step of the cascade. Figure 11.1 shows the conduction band profiles for two different THz QCL active region designs under optimal lasing bias. Figure 11.1(a) shows a bound-to-continuum design comprising 18 individual layers, nine GaAs quantum wells and nine Al0.15 Ga0.85 As barriers, which in a full laser design will be repeated 90 times [10]. The lasing transition was designed to be 11 meV or 2.66 THz under an applied electric field of 2.1 kV cm−1 . Figure 11.1(b) shows a short bound-to-continuum design with a single quantum well phonon extraction/injection stage comprising eight individual layers, four GaAs quantum wells and four Al0.15 Ga0.85 As barriers, which in a full laser design will be repeated 160–180 times [12]. The lasing transition was designed to be 12 meV or 2.90 THz under an applied electric field of 7.6 kV cm−1 . Doping in the injection structures for both designs is required to ensure, during operation, that there are enough carriers to avoid depletion and space-charge formation, whilst keeping free carrier absorption to a minimum. Another significant challenge in the production of a solid-state THz laser was the development of a suitable waveguide to confine the long-wavelength light to an epilayer compatible with epitaxial technologies without imposing high absorption losses onto the laser mode. Two classic waveguiding approaches have been adopted, the single plasmon waveguide [2] and the metal–metal waveguide [14]. However, the recent development of the hybrid plasmonic waveguide offers an interesting approach that combines the advantages of both waveguide designs [15]. For further reading in the differences in active region design and the classes of THz QCL waveguide, excellent reviews are given in Refs [16, 17]. The complete THz QCL structure comprises many repeats of this active region, typically

Molecular Beam Epitaxial Growth of Terahertz Quantum Cascade Lasers

177

100

Energy/meV

80

60

∣2> ∣g> ∣1>

40 Injection barrier 20 1 Period

0

50

100

i

150 Distance/nm (a)

200

250

Injection barrier

0.08 0.06 0.04 Energy/eV

0.02 0 –0.02

u

i

–0.04 e

–0.06

LO

–0.08

1 period

i

–0.1 40

60

80

100 Distance/nm

120

140

(b)

Figure 11.1 Self-consistent calculation of portions of the conduction band diagram under optimal lasing bias for (a) 2.7 THz bound-to-continuum design with miniband extraction [10] under applied electric field of 2.1 kV cm−1 (Source: Reproduced from [11]) and (b) 3 THz bound-to-continuum with a phonon extraction/injection design [12] under applied electric field of 7.6 kV cm−1 (Source: Reproduced from [13]). Labelled states are upper laser (|2> or u), lower laser (|1> or l) and injector (|g> or i), respectively.

10 μm thick, embedded between top and bottom heavily doped layers, that not only facilitate waveguiding but also provide electrical contacts. The epitaxial wafer is then processed into a laser device using conventional semiconductor processing, and mounted onto the cold head of a cryostat, as described in Ref. [18]. Consequently, the epitaxial growth for any THz QCL, irrespective of active region design, comprises 1200–1600 individual layers.

178

Molecular Beam Epitaxy Wavenumber (cm−1)

Current (A)

60 1.0 40 0.5

86

88

90

92 1.0 A 1.2 A 1.4 A 1.6 A 1.8 A

750 Intensity (arb.)

80

1.5

1000

Luminosity (mW)

Voltage (V)

0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 1.6 1.8 2.0 3.0 4K 140 20 K 2.5 40 K 120 60 K 80 K 2.0 100 85 K

500

250

20

0.0

0 0

50

100

150

200

0

250

2.60

2

Current density (A/cm )

2.70

2.65

2.75

Frequency ( THz)

(a)

(b)

(A)

(B) ∣2> ∣1>

∣2> ∣1>

∣2> ∣1>

∣2> ∣1>

∣2> ∣1>

∣2> ∣1> ∣g>

∣g> ∣g>

∣g>

∣g>

∣g> ∣2> ∣1>

(E)

∣2> ∣1>

(C)

3.0

∣g>

D ∣2> ∣1>

Voltage (V)

2.5

∣g>

C

2.0

∣2> ∣1>

∣g>

1.5

B

∣g> ∣2> ∣1>

1.0 0.5

(D) A

0.0 0

50

100 150 200 Current density (A/cm2)

250

∣g> ∣2> ∣1> ∣g>

(c)

Figure 11.2 (a) Voltage and emitted light power as a function of current density at different heat sink temperatures, for a 3 mm long, 250 μm wide laser ridge, for the 2.7 THz bound-tocontinuum QCL design with single plasmon waveguide [10]. The laser was driven in pulsed mode, with a 20 kHz repetition rate and a 0.5% duty cycle. (b) Frequency spectra measured at 4 K for different laser currents. (c) Schematic diagram of QCL operation at different biases. Laser emission occurs in region (C) (Source: Reproduced from [19]).

Figure 11.2(a) shows the current versus voltage (I–V) and current–light (I–L) characteristics of a bound-to-continuum QCL design shown in Figure 11.1(a), driven in pulsed mode. In order to avoid atmospheric-water absorption, the emitted light was collected with the whole optical path under a vacuum. The threshold current density, Jth , at 4 K is 104 A cm−2 , achieving a peak power of 77 mW (assuming an estimated collection efficiency of 30%, as described in Ref. [10]) at 207 A cm−2 (Jmax ). Raising the device temperature results in an increase of the threshold current density, up to 225 A cm−2 at the maximum operating temperature of this device. This can be interpreted as activated LO-phonon depopulation of the upper state. Emission spectra are shown in Figure 11.2(b) for different drive currents

Molecular Beam Epitaxial Growth of Terahertz Quantum Cascade Lasers

179

measured at 4 K using a Fourier transform infrared spectrometer (7.5 GHz resolution). Up to 1.2 A (160 A cm−2 ), the laser emits in a single frequency mode at 2.66 THz (10.99 meV), which is in excellent agreement with the design. Pronounced multimode frequency emission is then observed for higher drive currents, in the range 2.61–2.72 THz, showing equally spaced longitudinal Fabry–Pérot cavity modes with a spacing of 12.75 GHz. The missing mode at 2.68 THz is due to an atmospheric H2 O absorption line. Figure 11.2(c) illustrates in a simplified form the stages of laser operation with respect to the alignment of the active region band diagram. The upper laser state, lower laser state and injector ground state are indicated by |2>, |1>, and |g>, respectively. The lower extraction/injection miniband for the bound-to-continuum design is illustrated by the horizontal red band, the injection barrier by the vertical blue bar. There are four regions marked on the I–V diagram: (A), (B), (C) and (D). Region (A) corresponds to zero bias, where there is no current flowing. Region (B) corresponds to the device under low applied bias and the structure has yet to become aligned. Lasing cannot take place, but a small leakage path between the extraction/injection states to the next period is present (arrows). Region (C) shows the active region periods under alignment bias, when the laser gain overcomes device loss at current threshold Jth . It is in this aligned bias regime that lasing can occur, when the active region is incorporated into an appropriately designed waveguide. Eventually the structure misaligns, region (D), where states |g> and |2> anti-cross, which is the region where laser action ceases as current flow through the structure breaks down. This is observed as a rapid decrease in the differential resistance of the device, and is mainly due to the loss of photo-induced current. The photo-assisted current is highly visible at the threshold as a discontinuous drop in the differential resistance. The magnitude of this discontinuity has been associated with the ratio of upper state to lower state lifetime [20] and is an indication of active region performance.

11.2

Epitaxial Challenges

The growth of THz QCLs is extremely challenging, owing to the stringent demands for precise control of individual layer thicknesses and alloy compositions, which must be maintained over the entire active region, typically 10 μm. Using typical molecular beam epitaxy (MBE) growth rates for the GaAs/AlGaAs material system, namely 1.00 μm h−1 for GaAs and 0.10–0.25 μm h−1 for AlAs (∼10–20% aluminium barrier composition), the growth time for any laser is in excess of 12 hours. This places tight tolerances on the accuracy, stability and uniformity of the MBE growth. 11.2.1

Growth Rate Calibration

The operation of a THz QCL is strongly dependent on the repeatable production of the correct thicknesses of wells and barriers throughout the growth of the active region stack. Figure 11.3 shows the theoretical emission frequency (photon transition energy) as a function of global active region thickness for two different active region designs, a 2.7 THz bound-to-continuum design [10] and a 3 THz bound-to-continuum with phonon extraction/injection design [12]. Each simulation point (open circles) represents a self-consistent calculation for commensurate percentage changes in global well and barrier thickness for

180

Molecular Beam Epitaxy ΔL / L (%) 3.1

−5

−4

−3

−2

−1

+1

0

+2

+4

+5

QCL Wafers Simulation

3.0 Frequency (THz)

+3

2.9 2.8 2.7 2.6 2.7 THz Bound-to-Continuum 2.5 122

124

126

128

130

132

134

136

Period length (nm) (a) ΔL / L (%) 3.2

−5

−4

−3

−2

−1

0

+1

+2

+3

+4

+5

QCL Wafers Simulation

Frequency (THz)

3.1

3.0

2.9

2.8 3THz 4QW Bound-to-Continuum with LO Phonon 2.7 62

63

64

65 66 Period length (nm) (b)

67

68

69

Figure 11.3 Emission frequency against measured active region period thickness for QCL wafers growths on a Veeco modGENII MBE system for (a) 2.7 THz bound-to-continuum design [10] and (b) 3.0 THz bound-to-continuum with phonon extraction/injection design [12]. The connected (blue) points are the predicted emission frequencies from self-consistent band structure calculations.

Molecular Beam Epitaxial Growth of Terahertz Quantum Cascade Lasers

181

the two designs. The alignment condition was taken as the field at which anti-crossing between the upper and injector states occurs, as this resonance characterises a maximum in device conduction. Both designs show that the thickness of each active region should be within ±1% of the design to achieve emission within ±0.05 THz (approx. ±0.25 meV). As the composition of most THz QCL designs comprises >95% GaAs, this is the dominant growth rate to calibrate and monitor. Analysis of the first THz QCL design [18] indicated that the growth rate calibration of the barrier composition alloy, AlAs, affects the optical transition energy less, with a 5–10% calibration window. For barrier layers containing low percentage alloy compositions this is not surprising, however, due to the tunnelling transport nature of the carrier injector scheme in this type of device, this value will be tighter to achieve optimal laser performance [21]. Looking in closer detail at the simulated theoretical variation in design lasing frequency as a function of active region period thickness for the two designs, there are a number of similarities but also differences. Firstly, there is a trend, with active regions thicker than the nominal design to red shift. However, for active regions thinner than the nominal design, the bound-to-continuum blue shifts whereas the bound-to-continuum with phonon extraction appears to plateau. For the bound-to-continuum design there is an approximate linear variation over a 10% change of active region thickness, such that the frequency of the design can be tuned over ∼440 GHz, shifting by ∼44 GHz per percentage change in layer thickness. For the bound-to-continuum with phonon extraction design, this linear blue shift is only observed when increasing the layers above their nominal design, again producing ∼40 GHz per percentage change in active region thickness. Also plotted in Figure 11.3 are twelve and six QCL wafer growths of the two active region designs, respectively (black squares), performed on a Veeco modGenII MBE chamber that includes a set of deliberate variations in global thickness to verify the simulations. The period length of each QCL wafer was determined by high-resolution X-ray diffraction, fitting the spacing of the satellite peaks around the [004] lattice reflection with an accuracy of 0.5% [22]. Each wafer was processed into 250 μm wide by 3 mm long ridges using a single plasmon waveguide architecture from the centre location of the grown wafer. The frequency plotted for each wafer is the centre frequency at maximum laser power, measured using a Fourier transform infrared spectrometer with 7.5 GHz resolution. This is when the structure is fully aligned and maximum current is being passed (Jmax ). Clearly, there is very good agreement between the data from the wafers and the simulations for both the THz QCL designs [23]. A similar tuning trend has also been observed in Ref. [24] for the 2.7 THz bound-to-continuum design, demonstrating a 160 GHz reduction in frequency for a +4% increase in Ga growth rate. A similar 110 GHz decrease in frequency shift was observed for a 3% thickness increase for a 2.0 THz bound-to-continuum active region design, showing the robustness of this active region design [25]. We have seen the effect systematic scaling of the active region has on the emission frequency of a 2.7 THz bound-to-continuum design. This leads us to question what effect this engineering will have on the electrical properties of the laser. Simulations of the electrical properties were modelled [11], suggesting that a thicker structure should have lower alignment fields and a smaller splitting between the injector and upper states. The reduction in both alignment field and magnitude of the anti-crossing with increased thickness should be observed as a decrease in bias at Jmax . The anti-crossing between the injector and the upper

182

Molecular Beam Epitaxy

Figure 11.4 (a) Voltage and emitted light power as a function of current density at 4 K for three QCL wafers based on the 2.7 THz bound-to-continuum design [10], intentionally grown nominally 5% thinner (V431) than the original design (V433) and nominally 5% thicker (V434). All devices are single plasmon waveguides, 3 mm × 250 μm. The lasers were driven in pulsed mode, with a 10 kHz repetition rate and a 1% duty cycle. The device from V432 lased weakly and the maximum power is indicated by the arrow. (b) Frequency as a function of measured period length and pulsed spectra from the devices. (c) The current at maximum laser power, Jmax , as a function of period length. (Source: Reproduced from [11]).

state is related to Jmax , because the bottleneck for electron transport is the thickest barrier, known as the injection barrier. Figure 11.4 shows the experimental results for a series of QCL device growths to test this prediction. A reference wafer (V433) was grown to the published design [10], except that there were only 45 repeats of the active region. Two further wafers were grown with all the wells and barriers 5% thinner (V431) and 5% thicker (V434); the wafer designs were the same as the reference in all other respects. From the electrical data in Figure 11.4(a) we can see that the experimental results are in good agreement with the predictions from the simulations. The thicker structure (V434) reaches maximum power

Molecular Beam Epitaxial Growth of Terahertz Quantum Cascade Lasers

183

at a lower field and has a lower current at maximum power. We see that the opposite is true for the thinner structure (V431), which has a higher Jmax and higher bias at maximum power than the reference (V433). The period lengths for the wafers were obtained by X-ray diffraction, with this trend being clearly shown in Figure 11.4(c). The frequencies of each design also agree with the simulation data presented in Figure 11.3(a), showing that these devices cover an ∼300 GHz range. Clearly the performance of the laser structures has been affected dramatically by varying the global thicknesses of the layers, suggesting that optimal laser performance is achieved only around the nominal design, reinforcing the stringent growth accuracy required for each layer thickness. However, this global approach has some merit as a starting methodology to modify a known QCL design in a simple and predictable way to tailor the frequency emission. A similar experimental study was undertaken in Ref. [11] by investigating the effect of globally scaling only the well thicknesses. Scaling by ±5% the wells of the 2.7 THz bound-to-continuum design resulted in a commensurate frequency tuning response; a longer structure having larger wells having a lower frequency, achieving again a frequency span of ∼400 GHz. This should not be surprising considering that the majority of the active region thickness in a bound-to-continuum design is made up of wells. The effect on transport was as predicted, but not as extreme. Whilst the trend in Jmax was consistent, the absolute difference between the three wafers in Figure 11.4(a) was significantly reduced. Again, this should not be too surprising as the absolute barrier thickness of these devices has not changed and one naively expects that the barriers will have more effect on the transport characteristics. The thinner structure still showed very poor lasing performance, however the thicker structure outperformed the original design in both higher light output power, maximum temperature of operation and a lower threshold current. This methodology was used to produce a series of THz QCL designs between 2.6 and 3.0 THz, operating with the same alignment electric field and matched maximum operating current (i.e. maximum light output); this was done by scaling the wells of the QCL design in Ref. [10] to achieve the desired emission frequency and then modifying the thickness of the barrier layers to harmonise the maximum operating current [11]. Two matched designs were then incorporated into a single broad gain heterogeneous structure [26]. The dual stack heterogeneous QCL wafer demonstrated in a single plasmon waveguide simultaneously two frequency emissions at 2.6 and 2.9 THz and in a metal–metal waveguide continuous lasing over a ∼400 GHz bandwidth [27]. An alternative heterogeneous scheme incorporated 23 laser stacks of the 2.7 THz bound-to-continuum QCL that stepwise reduced the Ga growth rate from +6 to −4% of the nominal growth rate for the design. This produced electrically tunable frequency emission over a 330 GHz bandwidth [28]. A similar approach modifying the thickness of the optical transition wells in the short bound-to-continuum design with a single quantum well phonon extraction/injection stage [12] achieved QCLs spanning 2.3–3.1 THz, although they operated with different alignment fields and operating current ranges [29]. Three active regions were incorporated into a five-stack heterogeneous design using a metal–metal waveguide, producing the >1 THz continuous emission from a single device [6, 30] that is the basis for THz frequency comb lasers [31].

184

Molecular Beam Epitaxy

Conventionally, MBE material deposition rates are calibrated in one of two ways, either (i) by ex-situ measurements of the thickness of calibration layers or (ii) by using in-situ reflection high-energy electron diffraction (RHEED) oscillations. To calibrate for the extremely long growth durations needed for THz QCL growth (>12 hours), RHEED is not appropriate as it, (a) cannot measure beyond a few tens of nanometers of layer growth, which can include any initial cell flux transients, and (b) is usually restricted to non-rotating substrates, so that it may not reflect the true steady-state growth rates. For THz QCL growth, two calibration approaches have been reported. The first uses the ex-situ X-ray diffraction of a simple periodic calibration structure. The respective binary epitaxial layers of the QCL structure are incorporated into a short superlattice (e.g. GaAs/AlAs), which can determine the thicknesses of the individual layers, and hence the respective growth rates. This approach has been used successfully to calibrate both the GaAs/AlGaAs and InGaAs/InAlAs material systems before THz QCL growth [32]. The second calibration approach uses an in-situ optical measurement, which is desirable as it is a real-time measurement that is relatively insensitive to any rotation, vibration, wobbling or misalignment of the wafer. Optical thickness measurements [33, 34] using a single colour pyrometer (0.94 μm) were used to grow the first THz QCLs and were shown to have a measurement accuracy better than 1% [18]. This has been extended over a wider range of frequencies using pyrometric spectroscopy, which not only facilitates the pre-growth optical growth rate calibrations, but also allows in-situ monitoring during the QCL growth [35]. Both growth rate calibration techniques have demonstrated the ±1% accuracy in growth rate needed to ensure optimal growth of the intended design. 11.2.2

Growth Rate Stability

Owing to the extremely long growth durations associated with THz QCL growth, another potential error associated with the epitaxy is any drift from the matrix elements effusion cells (i.e. material depletion and movement). In Section 11.2.1 it was shown that an epitaxial window of ±1% is required to achieve accurate growth of most laser designs. Table 11.1 shows the measured GaAs and AlAs growth rates using single colour optical pyrometry, taken directly before and then after several standard THz QCL growths (∼12–13 μm total thickness), corresponding to an approximate 15-hour time interval between measurements, for a number of different effusion cells on two different manufacturer’s MBE systems. The data is representative of numerous measurements taken from these cells over multiple growth campaigns using a minimum of three optical thickness oscillations for the GaAs and two oscillations for the AlAs calibration layers. For the five effusion cells used, all the measured growth rate drifts for a standard THz QCL design growth thickness are generally within the 1% margin of accuracy desired. All the effusion cells are standard, commercially available designs for their respective MBE growth systems. The EPI-Veeco 85 cc dual filament cell includes a low flux transient insert pointing at the centre of the wafer. It is interesting to note that although most measurements show that material depletion dominates (i.e. a negative drift), at the beginning of a growth campaign, material movement for both a fully filled Ga and Al cell can give a positive flux drift. Furthermore, at the end of a growth campaign, cell depletion during growth of a QCL can give rise to downward drifts nearer 2%, especially for the Al cells, which will affect laser performance. For longer growth durations, necessary for thicker active region designs

k

Before (μm h−1 )

After (μm h−1 )

Before (μm h−1 )

After (μm h−1 )

VG Semicon V80H Ga 0.9946 0.9969

Drift (%)

EPI-Veeco 85cc dual filament cell 0.9872 0.9924

−0.74 −0.45

VG Semicon V80H Al 0.1764 0.1765

VG 40cc conical single filament cell 0.1749 0.1754

−0.85 −0.62

Veeco modGENII Ga 1.004 1.008

Veeco 400 g SUMO dual filament cell 1.009 0.995

+0.50 −1.29

Veeco modGENII Al 0.1786 0.1782

Veeco 400 g SUMO dual filament cell 0.1770 0.1778

−0.90 −0.22

Veeco modGENII Ga 1.0062 1.0028

Veeco 250 g DWL SUMO dual filament cell 1.0023 0.9991

−0.40 −0.40

k

k

Drift (%)

Molecular Beam Epitaxial Growth of Terahertz Quantum Cascade Lasers

Table 11.1 GaAs and AlAs growth rates measured by optical pyrometry directly before and after two ∼15-hour QCL sample growths undertaken on a VG Semicon V80H MBE system and a Veeco modGENII MBE system for a number of different effusion cell designs. Estimated measurement accuracy is 300 K. To understand the Mn kinetics in the Mnx Ge1−x nanodisks, investigations with the tuning of growth temperature and doping concentration are systematically performed in the ZFC and FC measurements and a group of data are shown in Figure 21.4(b). The bottom part shows the ZFC and FC curves measured on Mnx Ge1−x nanodisks grown at 200 ∘ C with ∼3% Mn doping concentration. It shows that the magnetic moment keeps a large value even at 400 K, indicating that the Tc of the nanodisks is above 400 K. Like QDs, the nanodisk shows a significantly enhanced Tc compared to the thin-film case. Further examination finds that there is only a small bifurcation between the ZFC and FC curves without an obvious blocking peak, indicating that there are very few nanophase precipitates in the Mnx Ge1−x nanodisks [28]. Considering the bifurcation that begins from high temperature, we believe that there are small amounts of Mn5 Ge3 clusters in the Mnx Ge1−x nanodisks.

(a)

Molecular Beam Epitaxy (c)

1.0

M(μB /Mn)

0.6 0.3

0.5 50 K 100 K 200 K 300 K

0.0 –0.5

M(μB /Mn)

358

–0.3

–1.0

–0.6 –4000 –2000 0 2000 4000 H-Field (Oe) 4% Mn 250 °C

0.252 0.216 0.180

(d)

ZFC FC

0.144 0.114 M(μB /Mn)

–4000 –2000 0 2000 4000 H-Field (Oe)

3% Mn 250 °C

0.095 0.076 ZFC FC

0.057

M(μB /Mn)

(b)

10 K 50 K 100 K 300 K 400 K

0.0

0.24 0.20 0.16 0.12 0.08 0.18

ZFC FC

120 nm

ZFC FC

60 nm

ZFC FC

30 nm

0.15 0.12 0.09

0.096

3% Mn 200 °C

0.080

0.22 0.20

0.064

0.18

ZFC FC

0.048 0

200 T (K)

400

0.16

0

200 T (K)

400

Figure 21.4 (a) Temperature-dependent hysteresis loops from Mnx Ge1−x nanodisks, showing ferromagnetism at 300 K. (b) ZFC and FC curves from Mnx Ge1−x nanodisks with different growth temperatures and doping concentrations. As the temperature and doping concentration increase, the intermetallic compound is much easier to form. (c) Temperature-dependent M–H curves of the Mnx Ge1−x nanomeshes, showing ferromagnetism over 400 K. (d) ZFC and FC curves from Mnx Ge1−x nanomeshes with different sizes. As the size increases, it would like to form an intermetallic compound.

To further confirm our hypothesis, we increased the growth temperature to 250 ∘ C while keeping the same Mn doping concentration. The ZFC and FC curves of this sample are displayed in the middle of Figure 21.4(b), which clearly shows a large deviation between them, with a small blocking peak at around 200 K. This experiment clearly demonstrates that more Mn5 Ge3 clusters were formed at high growth temperature, confirming our above hypothesis. Keeping the same growth temperature, we also performed growth with increasing Mn doping concentration. The top image shows the ZFC and FC curves of the sample with ∼4% Mn doping concentration, displaying the largest deviation between the

MBE Growth of Ge-Based Diluted Magnetic Semiconductors

359

two curves in the three samples. It indicates that a certain amount of Mn5 Ge3 clusters were formed in the Mnx Ge1−x nanodisks, although the amount is still not high enough to be easily observed by TEM. At 400 K, the magnetic moment remains a large value, suggesting Tc beyond 400 K. In comparison with the thin-film structure, the Mnx Ge1−x nanodisks clearly demonstrate the advantages of suppressing the formation of intermetallic compounds while improving the Tc , which shows the great potential for room-temperature spintronics devices. Considering Mnx Ge1−x nanomeshes, we also made a systematic investigation of their magnetic properties by SQUID. Figure 21.4(c) shows the temperature-dependent hysteresis loops of the 5% Mn-doped nanomeshes (growth temperature: 160 ∘ C) with an external field applied parallel to the sample surface. The S-shaped loops clearly indicate ferromagnetism over 400 K. To understand the origin of the high Tc , we performed a comprehensive investigation of the nanomesh size-dependent ferromagnetism. Through precise etching control, the SiO2 nanopillar pattern could be well controlled with different diameters and gap widths in the range of 30–120 nm. After pattern-assisted growth, Mnx Ge1−x nanomeshes with three different widths of 30, 60, and 120 nm were successfully achieved. To understand the size-dependent magnetization and the possibly present nanophases, ZFC and FC measurements were performed on the Mnx Ge1−x nanomeshes under an in-plane magnetic field of 100 Oe, and the results are shown in Figure 21.4(d). There is a common feature that the magnetic moments of all three samples do not vanish even at 400 K, indicating that the Tc of all our samples is above 400 K, which confirms that the nanomesh structure could significantly enhance the Tc . Further examination could find that the ZFC and FC curves are well superimposed with each other in the nanomeshes with widths of 30 and 60 nm, indicating that there are no nanophase precipitates in both cases. We can further observe a slower decrease of magnetization with increasing temperature in 30 nm-wide nanomesh compared to that in 60 nm-wide nanomesh, which indicates that the ferromagnetic exchange interaction is further enhanced in 30 nm-wide nanomesh. However, the nanomesh with 120 nm width shows a peak located at around 25 K in the ZFC curve, which should be attributed to the presence of Mn-rich Mnx Ge1−x coherent nanostructures resulting from spinodal decomposition [28, 43]. However, other types of precipitates can be suppressed. All the results clearly prove the fact that the size confinement effect can suppress the compound formation and improve the Tc in Mnx Ge1−x nanomeshes, while giving a deep insight into understanding the high Tc in other nanostructures, such as Mnx Ge1−x QDs, superlattices, and nanodisks.

21.4

Electric-Field-Controlled Ferromagnetism and Magnetoresistance

Electric-field control of ferromagnetism gives the potential to realize ultralow-power spin field-effect transistor (spinFET) and other non-volatile spintronics devices via carrier-mediated effect [30, 48–50]. With the modulation of carrier spins, a new generation of non-volatile computing systems could eventually be developed for many low-power-dissipation applications in all fields, including sensor work, health monitoring, information processing, and sustainable wireless system. The first demonstration of electric-field control of ferromagnetism was realized in (In, Mn)As thin film [51], which renders the development of future spintronics a possibility. While continuous efforts

360

Molecular Beam Epitaxy

attempt to address the fundamental limitation in increasing Tc and carrier-mediated ferromagnetism of Mn-doped III–V materials [16, 51–53], we have in parallel given substantial investigations into the Mnx Ge1−x systems for the electric-field control of ferromagnetism and high Tc . We have successfully demonstrated the hole-mediated effect in Mn-implanted nanodot structures [54] and the modulation of their ferromagnetism by applying gate biases in metal–oxide–semiconductor (MOS) capacitors at a low temperature of 10 K. Later, by configuring the MOS capacitors in self-assembled Mnx Ge1−x QDs [18], electric-field control of ferromagnetism could be improved to 100 K, unfortunately limited by the increased leakage current in the gate of the MOS devices, although the QDs demonstrated Tc > 400 K. Due to the inherent difficulties of carrier transport in QDs, Mnx Ge1−x nanomeshes with Tc > 400 K appear to be an ideal candidate for the channel building of spinFET. For its magnetotransport measurement, the Mnx Ge1−x nanomesh was fabricated into a micrometer-sized Hall bar structure, and measurement was performed in the physical property measurement system (PPMS). Figure 21.5(a) shows the R–T curve of the nanomesh, in which a clear metal-to-insulator transition can be observed with a low-temperature (T < 50 K) activation region and a high-temperature (T > 50 K) saturation region. For gate modulation, the Hall bar structure was further capped by a 25 nm-thick Al2 O3 layer as the gate dielectric via atomic layer deposition (ALD), followed by e-beam evaporation of Cr/Au as the gate contact. The unique nanomesh structure could form a fin-shaped-like gate, which thus gives a highly efficient and robust carrier modulation. Figure 21.5(b) shows the gate-dependent Hall measurement at 40 K, in which both the ordinary and anomalous Hall terms could be well controlled by gate voltages. For the ordinary Hall term, the positive slope at 0 V indicates that the Mnx Ge1−x nanomesh is p-type, which further confirms most of the Mn as acceptors in the substitutional sites [55]. More importantly, such slope dramatically decreases as the gate bias is swept from 8 to −5 V, indicating a good gate modulation effect of the carriers from a hole depletion state (8 V) to a hole accumulation state (−5 V). Meanwhile, it can clearly be seen that the smallest anomalous Hall value happens at 8 V. As the gate bias is swept from positive to negative, its value dramatically increases. This obvious and robust magnetic phase transition from weak ferromagnetism to strong ferromagnetism as the bias moves from positive to negative value clearly demonstrates the hole-mediated ferromagnetism in our samples. Furthermore, the gate-dependent MR was also measured and a typical result is shown in Figure 21.5(c). As the gate bias changes from negative to positive, a clear negative-to-positive MR transition is observed at 40 K. This phenomenon should stem from the competitive effect between the spin-dependent scattering-induced negative MR and the spatial fluctuation-induced positive MR [29, 45]. As a negative gate bias is applied on our sample, the enhanced ferromagnetism as proven above with larger susceptibility (𝜒(H)) in the Mnx Ge1−x nanomesh could provide a strong spin-dependent scattering, consequently giving rise to a negative MR. While the gate bias is swept to positive value, the weakened ferromagnetism gives rise to a weaker spin-dependent scattering. In further consideration, the decreased density of magnetic polarons from reduced carrier density probably transforms the system from an overlap-together state to a disconnecting state, which thus increases the polaron fluctuation, and hence the positive MR dominates. Additionally, in the zoom-in MR curves [see Figure 21.5(d)], the biggest coercivity happens at −8 V with the highest hole density, which further confirms the hole-mediated ferromagnetism. Besides, gate-modulated MR measurements at 60 and 100 K are also

MBE Growth of Ge-Based Diluted Magnetic Semiconductors (b)

8V

0.6

1V

0.4

10–4 0T

0V

40 K

0.2

Rxy(ohm)

Resistivity (Ω cm)

(a) 10–3

361

–1V –2V

0.0

–5V

–0.2 –0.4

10–5

–0.6 0

50

–4

100 150 200 250 300 T (K)

(c)

(d)

–2

0 2 H-Field (T)

4 8V 5V 0V –1V –5V –8V

10

80

40 K 0

–40

(e)

–4

–2

0 2 H-Field (T)

0

40 K

–5 –0.4

4

–0.2

0.0 H-Field (T)

0.2

0.4

(f) 200

80

60 K

8V 0V –5V –8V

100 K 150 MR(%)

40 MR(%)

8V 5V 0V –1V –5V –8V

MR(%)

MR(%)

5 40

0 –40 –80

100

8V 5V 0V –2V –5V –8V

50 0

–120

–50

–4

–2

0 H-Field (T)

2

4

–4

–2

0

2

4

H-Field (T)

Figure 21.5 (a) Temperature-dependent resistivity of the Mnx Ge1−x nanomeshes, showing a metal–insulator transition. (b) Electric-field-controlled Hall effect, demonstrating the obvious ferromagnetism control. (c) Electric-field-controlled MR measurements at 40 K, clearly showing a transition from a negative to a positive value when the gate voltage changes from a negative to a positive bias. (d) Magnified MR curves, more clearly showing the voltage controllability. (e) At 60 K, a MR transition from a negative to a positive value can clearly be seen, however without obvious changes at the negative bias. (f) MR change can still be clearly observed at 100 K.

produced, and the results are shown in Figure 21.5(e, f). At 60 K, the MR transition from negative to positive value can still clearly be observed when tuning the gate bias. However, the control effect is not as strong as that at 40 K in the negative bias range. This effect can be explained by the fact that even at 0 V, the hole density at 60 K is already high enough to align the magnetic moments of most Mn ions along one direction. Further increasing the negative bias does not significantly enhance the ferromagnetism. At 100 K, it shows a similar effect

362

Molecular Beam Epitaxy

(i.e. an even weaker control effect in the negative bias range). This may pave the way for achieving room-temperature Ge-based spinFET, MR sensors, and magnetic memories, among others, which could significantly reduce the power dissipation and variability, while enhancing the processing speed, integration density, and functionality beyond mainstream CMOS technology.

21.5

Conclusion

The interest in DMS has been strongly motivated by its unique merits of both semiconductor band engineering and controllable magnetic properties. Great progress has been achieved in Mn-doped III–V group DMSs, especially in terms of electric-field control of ferromagnetism. However, spintronic research into III–V group DMSs seems to be reaching a bottleneck for further increasing Tc . Therefore, the critical challenge now is either to continue increasing Tc in III–V group DMSs, or to look for new DMS systems with room-temperature workable Tc and electric-field-controlled ferromagnetism. Fortunately, a Mnx Ge1−x material system could offer a potential high Tc and compatibility with Si microelectronic technology. However, it is easy to form MnGe intermetallic compounds and secondary phases in Mnx Ge1−x thin film, which prohibits the generation of high Tc and electric-field-controlled ferromagnetism. We systematically review the progress in the suppression of compound formation and enhancement of Tc through configuring low-dimension Mnx Ge1−x nanostructures, including QDs, their superlattice, nanodisks, and nanomeshes. A high Tc over 400 K can be obtained in all these nanostructures. A series of well-designed experiments were used to excavate the underlying mechanism through the modulation of the growth temperature, Mn-doping concentration, and nanostructure size. Tc enhancement could be concluded from the following two aspects: (i) when it comes to the nanostructure, compound formation can be diminished or even eliminated because the strain induced by Mn doping could easily be accommodated by the nano-architecture, retaining more Mn in the substitutional position; (ii) when it comes to the nanoscale, the quantum confinement effect emerges, which can significantly enhance the exchange coupling between the confined holes and localized Mn, hence increasing the Tc . Besides, the electric-field control of ferromagnetism in both the Mnx Ge1−x QDs and nanomeshes was demonstrated, and gate-controlled MR was revealed in Mnx Ge1−x nanomeshes simultaneously. Therefore, the extraordinary properties of both much higher Tc than that in thin film and carrier-mediated ferromagnetism affirm that Mnx Ge1−x nanostructures could become one of the most promising candidates to achieve room-temperature operation.

Acknowledgments This work is supported in part by the FAME Center, one of the six centers of STARnet, a Semiconductor Research Corporation program sponsored by MARCO and DARPA. We also gratefully acknowledge the National Natural Science Foundation of China under Grant No. 11644004 and 61774013, and the International Collaboration Project B16001.

MBE Growth of Ge-Based Diluted Magnetic Semiconductors

References 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. 48. 49. 50.

Tehrani, S., Slaughter, J.M., Deherrera, M. et al. (2003). Proc. IEEE 91: 703. Durlam, M., Naji, P.J., Omair, A. et al. (2003). IEEE J. Solid-State Circuits 38: 769. Huai, Y.M., Albert, F., Nguyen, P. et al. (2004). Appl. Phys. Lett. 84: 3118. Ikeda, S., Miura, K., Yamamoto, H. et al. (2010). Nat. Mater. 9: 721. Katine, J.A., Albert, F.J., Buhrman, R.A. et al. (2000). Phys. Rev. Lett. 84: 3149. Katine, J.A. and Fullerton, E.E. (2008). J. Magn. Magn. Mater. 320: 1217. Liu, L., Lee, O.J., Gudmundsen, T.J. et al. (2012). Phys. Rev. Lett. 109: 096602. Liu, L.Q., Pai, C.F., Li, Y. et al. (2012). Science 336: 555. Fan, Y., Upadhyaya, P., Kou, X. et al. (2014). Nat. Mater. 13: 699. Wang, K.L., Alzate, J.G., and Amiri, P.K. (2013). J. Phys. D: Appl. Phys. 46: 074003. Lee, K. and Kang, S.H. (2011). IEEE Trans. Magn. 47: 131. Matsunaga, S., Hayakawa, J., Ikeda, S. et al. (2008). Appl. Phys. Express 1: 091301. Wang, K.L., Kou, X.F., Upadhyaya, P. et al. (2016). Proc. IEEE 104: 1974. Nie, T., Tang, J., Kou, X. et al. (2016). Nat. Commun. 7: 12866. Sawicki, M., Chiba, D., Korbecka, A. et al. (2010). Nat. Phys. 6: 22. Matsukura, F., Tokura, Y., and Ohno, H. (2015). Nat. Nanotechnol. 10: 209. Chang, L.-T., Wang, C.-Y., Tang, J. et al. (2014). Nano Lett. 14: 1823. Xiu, F., Wang, Y., Kim, J. et al. (2010). Nat. Mater. 9: 337. Nie, T., Kou, X., Tang, J. et al. (2014). Nanotechnology 25: 505702. Nie, T., Tang, J., and Wang, K.L. (2015). J. Cryst. Growth 425: 279. Nie, T., Kou, X., Tang, J. et al. (2017). Nanoscale 9: 3086. Choi, S., Hong, S.C., Cho, S. et al. (2002). Appl. Phys. Lett. 81: 3606. Tsui, F., He, L., Ma, L. et al. (2003). Phys. Rev. Lett. 91: 177203. Xiu, F., Wang, Y., Kim, J. et al. (2010). ACS Nano 4: 4948. Xu, Y.Q., Su, W.F., Nie, T.X. et al. (2011). Appl. Phys. Lett. 98: 112109. Park, Y.D., Hanbicki, A.T., Erwin, S.C. et al. (2002). Science 295: 651. Faxian, X., Yong, W., Kin, W. et al. (2010). Nanotechnology 21: 255602. Devillers, T., Jamet, M., Barski, A. et al. (2007). Phys. Rev. B 76: 205306. Li, A.P., Zeng, C., van Benthem, K. et al. (2007). Phys. Rev. B 75: 201201. Jamet, M., Barski, A., Devillers, T. et al. (2006). Nat. Mater. 5: 653. Seong, H.-K., Kim, U., Jeon, E.-K. et al. (2009). J. Phys. Chem. C 113: 10847. van der Meulen, M.I., Petkov, N., Morris, M.A. et al. (2008). Nano Lett. 9: 50. Kim, H.S., Cho, Y.J., Kong, K.J. et al. (2009). Chem. Mater. 21: 1137. Mackowski, S., Gurung, T., Nguyen, T.A. et al. (2004). Appl. Phys. Lett. 84: 3337. Holub, M., Chakrabarti, S., Fathpour, S. et al. (2004). Appl. Phys. Lett. 85: 973. De Padova, P., Ayoub, J.P., Berbezier, I. et al. (2008). Phys. Rev. B 77: 045203. Abolfath, R.M., Hawrylak, P., and Žuti´c, I. (2007). Phys. Rev. Lett. 98: 207203. Lin, J.H., Wu, Y.Q., Tang, S. et al. (2009). J. Nanosci. Nanotechnol. 9: 2753. Dedkov, Y.S., Holder, M., Mayer, G. et al. (2009). J. Appl. Phys. 105: 073909. Petit, M., Michez, L., Dutoit, C.E. et al. (2015). Thin Solid Films 589: 427. Nie, T.-X., Lin, J.-H., Chen, Z.-G. et al. (2011). J. Appl. Phys. 110: 114304. Chen, Y., Pan, B., Nie, T. et al. (2010). Nanotechnology 21: 175701. Özer, M.M., Thompson, J.R., and Weitering, H.H. (2012). Phys. Rev. B 85: 125208. Bougeard, D., Ahlers, S., Trampert, A. et al. (2006). Phys. Rev. Lett. 97: 237202. Li, A.P., Wendelken, J.F., Shen, J. et al. (2005). Phys. Rev. B 72: 195205. Schulthess, T.C. and Butler, W.H. (2001). J. Appl. Phys. 89: 7021. van Schilfgaarde, M. and Mryasov, O.N. (2001). Phys. Rev. B 63: 233205. Tang, J., Nie, T., and Wang, K.L. (2014). ECS Trans. 64: 613. Tang, J., Wang, C.-Y., Chang, L.-T. et al. (2013). Nano Lett. 13: 4036. Žuti´c, I., Fabian, J., and Das Sarma, S. (2004). Rev. Mod. Phys. 76: 323.

363

364 51. 52. 53. 54. 55.

Molecular Beam Epitaxy Ohno, H., Chiba, D., Matsukura, F. et al. (2000). Nature 408: 944. Dobrowolska, M., Tivakornsasithorn, K., Liu, X. et al. (2012). Nat. Mater. 11: 444. Chiba, D., Sawicki, M., Nishitani, Y. et al. (2008). Nature 455: 515. Chen, J., Wang, K.L., and Galatsis, K. (2007). Appl. Phys. Lett. 90: 012501. Erwin, S.C. and Petukhov, A.G. (2002). Phys. Rev. Lett. 89: 227201.

Part V Challenge of MBE to New Materials and New Researches

22 Molecular Beam Epitaxial Growth of Topological Insulators Xiao Feng, Ke He, Xucun Ma, and Qi-Kun Xue State Key Laboratory of Low-Dimensional Quantum Physics, Department of Physics, Tsinghua University, Beijing 100084, China

22.1

Introduction

Molecular beam epitaxy (MBE) has sparked a veritable explosion of materials research since its invention in the late 1960s. Owing to its ability to accurately control film thickness and chemical composition, this technique has been widely used in growing high-quality semiconductor films and heterostructures. In the past several years, MBE has also been proved to be an ideal method of preparing topological insulator (TI) films and engineering their electronic and magnetic properties. A TI has a bulk bandgap and gapless surface states protected by time-reversal symmetry (TRS) [1–11]. In momentum space, topological surface states usually have Dirac-cone-shaped band dispersion and are spin-polarized except at some high-symmetric points of the surface Brillouin zone. An ideal TI material has the Fermi level (EF ) residing in the bulk gap and only intersecting the topological surface states. Such a material can show the unique properties and quantum phenomena of the topological surface states. However, most TI materials are narrow-gap chalcogenide semiconductors [1–3], which are usually vulnerable to the formation of vacancies and anti-site defects. The defects can heavily charge-dope TI materials, making them degenerate semiconductors with EF lying in the bulk conduction or valence bands [4, 5]. In TI single-crystal samples prepared by, for example, the self-flux method, angle-resolved photoemission spectroscopy (ARPES) [6–11] always shows large bulk electron or hole pockets near EF , which means bulk carriers, rather than topological surface states, dominate the material properties. With MBE, Molecular Beam Epitaxy: Materials and Applications for Electronics and Optoelectronics, First Edition. Edited by Hajime Asahi and Yoshiji Horikoshi. © 2019 John Wiley & Sons Ltd. Published 2019 by John Wiley & Sons Ltd.

368

Molecular Beam Epitaxy

one cannot only reduce the defect density in TIs by fine-tuning the growth parameters, but also engineer the band structure of the materials via thickness and composition. This paves the way for investigation of the unique properties of topological surface states and for future device applications involving TIs. In the following, we will review the studies on MBE growth of TI materials in past years, with the focus on Bi2 Se3 family compounds.

22.2

MBE Growth of Bi2 Se3 Family Three-Dimensional Topological Insulators

A three-dimensional (3D) TI is a bulk insulator enclosed by 2D topological surface states [5, 6]. Nevertheless, thin film is the more favored form to reveal the unique properties of this class of materials. The large surface/bulk ratio of thin-film geometry is beneficial to reveal the contribution of the topological surface states from the background of bulk bands, especially for bulk-sensitive probes such as transport measurements. The experiments on MBE growth of 3D TIs in past years have focused on Bi2 Se3 family compounds Bi2 Te3 , Bi2 Se3 , and Sb2 Te3 , which are referred to as the second generation of 3D TI materials [3, 10, 11]. Different from the earlier discovered 3D TI material: Bi1−x Sbx alloy (∼0.07 < x < ∼0.22) [1, 9], their simple composition and structure make the MBE growth relatively easy [3]. Bi2 Se3 , Bi2 Te3 , and Sb2 Te3 all have a rhombohedral structure (R3m). A unit cell consists of three repeated quintuple layers (QLs) (see the structure model of Bi2 Se3 in Figure 22.1). Each QL is composed of five elementary single atomic layers

(a)

z

A site B site C site

(b) y y

x

x

Quintuple layer (c)

t1

t2

t3 Bi Se1 Se2

C

Se1′

A

Se1

B

Bi1

C

Se2

A

Bi1′

B

Se1′

C

Se1

Figure 22.1 (a) Crystal structure of Bi2 Se3 with three primitive lattice vectors denoted as t1,2,3 . A quintuple layer is indicated by the red square. (b) Top view along the z-direction. (c) Side view of the quintuple layer structure [3].

Molecular Beam Epitaxial Growth of Topological Insulators

369

arranged in the order Se(1)–Bi–Se(2)–Bi–Se(1), where the Se(1) and Se(2) layers have different chemical environments. The Se atoms in layer (1) in adjacent QLs are loosely van der Waals-bonded to each other. The van der Waals bonds between QLs make these materials not only easy to cleave, but also easy to grow into films in a 2D mode, even on substrates with poor lattice match, since both the surface energy and the strain energy are small. MBE growth of Bi2 Se3 family materials is usually carried out by co-evaporation of Bi/Sb and Se/Te sources [12–19]. Similar to As in GaAs, Se/Te has much higher vapor pressure than the cation elements Bi or Sb; therefore, the growth kinetics of Bi2 Se3 family materials are similar to that well-established for GaAs and other III–V compound semiconductors. High-quality Bi2 Se3 family TI films are readily achieved under highly Se/Te-rich conditions (Se/Te:Bi/Sb ratio 𝜃 = 8–20 with the substrate temperature satisfying TBi/Sb > Tsubstrate ≥ TSe/Te [12–16]). Here, TBi/Sb and TSe/Te are the temperatures of Bi/Sb and Se/Te Knudsen cells, which are used to precisely control the deposition flux of Bi/Sb and Se/Te. This method minimizes the formation of Se/Te vacancies, which is difficult for the self-flux method. Under Se/Te-rich conditions, there always exist extra Se/Te molecules on the growing front. However, these molecules cannot be incorporated into the film but will desorb, since Tsubstrate ≥ TSe/Te . This relation sets the lowest substrate temperature for MBE growth of stoichiometric Bi2 Se3 family TIs (Figure 22.2 shows the MBE growth of Bi2 Te3 ). To obtain single-crystal films of Bi2 Se3 family TIs, the substrates should have threeor sixfold symmetry and be inert to the reactive Se or Te atoms. The substrates that can support 2D growth of Bi2 Se3 family TIs include Si (111) (passivated with Bi, H, GaSe, ZnSe, or In2 Se3 for growth of Bi2 Se3 ), graphene-terminated SiC (0001), sapphire (0001), SrTiO3 (111), CdS (0001), GaN (0001), GaAs (111)B, InP (111), and mica [12–25]. The rich choice of substrates makes it convenient to apply the thin films of these TI materials in different studies and applications. MBE provides a unique method to study the dimension effects of Tis, since it enables film growth in a layer-by-layer way. When the thickness of a thin film of 3D TI is reduced to such a level that the overlap between the surface-state wave functions of the top and bottom surfaces is significant, a hybridization gap opens at the Dirac surface state. The topological property of the gapped 2D system, 2D TI or trivial insulator, is determined by how many pairs of quantum well (QW) subbands (each pair includes an electron and a hole subband) are in inverted regimes. Therefore, there should be periodic topological phase transitions between 2D TI and trivial insulator phases, accompanied by an oscillation in the gap size, as the thickness decreases from the 3D/2D crossover point [26]. In real materials, however, since the thickness of a material can only be changed by a finite value, for example, one QL for Bi2 Se3 family materials, the oscillation in gap size is not necessarily observed. By fitting the band dispersions of the gapped surface states of Bi2 Se3 thin film with a four-band model, Zhang et al. found that at least the two-QL film shows band dispersion consistent with that in the inverted regime and thus should be in the 2D TI phase [14] (Figure 22.3). In Bi2 Se3 thin films grown on Si (111) surface, Sakamoto et al. observed an oscillatory behavior of gap size with decreasing thickness, a phenomenon consistent with the predicted oscillatory phase transition [27]. Although the quantum spin Hall (QSH) effect has not been observed, the above experimental results suggest that it is possible to realize 2D TIs in thin films of 3D TI materials, which can significantly expand the scope of 2D TI materials.

370

Molecular Beam Epitaxy (c)

Bi 783K 0.51QL/min Bi 773K 0.36QL/min Bi 763K 0.25QL/min Te 533K Tsub = 543K

Intensity (a.u.)

(a)

Shutter open 0

500

1000 Time (s)

1500

Intensity (a.u.)

Te 543K 0.36QL/min Te 533K 0.36QL/min Te 523K 0.35QL/min Bi 773K Tsub = 543K

Shutter open 0

500

(b)

1000 Time (s)

1500

Intensity (a.u.)

Tsub = 563K 0.36QL/min Tsub = 553K 0.36QL/min Tsub = 543K 0.37QL/min Bi 773K Te 533K

Shutter open 0

500

1000 Time (s)

1500

Binding energy (eV)

Figure 22.2 (a) RHEED patterns of Si (111) substrate and Bi2 Te3 film grown on it. (b) STM image of the surface morphology of Bi2 Te3 film. The inset shows an atomic-resolution STM image. (c) Oscillations of the intensity of the (0,0) spot of the RHEED patterns taken in growth of Bi2 Te3 film under different growth conditions [12]. EF –0.2 –0.4 –0.6 1 QL

–0.8 –0.1

k//

0

(Å–1) (a)

3 QL

2 QL 0.1

–0.1 k//

0

0.1

(Å–1) (b)

–0.1 k//

0

5 QL 0.1

(Å–1) (c)

–0.1 k//

0

6 QL 0.1

(Å–1) (d)

–0.1 k//

0

0.1

(Å–1) (e)

Figure 22.3 Thickness-dependent ARPES of MBE-grown Bi2 Se3 thin films from 1QL to 6QL (from Ref. [14]).

Molecular Beam Epitaxial Growth of Topological Insulators

22.3

371

Defects in MBE-Grown Bi2 Se3 Family TI Films

In order to obtain TI films with enough high quality to show various quantum phenomena, one needs to manage to reduce all kinds of defects and disorders in the films, from vacancies and anti-site defects to dislocations and domain walls, which significantly reduce the electron mobility and bring extra bulk charge carriers. Below we summarize the defects and disorders commonly seen in MBE-grown thin films of Bi2 Se3 family TIs and the possible ways to reduce them. 22.3.1

Dislocations

Although the layered structure of Bi2 Se3 family TIs make their 2D growth possible even on substrates with large lattice mismatch, lattice mismatch does deteriorate the sample quality by introducing dislocations in the films, analogous to the MBE-grown GaN films on sapphire substrate [28]. One way to reduce dislocation density is by growing films at low substrate temperature, which makes the growth process away from the thermal equilibrium condition. Figure 22.4 shows the scanning transmission microscopy (STM) topographic images of Bi2 Se3 films grown on sapphire (0001) at different substrate temperatures [19]. We can see that the density of the islands induced by screw dislocations obviously decreases with decreasing substrate temperature. At 190 ∘ C there are few screw dislocations remaining, but 3D elongated islands appear on the surface. The elongated islands are Bi islands formed by extra Bi atoms on the surface due to inadequate reaction with Se at low substrate temperature [29]. Therefore, the growth of Bi2 Se3 (also for Bi2 Te3 and Sb2 Te3 ) films with fewer dislocations is actually limited by the low reactivity of Se/Te molecules. To promote the reaction between Bi/Sb and Se/Te, one can utilize cracker cells for evaporation of Se and Te, which will efficiently dissociate the Se/Te molecules into a more reactive form, and thus reduce the substrate temperature in growth and increase the effective Se/Te flux at the same time. Such a condition can not only help to reduce the density of dislocations, but also reduce the Se/Te vacancies in the films. A more direct solution to the lattice-mismatch problem is by choosing lattice-matched substrates. The CdS (0001) substrate, commonly used in MBE growth of semiconductors, has a very close lattice constant with Bi2 Se3 , which is expected to significantly reduce the density of screw dislocations. Epitaxial Bi2 Se3 films grown on CdS (0001) with high electron mobility have been reported [21].

(a)

(b)

(c)

Figure 22.4 STM images of the surface morphology (1 × 1) of 8 QL Bi2 Se3 film grown on sapphire (0001) with substrate temperature of 190 ∘ C (a), 220 ∘ C (b), 250 ∘ C (c), respectively [19].

Molecular Beam Epitaxy Defect formation energy (eV)

372

TeBi

1.5 VTe 1.0

0.5

Te-rich EF@CBM (a)

BiTe

0.0 (μBi,EF)

Bi-rich EF@VBM

(b)

Figure 22.5 Point defects in Bi2 Te3 film. (a) STM image of the two kinds of dominating defects: Te-on-Bi anti-site defect (triangular depression) and Bi-on-Te anti-site defect (clover-shaped protrusion). (b) Formation energies of the various defects and their dependence on chemical potential [30].

22.3.2

Point Defects

Another class of defects commonly seen in Bi2 Se3 family TIs is point defects such as vacancies and anti-site defects between anions and cations. Combining STM and density functional theory, Wang et al. [30] and Jiang et al. [31] systematically studied the point defects in MBE-grown Bi2 Te3 and Sb2 Te3 films, respectively. It was found that the point defects dominating Bi2 Te3 films are Bi-on-Te and Te-on-Bi anti-site defects, acting as acceptors and donors, respectively. In the MBE growth with higher Te flux and lower substrate temperature, which means extra Te atoms on the surface, Te-on-Bi anti-site defects are energetically favored and the film tends to be electron-doped. On the contrary, if the growth is under the condition of lower Te flux and higher substrate temperature, Bi-on-Te anti-site defects dominate. In this case, the Bi2 Te3 films are hole-doped (Figure 22.5). The result provides a way of controlling the densities of different defects and the chemical potential of Bi2 Te3 by growth parameters instead of introducing other elements. In the Sb2 Te3 case, three types of defects are found in the films: Sb vacancies, Te-on-Sb anti-site defects, and Sb-on-Te anti-site defects. The former two favor the Te-rich condition, while the last one favors the Sb-rich condition. Both Sb vacancies and Sb-on-Te anti-site defects have lower formation energies than Te-on-Sb anti-site defects and contribute holes in the film, which makes Sb2 Te3 films always p-doped, as observed experimentally. However, at certain Te/Sb flux ratio and substrate temperature, all three types of defect have relatively high formation energy, which leads to rather lower defect density [27]. As for Bi2 Se3 , Se vacancies dominate the point defects, and the films are always electron-doped [13]. Lower substrate temperature with higher effective Se flux helps to decrease the density of Se vacancies, which can be realized by cracker cell evaporators. 22.3.3

Domain Walls

Bi2 Se3 family bulk crystals have threefold symmetry about the axis perpendicular to the cleavage plane. On a substrate with sixfold symmetry, there will be two crystalline domains

Molecular Beam Epitaxial Growth of Topological Insulators

373

opposite to each other in the films. The different crystalline domains manifest themselves as two classes of triangle-shaped islands pointing in opposite directions, which are observed by STM in MBE-grown films of most Bi2 Se3 family TIs [18]. One may expect to remove the domain walls from the films by choosing substrates with threefold symmetry. However, because the interaction between the films and substrates is weak owing to the layered nature of Bi2 Se3 family TIs, in most cases only the topmost atomic layer of the substrate, usually showing sixfold symmetry, plays an important role in MBE growth. Thus, twin domains still exist, as shown in Bi2 Se3 films grown on InP (111) [24] and Bi-passivated Si (111) [18]. Wang et al. found that the straight and regularly arranged steps resulting from vicinal Si (111) substrates act as guiding lines for the initial nucleation stage of growth of Bi2 Se3 , which promotes the formation of a single domain film [18].

22.4

Band Structure Engineering in Ternary Bi2 Se3 Family TIs

One can further eliminate the bulk carriers of Bi2 Se3 family TIs and improve their surface band structure by growing ternary Bi2 Se3 family TI films with MBE. The most successful sample is (Bi,Sb)2 Te3 ternary TI films, which are prepared by co-evaporation of Bi, Sb, and Te with different Bi/Sb flux ratios. Bi2 Te3 is usually n-doped with EF lying in the conduction band. With increasing Sb concentration in (Bi,Sb)2 Te3 , EF moves toward the Dirac point, indicating the reduction of the n-type carriers. Moreover, the Dirac point shifts upwards relative to the bulk valence band maximum. When the Sb concentration reaches 88% of the whole cations, both the Dirac point and EF lie within the bulk energy gap. Such a material is ideal for TI studies because it has a truly insulating bulk and has the physical properties mainly contributed by the electrons around the Dirac point of the surface states. With higher Sb concentration in the compound, EF moves below the Dirac point, indicating a crossover from n- to p-type Dirac fermion gas [32]. This provides a convenient way to tune the carriers and band structure of 3D TIs, which paves the way for many further studies such as the realization of the quantum anomalous Hall effect (QAHE) in magnetically doped TIs.

22.5

Magnetically Doped Bi2 Se3 Family TIs

Introducing ferromagnetism (FM) in TIs is one of the most actively pursued topics in TIs. Magnetic doping is a common method to make a semiconductor ferromagnetic [33]. The ferromagnetism of magnetically doped semiconductors is usually supported by a RKKY-like coupling mechanism with iterant carriers as the medium [34]. This mechanism, however, is not favored for magnetic TIs because iterant bulk carriers cover the properties of the topological surface states and QAHE edge states. To exhibit the novel quantum effects such as QAHE, a magnetic TI should be in a FM insulator phase. Two alternative mechanisms have been proposed to support the FM insulator phase in magnetically doped TIs. The first one is the RKKY-like mechanism mediated by the topological surface states [35]. Unlike the usual RKKY mechanism, ferromagnetism induced by the surface RKKY mechanism becomes stronger when the Fermi level is closer to the Dirac point (i.e. with lower surface carrier density). The second one is the so-called van Vleck mechanism, which originates from a second-order perturbation process. The strength of van Vleck susceptibility is determined by the matrix elements of the spin

374

Molecular Beam Epitaxy

operator between the bulk conduction and valence bands. The susceptibility is negligible for the usual insulators, because their conduction and valence bands have different wave functions. For Bi2 Se3 family TIs, however, the conduction and valence bands are inverted and hybridized as a result of strong spin–orbit coupling (SOC). This leads to a large van Vleck susceptibility which can mediate FM coupling between local moments in a TI, without needing itinerant carriers [36]. Therefore, the FM insulator phase may exist in magnetically doped Bi2 Se3 family TIs as long as the bulk band structure remains topologically nontrivial. A wide variety of magnetically doped TIs have been tried in experiments. Bi2 Se3 was considered as the first choice because it has the largest bulk gap and its Dirac point resides in the bulk gap. However, long-range FM order in magnetically doped Bi2 Se3 has not been convincingly demonstrated for a period of time. One of the main problems is that the SOC of Bi2 Se3 is mainly contributed by Bi atoms. Cr substitution of Bi significantly reduces the SOC of the system, so that at a sufficiently high Cr concentration, the band structure is no longer inverted. This will reduce the van Vleck susceptibility and therefore the ferromagnetism [37]. In Sb2 Te3 and Bi2 Te3 , on the other hand, Te atoms also contribute significant SOC. The band structure and van Vleck susceptibility are thus much less influenced by magnetic doping. Indeed, long-range ferromagnetic order was clearly observed in Cr- and V-doped Sb2 Te3 and Mn-doped Bi2 Te3 by several different groups [38–41]. These samples are, however, highly metallic with a large density of p-type bulk carriers brought about by magnetic dopants. And the anomalous Hall effect (AHE) is far from quantization. Bulk carriers of these materials can be reduced by mixing Bi2 Te3 and Sb2 Te3 with carefully controlled Bi/Sb ratios. Uniformly Cr-doped (Bi,Sb)2 Te3 films prepared by MBE show ferromagnetism with a perpendicular easy axis, surviving even when both bulk and surface carriers are depleted. The observation confirmed the existence of the ferromagnetic insulator phase in the material [40]. These efforts finally lead to the first observation of the QAHE in a Cr0.15 (Bi0.1 Sb0.9 )1.85 Te3 thin film with thickness of five QL at 30 mK [42]. Using SrTiO3 (the dielectric constant at 4 K is ∼20 000) as substrate, one could fine-tune the chemical potential of the magnetic TI films with bottom gate to the energy corresponding to the quantum plateau [20]. Figure 22.6(a) displays the Hall traces of the sample measured at different gate voltages (Vg ), which show the typical hysteresis loops of AHE. At certain Vg range, the Hall resistance (𝜌yx ) reaches the quantum resistance (h/e2 ) even at zero magnetic field, and is nearly invariant with the magnetic field except at coercive fields. In the Vg dependence of the transport properties at zero magnetic field [Figure 22.6(b)], the Hall resistance shows a clear plateau at quantum resistance where a dip in the longitudinal resistance (𝜌xx ) is observed, suggesting a significant decrease in dissipation in electron transport. The longitudinal resistance can be reduced to zero by a magnetic field of ∼10 T [Figure 22.6(d)]. Meanwhile 𝜌yx remains at the quantized value h/e2 , which indicates that no quantum phase transition occurs, and the sample stays in the same quantum Hall phase [Figure 22.6(c)]. These observations unambiguously demonstrate the realization of the QAHE. The QAHE deteriorates rapidly with increasing temperature. The activation energy estimated from the temperature dependence of the longitudinal conductance is only around 0.1 K. Soon after its first observation, the QAHE has been confirmed by several groups in similar materials [43–47]. Efforts have been made in past years to improve magnetic TI

Molecular Beam Epitaxial Growth of Topological Insulators –1.5 V 2.5 V –7.5 V –14 V 28 V –55 V 200 V

ρyx (h/e2)

0.5 0.0

–0.5

30 mK V 0g = –1.5 V ρyx(0), ρxx(0) (h/e2)

1.0 30 mK

375

1.0 ρxx(0) ρyx(0) 0.5

–1.0 –0.4

–0.2

0.0 0.2 μ0H (T)

0.0

0.4

–40

–20

(a)

ρyx (h/e2)

0.5

20

40

(b) 2.0

30 mK Vg = V 0g

1.5 ρxx (h/e2)

1.0

0 Vg (V)

0.0

–0.5

30 mK Vg = V 0g

1.0 0.5

–1.0

0.0 –15 –10 –5

0 5 μ0H (T) (c)

10

15

–15 –10 –5

0 5 μ0H (T) (d)

10

15

Figure 22.6 The first experimental observation of the QAHE. (a) Magnetic field dependence of the Hall resistance (𝜌yx ) which shows the hysteresis loops of AH resistance. At Vg = −1.5 V, 𝜌yx reaches the quantized value h/e2 , invariant with magnetic field. (b) Gate voltage (Vg ) dependence of the Hall (𝜌yx ) and longitudinal (𝜌xx ) resistances at zero magnetic field. A plateau of 𝜌yx at h/e2 and a dip of 𝜌xx were observed around Vg = −1.5 V. (c, d) Magnetic field dependences of the Hall (c) and longitudinal (d) resistances with the magnetic field applied up to 18 T. The longitudinal resistance is reduced to zero by the high magnetic field, meanwhile the Hall resistance keeps at h/e2 (from Ref. [42]).

materials to observe the QAHE at higher temperature. Progress has been made in V-doped (Bi,Sb)2 Te3 systems [43, 44], modulation-magnetic-doping in (Bi,Sb)2 Te3 systems [48], and Cr–V co-doped (Bi,Sb)2 Te3 systems [49].

22.6

MBE Growth of 2D TI Materials

A 2D TI is a QW film with gapped 2D bulk band (QW states) and a pair of 1D, spin-filtered edge states at each edge, in which electrons with different spins propagate in opposite directions [50, 51]. The pair of edge states contributes to quantized longitudinal resistance (h/2e2 ∼ 12.9 kΩ for six-terminal measurements) in transport measurements and quantized spin accumulation at the two edges parallel to the applied current, which is known as the QSH effect. Graphene was first predicted as a 2D TI in the case that the Dirac points of its

376

Molecular Beam Epitaxy

energy bands are gapped by SOC [50]. However, the SOC of graphene is too weak to open a gap large enough to support a detectable QSH effect under realistic conditions. HgTe/CdTe QW is the first practical 2D TI material that has been predicted [2]. Bulk HgTe is a II–VI semimetal with a zinc-blende structure, and CdTe is a semiconductor with a 1.5 eV bandgap at room temperature. Opposite to most normal semiconductors, the conduction band of HgTe is derived from p-like states and the valence band from s-like states [52], which is the origin of the topological states when interfaced with the “normal” semiconductor CdTe in the HgTe/CdTe heterostructure. Below the critical 6.3 nm, the HgTe bands retain the normal CdTe-like non-inverted structure, and above 6.3 nm, band inversion occurs, leading to gapless states at the edges of the sample where the conduction and valence bands cross each other in energy. A quantized conductance of 2e2 /h was observed in top-gated HgTe/Hg0.3 Cd0.7 Te quantum wells by the group led by Molenkamp, and attributed to the helical QSH edge states [53]. 3D TI phase can also be obtained in HgTe films. An ∼22 meV bulk gap is observed in a 70 nm HgTe film grown on CdTe, induced by the strain applied by the lattice mismatch with the CdTe substrate [54]. The resulting insulator is a 3D TI. Due to the high carrier mobility (34 000 cm2 V−1 s−1 ) of HgTe films, quantum Hall effect of the surface states was observed. For practical applications, HgTe/CdTe QW has some shortcomings. First, the MBE growth of high-quality HgTe/CdTe QW is very difficult, and can only be done by a few groups in the world. Second, the thermal stability of the material is not good, so an unconventional low-temperature lithography technique has to be used in device fabrication. Third, both Hg and Cd are highly toxic and volatile, which makes large-scale productions and applications of HgTe/CdTe-based devices difficult. InAs/GaSb is a type-II QW, in which narrow-gaped InAs and GaSb layers, adjacent to each other, are confined by barriers of wide-gaped AlSb (1.6 eV) which has a large band offset with InAs. The band structure and alignment of InAs, GaSb, and AlSb make the QW subbands formed in InAs and GaSb layers n- and p-type, respectively [55]. The lowest electron subband in InAs and the highest hole subband in GaSb are very close in energy. When the electron subband is tuned lower than the hole subband by film thickness, a hybridization gap is opened. This changes the system into a 2D TI with a pair of QSH edge states in the gap. As a QW structure composed of conventional III–V group semiconductor materials, high-mobility samples can routinely be obtained. The 2D TI material will be very easy to integrate into traditional semiconductor technology. InAs/GaSb QW is different from HgTe/CdTe in that its electron and hole subbands locate in different regions of a sample. This breaks the inversion symmetry and builds an electric field across the sample. One can thus apply a perpendicular external electrical field to tune InAs/GaSb QW between the 2D TI phase and the usual 2D insulating phase, so that the helical edge states can be turned on/off. This effect can be used to develop a QSH field-effect transistor. Experiments on InAs/GaSb QWs showed clear quantized plateau of the longitudinal resistance, which was considered as strong evidence for the QSH phase [56]. However, recent work showed that InAs/GaSb QW in the topological trivial regime can also exhibit similar behaviors [57]. Further studies are required to address this controversy. In recent years, several candidate 2D TI systems with simpler structure have been proposed, including single layers or multilayers of Bi, Si, Ge, and Sn (see a review of this class of materials in Ref. [58]). Many of these are expected to have a larger bulk gap than

Molecular Beam Epitaxial Growth of Topological Insulators

377

HgTe/CdTe and InAs/GaSb QWs and to show the QSH effect at higher temperature. MBE growth of these materials has been realized, however, mostly on conductive substrates. Finding insulating substrates for transport studies, especially the QSH effect, is the foremost task for exploration on these new 2D TI materials.

22.7

Summary

In this chapter, we summarize the progress on MBE growth of TI materials in recent years. Many new TI systems with different properties have been successfully prepared by MBE technique. Many methods of improving the quality of MBE-grown TI films have been figured out. It is to be noted that in a TI it is the several-nanometer-thick region around the interface that plays the central role in the unique quantum phenomena of TIs. Therefore, in the future, much attention should be paid to the interface regions of TIs with the usual insulator, magnetic, or superconducting material to obtain well-defined, atomically flat, and controllable heterostructures that support various quantum effects.

Reference 1. Fu, L. and Kane, C.L. (2007). Topological insulators with inversion symmetry. Phys. Rev. B 76 (4): 045302. 2. Bernevig, B.A., Hughes, T.L., and Zhang, S.-C. (2006). Quantum spin Hall effect and topological phase transition in HgTe quantum wells. Science 314 (5806): 1757–1761. 3. Zhang, H., Liu, C.-X., Qi, X.-L. et al. (2009). Topological insulators in Bi2 Se3 , Bi2 Te3 and Sb2 Te3 with a single Dirac cone on the surface. Nat. Phys. 5 (6): 438–442. 4. Hasan, M.Z. and Kane, C.L. (2010). Colloquium: Topological insulators. Rev. Mod. Phys. 82 (4): 3045–3067. 5. Qi, X.L. and Zhang, S.C. (2011). Topological insulators and superconductors. Rev. Mod. Phys. 83 (4): 1057–1110. 6. Hsieh, D., Xia, Y., Wray, L. et al. (2009). Observation of unconventional quantum spin textures in topological insulators. Science 323 (5916): 919–922. 7. Hsieh, D., Xia, Y., Qian, D. et al. (2009). A tunable topological insulator in the spin helical Dirac transport regime. Nature 460 (7259): 1101–1105. 8. Hor, Y.S., Richardella, A., Roushan, P. et al. (2009). P-type Bi2 Se3 for topological insulator and low-temperature thermoelectric applications. Phys. Rev. B 79 (19): 195208. 9. Hsieh, D., Qian, D., Wray, L. et al. (2008). A topological Dirac insulator in a quantum spin Hall phase. Nature 452 (7190): 970–974. 10. Xia, Y., Qian, D., Hsieh, D. et al. (2009). Observation of a large-gap topological-insulator class with a single Dirac cone on the surface. Nat. Phys. 5 (6): 398–402. 11. Chen, Y.L., Analytis, J.G., Chu, J.-H. et al. (2009). Experimental realization of a three-dimensional topological insulator Bi2 Te3 . Science 325 (5937): 178–181. 12. Li, Y.-Y., Wang, G., Zhu, X.-G. et al. (2010). Intrinsic topological insulator Bi2 Te3 thin films on Si and their thickness limit. Adv. Mater. 22 (36): 4002–4007. 13. Song, C.-L.C.-L., Wang, Y.Y.-L., Jiang, Y.-P. et al. (2010). Topological insulator Bi2 Se3 thin films grown on double-layer graphene by molecular beam epitaxy. Appl. Phys. Lett. 97 (14): 143118. 14. Zhang, Y., He, K., Chang, C.-Z. et al. (2010). Crossover of the three-dimensional topological insulator Bi2 Se3 to the two-dimensional limit. Nat. Phys. 6 (8): 584–588. 15. Wang, G., Zhu, X., Wen, J. et al. (2010). Atomically smooth ultrathin films of topological insulator Sb2 Te3 . Nano Res. 3 (12): 874–880.

378

Molecular Beam Epitaxy

16. Chen, X., Ma, X.-C., He, K. et al. (2011). Molecular beam epitaxial growth of topological insulators. Adv. Mater. 23 (9): 1162–1165. 17. Zhang, G., Qin, H., Teng, J. et al. (2009). Quintuple-layer epitaxy of thin films of topological insulator Bi2 Se3 . Appl. Phys. Lett. 95 (5): 053114. 18. Wang, Z.Y., Li, H.D., Guo, X. et al. (2011). Growth characteristics of topological insulator Bi2 Se3 films on different substrates. J. Cryst. Growth 334 (1): 96–102. 19. Chang, C., He, K., Wang, L. et al. (2011). Growth of quantum well films of topological insulator Bi2 Se3 on insulating substrate. SPIN 1 (1): 21–25. 20. Chen, J., Qin, H.J., Yang, F. et al. (2010). Gate-voltage control of chemical potential and weak antilocalization in Bi2 Se3 . Phys. Rev. Lett. 105 (17): 176602. 21. Kou, X.F., He, L., Xiu, F.X. et al. (2011). Epitaxial growth of high mobility Bi2 Se3 thin films on CdS. Appl. Phys. Lett. 98 (24): 242102. 22. Richardella, A., Zhang, D.M., Lee, J.S. et al. (2010). Coherent heteroepitaxy of Bi2 Se3 on GaAs (111)B. Appl. Phys. Lett. 97 (26): 262104. 23. Peng, H., Dang, W., Cao, J. et al. (2012). Topological insulator nanostructures for near-infrared transparent flexible electrodes. Nat. Chem. 4 (4): 281–286. 24. Tarakina, N.V., Schreyeck, S., Borzenko, T. et al. (2012). Comparative study of the microstructure of Bi2 Se3 thin films grown on Si (111) and InP (111) substrates. Cryst. Growth Des. 12 (4): 1913–1918. 25. Zhang, L., Hammond, R., Dolev, M. et al. (2012). High quality ultrathin Bi2 Se3 films on CaF2 and CaF2 /Si by molecular beam epitaxy with a radio frequency cracker cell. Appl. Phys. Lett. 101 (15): 153105. 26. Liu, C.-X., Zhang, H., Yan, B. et al. (2010). Oscillatory crossover from two-dimensional to three-dimensional topological insulators. Phys. Rev. B 81 (4): 041307. 27. Sakamoto, Y., Hirahara, T., Miyazaki, H. et al. (2010). Spectroscopic evidence of a topological quantum phase transition in ultrathin Bi2 Se3 films. Phys. Rev. B 81 (16): 165432. 28. Liliental-Weber, Z., Jasinski, J., and Zakharov, D.N. (2004). GaN grown in polar and non-polar directions. Opto-Electron. Rev. 12 (4): 339–346. 29. Scott, S.A., Kral, M.V., and Brown, S.A. (2006). Growth of nanorods and mesoscale stars prior to an orientation transition in thin Bi films on graphite. Appl. Surf. Sci. 252 (15): 5563–5567. 30. Wang, G., Zhu, X.G., Sun, Y.Y. et al. (2011). Topological insulator thin films of Bi2 Te3 with controlled electronic structure. Adv. Mater. 23 (26): 2929–2932. 31. Jiang, Y., Sun, Y.Y., Chen, M. et al. (2012). Fermi-level tuning of epitaxial Sb2 Te3 thin films on graphene by regulating intrinsic defects and substrate transfer doping. Phys. Rev. Lett. 108 (6): 066809. 32. Zhang, J., Chang, C.-Z., Zhang, Z. et al. (2011). Band structure engineering in (Bi1−x Sbx )2 Te3 ternary topological insulators. Nat. Commun. 2: 574. 33. Ohno, H. (1998). Making nonmagnetic semiconductors ferromagnetic. Science 281 (5379): 951–956. 34. Dietl, T., Ohno, H., Matsukura, F. et al. (2000). Zener model description of ferromagnetism in zinc-blende magnetic semiconductors. Science 287 (5455): 1019–1022. 35. Liu, Q., Liu, C.-X., Xu, C. et al. (2009). Magnetic impurities on the surface of a topological insulator. Phys. Rev. Lett. 102 (15): 156603. 36. Yu, R., Zhang, W., Zhang, H.-J. et al. (2010). Quantized anomalous Hall effect in magnetic topological insulators. Science 329 (5987): 61–64. 37. Zhang, J., Chang, C.-Z., Tang, P. et al. (2013). Topology-driven magnetic quantum phase transition in topological insulators. Science 339 (6127): 1582–1586. 38. Chien, Y.-J. (2007). Transition metal-doped Sb2 Te3 and Bi2 Te3 diluted magnetic semiconductors, PhD dissertation. The University of Michigan. 39. Checkelsky, J.G., Ye, J., Onose, Y. et al. (2012). Dirac-fermion-mediated ferromagnetism in a topological insulator. Nat. Phys. 8 (10): 729–733. 40. Chang, C.-Z., Zhang, J., Liu, M. et al. (2013). Thin films of magnetically doped topological insulator with carrier-independent long-range ferromagnetic order. Adv. Mater. 25 (7): 1065–1070. 41. Hor, Y.S., Roushan, P., Beidenkopf, H. et al. (2010). Development of ferromagnetism in the doped topological insulator Bi2−x Mnx Te3 . Phys. Rev. B 81 (19): 195203.

Molecular Beam Epitaxial Growth of Topological Insulators

379

42. Chang, C.-Z., Zhang, J., Feng, X. et al. (2013). Experimental observation of the quantum anomalous Hall effect in a magnetic topological insulator. Science 340 (6129): 167–170. 43. Chang, C.-Z., Zhao, W., Kim, D.Y. et al. (2015). High-precision realization of robust quantum anomalous Hall state in a hard ferromagnetic topological insulator. Nat. Mater. 14 (5): 473–477. 44. Chang, C.-Z., Zhao, W., Kim, D.Y. et al. (2015). Zero-field dissipationless chiral edge transport and the nature of dissipation in the quantum anomalous Hall state. Phys. Rev. Lett. 115 (5): 057206. 45. Checkelsky, J.G., Yoshimi, R., Tsukazaki, A. et al. (2014). Trajectory of the anomalous Hall effect towards the quantized state in a ferromagnetic topological insulator. Nat. Phys. 10 (8): 731–736. 46. Kou, X., Guo, S.T., Fan, Y. et al. (2014). Scale-invariant quantum anomalous Hall effect in magnetic topological insulators beyond the two-dimensional limit. Phys. Rev. Lett. 113 (13): 137201. 47. Kandala, A., Richardella, A., Kempinger, S. et al. (2015). Giant anisotropic magnetoresistance in a quantum anomalous Hall insulator. Nat. Commun. 6 (7): 7434. 48. Mogi, M., Yoshimi, R., Tsukazaki, A. et al. (2015). Magnetic modulation doping in topological insulators toward higher-temperature quantum anomalous Hall effect. Appl. Phys. Lett. 107 (18): 182401. 49. Ou, Y., Liu, C., Jiang, G. et al. (2017). Enhancing the quantum anomalous Hall effect by magnetic codoping in a topological insulator. Adv. Mater. https://doi.org/10.1002/adma. 201703062. 50. Kane, C.L. and Mele, E.J. (2005). Quantum spin Hall effect in graphene. Phys. Rev. Lett. 95 (22): 226801. 51. Bernevig, B.A. and Zhang, S.-C. (2006). Quantum spin Hall effect. Phys. Rev. Lett. 96 (10): 106802. 52. Chadi, D.J., Walter, J.P., Cohen, M.L. et al. (1972). Reflectivities and electronic band structures of CdTe and HgTe. Phys. Rev. B 5 (8): 3058. 53. König, M., Wiedmann, S., Brüne, C. et al. (2007). Quantum spin Hall insulator state in HgTe quantum wells. Science 318 (5851): 766–770. 54. Brüne, C., Liu, C.X., Novik, E.G. et al. (2011). Quantum Hall effect from the topological surface states of strained bulk HgTe. Phys. Rev. Lett. 106 (12): 126803. 55. Liu, C., Hughes, T.L., Qi, X.-L. et al. (2008). Quantum spin Hall effect in inverted type-II semiconductors. Phys. Rev. Lett. 100 (23): 236601. 56. Knez, I., Du, R.-R., and Sullivan, G. (2011). Evidence for helical edge modes in inverted InAs/GaSb quantum wells. Phys. Rev. Lett. 107 (13): 136603. 57. Nichele, F., Suominen, H.J., Kjaergaard, M. et al. (2016). Edge transport in the trivial phase of InAs/GaSb. New J. Phys. 18 (8): 083005. 58. Molle, A., Goldberger, J., Houssa, M. et al. (2017). Buckled two-dimensional Xene sheets. Nat. Mater. 16 (2): 163–169.

23 Applications of Bismuth-Containing III–V Semiconductors in Devices Masahiro Yoshimoto Kyoto Institute of Technology, Sakyo, Kyoto 606-8585, Japan

23.1

Introduction

GaAs1−x Bix is considered one of the highly mismatched alloys [1], which contain elements with significantly different sizes, electronegativities, and/or ionization energies such as GaAs1−x Nx [1], GaNx P1−x [2], and ZnO1−x Sex [3]. One of the most notable characteristics of highly mismatched alloys is a large bandgap bowing, as shown in Figure 23.1 [4–8]. The observed sharp decrease in the bandgap is due to an increase in the Bi composition and the N composition, and can be described quantitatively by the band anti-crossing (BAC) model [1, 9]. In the BAC model, the narrow bandgap of GaNx As1−x arises due to resonance between the N atom level and the conduction band of GaAs [1]. In the case of GaAs1−x Bix , the narrow bandgap is due to the level of Bi atom resonating with the valence band of GaAs (inset of Figure 23.1) [9]. Highly mismatched alloys of GaAs1−x Bix and related semiconductors allow high flexibility in bandgap engineering and the modification of the band structure, resulting in materials with a temperature-insensitive bandgap [10] or a large energy difference (Δso ) between the valence band and the split-off band (inset of Figure 23.1) [11]. Further, quaternary alloys of GaNy As1−x−y Bix and Iny Ga1−y As1−x Bix are narrow bandgap semiconductors which are lattice-matched to GaAs and InP, respectively. In the 1970s and 1980s, the growth of InSb1−x Bix [12–14] and InAs1−x Bix [6, 15] was achieved. However, further progress was limited by the low solid solubility of Bi in III–V semiconductors such as InSb [12]. As a result, in order to create bismuth (Bi)-containing compound semiconductors, it is necessary to use a non-equilibrium crystal growth technique such as metal-organic vapor-phase epitaxy (MOVPE) [6, 15, 16] or molecular Molecular Beam Epitaxy: Materials and Applications for Electronics and Optoelectronics, First Edition. Edited by Hajime Asahi and Yoshiji Horikoshi. © 2019 John Wiley & Sons Ltd. Published 2019 by John Wiley & Sons Ltd.

Molecular Beam Epitaxy

Bandgap energy (eV)

382

GaAs

1.5

InP

Bi Ev

1

GaAs

GaAsBi

Eg

Eg

Ec

SO

Ec Δ Ev so SO

GaSb GaNAsBi 0.5

0

GaAsBi InGaAsBi

GaSbBi InAs

InSb

InAsBi 5.5

6

InSbBi

6.5

Lattice constant (Å)

Figure 23.1 Relationship between the bandgap and the lattice constant in group III–V compound semiconductors. The bandgaps of GaAs1−x Bix and GaNx As1−x [4] are derived from the BAC model and confirmed by experimental data. The bandgaps of GaSb1−x Bix [5], InAs1−x Bix [6], and InSb1−x Bix [7] are based on experimental data. A revised bowing parameter of 0.8 eV is used for the curve of InAs1−x Sbx [8].

beam epitaxy (MBE) [13, 14, 17, 18]. In 1995, GaAs1−x Bix and related Bi-containing semiconductors were proposed as active layer materials in laser diodes (LDs) with a temperature-insensitive oscillation wavelength [19]. The study of Bi-containing semiconductors began again as GaAs1−x Bix was grown by MOVPE [16] and MBE [17, 18]. The quality of grown GaAs1−x Bix layers has been improved to the point where optically pumped GaAs1−x Bix lasers [20, 21] and GaAs1−x Bix LDs [22–26] exhibit laser oscillation. Bi-containing compound semiconductors such as GaAs1−x Bix have attracted attention as novel optoelectronic semiconductors.

23.2

Growth of GaAsBi

Since the first growth of GaAs1−x Bix was successfully attained by Oe et al. using MOVPE [16, 27], it has been difficult to increase the Bi content due to the insufficient decomposition of metal-organic sources at low temperatures around 400 ∘ C. In addition, GaAs1−x Bix layers grown at low temperatures are inevitably contaminated with carbon originating from an insufficiently decomposed metal-organic precursor. GaAs1−x Bix growth on GaAs substrates by MBE has been proposed [17, 18]. Recently, several groups have been successful in the MOVPE growth of GaAs1−x Bix with relatively low Bi concentrations and have demonstrated applications of GaAs1−x Bix in LDs [22, 25]. Here, we consider the general aspects of Bi incorporation in III–V semiconductors by MBE with respect to the narrow ranges for the process parameters: (i) low temperatures ( 1 × 1019 cm−3 . The contact resistance was sufficiently low for most Ga2 O3 power devices. The Al2 O3 gate dielectric and passivation film significantly reduced the off-state leakage current. As a result, the Ga2 O3 MOSFETs demonstrated substantial improvements in device characteristics over those of the MESFETs. Their maximum Id and off-state Vbr were 39 mA mm−1 and 370 V, respectively. Furthermore, the drastic reduction in off-state

420

Molecular Beam Epitaxy

leakage current led to an Id on/off ratio exceeding 10 orders of magnitude. Stable transistor operation at high temperatures up to 250 ∘ C was also demonstrated. The Ga2 O3 MOSFETs with a Sn-doped n-Ga2 O3 channel had suffered from irreproducible and non-uniform in-plane doping profiles caused by delayed Sn incorporation into the Ga2 O3 epitaxial layer due to its surface segregation during MBE growth, as discussed. To circumvent the challenge of a narrow growth temperature window, another type of depletion-mode Ga2 O3 MOSFET was fabricated by applying Si-ion implantation doping to form an n-type channel from an unintentionally doped MBE-grown layer [44]. MOSFETs with Si-ion-implanted channel and source/drain electrodes demonstrated a maximum Id of 65 mA (mm)−1 , an off-state Vbr of 415 V, and an Id on/off ratio of over 10 orders of magnitude. These device characteristics were comparable with those of the Ga2 O3 MOSFETs with a Sn-doped channel. 25.6.3

Field-Plated MOSFETs

A field plate (FP) is commonly used for enhancing the Vbr of FETs. Figure 25.4 depicts a cross-sectional schematic of a Ga2 O3 FP-MOSFET structure [45]. The device output a maximum room-temperature Id of 78 mA (mm)−1 at a gate voltage (Vg ) of +4 V, as shown in Figure 25.5. Successful FP engineering resulted in an enhanced off-state Vbr of 755 V. To characterize the dynamic behavior of the FP-MOSFETs, pulsed Id –Vd measurements were performed. The pulsed Id of the FP-MOSFETs matched or exceeded the corresponding DC Id with no apparent degradation in on-resistance. The Id –Vd characteristics evolved smoothly with increasing device operating temperature up to 300 ∘ C, with no kinks or abrupt changes in behavior. The channel temperature in the Ga2 O3 FP-MOSFETs was characterized by comparing pulsed Id –Vd with DC Id –Vd [46]. From the dependence of the channel temperature on DC dissipated power density, a large thermal resistance of 48 mm K W−1 was extracted at room temperature, as expected from the low thermal

FP LFP,S LG

SiO2 S n+

LGS

G

LFP,D

SiO2

Al2O3 (20 nm)

LGD

Si+-implanted n–-Ga2O3 channel (300 nm)

D n+

UID Ga2O3 buffer (900 nm) Fe-doped semi-insulating β-Ga2O3 (010) substrate

Figure 25.4

Schematic cross-section of Ga2 O3 FP-MOSFET structure [45].

MBE Growth and Device Applications of Ga2 O3 100

LG = 2 μm, WG = 200 μm LGS = 5 μm, LGD = 15 μm

80 IDS (mA/mm)

421

LFP,D = 2.5 μm

VGS = +4 V ~ –40 V ΔVGS = –4 V

60 40

VGS = –55 V

20 Vbr = 755 V 0

Figure 25.5

0

10

20

30 40 VDS (V)

700

750

800

DC output characteristics of Ga2 O3 FP-MOSFET structure [45].

conductivity of Ga2 O3 , indicating that efficient heat extraction from the active region will play a critical role in improving device performance and reliability. As discussed in Section 25.5.3, the field of harsh-environment electronics is another important application target for Ga2 O3 devices. To assess the radiation stability of Ga2 O3 devices, gamma-ray irradiation was performed on the Ga2 O3 FP-MOSFETs [47]. A gamma-ray tolerance over 1.5 MGy was demonstrated for the bulk Ga2 O3 channel by virtue of the weak radiation effects on the devices’ output characteristics. Slight degradations of device characteristics were mostly attributed to dielectric damage and interface trap generation, as is the case in SiC devices.

25.7

Summary

Steady and rapid progress has been made in developing building blocks such as bulk wafers, epitaxial films, and device process techniques to realize Ga2 O3 electronics. As with historical developments of other compound semiconductors, MBE has been the most extensively used technique for early explorations of Ga2 O3 , because it can provide excellent controllability in terms of epitaxial layer thickness and dopant profile, and has also proven to be particularly versatile for growing Ga2 O3 epitaxial layers. Further technological advances are essential to clarify fundamental physical properties of Ga2 O3 and pave the way for new Ga2 O3 -based electronic devices.

References 1. 2. 3. 4.

Roy, R., Hill, V.G., and Osborn, E.F. (1952). J. Am. Chem. Soc. 74: 719. Tippins, H.H. (1965). Phys. Rev. A 140: A316. Orita, M., Ohta, H., Hirano, M., and Hosono, H. (2000). Appl. Phys. Lett. 77: 4166. He, H., Orlando, R., Blanco, M.A. et al. (2006). Phys. Rev. B 74: 195123.

422 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47.

Molecular Beam Epitaxy Onuma, T., Saito, S., Sasaki, K. et al. (2015). Jpn. J. Appl. Phys. 54: 112601. Shinohara, D. and Fujita, S. (2008). Jpn. J. Appl. Phys. 47: 7311. Higashiwaki, M., Sasaki, K., Kuramata, A. et al. (2012). Appl. Phys. Lett. 100: 013504. Baliga, B.J. (1982). J. Appl. Phys. 53: 1759. Oshima, T., Kaminaga, K., Mukai, A. et al. (2013). Jpn. J. Appl. Phys. 52: 051101. Galazka, Z., Irmscher, K., Uecker, R. et al. (2014). J. Cryst. Growth 404: 184. Kuramata, A., Koshi, K., Watanabe, S. et al. (2016). Jpn. J. Appl. Phys. 55: 1202A2. Onuma, T., Saito, S., Sasaki, K. et al. (2016). Appl. Phys. Lett. 108: 101904. Ma, N., Tanen, N., Verma, A. et al. (2016). Appl. Phys. Lett. 109: 212101. Yamaguchi, K. (2004). Solid State Commun. 131: 739. Varley, J.B., Weber, J.R., Janotti, A., and Van de Walle, C.G. (2010). Appl. Phys. Lett. 97: 142106. Peelaers, H. and Van de Walle, C.G. (2015). Phys. Status Solidi B 252: 828. Ghosh, K. and Singisetti, U. (2017). J. Appl. Phys. 122: 035702. Varley, J.B., Janotti, A., Franchini, C., and Van de Walle, C.G. (2012). Phys. Rev. B 85: 081109(R). Handwerg, M., Mitdank, R., Galazka, Z., and Fischer, S.F. (2015). Semicond. Sci. Technol. 30: 024006. Guo, Z., Verma, A., Wu, X. et al. (2015). Appl. Phys. Lett. 106: 111909. Santia, M.D., Tandon, N., and Albrecht, J.D. (2015). Appl. Phys. Lett. 107: 041907. Onuma, T., Fujioka, S., Yamaguchi, T. et al. (2013). Appl. Phys. Lett. 103: 041910. Víllora, E.G., Shimamura, K., Yoshikawa, Y. et al. (2004). J. Cryst. Growth 270: 420. Ohira, S., Yoshioka, M., Sugawara, T. et al. (2006). Thin Solid Films 496: 53. Tomm, Y., Reiche, P., Klimm, D., and Fukuda, T. (2000). J. Cryst. Growth 220: 510. Galazka, Z., Uecker, R., Klimm, D. et al. (2017). ECS J. Solid State Sci. Technol. 6: Q3007. Hoshikawa, K., Ohba, E., Kobayashi, T. et al. (2016). J. Cryst. Growth 447: 36. Aida, H., Nishiguchi, K., Takeda, H. et al. (2008). Jpn. J. Appl. Phys. 47: 8506. Vogt, P. and Bierwagen, O. (2016). Appl. Phys. Lett. 108: 072101. Villora, E.G., Shimamura, K., Kitamura, K., and Aoki, K. (2006). Appl. Phys. Lett. 88: 031105. Oshima, T., Arai, N., Suzuki, N. et al. (2008). Thin Solid Films 516: 5768. Sasaki, K., Kuramata, A., Masui, T. et al. (2012). Appl. Phys Express 5: 035502. Sasaki, K., Higashiwaki, M., Kuramata, A. et al. (2014). J. Cryst. Growth 392: 30. Nomura, K., Goto, K., Togashi, R. et al. (2014). J. Cryst. Growth 405: 19. Murakami, H., Nomura, K., Goto, K. et al. (2015). Appl. Phys Express 8: 015503. Cho, A.Y. (1975). J. Appl. Phys. 46: 1733. Wood, C.E.C. and Joyce, B.A. (1978). J. Appl. Phys. 49: 4854. Kaun, S.W., Wu, F., and Speck, J.S. (2015). J. Vac. Sci. Technol., A 33: 041508. Krishnamoorthy, S., Xia, Z., Joishi, C. et al. (2017). Appl. Phys. Lett. 111: 023502. Ahmadi, E., Koksaldi, O.S., Zheng, X. et al. (2017). Appl. Phys Express 10: 071101. Higashiwaki, M., Sasaki, K., Kamimura, T. et al. (2013). Appl. Phys. Lett. 103: 123511. Sasaki, K., Higashiwaki, M., Kuramata, A. et al. (2013). Appl. Phys Express 6: 086502. Kamimura, T., Sasaki, K., Wong, M.H. et al. (2014). Appl. Phys. Lett. 104: 192104. M. Higashiwaki, K. Sasaki, M. H. Wong, T. Kamimura, D. Krishnamurthy, A. Kuramata, T. Masui, and S. Yamakoshi, Technical Digest – IEEE International Electronic Device Meeting, July 1–4, 2013. Wong, M.H., Sasaki, K., Kuramata, A. et al. (2016). IEEE Electron Device Lett. 37: 212. Wong, M.H., Morikawa, Y., Sasaki, K. et al. (2016). Appl. Phys. Lett. 109: 193503. Wong, M.H., Takeyama, A., Makino, T. et al. (2017). Appl. Phys. Lett. 112: 023503.

26 Molecular Beam Epitaxy for Oxide Electronics Abhinav Prakash and Bharat Jalan Department of Chemical Engineering and Materials Science, University of Minnesota – Twin Cities, Minneapolis, MN 55455, USA

26.1

Introduction

Metal oxides are the most abundant of all compounds found on Earth, many of which serve as ores for the extraction of pure elements. Initially thought to be passive, oxides are now ubiquitous, finding applications in numerous hand-held electronic devices – either in the form of display screens or gate dielectrics in transistors. These oxides can be binary (Am Ox ), ternary (Am Bn Ox ), or quaternary. Some of the commonly used binary oxides include silicon dioxide (SiO2 ) in field-effect devices [1] and indium–tin oxide (ITO) as transparent conductors [2]. Over the years, beta-gallium oxide (β-Ga2 O3 ) has also become popular, owing to its wide bandgap, high room-temperature electron mobility, and high breakdown voltage [3, 4]. Ternary oxides, on the other hand, like barium titanate or lead–zirconium titanate, find applications in transducers due to their piezoelectric properties [5, 6]. Recently, alkaline earth stannates have garnered much interest due to their optical transparency, wide bandgap, and high conductivity at room temperature [7–9].

26.2

Structure–Property Relationship in Perovskite Oxides

Among various oxides, one class of materials that has emerged as being of great importance is perovskite oxides. These compounds have a general chemical formula of ABO3 , where the A-site is usually occupied by alkaline-earth (Ca, Sr, Ba, etc.) or rare-earth elements Molecular Beam Epitaxy: Materials and Applications for Electronics and Optoelectronics, First Edition. Edited by Hajime Asahi and Yoshiji Horikoshi. © 2019 John Wiley & Sons Ltd. Published 2019 by John Wiley & Sons Ltd.

424

Molecular Beam Epitaxy

(a)

(b)

2(rA + ro)

2(rB + ro)

Figure 26.1 (a) A perovskite unit cell, where A atoms (blue) are present at the corners, B (green) at the body center, and O (red) at face centers; (b) perovskite oxides seen as a three-dimensional network of BO6 octahedra.

(La, Gd, Nd, etc.) and the B-site by transition metals (Ti, Mn, Ru, etc.) or some of the p-block elements (Al, Sn, Ga, etc.). They have a simple crystal structure, wherein the A-site refers to the corners of a unit cell and the B-site refers to the body-centered position. Six oxygen ions that sit at the face centers form a three-dimensional network of BO6 octahedra, as illustrated in Figure 26.1. The stability of the crystal is given by Goldschmidt’s tolerance factor [10]: r + rO t= √ A 2(rB + rO ) where rA , rB , and rO are ionic radii of elements A, B, and oxygen. For the perovskite structure to be stable, t should typically lie between 0.8 and 1.1. A broad range of acceptable tolerance factors that hold the atomic arrangement suggests that the BO6 octahedra can withstand a large amount of strain. The crystal prefers to be cubic if the tolerance factor is close to 1 [11, 12]. Deviations in t can occur due to differences in ionic radii of various cations that can be accommodated in the perovskite structure [13]. As t starts to deviate from 1, the structure undergoes symmetry-lowering changes and no longer remains cubic. These structural changes can exist in the form of octahedral tilts, or distortions, or both [14]. Octahedral tilts and distortions lead to changes in the bond lengths and the B–O–B bond angle, which in turn affects the orbital overlap and hence the bandwidth. Bandwidth W, being coupled with the electronic structure, has a strong influence on the properties of perovskite oxides. In addition to the flexibility provided by the crystal structure, there are several other degrees of freedom that can be exploited to engineer the physical properties of the system. 26.2.1 26.2.1.1

Lattice Degrees of Freedom Point Defects

Due to their flexible structure, perovskite oxides can adapt to a combination of cation oxidation states if the charge-neutrality condition is satisfied (sum of the oxidation states for

Molecular Beam Epitaxy for Oxide Electronics

425

cations = 6). Further modifications to the crystal and electronic structures of perovskite oxides can be achieved by alloying or doping at the A or B-sites. Structural changes are discernible in oxides when they are alloyed above a certain limit due to changes in the tolerance factor. Lattice parameters of the alloyed compound can vary linearly between the two parent compounds following Vegard’s Law. However, Vegard’s Law is not strictly followed, with deviations observed in alloyed compounds such as Sn-alloyed SrTiO3 [15] or Sr-alloyed BaSnO3 [16]. Doping concentrations, on the other hand, are usually smaller to cause global structural changes. But, aliovalent substitutional doping introduces extra electrons or holes in the lattice, resulting in discernable changes to the electronic structure. Depending on whether the dopant acts as an acceptor or donor, p-type (hole) or n-type (electron) carrier transport can be observed, respectively. For instance, in SrTiO3 , p-type conduction is observed if it is doped with Sc on the B-site, whereas La doping at the A-site results in electronic transport [17, 18]. Some of the oxides can also withstand oxygen vacancies to a certain extent, which provides another route to alter the electronic properties. This is one of the simplest ways of making a film conducting, as creating oxygen vacancies only requires heating the material to high temperatures in moderate vacuum. At such temperatures, oxygen diffuses out of the lattice and leaves as molecular oxygen. It can be visualized from a simple defect reaction, which also shows that oxygen vacancies can dope the material with electrons [19]: 1 OO → O2 + V⋅⋅O + 2e′ 2 The ability to create oxygen vacancies in a perovskite structure depends on the activation energy associated with the formation of oxygen vacancies and the oxygen diffusion coefficient [20]. For instance, in BaSnO3 , although the enthalpy of vacancy formation is low [21], the oxygen diffusion coefficient is known to be orders of magnitude smaller than other perovskite oxides such as SrTiO3 [22], which makes the creation of oxygen vacancies in BaSnO3 relatively difficult. In many oxides, mainly those having high oxygen diffusivity, oxygen vacancies can be suppressed by annealing in oxygen atmosphere at high temperatures [23, 24]. 26.2.1.2

Strain

When perovskite oxides exist in the form of thin films, strain provides another tuning knob for controlling their properties. The availability of commercial substrates with lattice parameters close to many of the perovskite oxides makes the growth of single-crystalline, epitaxial thin films possible. Below a critical thickness, films can grow commensurately (completely strained), taking the in-plane lattice parameter of the underlying substrate. The constraint induced by the substrate can play important roles and can affect the structural, electronic, and magnetic properties significantly. Structurally, substrate-induced strain and coupling effects have been observed to affect the octahedral tilts in perovskite oxides such as LaNiO3 [25], for instance. In the case of SrTiO3 , which is an incipient ferroelectric, tensile strain can stabilize the ferroelectric phase even at room temperature [26]. 26.2.1.3

d-Orbital Splitting

Many of the perovskite oxides are transition-metal oxides (TMOs), where the B-site is occupied by transition metals. The physical and electronic properties in TMOs are mostly

426

Molecular Beam Epitaxy

governed by the electrons in the d-orbitals. Due to the directional nature of d-orbitals and the system’s propensity to minimize the total energy, several other effects have been observed that are unique to TMOs. Therefore, it is important to review some of the factors relevant to TMOs, such as crystal field splitting, Hund’s coupling, and Jahn–Teller distortion. Crystal field splitting in TMOs refers to the splitting of the otherwise degenerate d-orbitals into different energy levels in the presence of the crystal’s static electric field, as shown in Figure 26.2. In the octahedral coordination geometry of the perovskite structure, dx2 −y2 and dz2 orbitals (called eg orbitals) are directed toward the oxygen ions and therefore electrons in these orbitals experience greater coulombic repulsion. This increases their energies relative to the other three dxy , dyz , and dxz orbitals (called t2g orbitals). The energy difference between the eg and t2g orbitals is called the crystal field splitting parameter (Δo ). When filling these orbitals, electrons first occupy the lower-energy t2g orbitals. Once all three orbitals are filled with one electron each, Δo prevents the occupancy of higher-energy eg orbitals and favors pairing of electrons with opposite spins. The overall spin angular momentum is therefore reduced in such a configuration. This is known as the low-spin state. However, when electrons with opposite spins are paired, there exists an energy penalty called the Hund’s rule coupling energy (Hex ) due to electrostatic repulsion. When Hex > Δo , it is energetically favorable for the electrons to occupy eg orbitals before

Jahn-Teller Distortion eg dx2 – y2 dz2 Crystal Field Splitting Hund’s Coupling

eg dz2

dx2 – y2

0.6Δo 0.4Δo

dxy

dyz

dxz

High Spin State (Hex > Δo)

dxy dyz

dxz t2g

Low Spin State (Hex > Δo)

eg dz2

t2g

dx2 – y2

dyz

dxz dxy t2g

Figure 26.2 Crystal field splitting, Hund’s coupling, and Jahn–Teller distortion can lead to different ground states in transition metal oxides.

Molecular Beam Epitaxy for Oxide Electronics

427

pairing with t2g electrons, thus maximizing the total spin angular momentum (Hund’s Rule). This configuration is known as the high-spin state. The ground state in TMOs, therefore, may result from a competition between crystal field splitting and Hund’s coupling. When Hex and Δo are comparable, the electronic and magnetic properties of TMOs can be tuned by driving the system from a low-spin ground state to a high-spin state or vice versa. For certain electronic configurations, perovskite oxides can further lower their total energy by undergoing Jahn–Teller distortions. These distortions are accompanied by splitting of the eg and t2g orbitals, further depending on the type of distortion (elongation vs. compression), as depicted in Figure 26.2. Since two electrons with different spin can occupy d orbitals, degeneracy can further be lifted in TMOs where strong spin–orbit coupling exists. Several degrees of freedom, discussed above, provided by the amenable crystal structure of perovskite oxides are responsible for the existence of a wide range of novel functionalities. Some of the intriguing properties that have been discovered include high-temperature superconductivity (e.g. Bax La5−x Cu5 O5(3−y) ) [27], room-temperature ferromagnetism (e.g. La0.7 Sr0.3 MnO3 ) [28], multiferroicity (e.g. BiFeO3 , BiMnO3 ) [29, 30], colossal magnetoresistance (e.g. La0.67 Ca0.33 MnO3 ) [31], and so on. 26.2.2

Interfacial Physics

Besides a diverse range of fascinating properties in bulk or thin films, new interfacial phenomena continue to unfold in heterostructures of perovskite oxides. A big impetus to the study of interfacial properties and physics came with the realization of two-dimensional electron gas (2DEG) at the LaAlO3 /SrTiO3 interface in the year 2004 [32]. The existence of a conducting 2D layer between two band insulators was attributed to the polar discontinuity resulting in electronic reconstruction at the interface [32]. Following the seminal work of Ohtomo and Hwang, many interfacial properties and novel physics were identified, including superconductivity [33–35], ferromagnetism [36–41], quantum Hall effects [42, 43], ferroelectricity [44], charge transfer via broken-gap junction [45], and so on, which are otherwise absent in the parent oxide layers. Superconductivity and ferromagnetism have even been shown to coexist at such interfaces [46]. Furthermore, these properties can be tailored with external parameters such as strain effects, electric or magnetic fields [47–52]. New physics and properties are not just limited to interfaces, but can be realized even in superlattices and heterostructures [53–57]. The observation of novel ground states unique to thin films and heterostructures has been made possible with the ability to produce films with abrupt interfaces, and controlled defect densities. Even after more than five decades of existence of thin-film growth techniques, they continue to mature. Recent demonstration of two-dimensional hole gas at the LaAlO3 /SrTiO3 interface is a good example [58]. Growth approaches available today are capable of precisely varying the thickness of films to atomic-layer precision [59–61]. Properties in thin films and heterostructures are, however, found to be extremely sensitive to stoichiometry, defects, interfacial roughness, surface termination, thickness, and so on [62, 63]. For example, the 2DEG that was first observed in the LaAlO3 /SrTiO3 heterostructure only forms if the SrTiO3 substrate has a TiO2 -termination. LaAlO3 films grown on SrO-terminated substrate were found to be insulating [64]. In addition to surface termination, cation stoichiometry also has a strong influence on the properties of 2DEG

428

Molecular Beam Epitaxy

[62, 65–67]. For example, La-rich LaAlO3 films have been shown to result in non-conducting LaAlO3 /SrTiO3 interfaces [66, 68, 69]. For intrinsic physics studies and the discovery of novel phenomena, it is thus critical to have both good structural quality and excellent control over the point defects in thin films grown using ultra-high vacuum (UHV) deposition techniques [70]. The following section will review some of the common thin-film growth techniques employed today, and compare their advantages and disadvantages. 26.2.3

Thin-Film Growth Approaches

Thin-film growth techniques for oxides can be classified into two broad categories – solution and vapor-based approaches. 26.2.3.1

Solution-Phase Synthesis

Solution-phase methods are perhaps the oldest known way of depositing films on a substrate. This is illustrated in Figure 26.3. In step 1, a mixture of solutes is first dispersed in a solution to form colloids, also known as precursors. The solutes react with each other during the aging step, either at room temperature or moderately high temperature, to form the desired material to be deposited. In step 2, the precursor is then transferred

Figure 26.3 Schematic showing a typical sol-gel method for producing thin films. Source: Adapted from Ref. [71].

Molecular Beam Epitaxy for Oxide Electronics

429

to the substrate using a coating technique such as spin-coating or dip-coating. The next step involves removal of the liquid phase, either by baking at high temperature or using a centrifuge. This leaves a layer of film on the substrate, which is usually amorphous. Annealing at high temperature improves the crystallinity of the films, however, grain boundaries exist with grain sizes on the order of a few nanometers. These steps can be repeated to achieve a desired thickness of the film. The simplicity with which films can be deposited with this approach makes it one of the most popular, cost-effective, and energy-efficient thin-film deposition methods, albeit not providing atomic-layer control over film thickness, with the properties being limited by structural imperfections such as grain boundaries and impurity in solutes [71]. 26.2.3.2

Vapor-Phase Synthesis

Vapor-phase deposition techniques differ from solution-based approaches in a way that involves a phase change, where the starting material (originally existing as a solid or liquid) is transformed to vapors before encountering the substrate. Vapor deposition can be further classified into chemical and physical deposition approaches. Chemical deposition includes a chemical reaction taking place at the surface of the substrate, whereas in physical deposition methods, films are directly transferred onto the substrate by evaporation or sublimation. Three of the widely used physical vapor deposition methods are discussed below. 26.2.3.2.1

Sputtering

In sputtering, the source material is a bulk sintered target of the film that is being deposited on a substrate. Sputtering of the target is carried out using a plasma source – typically a mixture of an inert gas like argon with oxygen for oxide materials. The ionized species of plasma with positive charges bombard the surface of the target, removing some of the material. The materials coming off the target are also charged and travel toward the substrate due to the applied potential difference between the target and the substrate. The voltage difference can be either DC or AC, depending on the electrical property of the target. Insulating targets require an AC source to avoid charge build-up at the surface, which would suppress the kinetic energy with which the ionized plasma travels toward the target [72]. Cost-effectiveness and high throughput of sputter deposition make it one of the preferred industrial growth techniques. However, there are certain challenges, including high defect densities that limit the study of intrinsic properties of thin films. 26.2.3.2.2

Pulsed Laser Deposition

Pulsed laser deposition (PLD) is similar to the sputtering approach, except that instead of an argon plasma, a laser beam is used to ablate the target [73]. The target material should have a high optical absorption coefficient at laser wavelength. Due to ionization by the high-energy laser beam, the material creates its own plasma, which is directed toward the substrate. The flux of the plume is responsible for film deposition. The film growth can be controlled using the laser pulse. The growth rate is dependent on the laser energy, distance between the substrate and the target, and the background gas pressure. By proper choice of these parameters, each laser pulse can be used to deposit a submonolayer of material at a time. Growth rates are typically below 1 Å per pulse. PLD is usually equipped with in-situ

430

Molecular Beam Epitaxy

reflection high-energy electron diffraction (RHEED), which helps in monitoring the surface crystallinity and growth mode. RHEED intensity oscillations can provide information about the growth rate also for layer-by-layer growth [74]. Sputtering and PLD have been used to create some of the structurally superior-quality thin films and heterostructures with properties not observable in films grown with solution-based approaches [32, 42]. One of the main advantages of sputtering and PLD is their applicability to a wide range of materials. The processes are cheap and can be scaled up to meet industry requirements. In both these methods, the stoichiometry of the target can be preserved (“congruent material transfer”) under proper choice of growth conditions. However, if laser fluence is not selected appropriately, stoichiometry has been found to vary considerably during PLD growth [75]. Even for the same growth parameters, sample-to-sample variation has been observed. Sputtering and PLD are both high-energy deposition techniques, where the high kinetic energy of particles leaving the target can re-sputter the film. The re-sputtering effect can create defects which are deleterious to the properties of the films. This can, however, be minimized by a modified sputtering technique called high-pressure sputtering, in which the oxygen is supplied at relatively high pressure to decrease the kinetic energy of the particles [76–78]. Film properties are also limited by the composition control and purity of the target [79, 80]. Sometimes single-crystalline targets are used to overcome this issue, which, however, increases the total cost of production [81]. 26.2.3.2.3

Molecular Beam Epitaxy

In the late 1960s, another thin-film growth technique known as molecular beam epitaxy (MBE) was devised by A. Y. Cho and J. R. Arthur, which overcame some of the challenges with other deposition methods [82–84]. MBE is a low-energy, UHV thin-film deposition technique which employs a molecular beam of source materials impinging at the substrate kept at high temperature. Molecular beam means that the mean free paths of atoms or molecules are larger than the source-to-substrate distance (due to the UHV environment). As a result, no inter-atomic/molecular collision occurs before they reach the substrate. High temperature promotes formation of single-crystalline films. MBE can be thought of as a combination of chemical and physical vapor deposition, where individual species are either evaporated using an effusion Knudsen cell or an electron beam. These species react with each other to form a layer of material at the surface of the substrate. MBE offers atomic-layer control over film composition, thickness, and roughness. Films can be grown with low defect densities due to the molecular growth regime. MBE also comes equipped with other in-situ tools in addition to RHEED, such as a quartz crystal microbalance (QCM) and/or beam flux monitor (BFM), to precisely control the flux of individual beams for better stoichiometry control [83, 85, 86].

26.3 26.3.1

Oxide Molecular Beam Epitaxy Historical Perspective

The first demonstration of oxide MBE dates back to 1978, when Hirose, Fischer, and Ploog [87, 88] used a molecular oxygen source for the growth of amorphous aluminum oxide

Molecular Beam Epitaxy for Oxide Electronics

431

(Al2 O3 ) on gallium arsenide (GaAs) film to make a metal–oxide–semiconductor (MOS) heterostructure. One of the challenges associated with the growth of oxide on conventional semiconductors such as GaAs was the incorporation of oxygen in the semiconductor lattice. In this case, it was found that the stoichiometry of the underlying GaAs layer was modified in the presence of oxygen. Even with the insertion of an Al0.5 Ga0.5 As layer between Al2 O3 and GaAs to prevent oxidation of GaAs, oxygen diffusion was found to be an issue due to relatively high growth temperatures. Lower growth temperatures, on the other hand, would reduce the reactivity of oxygen remarkably. To strike a balance between the two, more efficient oxygen sources were desirable [87], but were not implemented in MBE growth until 10 years later, due to compatibility issues, as we discuss later. The first growth of ternary oxides was reported in 1982–1983 by Stall [89], who used volatile oxides (As2 O3 and Sb2 O3 ) as a source of oxygen to grow amorphous spinel Al2 MgO4 , but it was not until 1985 that the first crystalline ternary oxide (LiNbO3 ) was grown [90]. Major advancements in the development of oxide MBE came after the discovery of high-temperature superconductivity in copper-based oxides (cuprates) [27, 91–96]. Thin films grown using MBE with molecular oxygen were, however, amorphous and showed no sign of superconductivity. Long post-growth anneals at high temperatures and high oxygen pressures were required to make films crystalline and to stabilize the superconducting phase [97]. To utilize the full advantages of MBE over other growth techniques such as atomic-layer control and ability to grow uninterrupted superlattice structures with smooth interfaces, controlling the properties of the films in-situ was desirable. This was achieved by integrating more efficient oxygen sources such as oxygen plasma [98, 99] and ozone [100, 101] with MBE. With the use of more reactive oxygen sources, the post-growth annealing step was largely eliminated. 26.3.2 26.3.2.1

Design of Oxide MBE Oxygen Plasma-Assisted MBE

Figure 26.4(a) shows a typical design of a radio-frequency (rf) plasma source consisting of a water-cooled rf coil inductively coupled with the rf source operating at 13.56 MHz. It is supplemented with an external matching unit for optimum plasma coupling and to minimize the reflected power. The efficiency of plasma sources is argued to vary anywhere between 10% and 70%, depending on the choice of oxygen pressure and plasma power [Figure 26.4(b)] [102]. Additionally, rf plasma sources are often equipped with ion deflection plates to prevent electrons and high-energy ions from reaching the growth surface. An atomic source of oxygen has also proved effective in substrate cleaning prior to growth, and to remove any carbon contamination from the surface [103, 104]. This ensures that the interface between the film and the substrate is clean, which is essential for studying novel interfacial phenomena. 26.3.2.2

Ozone-Assisted MBE

The ozone delivery system, on the other hand, consists of a silent discharge ozone generator and a temperature-controlled ozone trap/still (Figure 26.5). Ozone is either stored in the trap in liquid form or adsorbed on silica gel in gaseous form. Silica gel is supposed to be safer, as liquified ozone is prone to explosions due to abrupt changes in temperature. Cases of

432

Molecular Beam Epitaxy Aperture plate with orifice

Earth deflection plate

100.0

High voltage ion deflection plate

P = 0.2 Torr P = 0.6 Torr

Water-cooled RF coils

Dissociation (%)

80.0 Gas injector

Mounting flange

Water outlet

Deflection plates power supply

Gas inlet Water-cooled RF connector

Viewport

60.0

40.0

20.0

Radio frequency (RF) power supply Automatic tuning unit

0.0 100

Water inlet

200

Automatic matching network controller

(a)

300 400 500 Power (W)

600

700

(b)

Figure 26.4 (a) Schematic of the rf plasma source in Jalan’s MBE laboratory at the University of Minnesota; (b) dissociation efficiencies of an rf plasma as a function of gas pressure and rf power. Source: Dissociation efficiencies are taken from Ref. [102].

Pressure gauge Growth chamber Heater

Needle valve

Ozone nozzle

N2

N2

Ozone generator

Heater LN2 O2 Pump

Thermometer Silica gel

Figure 26.5 Schematic of the ozone delivery system. Source: Reproduced with permission from Ref. [105].

explosions have been reported even with the use of silica gel due to the presence of a small amount of liquid ozone. A region of flowing nitrogen gas between the trap and the liquid nitrogen panel keeps the temperature above the liquefaction temperature of ozone, and has proved useful in avoiding these explosions [106, 107].

Molecular Beam Epitaxy for Oxide Electronics

26.3.2.3

433

NO2 and H2 O2 Assisted MBE

Besides molecular oxygen, oxygen plasma and ozone, nitrogen dioxide (NO2 ) and hydrogen peroxide (H2 O2 ) have been shown to work as oxidizing agents. Growth using NO2 was carried out even at pressures as low as 10−7 Torr [108–111]. However, the reactivity of NO2 was found to be lower than ozone [109]. NO2 is not a preferred oxidant when substitutional defects become detrimental to the properties of thin films [112], due to the incorporation of nitrogen ions at oxygen sites. A H2 O2 /H2 O mixture was also demonstrated to be a good source of oxygen for oxide film growth [113]. Since water vapor is undesirable for UHV synthesis, the use of H2 O2 /H2 O mixtures is limited. 26.3.3

Challenges with Oxide MBE

With oxygen sources, either in the form of molecular oxygen, rf plasma, ozone, NO2 , or H2 O2 /H2 O mixtures, practical compatibility issues in a UHV environment need to be considered. In what follows, we discuss potential issues associated with the use of oxygen in MBE, along with possible remedies. 26.3.3.1

Issues with the Substrate Heater Filament

The lifetime of the substrate heater filament, generally operating at high temperature, is severely reduced in the presence of high oxygen pressure. With the introduction of more efficient oxygen sources, although the life span was improved as a result of the use of lower oxygen partial pressure, the operating temperature was still limited by filament oxidation [107]. Nowadays, oxygen-resistant heater filaments made from SiC or noble-metal alloys (Ni or Pt) have replaced tungsten filaments in oxide MBE systems to achieve higher operating temperatures. The working temperature of SiC filaments can be as high as 1000 ∘ C, depending on the partial pressure of oxygen during growth. Besides the filaments, shields that prevent radiative loss of heat should be compatible with oxygen. Tantalum and stainless steel are most common. Their performance at high temperature and high oxygen pressure can be further enhanced with coatings of oxidation-resistant nickel alloys, or by replacing them with Ni-based alloys such as Inconel. Vapor pressure and cross contaminations are always important considerations in choosing parts consisting of non-refractory materials. 26.3.3.2

Issues with Substrate Holders and Cell Crucibles

Substrate holders made from molybdenum may oxidize in plasma or ozone. Molybdenum oxides having higher vapor pressure than molybdenum itself can get incorporated in the film, which is undesirable [106, 114]. This type of contamination can be avoided by choosing holders made of materials that are oxidation-resistant (such as stainless steel, Inconel alloy, etc.), or materials whose oxides have a much lower vapor pressure (such as tantalum). Use of oxygen-resistant materials recently led to the discovery of the highest mobility (>106 cm2 V−1 s−1 ) ever reported in MgZnO/ZnO heterostructures [115]. The choice of crucible should also be considered, in terms of wettability and reactivity with the source material, and reactivity with an oxidizing atmosphere. Pyrolytic boron nitride is a widely used crucible in MBE growth. However, its reactivity in oxygen-rich environments results in the formation of B2 O3 , which may contaminate the films [107].

434

Molecular Beam Epitaxy

26.3.3.3

Issues with Effusion Cells and Flux Instability

Oxidation of the filaments used in the effusion cell is critical for sources that operate at high temperature. Filament oxidation leads to instability in the flux due to temperature fluctuations, which has undesirable effects on the stoichiometry of the films. Filament materials are, therefore, chosen such that stable fluxes are achievable in high oxygen background. Perhaps an even more severe concern than the filament oxidation is that there is a greater risk of oxidation of the source material in oxide MBE, which again leads to flux instabilities. This issue becomes especially important for high-oxidation-potential elements, such as Sr, Ba, Ca, and so on, and for elements with low vapor pressure, such as Ti, Sn, and so on, that require high cell temperatures [116–118]. At high effusion-cell temperatures, the reactivity of elements with oxygen is enhanced, which can result in oxidation of the charge and flux instability. A significant change in flux is observed when an oxygen source is introduced (Figure 26.6) [116, 119], due to partial oxidation of the sources. Time-dependent flux measurements for Ti have shown that flux monotonically decreases in high-pressure oxygen [117]. This is attributed to the formation of titanium dioxide and its low vapor pressure. In case of ternary oxides, flux instabilities often result in non-stoichiometric defects. On the other hand, for binary oxides, although stoichiometry can be maintained, lower flux causes a decrease in the growth rate. Growth rates become important when dopants are used, because the doping concentration varies with the growth rate [120]. The oxidation of source elements can be minimized, either by use of differential pumping through a port aperture [121], or a crucible aperture to minimize the charge exposure to oxygen environment [122]. The use of a ceramic aperture, however, also decreased the growth rate. For elements that readily oxidize, it is critical to protect them when they are not in use. Retractable effusion cells are designed to prevent oxidation of such elements

Figure 26.6 (a) Variation in the quadrupole current for Sr, Mg, Ca, and Ba (an indirect measure of flux) at different oxygen pressure. Source: Adapted from Ref. [116]. (b) Changes in the growth rate for titanium oxide as a function of time in an ozone background pressure of 5 × 10−5 Torr. Source: Adapted from Ref. [117].

Molecular Beam Epitaxy for Oxide Electronics

435

during venting of the growth chamber or while growing other oxides. Moreover, they also facilitate replacement of charge without breaking the vacuum of the growth chamber. Flux instabilities due to source or filament oxidation make beam flux calibration very critical in oxide MBE. Popular ways of measuring fluxes include QCM and BFM. These two techniques allow for measuring the growth rate and flux, respectively, directly below the substrate using a linear travel mechanism. While QCM relies on the change in mass of the quartz crystal and can be used with oxygen, BFM is a type of ionization gauge that is prone to oxidation. Therefore, flux measurements using BFM are usually done prior to growth. On the other hand, the quartz crystal in the QCM needs to be replaced more often than the BFM. To overcome these challenges, sometime real-time flux-monitoring systems such as atomic absorption spectroscopy are utilized, which improve the accuracy of flux calibration [123]. One of the advantages of MBE is the availability of in-situ diagnostic tools for surface characterization, such as RHEED. Apart from providing information about the surface morphology, growth modes, and growth rates (for layer-by-layer growth mode) [124], RHEED can be used to adjust the fluxes so as to achieve stoichiometric growth conditions [125, 126]. It should be noted that RHEED cannot be used as a standalone method for optimizing the stoichiometry, as it is a surface-sensitive technique. Stoichiometry needs to be simultaneously confirmed by other composition characterization methods such as X-ray diffraction and Rutherford backscattering spectrometry (RBS), for example. However, during prolonged exposure to oxygen, RHEED filament oxidation is inevitable if proper caution is not taken. One way to minimize the effect of oxygen is by using differential pumping near the filament, which helps in increasing its lifetime.

26.4 26.4.1

Recent Developments in Oxide MBE Adsorption-Controlled Growth

The existence of adsorption-controlled growth in some ternary perovskite oxides helps in eliminating the disadvantages of flux instabilities and unreliability in growth. The first study of adsorption-controlled growth was reported by Arthur, where the growth of GaAs was studied using MBE [82] following the work of Gunther [127]. Theis and Schlom were the first to demonstrate adsorption-controlled growth in the perovskite oxide PbTiO3 [128, 129]. In this kind of growth mode, non-stoichiometry resulting from small fluctuations in the beam flux of Pb or Ti is compensated by excess Pb desorbing from the surface in the form of PbO. The deposition rate in the adsorption-limited regime is determined by the non-volatile component – in this case TiO2 (Figure 26.7). Although adsorption-controlled growth has been demonstrated for many perovskite oxides including PbTiO3 , Bi2 Sr2 CuOy , Bi4 Ti3 O12 , BiFeO3 , BiMnO3 , and LuFe2 O4 [132–136], to access such a growth regime or “MBE growth window” as it is now called, certain conditions should be satisfied. First, at a given temperature, the equilibrium partial pressure of the volatile oxide should be high enough to enable deposition of perovskite oxide with practically achievable growth rates. Second, the growth window should be accessible at realistic temperatures.

436

Molecular Beam Epitaxy

Figure 26.7 Theoretical growth window for PbTiO3 and SrTiO3 assuming a titanium sticking coefficient of 1. Source: Thermodynamic data taken from [130, 131].

However, it is not possible to satisfy these criteria for all perovskite oxides. For example, in the case of MBE growth of SrTiO3 using solid sources for Sr and Ti, it has been found that a growth window may exist at much lower vapor pressures (in this case SrO), as illustrated in Figure 26.7. To achieve practical fluxes, much higher substrate temperatures are required, which may not be feasible for oxide MBE. Besides an inaccessible growth window, several other challenges exist for the growth of SrTiO3 . 1. One issue is the low vapor pressure of titanium. As a result, the growth rate of SrTiO3 is limited by titanium. Higher growth rates are required for practical applications. 2. Titanium flux is unstable in the presence of oxygen, as previously shown in Figure 26.6(b), which can result in high defect concentrations in the film in the form of cation non-stoichiometry. Beam fluctuation in the range of 0.1–1.0% can introduce a defect density of ∼1020 cm−3 in films [137]. 3. To maintain the desired mean free path of atomic species, and due to the limitations put on the system by pumps, oxygen pressure is usually kept low. At the same time, high substrate temperatures are necessary for better crystallinity of films. As a result, oxygen vacancies are prone to form in the film during growth. These oxygen vacancies often tend to make the film conducting, which may be undesirable for some applications such as dielectrics. Post-oxygen annealing, therefore, becomes necessary, adding an extra processing step. In fact, the post-oxygen annealing step may or may not work in some cases.

Molecular Beam Epitaxy for Oxide Electronics

437

These issues are not just pertinent to titanium, but also apply to other elements that have low vapor pressures (e.g. vanadium, ruthenium, etc.). To achieve a balance between elemental flux stability and the need for high oxygen pressure, an alternative MBE approach was devised, known as hybrid MBE (conventionally known as metal–organic MBE or MOMBE [138, 139]). 26.4.2

Hybrid Molecular Beam Epitaxy

As the name suggests, hybrid MBE is a combination of conventional MBE and metal–organic MBE. The method, first introduced by Jalan et al. in 2009 for SrTiO3 films, used a conventional effusion cell for strontium and a metal–organic precursor – titanium tetraisopropoxide (TTIP) – for titanium [137, 140, 141]. TTIP was supplied through a gas inlet system, as illustrated in Figure 26.8. The precursor was thermally evaporated from a stainless-steel bubbler. The gas lines were maintained at higher temperature than the bubbler to prevent any condensation and subsequent blockage. The pressure of the gas precursor was controlled using a linear leak valve that receives feedback from a capacitive manometer (Baratron® ). Unlike metal–organic chemical vapor deposition (MOCVD), no carrier gases were used. The shower head nozzle design of the gas injector provides an effusive beam of TTIP. The way a TTIP precursor works is that at high growth temperatures (>400 ∘ C), it decomposes into TiO2 via the following reaction [143, 144], thereby forming TiO2 at the surface of the substrate: Ti(OC3 H7 )4 → TiO2 + 4C3 H6 + 2H2 O (T ≥ 400∘ C)

Figure 26.8 Schematic showing (a) conventional MBE setup with both elements supplied through an effusion cell and (b) hybrid MBE setup where one of the effusion cells is replaced by a gas inlet system. Source: Reproduced with permission from [142].

438

Molecular Beam Epitaxy

The hybrid MBE approach offers several advantages over the conventional MBE approach: 1. TTIP has a much higher vapor pressure than solid titanium, allowing for growth rates to be scalable. Due to its high vapor pressure, no carrier gas is needed, thereby reducing the load on vacuum pumps [140]. 2. The beam flux remains stable in the presence of oxygen as the precursor does not come into direct contact with oxygen. This also facilitates refilling the metal–organic source without venting the growth chamber [140, 141]. 3. Since the precursor comes already bonded with oxygen, the oxidation state of Ti is preserved. The use of TTIP with oxygen plasma also helps maintain the oxygen stoichiometry and the insulating nature of SrTiO3 films [141]. 4. TTIP enables an adsorption-controlled growth window to be accessible at reasonable growth temperatures [137]. Using this precursor, several perovskite oxides have been grown, including SrTiO3 , CaTiO3 , and BaTiO3 [141, 145, 146]. Figure 26.9(a–c) shows the out-of-plane lattice (d)

3.930 (a) a [Å]

3.925 3.920

Sr-rich

v+

Ti-rich

3.915

106 800 °C

3.905 4.000

(b)

a [Å]

3.975 Sr-rich

Ti-rich

3.950

Mobility (cm2V−1s−1)

3.910

3.910 Sr-rich

Ti-rich

3.908 3.906 700 °C 35

40

45

50

TTIP/Sr [BEP Ratio]

55

Mobility (cm2V−1s−1)

(c)

3.912 a [Å]

2

105 4 2

104 no strain strain++

4

103

725 °C

3.900

3.904

(e)

4

2

3.925

v–

(f)

4

µ = 128,641 cm2V−1s−1

2

105 4 2

104

no strain strain+ strain++

4 2

103

2

3

4 5 6 78

T(K)

2

10

Figure 26.9 (a–c) Change in the out-of-plane lattice parameter of homoepitaxial SrTiO3 films grown by hybrid MBE at different growth temperatures – 800, 725, and 700 ∘ C – showing a shrinking growth window with decreasing temperature. (d) Schematic of the three-point bending setup that allows application of uniaxial strain to the thin film. (e, f) Effect of strain on mobility in La-doped SrTiO3 films with carrier concentrations of 3.6 × 1017 and 7.5 × 1017 cm−3 , respectively. Source: Adapted from Refs. [137, 147].

Molecular Beam Epitaxy for Oxide Electronics

439

parameters for SrTiO3 films grown at different Ti:Sr beam equivalent pressure (BEP) ratio and different growth temperatures. For all three temperatures, there was a range of Ti:Sr ratio for which the lattice parameter was identical to the bulk value. This suggested that a self-regulated growth window exists for SrTiO3 when TTIP is used as a Ti source. With increasing temperature, the lower boundary of the growth window shifted to a higher TTIP flux because of the increased rate of TTIP desorption. Moreover, higher growth temperatures also resulted in broadening of the growth window [148, 149]. Outside the growth window, the lattice parameter showed an increasing trend due to cation non-stoichiometry. Non-stoichiometry is generally incorporated in thin films as vacancies, due to their ease of formation as opposed to other defects such as interstitials. Therefore, the expanded lattice parameter is a result of increased interaction between two negatively charged oxygen ions. Point defects, either in the form of vacancies or interstitials, strongly affect the physical properties. Having an accessible growth window for SrTiO3 eliminates non-stoichiometry due to fluctuations in the beam flux, thus minimizing defect concentrations in thin films. As a result, electron mobilities exceeding 50 000 cm2 V−1 s−1 (n = 8 × 1017 cm−3 ) have been achieved in La-doped SrTiO3 films at 2 K [150]. This value is higher than those reported in the bulk single crystals (22 000 cm2 V−1 s−1 ) [151] by more than twice, and much higher than those reported for films grown by PLD (6600 cm2 V−1 s−1 ) [152] or any other techniques. This suggests that hybrid MBE provides excellent control over defects [18]. Electron mobility can be further enhanced by 300% under strain [Figure 26.9(d–f)] [147]. High mobilities at low temperature also allow for quantum effects such as Shubnikov–de Haas oscillations to be observable in magnetic fields, enabling direct measurement of electron effective mass [18, 153]. Recently, the use of a hybrid MBE approach has led to the discovery of quantum Hall effects for the first time in perovskite oxides [154]. Similar growth windows have been shown to exist for vanadium precursor – vanadiumoxy-triisopropoxide (VTIP) – during the growth of SrVO3 , LaVO3 , and CaVO3 [155–158]. Both TTIP and VTIP precursors, having the same isopropoxide (C3 H7 O–) group bonded with the metal ion, are expected to show similar growth kinetics at the substrate surface. Oxygen-containing precursor has now been successfully employed for zirconates (zirconium tert-butoxide) as well ruthenates (ruthenium tetroxide) [159, 160]. 26.4.2.1 26.4.2.1.1

Challenges with Hybrid MBE Carbon Contamination

As with any other approaches, hybrid MBE also has its limitations. Metal–organic precursors contain carbon, which can potentially result in contaminations within the film or at the film surface. Below 725 ∘ C, carbon contaminations exceeding 2 × 1018 cm−3 have been detected in SrTiO3 films using dynamic secondary ion mass spectroscopy (SIMS). Carbon contamination was found to be negligible (104 S cm−1 ) amongst all semiconducting perovskite oxides at room temperature [7]. This method has now been extended to SrSnO3 . The highest reported mobility in La-doped SrSnO3 was achieved with this approach [9]. Although growth of BaSnO3 has not been possible so far with the conventional MBE approach, use of SnO2 instead of metallic Sn as a source for tin has been successful in producing films with good structural quality and high mobility [8, 171]. However, growth of conductive SrSnO3 film using SnO2 remains elusive [16].

26.5

Outlook

Given the important role of III–V MBE in developing new device applications, it is reasonable to discuss the important current (and potential) role of oxide MBE in bringing oxide electronics a step closer to reality. To put our discussion in context, we show a timeline in Figure 26.12(a) indicating how mobility of the GaAs/AlGaAs system (as a measure of materials quality) has improved over the years. For instance, the extreme level of atomic layer control with improved defect concentrations afforded by III–V MBE led to the discovery of the fractional quantum Hall effect in AlGaAs/GaAs heterostructures, which later went on to receive a Nobel Prize in Physics in the year 1998 [172–174]. Spanning almost four decades since the first report of modulation doping in AlGaAs/GaAs heterostructures [175], the highest low-temperature mobility in this system has increased more than four orders of magnitude during this time. While much effort was initially directed toward optimizing the structure in order to enhance mobility [175–182], the major increment in the mobility values came only with improvements in the level of purity achievable inside the growth chamber [183–193]. III–V MBE with better vacuum and ultra-high-purity sources played a key role in this process. Taking analogy from III–V MBE, a similar trend has been observed for Mg(Mn)ZnO/ ZnO heterostructures [Figure 26.12(b)]. Modifications to the growth chamber and amendments to the film structure have resulted in an almost four orders of magnitude increase in low-temperature mobility [42, 115, 194–200]. Enhanced mobility has also guided the discovery of novel quantum phenomena never seen in oxide systems. Importantly, this remarkable growth has been achieved in less than two decades [201]. Similarly, in perovskite oxides such as doped SrTiO3 (see Figure 26.13), in just over a decade, a significant improvement in low-temperature mobility, by over three orders of magnitude, has been observed [18, 147, 152, 154, 202–205].

444

Molecular Beam Epitaxy

Figure 26.12 Timeline of highest reported low-temperature mobility in two-dimensional electron gas systems at the interface of (a) conventional semiconductors (AlGaAs/GaAs) and (b) binary semiconducting oxides (MgZnO/ZnO).

Molecular Beam Epitaxy for Oxide Electronics

Figure 26.13

445

Evolution of low-temperature mobility in doped SrTiO3 thin films.

The aforementioned high mobility value in SrTiO3 is at 2 K, which has led to the discovery of new physics and also validated theoretical models that otherwise could not have been validated without these high-quality materials. It is noteworthy that oxide MBE including the development of a novel hybrid MBE has played a significant role in this impressive achievement. However, at room temperature, SrTiO3 possesses mobility rInSb , whereas the output is dominated by the photocurrent of the InSb subdetector if rCdTe < < rInSb . To measure the visible and MWIR responsivities of the devices, a modulated 650-nm LED or a 3.39-μm He–Ne laser with constant power is used as the optical bias to illuminate the device, and the output photocurrent at the modulation frequency is read out by a lock-in amplifier. Detailed discussion about the operating principle of the optically addressed MCPDs can be found in refs. [50, 51].

Heterovalent Semiconductor Structures and their Device Applications

479

Table 28.3 The characteristics of the CdTe-PN/InSb-PN 2CPDs, which include peak responsivity, band rejection ratio (BRR), and crosstalk (CT) between different wavelength bands

CdTe-PN/InSb-PN 2CPD

Peak Rvisible (A W−1 )

Peak RMWIR (A W−1 )

0.31

0.25

Visible MWIR CT visible MWIR BRR (dB) BRR (dB) (dB) 16

40

26

CT MWIR visible (dB) 29

As shown in Figure 28.10(b), the detection band was switched between a visible band from 350 to 780 nm and a MWIR band from 1.5 to 5.5 μm at 77 K depending on the optical bias condition, as summarized in Table 28.3. The detection band is switched by turning on and off only the optical bias. In the visible mode, the subdetectors have a visible responsivity up to ∼0.3 A W−1 , covering the entire visible wavelength range, and a negligible MWIR responsivity of ∼10−5 A W−1 . After switching to the MWIR mode, the MWIR responsivities are increased to 0.25 A W−1 , and the visible responsivity is suppressed to 7.5 mA W−1 . A detection-band rejection ratio (BRR) is defined by Eq. (28.3) [52]: BRR = 10 log

RON ROFF

(28.3)

where RON is the responsivity of a specific band when this band is switched on, and ROFF is the responsivity of this band when it is switched off. On the other hand, visible-to-MWIR crosstalk (CT) and MWIR-to-visible CT are defined by Eq. (28.4a) and (28.4b), respectively [49], to depict how high the undesirable MWIR (visible) photoresponse is when the devices are in the visible (MWIR) mode: ∞

CT visible MWIR = 10 log

∫0 RMWIR (𝜆)d𝜆 1 𝜇m

∫0

(28.4a)

RMWIR (𝜆)d𝜆



CT MWIR visible = 10 log

∫0 Rvisible (𝜆)d𝜆 ∞

∫1 𝜇m Rvisible (𝜆)d𝜆

(28.4b)

where R is the responsivity (in either MWIR or visible mode as the subscript indicates), 𝜆 is the illumination wavelength, and the subscript and superscript of the CTs communicate which mode the device is in and which band is undesirable, respectively. A higher CT value means a lower undesirable photoresponse for each mode. This visible/MWIR 2CPD offers the possibility of achieving compact visible/MWIR focal plane arrays with high FFs for a variety of applications. Using the same design concept, one can in principle build monolithically integrated two-terminal photodetectors with more than two detection wavelength bands, which should have even broader applications in satellite remote sensing and environmental monitoring.

Acknowledgments This work was carried out by many of my students, postdocs, and collaborators at ASU and other institutions: J. J. Becker, M. Boccard, C. M. Campbell, O. O. Cellek,

480

Molecular Beam Epitaxy

W. H. G. Dettlaff, M. J. DiNezza, D. Ding, Z.-Y. He, Z. C. Holman, Y. Kuo, R. J. Nemanich, M. Lassise, J. Lu, S. H. Lim, Z.-Y. Lin, S. Liu, D. Mohanty, X.-B. Zhang, D. J. Smith, E. Steenbergen, E. Suarez, S. Wang, X. Wang, Y. Zhao, X.-H. Zhao et al. at ASU, X. Liu and J. K. Furdyna at Notre Dame, and I. Bhat’s group at RPI. The work at ASU was partially supported by a Science Foundation Arizona grant (SRG 0339-08) and two AFOSR grants (FA9550-10-1-0129, FA9550-15-1-0196), an ARO MURI grant (W911NF-10-1-0524), DOE EERE BAPVC programs (DE-EE000494), DOE EERE PVRD program (DE-EE0007552), and NSF/DOE QESST program (NSF CA No. EEC-1041895). The team at Notre Dame were also jointly supported by an NSF grant (ECCS-1002072), the team at RPI were also supported by the BAPVC program (DE-EE000494). The authors gratefully acknowledge the use of facilities in the John M. Cowley Center for High Resolution Electron Microscopy, the Center for Solid State Electronics Research (ASU NanoFab), and the LeRoyEyring Center for Solid State Science at Arizona State University.

References 1. (a)Alferov, Z.I. (2001). Rev. Mod. Phys. 73 (3): 767. (b)Kroemer, H. (2001). Rev. Mod. Phys. 73: 783. 2. Esaki, L. and Tsu, R. (1970). IBM J. Res. Dev. 14 (1): 61. 3. Leo, K., Bolivar, P.H., Brüggemann, F. et al. (1992). Solid State Commun. 84 (10): 943. 4. Tsui, D.C., Stormer, H.L., and Gossard, A.C. (1982). Phys. Rev. Lett. 48 (22): 1559. 5. Laughlin, R.B. (1983). Phys. Rev. Lett. 50 (18): 1395. 6. Cho, A.Y. and Arthur, J.R. (1975). Progr. Solid State Chem. 10: 157. 7. Veuhoff, E. (1996). Handbook of Compound Semiconductors: Growth, Processing, Characterization and Devices (ed. P.H. Holloway and G.E. McGuire), 29–83. Amsterdam: Elsevier. 8. Mimura, T. (2002). IEEE Trans. Microw. Theor. Techn. 50: 780–782. 9. Faist, J., Capasso, F., Sivco, D.L. et al. (1994). Science 264: 553. 10. Imamog lu, A., Ram, R.J., Pau, S., and Yamamoto, Y. (1996). Phys. Rev. A 53 (6): 4250. 11. Schneider, H. and Liu, H.C. (2007). Quantum Well Infrared Photodetectors. New York: Springer. 12. Gunshor, R.L., Kobayashi, M., Nurmikko, A.V., and Otsuka, N. (1991). Semicond. Semimet. 33: 337–409. 13. Liu, Q., Zhang, X., Abdalla, L.B., and Zunger, A. (2016). Adv. Funct. Mater. 26 (19): 3259. 14. Glaeser, A., Merz, J., Nahory, R., and Tamargo, M. (1991). IEEE Trans. Electron Devices 38: 2720. 15. Yao, Y., Alfaro-Martinez, A., Franz, K.J. et al. (2011). Appl. Phys. Lett. 99: 041113. 16. Ravikumar, A.P., Garcia, T.A., De Jesus, J. et al. (2014). Appl. Phys. Lett. 105: 061113. 17. Kumagai, Y., Imada, S., Baba, T., and Kobayashi, M. (2011). J. Cryst. Growth 323: 132. 18. Wang, S., Ding, D., Liu, X. et al. (2009). J. Cryst. Growth 311: 2116. 19. Li, C.R., Tanner, B.K., Ashenford, D.E. et al. (1997). J. Appl. Phys. 82: 2281. 20. Halsall, M.P., Wolverson, D., Davies, J.J. et al. (1992). Appl. Phys. Lett. 60: 2129. 21. Miles, R.J., Swenberg, J.F., Wang, M.W. et al. (1994). J. Cryst. Growth 138: 523. 22. Kim, T.W., Lee, D.U., Lee, H.S. et al. (2000). Appl. Phys. Lett. 78: 1409. 23. Fan, J., Liu, X., Furdyna, J.K., and Zhang, Y.-H. (2012). Appl. Phys. Lett. 101 (12): 121909. 24. DiNezza, M.J., Zhao, X.-H., Liu, S. et al. (2013). Appl. Phys. Lett. 103 (19): 193901. 25. Liu, S., Zhao, X.-H., Campbell, C.M. et al. (2015). Appl. Phys. Lett. 107 (4): 041120. 26. Lu, J., DiNezza, M.J., Zhao, X.-H. et al. (2016). J. Cryst. Growth 439: 99. 27. Wang, X., Campbell, C., Zhang, Y.-H., and Nemanich, R.J. (2018). J. Vac. Sci. Technol., A 36: 031101. 28. Zhao, X.-H., Dinezza, M.J., Liu, S. et al. (2014). Appl. Phys. Lett. 105: 252101. 29. Zhao, X.-H., Liu, S., Zhao, Y. et al. (2016). IEEE J. Photovoltaics 6: 552.

Heterovalent Semiconductor Structures and their Device Applications 30. 31. 32. 33. 34. 35. 36. 37. 38. 39. 40. 41. 42. 43. 44. 45. 46. 47. 48. 49. 50. 51. 52.

481

Zhao, X.-H., Liu, S., Campbell, C.M. et al. (2016). In: Proc. 43rd IEEE PVSC, 2302. Zhao, Y., Boccard, M., Liu, S. et al. (2016). Nat. Energy 1: 16067. Nakazawa, T., Takamizawa, K., and Ito, K. (1987). Appl. Phys. Lett. 50: 279. Hartmann, J.M., Cibert, J., Kany, F. et al. (1996). J. Appl. Phys. 80: 6257. Kuhn-Heinrich, B., Ossau, W., Heinke, H. et al. (1993). Appl. Phys. Lett. 63: 2932. Molenkamp, L.W. and van’t Blik, H.F.J. (1988). J. Appl. Phys. 64: 4253. Olson, J.M., Ahrenkiel, R.K., Dunlavy, D.J. et al. (1989). Appl. Phys. Lett. 55: 1208. Becker, J.J., Boccard, M., Campbell, C.M. et al. (2017). IEEE J. Photovoltaics 7: 900. Green, M.A., Emery, K., Hishikawa, Y. et al. (2015). Prog. Photovoltaics Res. Appl. 23 (1): 805. Repins, I., Mansfield, L., Kanevce, A. et al. (2016). IEEE Photovoltaic Spec. Conf., 0309. Bivour, M., Schröer, S., and Hermle, M. (2013). Energy Procedia 38: 658. Paudel, N.R. and Yan, Y. (2014). Appl. Phys. Lett. 105 (18): 183510. Battaglia, C., De Nicolas, S.M., De Wolf, S. et al. (2014). Appl. Phys. Lett. 104 (11): 2012. Becker, J.J., Campbell, C.M., Zhao, Y. et al. (2017). IEEE J. Photovoltaics 7 (1): 307. Burst, J.M., Duenow, J.N., Albin, D.S. et al. (2016). Nat. Energy 1: 16015. Rolls, W.H. (1977). Electro-Opt. Syst. Des. 9 (11): 10. Campbell, J.C., Lee, T.P., Dentai, A.G., and Burrus, C.A. (1979). Appl. Phys. Lett. 34: 401. Sun, M.J., Chang, W.S.C., and Wolfe, C.M. (1978). Appl. Opt. 17: 3533. Kuo, Y., Becker, J., Liu, S. et al. (2015). Proc. 42nd IEEE PVSC, 1. Cellek, O.O. and Zhang, Y.-H. (2012). Proc. SPIE 8268: 8268N. Zhang, Y.-H., Ding, D., and Steenbergen, E. (2013). U.S. Patent No. 8,350,208 B1. Steenbergen, E.H., DiNezza, M.J., Dettlaff, W.H.G. et al. (2010). Appl. Phys. Lett. 97: 161111. Cellek, O.O., Reno, J.L., and Zhang, Y.-H. (2012). Appl. Phys. Lett. 100: 241103.

Index

Absorption coefficient, 259 Adsorption-controlled growth, 435 AlGaAs, 59, 176 AlGaAsSb, 237 AlGaN, 74, 109 AlGaInN, AlInGaN, 109 AlInN, 109 Alloy composition, 239 AlN, 74 barrier, 127 nucleation layer, 123 AlSb/InAs, 233 Ammonia MBE, 109 Angle resolved photoemission spectroscopy (ARPES), 367 Anomalous Hall effect (AHE), 374 Antimonide, 233 Antiphase boundaries (APBs), 266 Anti-reflection coating (ARC), 266 Anti-site defect, 385 Arrhenius plot, 255 Atomic absorption spectroscopy, 31 Atomic force microscopy (AFM), 66 Atomic ordering, 266 Atom probe tomography (APT) 83 Auger electron spectroscopy (AES), 5, 24 Auger recombination, 256 Background limited performance, 247 Ballistic transport, 395 Band anti-crossing (BAC) model, 381 Bandgap bowing, 251, 381

Band to band tunneling (BTBT), 136 Barrier device, 249 BaSnO3 , 425 Beam equivalent pressure (BEP), 27, 45 (BeMgZnCd)(TeSe), 465 Beyond CMOS, 140 Bi-induced tail state, 390 Bi2 Se3 , 368 Bi2 Te3 , 368 Bi1−x Sbx , 368 Blocking temperature, 355 Blue-emitting laser, 211 Bound-to-continuum design, 176 Breakdown electric field, 412 Breakdown voltage, 123 Bridgman, 414 Carbon nanotube (CNT), 138 Carrier-mediated ferromagnetism, 306 CdTe/InSb two-color photodetectors, 477 CdTe/MgCdTe structure, 468 CdTe/Mgx Cd1−x Te solar cells, 474 Characteristic temperature, 162 Chemical analyses, 169 Circular polarized laser diode, 299 Cluster, 459 Commensurate deposition, 49 Complementary metal oxide semiconductor (CMOS), 135 Concentrator applications, 265 Cosine law, 26 Cracker cell, 239 CuPtB -type ordering, 383

Molecular Beam Epitaxy: Materials and Applications for Electronics and Optoelectronics, First Edition. Edited by Hajime Asahi and Yoshiji Horikoshi. © 2019 John Wiley & Sons Ltd. Published 2019 by John Wiley & Sons Ltd.

i2

Index

Current aperture vertical electron transistor (CAVET), 130 Current blocking layer, 131 Czochralski, 414 Density functional theory (DFT), 401 Device lifetime, 203 Differential gain, 226 Differential quantum efficiency, 226 Diffusion length, 96, 257 Diluted magnetic semiconductors (DMS), 281, 299, 315, 349 Dilute nitride III-V compound semiconductor, 171 Dirac cone shaped band dispersion, 367 Distributed Bragg reflectors (DBRs), 468 Distributed feedback (DFB) laser, 170 Doping, 9, 237 accuracy, 187 Droplet, 458 Dual-chamber MBE system, 465 DX center 85 Edge-defined film-fed growth (EFG), 414 Effusion cell, 24 Electric-field control of ferromagnetism, 350 Electron-hole scattering, 218 Epitaxial growth, 213 Epitaxial lateral overgrowth (ELO), 95 Esaki diodes, 136 Facet formation, 52 Ferromagnet, 329 properties, 301 semiconductor hybrid structure, 329 semiconductors, 294 Fill factor (FF), 267 Floating zone, 414 Flow-rate modulation epitaxy (FME), 42 Focal plane array, 248 IV-VI, 16 Frank Van der Merwe mode, 41 Full color display, 104 GaAsSb, 59 GaAs1−x Bix , 381

GaCrN, 301 GaDyN, 308 (Ga,Fe)As, 292 (Ga,Fe)Sb, 292 GaGdN, 303 GaInAsSb, 237 Gain compression factor, 229 Gallium arsenide (GaAs), 58, 176, 330, 454 Gallium oxide (Ga2 O3 ), 411 (Ga,Mn)As, 285 GaMnN, 300 (Ga,Mn)N, 289 GaN, 109, 191 GaNx P1−x , 381 GaNy As1−x−y Bix , 381 GaSb, 233 GaSb-based laser, 169 GaSb/InAs, 233 GaSb substrate, 467 Gas-source MBE (GSMBE), 78 (Ga1−x Mnx )As, 337 g-factor, 307 Gigantic Zeeman splitting, 317 Goldschmidt’s tolerance factor, 424 Granular, 337 Graphene, 369, 395 Graphitization, 396 Grazing incidence diffraction (GID), 401 Green gap, 212 Growth interruption, 43 Growth rate calibration, 179 stability, 184 uniformity, 186 Growth window, 435 Heteroepitaxy, 332 Heterojunction, 463 Heterostructures, 58, 329, 463 Heterovalent, 464 devices, 468 structures, 465 Hexagonal boron nitride (h-BN), 395 Hexamethylditin (HMDT), 441

Index

High electron mobility transistor (HEMT), 73, 110, 118 Highly mismatched substrate, 241 Highly oriented pyrolytic graphite (HOPG), 399 High-power blue LD, 203 Hole-mediate ferromagnetism, 282 Hopkins–Skellam index (HSI), 455 Hybrid molecular beam epitaxy (Hybrid MBE), 437 Hydride vapor phase epitaxy (HVPE), 92 InAs, 65, 233, 454 nanostructures on InP, 158 InAs/AlSb, 250 InAs-based laser, 169 InAs/GaAs, 152 InAs/GaSb, 247 InAs islands on GaAs, 152 InAsN, 171 InAsSb, 173, 251 InAsSbN, 171 InAs1−x Bix , 381 Incommensurate deposition, 49 Infrared detector, 247 (InGaAl)(PAsSbBi), 465 (InGaAl)N, 74 InGaAs, 59 InGaAs/GaAsSb type II quantum well, 172 InGaAsN, 171 InGaAsP, 265 InGaAsSb, 170 InGaGdN, 303 InGaN, 192 waveguide, 199 InGaP, 265 (In,Mn)As, 281 InP-based laser, 170 InSb substrate, 468 InSb1−x Bix , 381 In-situ, 454 Iny Ga1−y As1−x Bix , 381 Ionization efficiency, 31 Isovalent, 463

i3

Knudsen cell (K-cell), 26 Knudsen effusion cell, 78 Large Zeeman splitting, 306 Lattice-matched, 464 Lattice mismatch, 80, 123 Layer-by-layer growth, 58 Light-current characteristics, 225 Light detection and ranging (LIDAR), 73 Light leakage, 200 Liquid phase epitaxy (LPE), 24 Long-lived blue LD, 192 Long-wavelength LD, 202 Low dimensional structure (LDS), 10 Low-energy electron diffraction (LEED), 5 Low-energy electron microscopy (LEEM), 398 Low-temperature growth, 285 Magnetic anisotropy, 330 Magnetic circular dichroism (MCD), 302 Magnetic tunnel junction, 330 Magnetization, 330 Magneto-transport, 330 Mercury cadmium telluride, 252 Metal modulated epitaxy (MME), 112 Metal-organic molecular beam epitaxy (MOMBE), 92 Metal-organic vapor phase epitaxy (MOVPE), 42 Metal-oxide-semiconductor field-effect transistor (MOSFET), 135, 419 Metal-semiconductor field-effect transistor (MESFET), 419 Metamorphic growth, 265 Micro-disk lasers, 164 Microstructural analysis, 325 Microwave amplifiers, 118 Mid-infrared, 176 photodetector, 391 semiconductor laser, 169 Mid-wave infrared (MWIR) laser diodes, 468 Migration-enhanced epitaxy (MEE), 41, 99

i4

Index

Miller index, 74 Minority carrier lifetime, 256 Miscibility gap, 269 Misfit dislocation (MD), 143 Misorientation, 334 MnAs, 330 Mnx Ge1−x cluster, 351 Mnx Ge1−x nanodisk, 353 Mnx Ge1−x nanomesh, 351 Mnx Ge1−x nanostructures, 351 Mnx Ge1−x QDs, 351 Modulated beam mass spectrometry (MBMS), 6 Modulation-doped field-effect transistors (MODFETs), 418 Modulation doped heterojunction, 12 Monolayer graphene, 395 Monolithic epitaxial growth, 265 Moss Burstein effect, 252 Multi-junction solar cell, 265, 390 Nanocolumn, 92 Nanocolumn LED, 104 Nanohole, 458 Nanoparticles, 337 Nanopillar, 101 Nanotemplate, 101 Nanowire (NWR), 57 Nanowire (NW)-TFET, 143 Nearest-neighbour analysis, 455 NH3 -MBE, 74 NHx radicals, 115 Nitride LD, 196 No-common-atom interface, 241 Noise equivalent temperature difference, 259 Nonpolar, 75 Nucleation, 63 Open-circuit voltage (VOC), 267 Optical confinement factor, 197, 225 Optoelctronic device, 233 Oxidation potential, 440 Oxide MBE, 430 Ozone, 414 Ozone-assisted MBE, 431

Perovskite oxides, 423 Phase separation, 322 Photo-excited precession of magnetization, 294 Photon recycling, 271 Piezoelectric polarization, 76 Plasma-assisted molecular beam epitaxy (PAMBE), 78, 109, 192, 194, 431 Point defects, 424 Polar, 75 Polarization, 74 Polymorph, 411 Power-switching devices, 118 Precipitates, 322 Pyrometer, 29 Quadrupole mass spectrometer (QMS), 29 Quantized spin Hall effect (QSH), 369, 375 field effect transistor, 376 Quantum anomalous Hall effect (QAHE), 373 Quantum cascade lasers (QCL), 175 Quantum confinement, 58 Quantum dots (QD), 57, 152, 212, 454 lasers, 157, 223 Quantum efficiency, 253 Quantum Hall effects, 12, 443 Quantum spin Hall (QSH) effect, 369 Quantum well (QW), 11, 57, 307 Quantum wire (QWR), 57 Radical-based MBE, 440 Raman spectrum, 398 Reconstruction, 455 Reflection difference spectroscopy (RDS), 32 Reflection high-energy electron diffraction (RHEED), 5, 25, 43, 58, 111 intensity oscillation, 383 oscillation, 7 pattern, 5, 400 Remote sensing, 169 RF-plasma, 415

Index

RF plasma-assisted MBE (RF-MBE), 91 RKKY mechanism, 373 Room-temperature ferromagnetism, 321 Rutherford backscattering (RBS), 383 Sb2 Te2 , 368 Scanning ion microscope (SIM), 103 Scanning transmission electron microscopy (STEM), 68 Scanning transmission microscopy, 371 Scanning tunneling microscope (STM), 8, 454 Second order correlation function, 230 Second phase, 285 Selective area epitaxy (SAE), 42 Selective area growth (SAG), 91 Self-organized nanocolumns, 91 Self size-limiting effect, 67 Semiconductor, 329 bonding technique, 276 laser, 176 Semipolar, 76 Sheet carrier density, 118 Shockley-Read-Hall (SRH) trap, 249 Short-circuit current density (JSC), 267 Si, 330 SiGe, 16 Si/Mnx Ge1−x QDs superlattice, 352 Single-chamber MBE system, 466 Single photons, 230 Single quantum dot, 53 Single-variant, 267 Si substrate, 243 S-K growth, 151 Small signal modulation, 227 Solar cells, 265 Solubility limit, 281, 316 Spacer layer, 129 Spatial point analysis, 455 Spin-detector, 296 Spin-injector, 296 Spinodal-like decomposition, 271 Spin orbit coupling, 374 Spintronic device, 310 Spontaneous polarization, 76 SrSnO3 , 425

i5

SrTiO3 , 425 S-shaped behavior, 218 Step flow growth, 42, 59 STMBE, 454 Stoichiometry, 427 Strain, 425 compensation, 163 Stranski–Krastanov (SK) growth mode, 41, 65, 212 Streaky RHEED pattern, 5 1 sun air mass (AM) 1.5G, 265 Superlattice, 11 Superparamagnetism, 321 Surface Brillouin zone, 367 Surface migration, 67 Surface photo-absorption (SPA), 32 Surface reconstruction, 330 Surface reconstruction territories (SRTs), 455 Tc enhancement, 350 Temperature coefficient, 387 Temperature insensitivity, 386 Template, 330 Terahertz (THz), 175 Thermal expansion coefficient, 123 Threading dislocation (TD), 112, 145 Threading dislocation density (TDD), 80 III-Antimonide, 14 III-Bismide, 15 III-Mn-V, 285 III-Nitride, 14, 191 semiconductor, 299 III-Phosphide, 14 III-Sb, 233 III-V compounds, 5 III-V heterostructures, 136 Ti-mask, 93 Time domain spectroscopy (TDS), 390 Time-resolved photoluminescence, 217 Time reversal symmetry (TRS), 367 Titanium tetra isopropoxide (TTIP), 437 Topological insulater (TI), 367 Topological surface state, 367 Transfer matrix method, 225 Transition-metal dichalcogenide, 395

i6

Index

Transmission electron microscopy (TEM), 4, 214 Trap assisted tunneling (TAT), 138 Trilayer structure, 309 Tunneling, 338 Tunneling field effect transistor (TFET), 135 Tunneling magnetoresistance (TMR), 338 Tunnel junctions, 265 contact, 206 Tunnel magnetoresistance (TMR), 299 Two-dimensional electron gas (2DEG), 12, 76, 118, 418 II-VI compounds, 15, 322 II-VI diluted magnetic semiconductors, 315 II-VI/III-V compounds, 464 Two mode lasers, 162 Type II superlattice, 247 Type I-type II transition, 319

van der Waals (vdW) heterostructure, 396 Vapor-liquid-solid (VLS) catalysis, 63 Vapor phase epitaxy (VPE), 24 Vertical cavity surface emitting laser (VCSEL), 73, 173, 468 Vertical devices, 130 Volmer–Weber (V-W) growth mode, 51, 81

Ultra-high efficiencies, 265 Ultra high vacuum (UHV), 3, 24, 398

ZnO1−x Sex , 381

Wetting layer (WL), 455 White LED, 221 Wide-bandgap, 74 Wurtzite structure, 75 XBn detector, 251 XBp detector, 255 X-ray photoelectron spectroscopy (XPS), 403 X-ray photoemission spectroscopy, 24, 94